diff --git a/st7789_lcd.c b/st7789_lcd.c index c972396..e4feb89 100644 --- a/st7789_lcd.c +++ b/st7789_lcd.c @@ -135,6 +135,8 @@ st7789_ret_t st7789_lcd_init(st7789_lcd_t *lcd) { if(st7789_lcd_config(lcd, &lcd->config) != ST7789_OK) return ST7789_ERROR; if(st7789_lcd_sleep(lcd, 0) != ST7789_OK) return ST7789_ERROR; if(st7789_lcd_display(lcd, 1) != ST7789_OK) return ST7789_ERROR; + + return ST7789_OK; } st7789_ret_t st7789_lcd_load(st7789_lcd_t *lcd, uint8_t *data, uint16_t x_start,