From 28c7a119e9910ee9cdbebf682f85e1aa1d76f5f4 Mon Sep 17 00:00:00 2001 From: Yilin Sun Date: Mon, 29 Jan 2024 14:45:13 +0800 Subject: [PATCH] Updated to SDK v2.15.000 Signed-off-by: Yilin Sun --- CMSIS/CMSIS_v3.yml | 11 +- COPYING-BSD-3 | 7 - LA_OPT_NXP_Software_License.txt | 215 +- ...est_v3_13.xml => LPC804_manifest_v3_14.xml | 2418 +++++++++-------- SW-Content-Register.txt | 8 +- .../demo_apps/hello_world/armgcc/build_all.sh | 0 .../hello_world/armgcc/build_debug.sh | 0 .../hello_world/armgcc/build_release.sh | 0 .../demo_apps/hello_world/armgcc/clean.sh | 0 .../demo_apps/hello_world/armgcc/config.cmake | 0 .../demo_apps/hello_world/armgcc/flags.cmake | 4 +- .../demo_apps/hello_world/hello_world.bin | Bin 4880 -> 4856 bytes ..._world_v3_13.xml => hello_world_v3_14.xml} | 0 .../demo_apps/led_blinky/armgcc/build_all.sh | 0 .../led_blinky/armgcc/build_debug.sh | 0 .../led_blinky/armgcc/build_release.sh | 0 .../demo_apps/led_blinky/armgcc/clean.sh | 0 .../demo_apps/led_blinky/armgcc/config.cmake | 0 .../demo_apps/led_blinky/armgcc/flags.cmake | 4 +- .../demo_apps/led_blinky/led_blinky.bin | Bin 3324 -> 3348 bytes ..._blinky_v3_13.xml => led_blinky_v3_14.xml} | 0 .../new_project/armgcc/CMakeLists.txt | 78 + .../new_project/armgcc/LPC804_flash.ld | 211 ++ .../new_project/armgcc/build_all.bat | 15 + .../demo_apps/new_project/armgcc/build_all.sh | 15 + .../new_project/armgcc/build_debug.bat | 6 + .../new_project/armgcc/build_debug.sh | 7 + .../new_project/armgcc/build_release.bat | 6 + .../new_project/armgcc/build_release.sh | 7 + .../demo_apps/new_project/armgcc/clean.bat | 3 + .../demo_apps/new_project/armgcc/clean.sh | 3 + .../demo_apps/new_project/armgcc/config.cmake | 34 + .../demo_apps/new_project/armgcc/flags.cmake | 169 ++ .../demo_apps/new_project/board.c | 39 + .../demo_apps/new_project/board.h | 152 ++ .../demo_apps/new_project/clock_config.c | 169 ++ .../demo_apps/new_project/clock_config.h | 122 + .../demo_apps/new_project/main.c | 46 + .../demo_apps/new_project/new_project.mex | 166 ++ .../new_project/new_project_v3_14.xml | 139 + .../demo_apps/new_project/peripherals.c | 57 + .../demo_apps/new_project/peripherals.h | 35 + .../demo_apps/new_project/pin_mux.c | 409 +++ .../demo_apps/new_project/pin_mux.h | 536 ++++ .../demo_apps/new_project/readme.md | 24 + .../power_mode_switch_lpc/armgcc/build_all.sh | 0 .../armgcc/build_debug.sh | 0 .../armgcc/build_release.sh | 0 .../power_mode_switch_lpc/armgcc/clean.sh | 0 .../power_mode_switch_lpc/armgcc/config.cmake | 0 .../power_mode_switch_lpc/armgcc/flags.cmake | 4 +- .../power_mode_switch_lpc.bin | Bin 6864 -> 6880 bytes ...13.xml => power_mode_switch_lpc_v3_14.xml} | 0 ..._basic_v3_13.xml => acomp_basic_v3_14.xml} | 0 .../acomp/acomp_basic/armgcc/build_all.sh | 0 .../acomp/acomp_basic/armgcc/build_debug.sh | 0 .../acomp/acomp_basic/armgcc/build_release.sh | 0 .../acomp/acomp_basic/armgcc/clean.sh | 0 .../acomp/acomp_basic/armgcc/config.cmake | 0 .../acomp/acomp_basic/armgcc/flags.cmake | 4 +- ...pt_v3_13.xml => acomp_interrupt_v3_14.xml} | 0 .../acomp/acomp_interrupt/armgcc/build_all.sh | 0 .../acomp_interrupt/armgcc/build_debug.sh | 0 .../acomp_interrupt/armgcc/build_release.sh | 0 .../acomp/acomp_interrupt/armgcc/clean.sh | 0 .../acomp/acomp_interrupt/armgcc/config.cmake | 0 .../acomp/acomp_interrupt/armgcc/flags.cmake | 4 +- .../adc/lpc_adc_basic/armgcc/build_all.sh | 0 .../adc/lpc_adc_basic/armgcc/build_debug.sh | 0 .../adc/lpc_adc_basic/armgcc/build_release.sh | 0 .../adc/lpc_adc_basic/armgcc/clean.sh | 0 .../adc/lpc_adc_basic/armgcc/config.cmake | 0 .../adc/lpc_adc_basic/armgcc/flags.cmake | 4 +- ...asic_v3_13.xml => lpc_adc_basic_v3_14.xml} | 0 .../adc/lpc_adc_burst/armgcc/build_all.sh | 0 .../adc/lpc_adc_burst/armgcc/build_debug.sh | 0 .../adc/lpc_adc_burst/armgcc/build_release.sh | 0 .../adc/lpc_adc_burst/armgcc/clean.sh | 0 .../adc/lpc_adc_burst/armgcc/config.cmake | 0 .../adc/lpc_adc_burst/armgcc/flags.cmake | 4 +- ...urst_v3_13.xml => lpc_adc_burst_v3_14.xml} | 0 .../adc/lpc_adc_interrupt/armgcc/build_all.sh | 0 .../lpc_adc_interrupt/armgcc/build_debug.sh | 0 .../lpc_adc_interrupt/armgcc/build_release.sh | 0 .../adc/lpc_adc_interrupt/armgcc/clean.sh | 0 .../adc/lpc_adc_interrupt/armgcc/config.cmake | 0 .../adc/lpc_adc_interrupt/armgcc/flags.cmake | 4 +- ..._v3_13.xml => lpc_adc_interrupt_v3_14.xml} | 0 .../driver_examples/bod/armgcc/build_all.sh | 0 .../driver_examples/bod/armgcc/build_debug.sh | 0 .../bod/armgcc/build_release.sh | 0 .../driver_examples/bod/armgcc/clean.sh | 0 .../driver_examples/bod/armgcc/config.cmake | 0 .../driver_examples/bod/armgcc/flags.cmake | 4 +- .../{lpc_bod_v3_13.xml => lpc_bod_v3_14.xml} | 0 .../capt/capt_acomp/armgcc/build_all.sh | 0 .../capt/capt_acomp/armgcc/build_debug.sh | 0 .../capt/capt_acomp/armgcc/build_release.sh | 0 .../capt/capt_acomp/armgcc/clean.sh | 0 .../capt/capt_acomp/armgcc/config.cmake | 0 .../capt/capt_acomp/armgcc/flags.cmake | 4 +- ...t_acomp_v3_13.xml => capt_acomp_v3_14.xml} | 0 .../capt_acomp_continuous/armgcc/build_all.sh | 0 .../armgcc/build_debug.sh | 0 .../armgcc/build_release.sh | 0 .../capt_acomp_continuous/armgcc/clean.sh | 0 .../capt_acomp_continuous/armgcc/config.cmake | 0 .../capt_acomp_continuous/armgcc/flags.cmake | 4 +- ...13.xml => capt_acomp_continuous_v3_14.xml} | 0 .../capt/capt_basic/armgcc/build_all.sh | 0 .../capt/capt_basic/armgcc/build_debug.sh | 0 .../capt/capt_basic/armgcc/build_release.sh | 0 .../capt/capt_basic/armgcc/clean.sh | 0 .../capt/capt_basic/armgcc/config.cmake | 0 .../capt/capt_basic/armgcc/flags.cmake | 4 +- ...t_basic_v3_13.xml => capt_basic_v3_14.xml} | 0 .../capt_basic_continuous/armgcc/build_all.sh | 0 .../armgcc/build_debug.sh | 0 .../armgcc/build_release.sh | 0 .../capt_basic_continuous/armgcc/clean.sh | 0 .../capt_basic_continuous/armgcc/config.cmake | 0 .../capt_basic_continuous/armgcc/flags.cmake | 4 +- ...13.xml => capt_basic_continuous_v3_14.xml} | 0 .../driver_examples/crc/armgcc/build_all.sh | 0 .../driver_examples/crc/armgcc/build_debug.sh | 0 .../crc/armgcc/build_release.sh | 0 .../driver_examples/crc/armgcc/clean.sh | 0 .../driver_examples/crc/armgcc/config.cmake | 0 .../driver_examples/crc/armgcc/flags.cmake | 4 +- .../crc/{crc_v3_13.xml => crc_v3_14.xml} | 0 .../ctimer/simple_match/armgcc/build_all.sh | 0 .../ctimer/simple_match/armgcc/build_debug.sh | 0 .../simple_match/armgcc/build_release.sh | 0 .../ctimer/simple_match/armgcc/clean.sh | 0 .../ctimer/simple_match/armgcc/config.cmake | 0 .../ctimer/simple_match/armgcc/flags.cmake | 4 +- ..._13.xml => ctimer_match_example_v3_14.xml} | 0 .../armgcc/build_all.sh | 0 .../armgcc/build_debug.sh | 0 .../armgcc/build_release.sh | 0 .../simple_match_interrupt/armgcc/clean.sh | 0 .../armgcc/config.cmake | 0 .../simple_match_interrupt/armgcc/flags.cmake | 4 +- ... ctimer_match_interrupt_example_v3_14.xml} | 0 .../ctimer/simple_pwm/armgcc/build_all.sh | 0 .../ctimer/simple_pwm/armgcc/build_debug.sh | 0 .../ctimer/simple_pwm/armgcc/build_release.sh | 0 .../ctimer/simple_pwm/armgcc/clean.sh | 0 .../ctimer/simple_pwm/armgcc/config.cmake | 0 .../ctimer/simple_pwm/armgcc/flags.cmake | 4 +- ...v3_13.xml => ctimer_pwm_example_v3_14.xml} | 0 .../simple_pwm_interrupt/armgcc/build_all.sh | 0 .../armgcc/build_debug.sh | 0 .../armgcc/build_release.sh | 0 .../simple_pwm_interrupt/armgcc/clean.sh | 0 .../simple_pwm_interrupt/armgcc/config.cmake | 0 .../simple_pwm_interrupt/armgcc/flags.cmake | 4 +- ...=> ctimer_pwm_interrupt_example_v3_14.xml} | 0 .../dac/lpc_dac_basic/armgcc/build_all.sh | 0 .../dac/lpc_dac_basic/armgcc/build_debug.sh | 0 .../dac/lpc_dac_basic/armgcc/build_release.sh | 0 .../dac/lpc_dac_basic/armgcc/clean.sh | 0 .../dac/lpc_dac_basic/armgcc/config.cmake | 0 .../dac/lpc_dac_basic/armgcc/flags.cmake | 4 +- ...asic_v3_13.xml => lpc_dac_basic_v3_14.xml} | 0 .../dac/lpc_dac_interrupt/armgcc/build_all.sh | 0 .../lpc_dac_interrupt/armgcc/build_debug.sh | 0 .../lpc_dac_interrupt/armgcc/build_release.sh | 0 .../dac/lpc_dac_interrupt/armgcc/clean.sh | 0 .../dac/lpc_dac_interrupt/armgcc/config.cmake | 0 .../dac/lpc_dac_interrupt/armgcc/flags.cmake | 4 +- ..._v3_13.xml => lpc_dac_interrupt_v3_14.xml} | 0 .../gpio/led_output/armgcc/build_all.sh | 0 .../gpio/led_output/armgcc/build_debug.sh | 0 .../gpio/led_output/armgcc/build_release.sh | 0 .../gpio/led_output/armgcc/clean.sh | 0 .../gpio/led_output/armgcc/config.cmake | 0 .../gpio/led_output/armgcc/flags.cmake | 4 +- ...ut_v3_13.xml => gpio_led_output_v3_14.xml} | 0 .../master/armgcc/build_all.sh | 0 .../master/armgcc/build_debug.sh | 0 .../master/armgcc/build_release.sh | 0 .../master/armgcc/clean.sh | 0 .../master/armgcc/config.cmake | 0 .../master/armgcc/flags.cmake | 4 +- ...c_interrupt_b2b_transfer_master_v3_14.xml} | 0 .../slave/armgcc/build_all.sh | 0 .../slave/armgcc/build_debug.sh | 0 .../slave/armgcc/build_release.sh | 0 .../slave/armgcc/clean.sh | 0 .../slave/armgcc/config.cmake | 0 .../slave/armgcc/flags.cmake | 4 +- ...2c_interrupt_b2b_transfer_slave_v3_14.xml} | 0 .../polling_b2b/master/armgcc/build_all.sh | 0 .../polling_b2b/master/armgcc/build_debug.sh | 0 .../master/armgcc/build_release.sh | 0 .../i2c/polling_b2b/master/armgcc/clean.sh | 0 .../polling_b2b/master/armgcc/config.cmake | 0 .../i2c/polling_b2b/master/armgcc/flags.cmake | 4 +- ...l => lpc_i2c_polling_b2b_master_v3_14.xml} | 0 .../i2c/polling_b2b/slave/armgcc/build_all.sh | 0 .../polling_b2b/slave/armgcc/build_debug.sh | 0 .../polling_b2b/slave/armgcc/build_release.sh | 0 .../i2c/polling_b2b/slave/armgcc/clean.sh | 0 .../i2c/polling_b2b/slave/armgcc/config.cmake | 0 .../i2c/polling_b2b/slave/armgcc/flags.cmake | 4 +- ...ml => lpc_i2c_polling_b2b_slave_v3_14.xml} | 0 .../iap/iap_basic/armgcc/build_all.sh | 0 .../iap/iap_basic/armgcc/build_debug.sh | 0 .../iap/iap_basic/armgcc/build_release.sh | 0 .../iap/iap_basic/armgcc/clean.sh | 0 .../iap/iap_basic/armgcc/config.cmake | 0 .../iap/iap_basic/armgcc/flags.cmake | 4 +- ...ap_basic_v3_13.xml => iap_basic_v3_14.xml} | 2 +- .../iap/iap_basic/{readme.txt => readme.md} | 4 + .../iap/iap_flash/armgcc/build_all.sh | 0 .../iap/iap_flash/armgcc/build_debug.sh | 0 .../iap/iap_flash/armgcc/build_release.sh | 0 .../iap/iap_flash/armgcc/clean.sh | 0 .../iap/iap_flash/armgcc/config.cmake | 0 .../iap/iap_flash/armgcc/flags.cmake | 4 +- ...ap_flash_v3_13.xml => iap_flash_v3_14.xml} | 2 +- .../iap/iap_flash/{readme.txt => readme.md} | 4 + .../driver_examples/mrt/armgcc/build_all.sh | 0 .../driver_examples/mrt/armgcc/build_debug.sh | 0 .../mrt/armgcc/build_release.sh | 0 .../driver_examples/mrt/armgcc/clean.sh | 0 .../driver_examples/mrt/armgcc/config.cmake | 0 .../driver_examples/mrt/armgcc/flags.cmake | 4 +- ...xample_v3_13.xml => mrt_example_v3_14.xml} | 0 .../pint/pattern_match/armgcc/build_all.sh | 0 .../pint/pattern_match/armgcc/build_debug.sh | 0 .../pattern_match/armgcc/build_release.sh | 0 .../pint/pattern_match/armgcc/clean.sh | 0 .../pint/pattern_match/armgcc/config.cmake | 0 .../pint/pattern_match/armgcc/flags.cmake | 4 +- ...v3_13.xml => pint_pattern_match_v3_14.xml} | 0 .../pint/pin_interrupt/armgcc/build_all.sh | 0 .../pint/pin_interrupt/armgcc/build_debug.sh | 0 .../pin_interrupt/armgcc/build_release.sh | 0 .../pint/pin_interrupt/armgcc/clean.sh | 0 .../pint/pin_interrupt/armgcc/config.cmake | 0 .../pint/pin_interrupt/armgcc/flags.cmake | 4 +- ...v3_13.xml => pint_pin_interrupt_v3_14.xml} | 0 .../plu/combination/armgcc/build_all.sh | 0 .../plu/combination/armgcc/build_debug.sh | 0 .../plu/combination/armgcc/build_release.sh | 0 .../plu/combination/armgcc/clean.sh | 0 .../plu/combination/armgcc/config.cmake | 0 .../plu/combination/armgcc/flags.cmake | 4 +- ...on_v3_13.xml => plu_combination_v3_14.xml} | 0 .../spi/interrupt/master/armgcc/build_all.sh | 0 .../interrupt/master/armgcc/build_debug.sh | 0 .../interrupt/master/armgcc/build_release.sh | 0 .../spi/interrupt/master/armgcc/clean.sh | 0 .../spi/interrupt/master/armgcc/config.cmake | 0 .../spi/interrupt/master/armgcc/flags.cmake | 4 +- ..._13.xml => spi_interrupt_master_v3_14.xml} | 0 .../spi/interrupt/slave/armgcc/build_all.sh | 0 .../spi/interrupt/slave/armgcc/build_debug.sh | 0 .../interrupt/slave/armgcc/build_release.sh | 0 .../spi/interrupt/slave/armgcc/clean.sh | 0 .../spi/interrupt/slave/armgcc/config.cmake | 0 .../spi/interrupt/slave/armgcc/flags.cmake | 4 +- ...3_13.xml => spi_interrupt_slave_v3_14.xml} | 0 .../spi/polling/master/armgcc/build_all.sh | 0 .../spi/polling/master/armgcc/build_debug.sh | 0 .../polling/master/armgcc/build_release.sh | 0 .../spi/polling/master/armgcc/clean.sh | 0 .../spi/polling/master/armgcc/config.cmake | 0 .../spi/polling/master/armgcc/flags.cmake | 4 +- ...v3_13.xml => spi_polling_master_v3_14.xml} | 0 .../spi/polling/slave/armgcc/build_all.sh | 0 .../spi/polling/slave/armgcc/build_debug.sh | 0 .../spi/polling/slave/armgcc/build_release.sh | 0 .../spi/polling/slave/armgcc/clean.sh | 0 .../spi/polling/slave/armgcc/config.cmake | 0 .../spi/polling/slave/armgcc/flags.cmake | 4 +- ..._v3_13.xml => spi_polling_slave_v3_14.xml} | 0 .../master/armgcc/build_all.sh | 0 .../master/armgcc/build_debug.sh | 0 .../master/armgcc/build_release.sh | 0 .../transfer_interrupt/master/armgcc/clean.sh | 0 .../master/armgcc/config.cmake | 0 .../master/armgcc/flags.cmake | 4 +- ...> spi_transfer_interrupt_master_v3_14.xml} | 0 .../slave/armgcc/build_all.sh | 0 .../slave/armgcc/build_debug.sh | 0 .../slave/armgcc/build_release.sh | 0 .../transfer_interrupt/slave/armgcc/clean.sh | 0 .../slave/armgcc/config.cmake | 0 .../slave/armgcc/flags.cmake | 4 +- ...=> spi_transfer_interrupt_slave_v3_14.xml} | 0 .../usart/polling/armgcc/build_all.sh | 0 .../usart/polling/armgcc/build_debug.sh | 0 .../usart/polling/armgcc/build_release.sh | 0 .../usart/polling/armgcc/clean.sh | 0 .../usart/polling/armgcc/config.cmake | 0 .../usart/polling/armgcc/flags.cmake | 4 +- ...13.xml => usart_polling_example_v3_14.xml} | 0 .../usart/terminal/armgcc/build_all.sh | 0 .../usart/terminal/armgcc/build_debug.sh | 0 .../usart/terminal/armgcc/build_release.sh | 0 .../usart/terminal/armgcc/clean.sh | 0 .../usart/terminal/armgcc/config.cmake | 0 .../usart/terminal/armgcc/flags.cmake | 4 +- ...nal_v3_13.xml => usart_terminal_v3_14.xml} | 0 .../transfer_interrupt/armgcc/build_all.sh | 0 .../transfer_interrupt/armgcc/build_debug.sh | 0 .../armgcc/build_release.sh | 0 .../usart/transfer_interrupt/armgcc/clean.sh | 0 .../transfer_interrupt/armgcc/config.cmake | 0 .../transfer_interrupt/armgcc/flags.cmake | 4 +- ...xml => usart_transfer_interrupt_v3_14.xml} | 0 .../transfer_ring_buffer/armgcc/build_all.sh | 0 .../armgcc/build_debug.sh | 0 .../armgcc/build_release.sh | 0 .../transfer_ring_buffer/armgcc/clean.sh | 0 .../transfer_ring_buffer/armgcc/config.cmake | 0 .../transfer_ring_buffer/armgcc/flags.cmake | 4 +- ...l => usart_transfer_ring_buffer_v3_14.xml} | 0 .../master/armgcc/build_all.sh | 0 .../master/armgcc/build_debug.sh | 0 .../master/armgcc/build_release.sh | 0 .../transfer_sync_b2b/master/armgcc/clean.sh | 0 .../master/armgcc/config.cmake | 0 .../master/armgcc/flags.cmake | 4 +- ... usart_transfer_sync_b2b_master_v3_14.xml} | 0 .../slave/armgcc/build_all.sh | 0 .../slave/armgcc/build_debug.sh | 0 .../slave/armgcc/build_release.sh | 0 .../transfer_sync_b2b/slave/armgcc/clean.sh | 0 .../slave/armgcc/config.cmake | 0 .../slave/armgcc/flags.cmake | 4 +- ...> usart_transfer_sync_b2b_slave_v3_14.xml} | 0 .../driver_examples/wkt/armgcc/build_all.sh | 0 .../driver_examples/wkt/armgcc/build_debug.sh | 0 .../wkt/armgcc/build_release.sh | 0 .../driver_examples/wkt/armgcc/clean.sh | 0 .../driver_examples/wkt/armgcc/config.cmake | 0 .../driver_examples/wkt/armgcc/flags.cmake | 4 +- ...xample_v3_13.xml => wkt_example_v3_14.xml} | 0 .../driver_examples/wwdt/armgcc/build_all.sh | 0 .../wwdt/armgcc/build_debug.sh | 0 .../wwdt/armgcc/build_release.sh | 0 .../driver_examples/wwdt/armgcc/clean.sh | 0 .../driver_examples/wwdt/armgcc/config.cmake | 0 .../driver_examples/wwdt/armgcc/flags.cmake | 4 +- .../lpcxpresso804/driver_examples/wwdt/wwdt.c | 4 + ...ample_v3_13.xml => wwdt_example_v3_14.xml} | 0 .../capt_key/armgcc/build_all.sh | 0 .../capt_key/armgcc/build_debug.sh | 0 .../capt_key/armgcc/build_release.sh | 0 .../touch_examples/capt_key/armgcc/clean.sh | 0 .../capt_key/armgcc/config.cmake | 0 .../capt_key/armgcc/flags.cmake | 4 +- ...{capt_key_v3_13.xml => capt_key_v3_14.xml} | 0 .../touch_examples/capt_key/glitch_filter.c | 2 +- components/i2c/fsl_adapter_i2c.h | 4 +- components/lists/fsl_component_generic_list.c | 134 +- components/lists/fsl_component_generic_list.h | 30 +- .../mem_manager/fsl_component_mem_manager.h | 117 +- .../fsl_component_mem_manager_light.c | 672 ++++- components/osa/fsl_os_abstraction.h | 129 +- components/osa/fsl_os_abstraction_bm.c | 77 +- components/osa/fsl_os_abstraction_bm.h | 11 + components/timer/fsl_adapter_ctimer.c | 2 +- components/timer/fsl_adapter_mrt.c | 2 +- .../fsl_component_timer_manager.c | 53 +- .../fsl_component_timer_manager.h | 30 +- components/uart/fsl_adapter_uart.h | 16 +- .../LPC804/device_LPC804_startup.LPC804.cmake | 22 +- devices/LPC804/drivers/fsl_acomp.h | 14 +- devices/LPC804/drivers/fsl_adc.c | 14 + devices/LPC804/drivers/fsl_adc.h | 30 +- devices/LPC804/drivers/fsl_capt.h | 14 +- devices/LPC804/drivers/fsl_common.h | 41 +- devices/LPC804/drivers/fsl_common_arm.c | 4 +- devices/LPC804/drivers/fsl_common_arm.h | 124 +- devices/LPC804/drivers/fsl_crc.h | 10 +- devices/LPC804/drivers/fsl_ctimer.h | 10 +- devices/LPC804/drivers/fsl_dac.h | 12 +- devices/LPC804/drivers/fsl_gpio.h | 24 +- devices/LPC804/drivers/fsl_i2c.h | 54 +- devices/LPC804/drivers/fsl_iap.c | 0 devices/LPC804/drivers/fsl_iap.h | 20 +- devices/LPC804/drivers/fsl_iocon.h | 12 +- devices/LPC804/drivers/fsl_mrt.h | 10 +- devices/LPC804/drivers/fsl_pint.c | 2 +- devices/LPC804/drivers/fsl_pint.h | 14 +- devices/LPC804/drivers/fsl_plu.h | 10 +- devices/LPC804/drivers/fsl_reset.c | 24 +- devices/LPC804/drivers/fsl_reset.h | 37 +- devices/LPC804/drivers/fsl_spi.h | 10 +- devices/LPC804/drivers/fsl_swm.h | 10 +- devices/LPC804/drivers/fsl_syscon.h | 12 +- devices/LPC804/drivers/fsl_usart.h | 25 +- devices/LPC804/drivers/fsl_wkt.h | 10 +- devices/LPC804/drivers/fsl_wwdt.h | 18 +- devices/LPC804/gcc/startup_LPC804.S | 11 +- ...VICES_Project_Template_LPC804.LPC804.cmake | 2 - devices/LPC804/project_template/board.c | 24 - devices/LPC804/project_template/board.h | 36 - .../LPC804/project_template/clock_config.c | 90 +- .../LPC804/project_template/clock_config.h | 57 +- devices/LPC804/project_template/peripherals.c | 23 +- devices/LPC804/project_template/peripherals.h | 22 +- devices/LPC804/project_template/pin_mux.c | 67 +- devices/LPC804/project_template/pin_mux.h | 55 +- devices/LPC804/system_LPC804.c | 5 +- devices/LPC804/system_LPC804.h | 2 + .../utilities/debug_console_lite/fsl_assert.c | 24 +- .../utilities/debug_console_lite/fsl_assert.h | 51 + .../debug_console_lite/fsl_debug_console.c | 22 +- .../debug_console_lite/fsl_debug_console.h | 4 +- .../utility_assert_lite.LPC804.cmake | 6 +- devices/LPC804/utilities/str/fsl_str.c | 530 ++-- docs/Getting Started with MCUXpresso SDK.pdf | Bin 3124439 -> 3174450 bytes ...presso SDK API Reference Manual_LPC804.pdf | Bin 2328252 -> 2503619 bytes .../a00002.html | 2 +- .../a00004.html | 2 +- .../a00006.html | 2 +- .../a00008.html | 176 +- .../a00008.js | 42 +- .../a00009.html | 587 ++-- .../a00009.js | 121 +- .../a00010.html | 368 +-- .../a00010.js | 90 +- .../a00011.html | 146 +- .../a00011.js | 24 +- .../a00012.html | 552 ++-- .../a00012.js | 116 +- .../a00013.html | 62 +- .../a00013.js | 12 +- .../a00014.html | 85 +- .../a00014.js | 14 +- .../a00015.html | 306 ++- .../a00015.js | 50 +- .../a00016.html | 571 ++-- .../a00016.js | 101 +- .../a00017.html | 24 +- .../a00017.js | 3 +- .../a00018.html | 245 +- .../a00018.js | 40 +- .../a00019.html | 696 +++-- .../a00019.js | 141 +- .../a00020.html | 596 ++-- .../a00020.js | 110 +- .../a00021.html | 105 +- .../a00021.js | 19 +- .../a00022.html | 108 +- .../a00022.js | 19 +- .../a00079.html | 582 ++-- .../a00079.js | 164 +- .../a00080.html | 105 +- .../a00080.js | 29 +- .../a00081.html | 228 +- .../a00081.js | 48 +- .../a00082.html | 340 ++- .../a00082.js | 28 +- .../a00083.html | 338 ++- .../a00083.js | 8 +- .../a00084.html | 2 +- .../a00085.html | 2 +- .../a00086.html | 2 +- .../a00087.html | 2 +- .../a00088.html | 317 ++- .../a00088.js | 81 +- .../a00089.html | 541 ++-- .../a00089.js | 225 +- .../a00090.html | 751 ++--- .../a00090.js | 326 +-- .../a00091.html | 22 +- .../a00091.js | 5 +- .../a00092.html | 16 +- .../a00092.js | 2 +- .../a00093.html | 2 +- .../a00095.html | 2 +- .../dir_07b86ffc266b39efa5bbdc0e9fdb330a.html | 4 +- .../dir_1331922326a697e1e9aa2323d085217f.html | 4 +- .../dir_22cbbd27e16e87caa10f675e5ce8b9df.html | 4 +- .../dir_2c6aa0e2bbb2234cdccf71ce9920a505.html | 4 +- .../dir_3803c7c40becdc1a8f991e020a425f6b.html | 4 +- .../dir_3d87c2df58032c55a697acbe8461844d.html | 4 +- .../dir_51d0b1c6bed7424dc1959d40ccb0bb43.html | 4 +- .../dir_54b70da16fda314d8ea08e7fa656437e.html | 4 +- .../dir_5b772dd4d456f495cb29becefd143ee2.html | 4 +- .../dir_75214fd834f54a955adf2012023f7050.html | 4 +- .../dir_8240c85063e108bd95ef29fb603f8af8.html | 4 +- .../dir_83c4f67939b2e50b6dc50fe3fc259b2d.html | 4 +- .../dir_8ceb0280e3a6924da33c684985152a52.html | 4 +- .../dir_97879f77cafaedc6c6b7c8e7e6bcf419.html | 4 +- .../dir_9e0a0b363f74c0efadbd1d6e4c01cac5.html | 4 +- .../dir_a2da420fdde962bd10156eaa17d1670b.html | 4 +- .../dir_a343a3e9cbb710280ccd0de2056b945d.html | 4 +- .../dir_a3e8f1feb8c30c12d24fc9c7995a15c1.html | 4 +- .../dir_ae9e5c562b37697154b7013541665a90.html | 4 +- .../dir_b81d5557345932eec08d61eeb5afa2f0.html | 4 +- .../dir_c48a66ee7236adaad29cf263b70b3b16.html | 4 +- .../dir_c5a52a81292cf9a5167198f4f346d6d9.html | 4 +- .../dir_c7e9d45afd61725c3e80b2f8c629533d.html | 4 +- .../dir_d0b0cea4c1afa919405231e98537b73c.html | 4 +- .../dir_d488d26126eac48f68b56f6b2e0f43d9.html | 4 +- .../dir_ea982a4a90bbf64c8a612131ad2f0fcb.html | 4 +- .../dir_eb78a2695df30cd843f578001c009203.html | 4 +- .../dir_f116f7633d12361ea112ee9d6dd3a804.html | 4 +- .../index.html | 2 +- .../modules.html | 2 +- .../navtree.js | 13 +- .../navtreeindex0.js | 482 ++-- .../navtreeindex1.js | 482 ++-- .../navtreeindex2.js | 480 ++-- .../navtreeindex3.js | 434 +-- .../navtreeindex4.js | 466 ++-- .../navtreeindex5.js | 494 ++-- .../navtreeindex6.js | 375 ++- .../navtreeindex7.js | 36 + .../pages.html | 2 +- .../search/all_5f.js | 114 +- .../search/all_61.js | 55 +- .../search/all_62.js | 8 +- .../search/all_63.js | 84 +- .../search/all_64.js | 17 +- .../search/all_65.js | 50 +- .../search/all_66.js | 4 +- .../search/all_67.js | 4 +- .../search/all_68.js | 4 +- .../search/all_69.js | 37 +- .../search/all_6b.js | 1111 ++++---- .../search/all_6c.js | 6 +- .../search/all_6d.js | 19 +- .../search/all_6f.js | 8 +- .../search/all_70.js | 40 +- .../search/all_71.js | 4 +- .../search/all_72.js | 38 +- .../search/all_73.js | 69 +- .../search/all_74.js | 36 +- .../search/all_75.js | 18 +- .../search/all_77.js | 12 +- .../search/all_78.js | 4 +- .../search/all_79.js | 4 +- .../search/classes_5f.js | 36 +- .../search/classes_61.js | 8 - .../search/classes_63.js | 8 - .../search/classes_64.js | 4 - .../search/classes_67.js | 4 - .../search/classes_69.html | 26 - .../search/classes_69.js | 8 - .../search/classes_6d.html | 26 - .../search/classes_6d.js | 4 - .../search/classes_73.html | 26 - .../search/classes_73.js | 7 - .../search/classes_75.html | 26 - .../search/classes_75.js | 5 - .../search/classes_77.html | 26 - .../search/classes_77.js | 5 - .../search/enums_5f.js | 78 + .../search/enums_61.html | 26 - .../search/enums_61.js | 15 - .../search/enums_63.js | 23 +- .../search/enums_64.html | 26 - .../search/enums_64.js | 4 - .../search/enums_67.html | 26 - .../search/enums_67.js | 4 - .../search/enums_69.html | 26 - .../search/enums_69.js | 9 - .../search/enums_6d.html | 26 - .../search/enums_6d.js | 7 - .../search/enums_70.html | 26 - .../search/enums_70.js | 16 - .../search/enums_73.html | 26 - .../search/enums_73.js | 16 - .../search/enums_75.html | 26 - .../search/enums_75.js | 8 - .../search/enums_77.html | 26 - .../search/enums_77.js | 5 - .../search/enumvalues_6b.js | 1111 ++++---- .../search/functions_61.js | 2 - .../search/functions_63.js | 2 + .../search/functions_64.js | 3 +- .../search/functions_65.js | 1 + .../search/functions_69.js | 6 + .../search/functions_6d.js | 1 - .../search/functions_72.js | 5 +- .../search/functions_73.js | 1 + .../search/search.js | 6 +- .../{classes_61.html => typedefs_61.html} | 2 +- .../search/typedefs_61.js | 20 + .../{classes_63.html => typedefs_63.html} | 2 +- .../search/typedefs_63.js | 29 + .../{classes_64.html => typedefs_64.html} | 2 +- .../search/typedefs_64.js | 5 + .../{classes_67.html => typedefs_67.html} | 2 +- .../search/typedefs_67.js | 5 + .../search/typedefs_69.js | 16 +- .../search/typedefs_6d.html | 26 + .../search/typedefs_6d.js | 8 + .../search/typedefs_70.js | 15 +- .../search/typedefs_73.js | 20 +- .../search/typedefs_75.js | 9 +- .../search/typedefs_77.html | 26 + .../search/typedefs_77.js | 7 + .../search/variables_61.js | 12 +- .../search/variables_62.js | 8 +- .../search/variables_63.js | 30 +- .../search/variables_64.js | 10 +- .../search/variables_65.js | 49 +- .../search/variables_66.js | 4 +- .../search/variables_68.js | 4 +- .../search/variables_69.js | 6 +- .../search/variables_6c.js | 6 +- .../search/variables_6d.js | 6 +- .../search/variables_6f.js | 8 +- .../search/variables_70.js | 14 +- .../search/variables_71.js | 4 +- .../search/variables_72.js | 34 +- .../search/variables_73.js | 24 +- .../search/variables_74.js | 36 +- .../search/variables_75.js | 2 +- .../search/variables_77.js | 4 +- .../search/variables_78.js | 4 +- .../search/variables_79.js | 4 +- docs/MCUXpresso SDK ChangeLog_LPC804.pdf | Bin 163685 -> 163833 bytes ...so SDK Release Notes for LPCXpresso804.pdf | Bin 152573 -> 152550 bytes tools/cmake_toolchain_files/mcux_config.cmake | 5 +- 625 files changed, 15553 insertions(+), 9724 deletions(-) rename LPC804_manifest_v3_13.xml => LPC804_manifest_v3_14.xml (70%) mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/hello_world/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/hello_world/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/hello_world/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/hello_world/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/hello_world/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/hello_world/armgcc/flags.cmake mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/hello_world/hello_world.bin rename boards/lpcxpresso804/demo_apps/hello_world/{hello_world_v3_13.xml => hello_world_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/led_blinky/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/led_blinky/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/led_blinky/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/led_blinky/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/led_blinky/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/led_blinky/armgcc/flags.cmake mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/led_blinky/led_blinky.bin rename boards/lpcxpresso804/demo_apps/led_blinky/{led_blinky_v3_13.xml => led_blinky_v3_14.xml} (100%) create mode 100644 boards/lpcxpresso804/demo_apps/new_project/armgcc/CMakeLists.txt create mode 100644 boards/lpcxpresso804/demo_apps/new_project/armgcc/LPC804_flash.ld create mode 100644 boards/lpcxpresso804/demo_apps/new_project/armgcc/build_all.bat create mode 100644 boards/lpcxpresso804/demo_apps/new_project/armgcc/build_all.sh create mode 100644 boards/lpcxpresso804/demo_apps/new_project/armgcc/build_debug.bat create mode 100644 boards/lpcxpresso804/demo_apps/new_project/armgcc/build_debug.sh create mode 100644 boards/lpcxpresso804/demo_apps/new_project/armgcc/build_release.bat create mode 100644 boards/lpcxpresso804/demo_apps/new_project/armgcc/build_release.sh create mode 100644 boards/lpcxpresso804/demo_apps/new_project/armgcc/clean.bat create mode 100644 boards/lpcxpresso804/demo_apps/new_project/armgcc/clean.sh create mode 100644 boards/lpcxpresso804/demo_apps/new_project/armgcc/config.cmake create mode 100644 boards/lpcxpresso804/demo_apps/new_project/armgcc/flags.cmake create mode 100644 boards/lpcxpresso804/demo_apps/new_project/board.c create mode 100644 boards/lpcxpresso804/demo_apps/new_project/board.h create mode 100644 boards/lpcxpresso804/demo_apps/new_project/clock_config.c create mode 100644 boards/lpcxpresso804/demo_apps/new_project/clock_config.h create mode 100644 boards/lpcxpresso804/demo_apps/new_project/main.c create mode 100644 boards/lpcxpresso804/demo_apps/new_project/new_project.mex create mode 100644 boards/lpcxpresso804/demo_apps/new_project/new_project_v3_14.xml create mode 100644 boards/lpcxpresso804/demo_apps/new_project/peripherals.c create mode 100644 boards/lpcxpresso804/demo_apps/new_project/peripherals.h create mode 100644 boards/lpcxpresso804/demo_apps/new_project/pin_mux.c create mode 100644 boards/lpcxpresso804/demo_apps/new_project/pin_mux.h create mode 100644 boards/lpcxpresso804/demo_apps/new_project/readme.md mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/flags.cmake mode change 100755 => 100644 boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/power_mode_switch_lpc.bin rename boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/{power_mode_switch_lpc_v3_13.xml => power_mode_switch_lpc_v3_14.xml} (100%) rename boards/lpcxpresso804/driver_examples/acomp/acomp_basic/{acomp_basic_v3_13.xml => acomp_basic_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/acomp/acomp_basic/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/acomp/acomp_basic/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/acomp/acomp_basic/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/acomp/acomp_basic/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/acomp/acomp_basic/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/acomp/acomp_basic/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/acomp/acomp_interrupt/{acomp_interrupt_v3_13.xml => acomp_interrupt_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/acomp/acomp_interrupt/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/acomp/acomp_interrupt/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/acomp/acomp_interrupt/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/acomp/acomp_interrupt/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/acomp/acomp_interrupt/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/acomp/acomp_interrupt/armgcc/flags.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/adc/lpc_adc_basic/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/adc/lpc_adc_basic/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/adc/lpc_adc_basic/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/adc/lpc_adc_basic/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/adc/lpc_adc_basic/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/adc/lpc_adc_basic/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/adc/lpc_adc_basic/{lpc_adc_basic_v3_13.xml => lpc_adc_basic_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/adc/lpc_adc_burst/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/adc/lpc_adc_burst/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/adc/lpc_adc_burst/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/adc/lpc_adc_burst/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/adc/lpc_adc_burst/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/adc/lpc_adc_burst/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/adc/lpc_adc_burst/{lpc_adc_burst_v3_13.xml => lpc_adc_burst_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/adc/lpc_adc_interrupt/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/adc/lpc_adc_interrupt/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/adc/lpc_adc_interrupt/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/adc/lpc_adc_interrupt/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/adc/lpc_adc_interrupt/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/adc/lpc_adc_interrupt/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/adc/lpc_adc_interrupt/{lpc_adc_interrupt_v3_13.xml => lpc_adc_interrupt_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/bod/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/bod/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/bod/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/bod/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/bod/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/bod/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/bod/{lpc_bod_v3_13.xml => lpc_bod_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_acomp/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_acomp/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_acomp/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_acomp/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_acomp/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_acomp/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/capt/capt_acomp/{capt_acomp_v3_13.xml => capt_acomp_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_acomp_continuous/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_acomp_continuous/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_acomp_continuous/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_acomp_continuous/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_acomp_continuous/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_acomp_continuous/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/capt/capt_acomp_continuous/{capt_acomp_continuous_v3_13.xml => capt_acomp_continuous_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_basic/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_basic/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_basic/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_basic/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_basic/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_basic/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/capt/capt_basic/{capt_basic_v3_13.xml => capt_basic_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_basic_continuous/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_basic_continuous/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_basic_continuous/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_basic_continuous/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_basic_continuous/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/capt/capt_basic_continuous/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/capt/capt_basic_continuous/{capt_basic_continuous_v3_13.xml => capt_basic_continuous_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/crc/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/crc/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/crc/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/crc/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/crc/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/crc/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/crc/{crc_v3_13.xml => crc_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_match/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_match/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_match/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_match/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_match/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_match/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/ctimer/simple_match/{ctimer_match_example_v3_13.xml => ctimer_match_example_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_match_interrupt/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_match_interrupt/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_match_interrupt/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_match_interrupt/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_match_interrupt/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_match_interrupt/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/ctimer/simple_match_interrupt/{ctimer_match_interrupt_example_v3_13.xml => ctimer_match_interrupt_example_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_pwm/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_pwm/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_pwm/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_pwm/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_pwm/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_pwm/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/ctimer/simple_pwm/{ctimer_pwm_example_v3_13.xml => ctimer_pwm_example_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_pwm_interrupt/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_pwm_interrupt/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_pwm_interrupt/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_pwm_interrupt/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_pwm_interrupt/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/ctimer/simple_pwm_interrupt/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/ctimer/simple_pwm_interrupt/{ctimer_pwm_interrupt_example_v3_13.xml => ctimer_pwm_interrupt_example_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/dac/lpc_dac_basic/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/dac/lpc_dac_basic/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/dac/lpc_dac_basic/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/dac/lpc_dac_basic/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/dac/lpc_dac_basic/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/dac/lpc_dac_basic/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/dac/lpc_dac_basic/{lpc_dac_basic_v3_13.xml => lpc_dac_basic_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/dac/lpc_dac_interrupt/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/dac/lpc_dac_interrupt/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/dac/lpc_dac_interrupt/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/dac/lpc_dac_interrupt/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/dac/lpc_dac_interrupt/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/dac/lpc_dac_interrupt/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/dac/lpc_dac_interrupt/{lpc_dac_interrupt_v3_13.xml => lpc_dac_interrupt_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/gpio/led_output/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/gpio/led_output/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/gpio/led_output/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/gpio/led_output/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/gpio/led_output/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/gpio/led_output/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/gpio/led_output/{gpio_led_output_v3_13.xml => gpio_led_output_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/interrupt_b2b_transfer/master/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/interrupt_b2b_transfer/master/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/interrupt_b2b_transfer/master/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/interrupt_b2b_transfer/master/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/interrupt_b2b_transfer/master/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/interrupt_b2b_transfer/master/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/i2c/interrupt_b2b_transfer/master/{lpc_i2c_interrupt_b2b_transfer_master_v3_13.xml => lpc_i2c_interrupt_b2b_transfer_master_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/interrupt_b2b_transfer/slave/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/interrupt_b2b_transfer/slave/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/interrupt_b2b_transfer/slave/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/interrupt_b2b_transfer/slave/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/interrupt_b2b_transfer/slave/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/interrupt_b2b_transfer/slave/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/i2c/interrupt_b2b_transfer/slave/{lpc_i2c_interrupt_b2b_transfer_slave_v3_13.xml => lpc_i2c_interrupt_b2b_transfer_slave_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/polling_b2b/master/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/polling_b2b/master/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/polling_b2b/master/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/polling_b2b/master/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/polling_b2b/master/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/polling_b2b/master/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/i2c/polling_b2b/master/{lpc_i2c_polling_b2b_master_v3_13.xml => lpc_i2c_polling_b2b_master_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/polling_b2b/slave/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/polling_b2b/slave/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/polling_b2b/slave/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/polling_b2b/slave/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/polling_b2b/slave/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/i2c/polling_b2b/slave/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/i2c/polling_b2b/slave/{lpc_i2c_polling_b2b_slave_v3_13.xml => lpc_i2c_polling_b2b_slave_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/iap/iap_basic/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/iap/iap_basic/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/iap/iap_basic/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/iap/iap_basic/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/iap/iap_basic/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/iap/iap_basic/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/iap/iap_basic/{iap_basic_v3_13.xml => iap_basic_v3_14.xml} (99%) rename boards/lpcxpresso804/driver_examples/iap/iap_basic/{readme.txt => readme.md} (96%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/iap/iap_flash/{iap_flash_v3_13.xml => iap_flash_v3_14.xml} (99%) rename boards/lpcxpresso804/driver_examples/iap/iap_flash/{readme.txt => readme.md} (96%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/mrt/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/mrt/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/mrt/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/mrt/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/mrt/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/mrt/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/mrt/{mrt_example_v3_13.xml => mrt_example_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/pint/pattern_match/{pint_pattern_match_v3_13.xml => pint_pattern_match_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/pint/pin_interrupt/{pint_pin_interrupt_v3_13.xml => pint_pin_interrupt_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/plu/combination/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/plu/combination/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/plu/combination/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/plu/combination/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/plu/combination/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/plu/combination/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/plu/combination/{plu_combination_v3_13.xml => plu_combination_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/spi/interrupt/master/{spi_interrupt_master_v3_13.xml => spi_interrupt_master_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/spi/interrupt/slave/{spi_interrupt_slave_v3_13.xml => spi_interrupt_slave_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/spi/polling/master/{spi_polling_master_v3_13.xml => spi_polling_master_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/spi/polling/slave/{spi_polling_slave_v3_13.xml => spi_polling_slave_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/{spi_transfer_interrupt_master_v3_13.xml => spi_transfer_interrupt_master_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/{spi_transfer_interrupt_slave_v3_13.xml => spi_transfer_interrupt_slave_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/polling/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/polling/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/polling/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/polling/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/polling/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/polling/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/usart/polling/{usart_polling_example_v3_13.xml => usart_polling_example_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/usart/terminal/{usart_terminal_v3_13.xml => usart_terminal_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/{usart_transfer_interrupt_v3_13.xml => usart_transfer_interrupt_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/{usart_transfer_ring_buffer_v3_13.xml => usart_transfer_ring_buffer_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/{usart_transfer_sync_b2b_master_v3_13.xml => usart_transfer_sync_b2b_master_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/{usart_transfer_sync_b2b_slave_v3_13.xml => usart_transfer_sync_b2b_slave_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/wkt/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/wkt/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/wkt/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/wkt/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/wkt/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/wkt/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/wkt/{wkt_example_v3_13.xml => wkt_example_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/wwdt/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/wwdt/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/wwdt/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/wwdt/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/wwdt/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/driver_examples/wwdt/armgcc/flags.cmake rename boards/lpcxpresso804/driver_examples/wwdt/{wwdt_example_v3_13.xml => wwdt_example_v3_14.xml} (100%) mode change 100755 => 100644 boards/lpcxpresso804/touch_examples/capt_key/armgcc/build_all.sh mode change 100755 => 100644 boards/lpcxpresso804/touch_examples/capt_key/armgcc/build_debug.sh mode change 100755 => 100644 boards/lpcxpresso804/touch_examples/capt_key/armgcc/build_release.sh mode change 100755 => 100644 boards/lpcxpresso804/touch_examples/capt_key/armgcc/clean.sh mode change 100755 => 100644 boards/lpcxpresso804/touch_examples/capt_key/armgcc/config.cmake mode change 100755 => 100644 boards/lpcxpresso804/touch_examples/capt_key/armgcc/flags.cmake rename boards/lpcxpresso804/touch_examples/capt_key/{capt_key_v3_13.xml => capt_key_v3_14.xml} (100%) mode change 100755 => 100644 devices/LPC804/drivers/fsl_iap.c delete mode 100644 devices/LPC804/project_template/board.c delete mode 100644 devices/LPC804/project_template/board.h create mode 100644 devices/LPC804/utilities/debug_console_lite/fsl_assert.h create mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex7.js delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_61.js delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_63.js delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_64.js delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_67.js delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_69.html delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_69.js delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_6d.html delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_6d.js delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_73.html delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_73.js delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_75.html delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_75.js delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_77.html delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_77.js delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_61.html delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_61.js delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_64.html delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_64.js delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_67.html delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_67.js delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_69.html delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_69.js delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_6d.html delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_6d.js delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_70.html delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_70.js delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_73.html delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_73.js delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_75.html delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_75.js delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_77.html delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_77.js rename docs/MCUXpresso SDK API Reference Manual_LPC804/search/{classes_61.html => typedefs_61.html} (93%) create mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_61.js rename docs/MCUXpresso SDK API Reference Manual_LPC804/search/{classes_63.html => typedefs_63.html} (93%) create mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_63.js rename docs/MCUXpresso SDK API Reference Manual_LPC804/search/{classes_64.html => typedefs_64.html} (93%) create mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_64.js rename docs/MCUXpresso SDK API Reference Manual_LPC804/search/{classes_67.html => typedefs_67.html} (93%) create mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_67.js create mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_6d.html create mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_6d.js create mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_77.html create mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_77.js diff --git a/CMSIS/CMSIS_v3.yml b/CMSIS/CMSIS_v3.yml index 41284b7..b1a7869 100644 --- a/CMSIS/CMSIS_v3.yml +++ b/CMSIS/CMSIS_v3.yml @@ -2153,8 +2153,13 @@ set.CMSIS_DSP_Lib: scr: - scr.CMSIS cmsis_pack: + external_pack: true vendor: ARM - supported: false + pack_root: + pack_type: SWP + pack_name: CMSIS_DSP + pack_version: 1.0.0 + pack_url: http://www.keil.com/pack/ kex_package: kex_web_ui: ui_category: CMSIS DSP Lib @@ -2175,7 +2180,7 @@ scr.CMSIS: Description: Vendor-independent hardware abstraction layer for microcontrollers that are based on Arm Cortex processors, distributed by ARM. cores Location: CMSIS/ - Origin: NXP (Apache License 2.0) + Origin: ARM (Apache-2.0) - https://github.com/ARM-software/CMSIS_5/releases/tag/5.8.0 license.CMSIS: section-type: license @@ -2185,4 +2190,4 @@ license.CMSIS: files: - source: LICENSE.txt section_info: - Outgoing License: Apache License 2.0 \ No newline at end of file + Outgoing License: Apache License 2.0 diff --git a/COPYING-BSD-3 b/COPYING-BSD-3 index de461d9..76727b5 100644 --- a/COPYING-BSD-3 +++ b/COPYING-BSD-3 @@ -24,10 +24,3 @@ SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE -SOFTWARE. diff --git a/LA_OPT_NXP_Software_License.txt b/LA_OPT_NXP_Software_License.txt index 1a01b45..47db1de 100644 --- a/LA_OPT_NXP_Software_License.txt +++ b/LA_OPT_NXP_Software_License.txt @@ -1,2 +1,213 @@ -LA_OPT_NXP_Software_License v45 May 2023  IMPORTANT.  Read the following NXP Software License Agreement (“Agreement”) completely. By selecting the “I Accept” button at the end of this page, or by downloading, installing, or using the Licensed Software, you indicate that you accept the terms of the Agreement, and you acknowledge that you have the authority, for yourself or on behalf of your company, to bind your company to these terms. You may then download or install the file. In the event of a conflict between the terms of this Agreement and any license terms and conditions for NXP’s proprietary software embedded anywhere in the Licensed Software file, the terms of this Agreement shall control.  If a separate license agreement for the Licensed Software has been signed by you and NXP, then that agreement shall govern your use of the Licensed Software and shall supersede this Agreement. NXP SOFTWARE LICENSE AGREEMENT This is a legal agreement between your employer, of which you are an authorized representative, or, if you have no employer, you as an individual (“you” or “Licensee”), and NXP B.V. (“NXP”).  It concerns your rights to use the software provided to you in binary or source code form and any accompanying written materials (the “Licensed Software”). The Licensed Software may include any updates or error corrections or documentation relating to the Licensed Software provided to you by NXP under this Agreement. In consideration for NXP allowing you to access the Licensed Software, you are agreeing to be bound by the terms of this Agreement. If you do not agree to all of the terms of this Agreement, do not download or install the Licensed Software. If you change your mind later, stop using the Licensed Software and delete all copies of the Licensed Software in your possession or control. Any copies of the Licensed Software that you have already distributed, where permitted, and do not destroy will continue to be governed by this Agreement. Your prior use will also continue to be governed by this Agreement. 1.       DEFINITIONS 1.1.         “Affiliate” means, with respect to a party, any corporation or other legal entity that now or hereafter Controls, is Controlled by or is under common Control with such party; where “Control” means the direct or indirect ownership of greater than fifty percent (50%) of the shares or similar interests entitled to vote for the election of directors or other persons performing similar functions. An entity is considered an Affiliate only so long as such Control exists. 1.2 “Authorized System” means either (i) Licensee’s hardware product which incorporates an NXP Product or (ii) Licensee’s software program which is used exclusively in connection with an NXP Product and with which the Licensed Software will be integrated.       1.3. “Derivative Work” means a work based upon one or more pre-existing works. A work consisting of editorial revisions, annotations, elaborations, or other modifications which, as a whole, represent an original work of authorship, is a Derivative Work.         1.4 “Intellectual Property Rights” means any and all rights under statute, common law or equity in and under copyrights, trade secrets, and patents (including utility models), and analogous rights throughout the world, including any applications for and the right to apply for, any of the foregoing. 1.5 “NXP Product” means a hardware product (e.g. a microprocessor, microcontroller, sensor or digital signal processor) and/or services (e.g. cloud platform services) supplied directly or indirectly from NXP or an NXP Affiliate, unless there is a product specified in the Software Content Register, in which case this definition is limited to such product. 1.6      “Software Content Register” means the documentation which may accompany the Licensed Software which identifies the contents of the Licensed Software, including but not limited to identification of any Third Party Software, if any, and may also contain other related information as whether the license in 2.3 is applicable.  1.7     “Third Party Software” means, any software included in the Licensed Software that is not NXP proprietary software, and is not open source software, and to which different license terms may apply.  2.       LICENSE GRANT.   2.1.         If you are not expressly granted the distribution license in Section 2.3 in the Software Content Register, then you are only granted the rights in Section 2.2 and not in 2.3. If you are expressly granted the distribution license in Section 2.3 in the Software Content Register, then you are granted the rights in both Section 2.2 and 2.3. 2.2. Standard License. Subject to the terms and conditions of this Agreement, NXP grants you a worldwide, personal, non-transferable, non-exclusive, non-sublicensable license, solely for the development of an Authorized System: (a) to use and reproduce the Licensed Software (and its Derivative Works prepared under the license in Section 2.2(b)) solely in combination with a NXP Product; and (b) for Licensed Software provided to you in source code form (human readable), to prepare Derivative Works of the Licensed Software solely for use in combination with a NXP Product. You may not distribute or sublicense the Licensed Software to others under the license granted in this Section 2.2.  You may demonstrate the Licensed Software to your direct customers as part of an Authorized System so long as such demonstration is directly controlled by you and without prior approval by NXP; however, to all other third parties only if NXP has provided its advance, written approval (e.g. email approval) of your demonstrating the Licensed Software to specified third parties or at specified event(s).  You may not leave the Licensed Software with a direct customer or any other third party at any time.  2.3.        Additional Distribution License. If expressly authorized in the Software Content Register, subject to the terms and conditions of this Agreement, NXP grants you a worldwide, personal, non-transferable, non-exclusive, non-sublicensable license solely in connection with your manufacturing and distribution of an Authorized System: (a) to manufacture (or have manufactured), distribute, and market the Licensed Software (and its Derivative Works prepared under the license in 2.2(b)) in object code (machine readable format) only as part of, or embedded within, Authorized Systems and not on a standalone basis solely for use in combination with a NXP Product. Notwithstanding the foregoing, those files marked as .h files (“Header files”) may be distributed in source or object code form, but only as part of, or embedded within Authorized Systems; and (b) to copy and distribute as needed, solely in connection with an Authorized System and for use in combination with a NXP Product, non-confidential NXP information provided as part of the Licensed Software for the purpose of maintaining and supporting Authorized Systems with which the Licensed Software is integrated. 2.4 Separate license grants to Third Party Software, or other terms applicable to the Licensed Software if different from those granted in this Section 2, are contained in Appendix A. The Licensed Software may be accompanied by a Software Content Register which will identify that portion of the Licensed Software, if any, that is subject to the different terms in Appendix A.  2.5.         You may use subcontractors to exercise your rights under Section 2.2 and Section 2.3, if any, so long as you have an agreement in place with the subcontractor containing confidentiality restrictions no less stringent than those contained in this Agreement. You will remain liable for your subcontractors’ adherence to the terms of this Agreement and for any and all acts and omissions of such subcontractors with respect to this Agreement and the Licensed Software. 3.       LICENSE LIMITATIONS AND RESTRICTIONS.   3.1.         The licenses granted above in Section 2 only extend to NXP Intellectual Property Rights that would be infringed by the unmodified Licensed Software prior to your preparation of any Derivative Work.    3.2.         The Licensed Software is licensed to you, not sold. Title to Licensed Software delivered hereunder remains vested in NXP or NXP’s licensor and cannot be assigned or transferred. You are expressly forbidden from selling or otherwise distributing the Licensed Software, or any portion thereof, except as expressly permitted herein. This Agreement does not grant to you any implied rights under any NXP or third party Intellectual Property Rights. 3.3.         You may not translate, reverse engineer, decompile, or disassemble the Licensed Software except to the extent applicable law specifically prohibits such restriction. You must prohibit your subcontractors or customers (if distribution is permitted) from translating, reverse engineering, decompiling, or disassembling the Licensed Software except to the extent applicable law specifically prohibits such restriction. 3.4.         You must reproduce any and all of NXP’s (or its third-party licensor’s) copyright notices and other proprietary legends on copies of Licensed Software.   3.5.         If you distribute the Licensed Software to the United States Government, then the Licensed Software is “restricted computer software” and is subject to FAR 52.227-19.    3.6.         You grant to NXP a non-exclusive, non-transferable, irrevocable, perpetual, worldwide, royalty-free, sub-licensable license under your Intellectual Property Rights to use without restriction and for any purpose any suggestion, comment or other feedback related to the Licensed Software (including, but not limited to, error corrections and bug fixes). 3.7.         You will not take or fail to take any action that could subject the Licensed Software to an Excluded License. An Excluded License means any license that requires, as a condition of use, modification or distribution of software subject to the Excluded License, that such software or other software combined and/or distributed with the software be (i) disclosed or distributed in source code form; (ii) licensed for the purpose of making Derivative Works; or (iii) redistributable at no charge.  3.8.         You may not publish or distribute reports associated with the use of the Licensed Software to anyone other than NXP. You may advise NXP of any results obtained from your use of the Licensed Software, including any problems or suggested improvements thereof, and NXP retains the right to use such results and related information in any manner it deems appropriate. 4.       OPEN SOURCE.         Open source software included in the Licensed Software is not licensed under the terms of this Agreement but is instead licensed under the terms of the applicable open source license(s), such as the BSD License, Apache License or the GNU Lesser General Public License. Your use of the open source software is subject to the terms of each applicable license. You must agree to the terms of each applicable license, or you cannot use the open source software.   5.       INTELLECTUAL PROPERTY RIGHTS.    Upon request, you must provide NXP the source code of any derivative of the Licensed Software. Unless prohibited by law, the following paragraph shall apply. Your modifications to the Licensed Software, and all intellectual property rights associated with, and title thereto, will be the property of NXP. You agree to assign all, and hereby do assign all rights, title, and interest to any such modifications to the Licensed Software to NXP and agree to provide all assistance reasonably requested by NXP to establish, preserve or enforce such right. Further, you agree to waive all moral rights relating to your modifications to the Licensed Software, including, without limitation, all rights of identification of authorship and all rights of approval, restriction, or limitation on use or subsequent modification. Notwithstanding the foregoing, you will have the license rights granted in Section 2 hereto to any such modifications made by you or your licensees. Otherwise, you agree to grant an irrevocable, worldwide, and perpetual license to NXP to make, have made, use, sell, offer to sell, import, commercialize, sublicense and reproduce your modifications or derivative works to the Licensed Software without any payment to Licensee. You agree to provide all assistance reasonably requested by NXP to establish, preserve or enforce such right. 6.       ESSENTIAL PATENTS.    NXP has no obligation to identify or obtain any license to any Intellectual Property Right of a third-party that may be necessary for use in connection with technology that is incorporated into the Authorized System (whether or not as part of the Licensed Software). 7.       TERM AND TERMINATION.   This Agreement will remain in effect unless terminated as provided in this Section. 7.1.         You may terminate this Agreement immediately upon written notice to NXP at the address provided below. 7.2.         Either party may terminate this Agreement if the other party is in default of any of the terms and conditions of this Agreement, and termination is effective if the defaulting party fails to correct such default within 30 days after written notice thereof by the non-defaulting party to the defaulting party at the address below. 7.3.         Notwithstanding the foregoing, NXP may terminate this Agreement immediately upon written notice if you: breach any of your confidentiality obligations or the license restrictions under this Agreement;  become bankrupt, insolvent, or file a petition for bankruptcy or insolvency; make an assignment for the benefit of its creditors; enter proceedings for winding up or dissolution; are dissolved; or are nationalized or become subject to the expropriation of all or substantially all of your business or assets. 7.4.         Upon termination of this Agreement, all licenses granted under Section 2 will expire. 7.5.         After termination of this Agreement by either party you will destroy all parts of Licensed Software and its Derivative Works (if any) and will provide to NXP a statement certifying the same. 7.6.         Notwithstanding the termination of this Agreement for any reason, the terms of Sections 1 and 3 through 24 will survive.   8.        SUPPORT.  NXP is not obligated to provide any support, upgrades or new releases of the Licensed Software under this Agreement. If you wish, you may contact NXP and report problems and provide suggestions regarding the Licensed Software. NXP has no obligation to respond to such a problem report or suggestion. NXP may make changes to the Licensed Software at any time, without any obligation to notify or provide updated versions of the Licensed Software to you. 9.        NO WARRANTY.  To the maximum extent permitted by law, NXP expressly disclaims any warranty for the Licensed Software. The Licensed Software is provided “AS IS”, without warranty of any kind, either express or implied, including without limitation the implied warranties of merchantability, fitness for a particular purpose, or non-infringement. You assume the entire risk arising out of the use or performance of the licensed software, or any systems you design using the licensed software (if any). 10.        INDEMNITY. You agree to fully defend and indemnify NXP from all claims, liabilities, and costs (including reasonable attorney’s fees) related to (1) your use (including your subcontractor’s or distributee’s use, if permitted) of the Licensed Software or (2) your violation of the terms and conditions of this Agreement. 11.        LIMITATION OF LIABILITY.  EXCLUDING LIABILITY FOR A BREACH OF SECTION 2 (LICENSE GRANTS), SECTION 3 (LICENSE LIMITATIONS AND RESTRICTIONS), SECTION 16 (CONFIDENTIAL INFORMATION), OR CLAIMS UNDER SECTION 10 (INDEMNITY), IN NO EVENT WILL EITHER PARTY BE LIABLE, WHETHER IN CONTRACT, TORT, OR OTHERWISE, FOR ANY INCIDENTAL, SPECIAL, INDIRECT, CONSEQUENTIAL OR PUNITIVE DAMAGES, INCLUDING, BUT NOT LIMITED TO, DAMAGES FOR ANY LOSS OF USE, LOSS OF TIME, INCONVENIENCE, COMMERCIAL LOSS, OR LOST PROFITS, SAVINGS, OR REVENUES, TO THE FULL EXTENT SUCH MAY BE DISCLAIMED BY LAW. NXP’S TOTAL LIABILITY FOR ALL COSTS, DAMAGES, CLAIMS, OR LOSSES WHATSOEVER ARISING OUT OF OR IN CONNECTION WITH THIS AGREEMENT OR PRODUCT(S) SUPPLIED UNDER THIS AGREEMENT IS LIMITED TO THE AGGREGATE AMOUNT PAID BY YOU TO NXP IN CONNECTION WITH THE LICENSED SOFTWARE PROVIDED UNDER THIS AGREEMENT TO WHICH LOSSES OR DAMAGES ARE CLAIMED. 12.        EXPORT COMPLIANCE. Each party shall comply with all applicable export and import control laws and regulations including but not limited to the US Export Administration Regulation (including restrictions on certain military end uses and military end users as specified in Section 15 C.F.R. § 744.21 and prohibited party lists issued by other federal governments), Catch-all regulations and all national and international embargoes. Each party further agrees that it will not knowingly transfer, divert, export or re-export, directly or indirectly, any product, software, including software source code, or technology restricted by such regulations or by other applicable national regulations, received from the other party under this Agreement, or any direct product of such software or technical data to any person, firm, entity, country or destination to which such transfer, diversion, export or re-export is restricted or prohibited, without obtaining prior written authorization from the applicable competent government authorities to the extent required by those laws. 13.   GOVERNMENT CONTRACT COMPLIANCE 13.1.      If you sell Authorized Systems directly to any government or public entity, including U.S., state, local, foreign or international governments or public entities, or indirectly via a prime contractor or subcontractor of such governments or entities, NXP makes no representations, certifications, or warranties whatsoever about compliance with government or public entity acquisition statutes or regulations, including, without limitation, statutes or regulations that may relate to pricing, quality, origin or content. 13.2.      The Licensed Software has been developed at private expense and is a “Commercial Item” as defined in 48 C.F.R. Section 2.101, consisting of “Commercial Computer Software”, and/or “Commercial Computer Software Documentation,” as such terms are used in 48 C.F.R. Section 12.212 (or 48 C.F.R. Section 227.7202, as applicable) and may only be licensed to or shared with U.S. Government end users in object code form as part of, or embedded within, Authorized Systems. Any agreement pursuant to which you share the Licensed Software will include a provision that reiterates the limitations of this document and requires all sub-agreements to similarly contain such limitations.  14.        CRITICAL APPLICATIONS. In some cases, NXP may promote certain software for use in the development of, or for incorporation into, products or services (a) used in applications requiring fail-safe performance or (b) in which failure could lead to death, personal injury, or severe physical or environmental damage (these products and services are referred to as “Critical Applications”). NXP’s goal is to educate customers so that they can design their own end-product solutions to meet applicable functional safety standards and requirements. Licensee makes the ultimate design decisions regarding its products and is solely responsible for compliance with all legal, regulatory, safety, and security related requirements concerning its products, regardless of any information or support that may be provided by NXP. As such, Licensee assumes all risk related to use of the Licensed Software in Critical Applications and NXP SHALL NOT BE LIABLE FOR ANY SUCH USE IN CRITICAL APPLICATIONS BY LICENSEE. Accordingly, Licensee will indemnify and hold NXP harmless from any claims, liabilities, damages and associated costs and expenses (including attorneys’ fees) that NXP may incur related to Licensee’s incorporation of the Licensed Software in a Critical Application. 15.        CHOICE OF LAW; VENUE.  This Agreement will be governed by, construed, and enforced in accordance with the laws of The Netherlands, without regard to conflicts of laws principles, will apply to all matters relating to this Agreement or the Licensed Software, and you agree that any litigation will be subject to the exclusive jurisdiction of the courts of Amsterdam, The Netherlands. The United Nations Convention on Contracts for the International Sale of Goods will not apply to this document.  16.        CONFIDENTIAL INFORMATION.  Subject to the license grants and restrictions contained herein, you must treat the Licensed Software as confidential information and you agree to retain the Licensed Software in confidence perpetually. You may not disclose any part of the Licensed Software to anyone other than distributees in accordance with Section 2.3 and employees, or subcontractors in accordance with Section 2.5, who have a need to know of the Licensed Software and who have executed written agreements obligating them to protect such Licensed Software to at least the same degree of confidentiality as in this Agreement. You agree to use the same degree of care, but no less than a reasonable degree of care, with the Licensed Software as you do with your own confidential information. You may disclose Licensed Software to the extent required by a court or under operation of law or order provided that you notify NXP of such requirement prior to disclosure, which you only disclose the minimum of the required information, and that you allow NXP the opportunity to object to such court or other legal body requiring such disclosure. 17.       TRADEMARKS.  You are not authorized to use any NXP trademarks, brand names, or logos. 18.        ENTIRE AGREEMENT.  This Agreement constitutes the entire agreement between you and NXP regarding the subject matter of this Agreement, and supersedes all prior communications, negotiations, understandings, agreements or representations, either written or oral, if any. This Agreement may only be amended in written form, signed by you and NXP. 19.        SEVERABILITY.  If any provision of this Agreement is held for any reason to be invalid or unenforceable, then the remaining provisions of this Agreement will be unimpaired and, unless a modification or replacement of the invalid or unenforceable provision is further held to deprive you or NXP of a material benefit, in which case the Agreement will immediately terminate, the invalid or unenforceable provision will be replaced with a provision that is valid and enforceable and that comes closest to the intention underlying the invalid or unenforceable provision. 20.        NO WAIVER.  The waiver by NXP of any breach of any provision of this Agreement will not operate or be construed as a waiver of any other or a subsequent breach of the same or a different provision. 21.        AUDIT.  You will keep full, clear and accurate records with respect to your compliance with the limited license rights granted under this Agreement for three years following expiration or termination of this Agreement. NXP will have the right, either itself or through an independent certified public accountant to examine and audit, at NXP’s expense, not more than once a year, and during normal business hours, all such records that may bear upon your compliance with the limited license rights granted above. You must make prompt adjustment to compensate for any errors and/or omissions disclosed by such examination or audit. 22.        NOTICES.             All notices and communications under this Agreement will be made in writing, and will be effective when received at the following addresses:  NXP: NXP B.V. High Tech Campus 60 5656 AG Eindhoven The Netherlands ATTN: Legal Department   You: The address provided at registration will be used. 23.        RELATIONSHIP OF THE PARTIES.     The parties are independent contractors. Nothing in this Agreement will be construed to create any partnership, joint venture, or similar relationship. Neither party is authorized to bind the other to any obligations with third parties. 24.        SUCCESSION AND ASSIGNMENT.   This Agreement will be binding upon and inure to the benefit of the parties and their permitted successors and assigns.  You may not assign this Agreement, or any part of this Agreement, without the prior written approval of NXP, which approval will not be unreasonably withheld or delayed. NXP may assign this Agreement, or any part of this Agreement, in its sole discretion. 25. PRIVACY. By agreeing to this Agreement and/or utilizing the Licensed Software, Licensee consents to use of certain personal information, including but not limited to name, email address, and location, for the purpose of NXP’s internal analysis regarding future software offerings. NXP’s complete Privacy Statement can be found at: https://www.nxp.com/company/our-company/about-nxp/privacy-statement:PRIVACYPRACTICES.     - APPENDIX A Other License Grants and Restrictions: The Licensed Software may include some or all of the following software, which is either 1) Third Party Software or 2) NXP proprietary software subject to different terms than those in the Agreement. If the Software Content Register that accompanies the Licensed Software identifies any of the following Third Party Software or specific components of the NXP proprietary software, the following terms apply to the extent they deviate from the terms in the Agreement: Airbiquity Inc.: The Airbiquity software may only be used in object code and Licensee may not sublicense the Airbiquity software to any third party. Licensee’s license to use the Airbiquity software expires on June 30, 2023. Amazon: Use of the Amazon software constitutes your acceptance of the terms of the Amazon Program Materials License Agreement (including the AVS Component Schedule, if applicable), located at https://developer.amazon.com/support/legal/pml. All Amazon software is hereby designated “Amazon confidential”. With the exception of the binary library of the Amazon Wake Word Engine for “Alexa”, all Amazon software is also hereby designated as “Restricted Program Materials”. Amazon is a third-party beneficiary to this Agreement with respect to the Amazon software. Amazon Web Services, Inc.: AWS is an intended third-party beneficiary to this Agreement with respect to the Greengrass software. If you have an account with AWS that is not in good standing, you may not download, install, use or distribute the Greengrass software. You will comply with all instructions and requirements in any integration documents, guidelines, or other documentation AWS provides. The license to the Greengrass software will immediately terminate without notice if you (a) fail to comply with this Agreement or any other agreement with AWS, (b) fail to make timely payment for any AWS service, (c) fail to implement AWS updates, or (d) bring any action for intellectual property infringement against AWS or any AWS customer utilizing AWS services. Any dispute or claim relating to your use of the Greengrass software will be resolved by binding arbitration, rather than in court, except that you may assert claims in small claims court if your claims qualify. Amazon: AWS Fleetwise software must be used consistent with the terms found here: https://github.com/aws/aws-iot-fleetwise-edge/blob/main/LICENSE. Amphion Semiconductor Ltd.: Distribution of Amphion software must be a part of, or embedded within, Authorized Systems that include an Amphion Video Decoder. Apple Mfi Software Development Kit: Use of Apple Mfi Software and associated documentation is restricted to current Apple Mfi licensees in accordance with the terms of their own valid and in-effect license from Apple. Aquantia Corp.: You may use Aquantia’s API binaries solely to flash the API software to an NXP Product which mates with an Aquantia device. Argus Cyber Security: The Argus software may only be used in object code and only for evaluation and demonstration purposes. Atheros: Use of Atheros software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from Atheros. ATI (AMD): Distribution of ATI software must be a part of, or embedded within, Authorized Systems that include a ATI graphics processor core. Au-Zone Technologies: eIQ Portal, Model Tool, DeepViewRT and ModelRunner are distributed by NXP under license from Au-Zone Technologies.  Your use of the Licensed Software, examples and related documentation is subject to the following: (1)          Use of Software is limited to Authorized System only (2)          In no event may Licensee Sublicense the Software (3)          AU-ZONE TECHNOLOGIES SHALL NOT BE LIABLE FOR USE OF LICENSED SOFTWARE IN CRITICAL APPLICATIONS BY LICENSEE Broadcom Corporation: Your use of Broadcom Corporation software is restricted to Authorized Systems that incorporate a compatible integrated circuit device manufactured or sold by Broadcom. Cadence Design Systems: Use of Cadence audio codec software is limited to distribution only of one copy per single NXP Product. The license granted herein to the Cadence Design Systems HiFi aacPlus Audio Decoder software does not include a license to the AAC family of technologies which you or your customer may need to obtain. Configuration tool outputs may only be distributed by licensees of the relevant Cadence SDK and distribution is limited to distribution of one copy embedded in a single NXP Product. Your use of Cadence NatureDSP Libraries whether in source code or in binary is restricted to NXP SoC based systems or emulation enablement based on NXP SoC. CEVA D.S.P. Ltd. And CEVA Technologies Inc. (“CEVA”): The CEVA-SPF2 linear algebra, CEVA-SPF2 Neural Network Libraries, CEVA-SPF2 Core Libraries, CEVA-SPF2 OpenAMP and CEVA-SPF2 STL licensed modules are owned by CEVA and such materials may only be used in connection with an NXP product containing the S250 or S125 integrated circuits, whether or not the CEVA-SPF2 Core is physically implemented and/or enabled on such NXP product Cirque Corporation: Use of Cirque Corporation technology is limited to evaluation, demonstration, or certification testing only. Permitted distributions must be similarly limited. Further rights, including but not limited to ANY commercial distribution rights, must be obtained directly from Cirque Corporation. Coding Technologies (Dolby Labs): Use of CTS software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained from Dolby Laboratories. Coremark: Use of the Coremark benchmarking software is subject to the following terms and conditions: https://github.com/eembc/coremark/blob/main/LICENSE.md CSR: Use of Cambridge Silicon Radio, Inc. ("CSR") software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from CSR. Crank: Use of Crank Software Inc. software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from Crank Software Inc. Cypress Semiconductor Corporation: WWD RTOS source code may only be used in accordance with the Cypress IOT Community License Agreement obtained directly from Cypress Semiconductor Corporation. Elektrobit Automotive GmbH (“EB”): EB software must be used consistent with the EB License Terms and Conditions, Version 1.4 (Dec 2019) found here: https://www.elektrobit.com/legal-notice/ .  Licensee is only granted an evaluation license for the EB software, defined as license to use the EB software internally for own evaluation purposes, limited to three (3) months. Production deployment of the EB software using this license is prohibited. See additionally Section 2.1.1 EB EULA. Embedded Systems Academy GmbH (EmSA): Any use of Micro CANopen Plus is subject to the acceptance of the license conditions described in the LICENSE.INFO file distributed with all example projects and in the documentation and the additional clause described below. Clause 1: Micro CANopen Plus may not be used for any competitive or comparative purpose, including the publication of any form of run time or compile time metric, without the express permission of EmSA. Fenopix Technologies Private Limited: Under no circumstances may the CanvasJS software product be used in any way that would compete with any product from Fenopix.  License to the CanvasJS software will terminate immediately without notice if Licensee fail to comply with any provision of this Agreement. Fraunhofer IIS: Fraunhofer MPEG Audio Decoder (Fraunhofer copyright) - If you are provided MPEG-H decoding functionality, you understand that NXP will provide Fraunhofer your name and contact information. Future Technology Devices International Ltd.: Future Technology Devices International software must be used consistent with the terms found here: http://www.ftdichip.com/Drivers/FTDriverLicenceTerms.htm Global Locate (Broadcom Corporation): Use of Global Locate, Inc. software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained from Global Locate. IAR Systems: Use of IAR flashloader or any IAR source code is subject to the terms of the IAR Source License located within the IAR zip package. The IAR Source License applies to linker command files, example projects unless another license is explicitly stated, the cstartup code, low_level_init.c, and some other low-level runtime library files. LC3plus: the LC3plus Low Complexity Communication Codec Plus (LC3plus) per ETSI TS 103 634 V1.3.1, is subject to ETSI Intellectual Property Rights Policy, See https://portal.etsi.org/directives/45_directives_jun_2022.pdf. For application in an End Product, Fraunhofer communication applies, see https://www.iis.fraunhofer.de/en/ff/amm/communication/lc3.html Microsoft: Except for Microsoft PlayReady software, if the Licensed Software includes software owned by Microsoft Corporation ("Microsoft"), it is subject to the terms of your license with Microsoft (the "Microsoft Underlying Licensed Software") and as such, NXP grants no license to you, beyond evaluation and demonstration in connection with NXP processors, in the Microsoft Underlying Licensed Software. You must separately obtain rights beyond evaluation and demonstration in connection with the Microsoft Underlying Licensed Software from Microsoft. Microsoft does not provide support services for the components provided to you through this Agreement. If you have any questions or require technical assistance, please contact NXP. Microsoft Corporation is a third party beneficiary to this Agreement with the right to enforce the terms of this Agreement. TO THE MAXIMUM EXTENT PERMITTED BY LAW, MICROSOFT AND ITS AFFILIATES DISCLAIM ANY WARRANTIES FOR THE MICROSOFT UNDERLYING LICENSED SOFTWARE. TO THE MAXIMUM EXTENT PERMITTED BY LAW, NEITHER MICROSOFT NOR ITS AFFILIATES WILL BE LIABLE, WHETHER IN CONTRACT, TORT, OR OTHERWISE, FOR ANY DIRECT, INCIDENTAL, SPECIAL, INDIRECT, CONSEQUENTIAL OR PUNITIVE DAMAGES, INCLUDING, BUT NOT LIMITED TO, DAMAGES FOR ANY LOSS OF USE, LOSS OF TIME, INCONVENIENCE, COMMERCIAL LOSS, OR LOST PROFITS, SAVINGS, OR REVENUES, ARISING FROM THE FROM THE USE OF THE MICROSOFT UNDERLYING LICENSED SOFTWARE. With respect to the Microsoft PlayReady software, you will have the license rights granted in Section 2, provided that you may not use the Microsoft PlayReady software unless you have entered into a Microsoft PlayReady Master Agreement and license directly with Microsoft. MindTree: Notwithstanding the terms contained in Section 2.3 (a), if the Licensed Software includes proprietary software of MindTree in source code format, Licensee may make modifications and create derivative works only to the extent necessary for debugging of the Licensed Software. MM SOLUTIONS AD: Use of MM SOLUTIONS AEC (Auto Exposure Control) and AWB (Auto White Balance) software is limited to demonstration, testing, and evaluation only. In no event may Licensee distribute or sublicense the MM SOLUTIONS software. Further rights must be obtained directly from MM SOLUTIONS. MPEG LA: Use of MPEG LA audio or video codec technology is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from MPEG LA. MQX RTOS Code: MQX RTOS source code may not be re-distributed by any NXP Licensee under any circumstance, even by a signed written amendment to this Agreement. NXP Voice Software: VoiceSpot, VoiceSeeker (including AEC), and Conversa may be used for evaluation or demonstration purposes only. Any commercial distribution rights are subject to a separate royalty agreement obtained from NXP. NXP Wireless Charging Library: License to the Software is limited to use in inductive coupling or wireless charging applications Opus: Use of Opus software must be consistent with the terms of the Opus license which can be found at: http://www.opus-codec.org/license/ Oracle JRE (Java): The Oracle JRE must be used consistent with terms found here: http://java.com/license P&E Micro: P&E Software must be used consistent with the terms found here: http://www.pemicro.com/licenses/gdbserver/license_gdb.pdf Pro Design Electronic: Licensee may not modify, create derivative works based on, or copy the Pro Design software, documentation, hardware execution key or the accompanying materials. Licensee shall not use Pro Design's or any of its licensors names, logos or trademarks to market the Authorized System. Only NXP customers and distributors are permitted to further redistribute the Pro Design software and only as part of an Authorized System which contains the Pro Design software. Qualcomm Atheros, Inc.: Notwithstanding anything in this Agreement, Qualcomm Atheros, Inc. Wi-Fi software must be used strictly in accordance with the Qualcomm Atheros, Inc. Technology License Agreement that accompanies such software. Any other use is expressly prohibited. Real Networks - GStreamer Optimized Real Format Client Code implementation or OpenMax Optimized Real Format Client Code: Use of the GStreamer Optimized Real Format Client Code, or OpenMax Optimized Real Format Client code is restricted to applications in the automotive market. Licensee must be a final manufacturer in good standing with a current license with Real Networks for the commercial use and distribution of products containing the GStreamer Optimized Real Format Client Code implementation or OpenMax Optimized Real Format Client Code Real-Time Innovations, Inc.: Not withstanding anything in this Agreement, Real-Time Innovations, Inc. software must be used strictly in accordance with Real-Time Innovations, Inc.'s Automotive Software Evaluation License Agreement, available here: https://www.rti.com/hubfs/_Collateral/Services_and_Support/Automotive_Evaluation_SLA_90_dayNXP.pdf .  Any other use is expressly prohibited. RivieraWaves SAS (a member of the CEVA, Inc. family of companies): You may not use the RivieraWaves intellectual property licensed under this Agreement if you develop, market, and/or license products similar to such RivieraWaves intellectual property. Such use constitutes a breach of this Agreement. Any such use rights must be obtained directly from RivieraWaves. SanDisk Corporation: If the Licensed Software includes software developed by SanDisk Corporation ("SanDisk"), you must separately obtain the rights to reproduce and distribute this software in source code form from SanDisk. Please follow these easy steps to obtain the license and software: (1) Contact your local SanDisk sales representative to obtain the SanDisk License Agreement. (2) Sign the license agreement. Fax the signed agreement to SanDisk USA marketing department at 408-542-0403. The license will be valid when fully executed by SanDisk. (3) If you have specific questions, please send an email to sales@sandisk.com You may only use the SanDisk Corporation Licensed Software on products compatible with a SanDisk Secure Digital Card. You may not use the SanDisk Corporation Licensed Software on any memory device product. SanDisk retains all rights to any modifications or derivative works to the SanDisk Corporation Licensed Software that you may create. SEGGER Microcontroller - emWin Software: Your use of SEGGER emWin software and components is restricted for development of NXP ARM7, ARM9, Cortex-M0, Cortex-M3, Cortex-M4, Cortex-M33, Cortex-M7, and Cortex-A7 based products only. SEGGER Microcontroller - J-Link/J-Trace Software: Segger software must be used consistent with the terms found here: http://www.segger.com/jlink-software.html Synopsys/BLE Software: Your use of the Synopsys/BLE Software and related documentation is subject to the following: (1) Synopsys is third-party beneficiaries of, and thus may enforce against you, the license restrictions and confidentiality obligations in this agreement with respect to their intellectual property and proprietary information. (2) Your distribution of the Licensed Software shall subject any recipient to a written agreement at least as protective of the Licensed Software as provided in this Agreement. Synopsys/Target Compiler Technologies: Your use of the Synopsys/Target Compiler Technologies Licensed Software and related documentation is subject to the following: (1) Duration of the license for the Licensed Software is limited to 12 months, unless otherwise specified in the license file. (2) The Licensed Software is usable by one user at a time on a single designated computer, unless otherwise agreed by Synopsys. (3) Licensed Software and documentation are to be used only on a designated computer at the designated physical address provided by you on the APEX license form. (4) The Licensed Software is not sub-licensable. T2 Labs / T2 Software: As a condition to the grant of any license under this Agreement, you represent and warrant that you will comply with all licenses, agreements, rules and bylaws of the Bluetooth SIG (Special Interest Group ) applicable to the licensed software and documentation and its use which may affect when and if you may take certain actions under licenses granted hereunder. The license grant under this Agreement is conditional to you being (i) a Bluetooth SIG Associate member until such time as the specifications for the software are made public to Bluetooth SIG members of any level and (ii) thereafter a Bluetooth SIG member of any level. Notwithstanding the terms contained in Section 2.3 (a), if the licensed software includes proprietary software in source code format, you may make modifications and create derivative works only to the extent necessary for improving the performance of the source code with the NXP products or your products and for creating enhancements of such products. You may not further sublicense or otherwise distribute the source code, or any modifications or derivatives thereof as stand-alone products. You will be responsible for qualifying any modifications or derivatives with the Bluetooth SIG and any other qualifying bodies. TARA Systems: Use of TARA Systems GUI technology Embedded Wizard is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from TARA Systems. Texas Instruments: Your use of Texas Instruments Inc. WiLink8 Licensed Software is restricted to NXP SoC based systems that include a compatible connectivity device manufactured by TI. TES Electronic Solutions Germany (TES): TES 3D Surround View software and associated data and documentation may only be used for evaluation purposes and for demonstration to third parties in integrated form on a board package containing an NXP S32V234 device. Licensee may not distribute or sublicense the TES software. Your license to the TES software may be terminated at any time upon notice. Vivante: Distribution of Vivante software must be a part of, or embedded within, Authorized Systems that include a Vivante Graphics Processing Unit. \ No newline at end of file +LA_OPT_NXP_Software_License v49 September 2023 +IMPORTANT. Read the following NXP Software License Agreement ("Agreement") completely. By selecting the "I Accept" button at the end of this page, or by downloading, installing, or using the Licensed Software, you indicate that you accept the terms of the Agreement, and you acknowledge that you have the authority, for yourself or on behalf of your company, to bind your company to these terms. You may then download or install the file. In the event of a conflict between the terms of this Agreement and any license terms and conditions for NXP's proprietary software embedded anywhere in the Licensed Software file, the terms of this Agreement shall control. If a separate license agreement for the Licensed Software has been signed by you and NXP, then that agreement shall govern your use of the Licensed Software and shall supersede this Agreement. + +NXP SOFTWARE LICENSE AGREEMENT +This is a legal agreement between your employer, of which you are an authorized representative, or, if you have no employer, you as an individual ("you" or "Licensee"), and NXP B.V. ("NXP"). It concerns your rights to use the software provided to you in binary or source code form and any accompanying written materials (the "Licensed Software"). The Licensed Software may include any updates or error corrections or documentation relating to the Licensed Software provided to you by NXP under this Agreement. In consideration for NXP allowing you to access the Licensed Software, you are agreeing to be bound by the terms of this Agreement. If you do not agree to all of the terms of this Agreement, do not download or install the Licensed Software. If you change your mind later, stop using the Licensed Software and delete all copies of the Licensed Software in your possession or control. Any copies of the Licensed Software that you have already distributed, where permitted, and do not destroy will continue to be governed by this Agreement. Your prior use will also continue to be governed by this Agreement. +1. DEFINITIONS +1.1. "Affiliate" means, with respect to a party, any corporation or other legal entity that now or hereafter Controls, is Controlled by or is under common Control with such party; where "Control" means the direct or indirect ownership of greater than fifty percent (50%) of the shares or similar interests entitled to vote for the election of directors or other persons performing similar functions. An entity is considered an Affiliate only so long as such Control exists. +1.2 "Authorized System" means either (i) Licensee's hardware product which incorporates an NXP Product or (ii) Licensee's software program which is used exclusively in connection with an NXP Product and with which the Licensed Software will be integrated. +1.3. "Derivative Work" means a work based upon one or more pre-existing works. A work consisting of editorial revisions, annotations, elaborations, or other modifications which, as a whole, represent an original work of authorship, is a Derivative Work. +1.4 "Intellectual Property Rights" means any and all rights under statute, common law or equity in and under copyrights, trade secrets, and patents (including utility models), and analogous rights throughout the world, including any applications for and the right to apply for, any of the foregoing. +1.5 "NXP Product" means a hardware product (e.g. a microprocessor, microcontroller, sensor or digital signal processor) and/or services (e.g. cloud platform services) supplied directly or indirectly from NXP or an NXP Affiliate, unless there is a product specified in the Software Content Register, in which case this definition is limited to such product. +1.6 "Software Content Register" means the documentation which may accompany the Licensed Software which identifies the contents of the Licensed Software, including but not limited to identification of any Third Party Software, if any, and may also contain other related information as whether the license in 2.3 is applicable. +1.7 "Third Party Software" means, any software included in the Licensed Software that is not NXP proprietary software, and is not open source software, and to which different license terms may apply. +2. LICENSE GRANT. +2.1. If you are not expressly granted the distribution license in Section 2.3 in the Software Content Register, then you are only granted the rights in Section 2.2 and not in 2.3. If you are expressly granted the distribution license in Section 2.3 in the Software Content Register, then you are granted the rights in both Section 2.2 and 2.3. +2.2. Standard License. Subject to the terms and conditions of this Agreement, NXP grants you a worldwide, personal, non-transferable, non-exclusive, non-sublicensable license, solely for the development of an Authorized System: +(a) to use and reproduce the Licensed Software (and its Derivative Works prepared under the license in Section 2.2(b)) solely in combination with a NXP Product; and +(b) for Licensed Software provided to you in source code form (human readable), to prepare Derivative Works of the Licensed Software solely for use in combination with a NXP Product. +You may not distribute or sublicense the Licensed Software to others under the license granted in this Section 2.2. +You may demonstrate the Licensed Software to your direct customers as part of an Authorized System so long as such demonstration is directly controlled by you and without prior approval by NXP; however, to all other third parties only if NXP has provided its advance, written approval (e.g. email approval) of your demonstrating the Licensed Software to specified third parties or at specified event(s). You may not leave the Licensed Software with a direct customer or any other third party at any time. +2.3. Additional Distribution License. If expressly authorized in the Software Content Register, subject to the terms and conditions of this Agreement, NXP grants you a worldwide, personal, non-transferable, non-exclusive, non-sublicensable license solely in connection with your manufacturing and distribution of an Authorized System: +(a) to manufacture (or have manufactured), distribute, and market the Licensed Software (and its Derivative Works prepared under the license in 2.2(b)) in object code (machine readable format) only as part of, or embedded within, Authorized Systems and not on a standalone basis solely for use in combination with a NXP Product. Notwithstanding the foregoing, those files marked as .h files ("Header files") may be distributed in source or object code form, but only as part of, or embedded within Authorized Systems; and +(b) to copy and distribute as needed, solely in connection with an Authorized System and for use in combination with a NXP Product, non-confidential NXP information provided as part of the Licensed Software for the purpose of maintaining and supporting Authorized Systems with which the Licensed Software is integrated. +2.4 Separate license grants to Third Party Software, or other terms applicable to the Licensed Software if different from those granted in this Section 2, are contained in Appendix A. The Licensed Software may be accompanied by a Software Content Register which will identify that portion of the Licensed Software, if any, that is subject to the different terms in Appendix A. +2.5. You may use subcontractors to exercise your rights under Section 2.2 and Section 2.3, if any, so long as you have an agreement in place with the subcontractor containing confidentiality restrictions no less stringent than those contained in this Agreement. You will remain liable for your subcontractors' adherence to the terms of this Agreement and for any and all acts and omissions of such subcontractors with respect to this Agreement and the Licensed Software. +3. LICENSE LIMITATIONS AND RESTRICTIONS. +3.1. The licenses granted above in Section 2 only extend to NXP Intellectual Property Rights that would be infringed by the unmodified Licensed Software prior to your preparation of any Derivative Work. +3.2. The Licensed Software is licensed to you, not sold. Title to Licensed Software delivered hereunder remains vested in NXP or NXP's licensor and cannot be assigned or transferred. You are expressly forbidden from selling or otherwise distributing the Licensed Software, or any portion thereof, except as expressly permitted herein. This Agreement does not grant to you any implied rights under any NXP or third party Intellectual Property Rights. +3.3. You may not translate, reverse engineer, decompile, or disassemble the Licensed Software except to the extent applicable law specifically prohibits such restriction. You must prohibit your subcontractors or customers (if distribution is permitted) from translating, reverse engineering, decompiling, or disassembling the Licensed Software except to the extent applicable law specifically prohibits such restriction. +3.4. You must reproduce any and all of NXP's (or its third-party licensor's) copyright notices and other proprietary legends on copies of Licensed Software. +3.5. If you distribute the Licensed Software to the United States Government, then the Licensed Software is "restricted computer software" and is subject to FAR 52.227-19. +3.6. You grant to NXP a non-exclusive, non-transferable, irrevocable, perpetual, worldwide, royalty-free, sub-licensable license under your Intellectual Property Rights to use without restriction and for any purpose any suggestion, comment or other feedback related to the Licensed Software (including, but not limited to, error corrections and bug fixes). +3.7. You will not take or fail to take any action that could subject the Licensed Software to an Excluded License. An Excluded License means any license that requires, as a condition of use, modification or distribution of software subject to the Excluded License, that such software or other software combined and/or distributed with the software be (i) disclosed or distributed in source code form; (ii) licensed for the purpose of making Derivative Works; or (iii) redistributable at no charge. +3.8. You may not publish or distribute reports associated with the use of the Licensed Software to anyone other than NXP. You may advise NXP of any results obtained from your use of the Licensed Software, including any problems or suggested improvements thereof, and NXP retains the right to use such results and related information in any manner it deems appropriate. +4. OPEN SOURCE. Open source software included in the Licensed Software is not licensed under the terms of this Agreement but is instead licensed under the terms of the applicable open source license(s), such as the BSD License, Apache License or the GNU Lesser General Public License. Your use of the open source software is subject to the terms of each applicable license. You must agree to the terms of each applicable license, or you cannot use the open source software. +5. INTELLECTUAL PROPERTY RIGHTS. +Upon request, you must provide NXP the source code of any derivative of the Licensed Software. +Unless prohibited by law, the following paragraph shall apply. Your modifications to the Licensed Software, and all intellectual property rights associated with, and title thereto, will be the property of NXP. You agree to assign all, and hereby do assign all rights, title, and interest to any such modifications to the Licensed Software to NXP and agree to provide all assistance reasonably requested by NXP to establish, preserve or enforce such right. Further, you agree to waive all moral rights relating to your modifications to the Licensed Software, including, without limitation, all rights of identification of authorship and all rights of approval, restriction, or limitation on use or subsequent modification. Notwithstanding the foregoing, you will have the license rights granted in Section 2 hereto to any such modifications made by you or your licensees. +Otherwise, you agree to grant an irrevocable, worldwide, and perpetual license to NXP to make, have made, use, sell, offer to sell, import, commercialize, sublicense and reproduce your modifications or derivative works to the Licensed Software without any payment to Licensee. You agree to provide all assistance reasonably requested by NXP to establish, preserve or enforce such right. +6. ESSENTIAL PATENTS. NXP has no obligation to identify or obtain any license to any Intellectual Property Right of a third-party that may be necessary for use in connection with technology that is incorporated into the Authorized System (whether or not as part of the Licensed Software). +7. TERM AND TERMINATION. This Agreement will remain in effect unless terminated as provided in this Section. +7.1. You may terminate this Agreement immediately upon written notice to NXP at the address provided below. +7.2. Either party may terminate this Agreement if the other party is in default of any of the terms and conditions of this Agreement, and termination is effective if the defaulting party fails to correct such default within 30 days after written notice thereof by the non-defaulting party to the defaulting party at the address below. +7.3. Notwithstanding the foregoing, NXP may terminate this Agreement immediately upon written notice if you: breach any of your confidentiality obligations or the license restrictions under this Agreement; become bankrupt, insolvent, or file a petition for bankruptcy or insolvency; make an assignment for the benefit of its creditors; enter proceedings for winding up or dissolution; are dissolved; or are nationalized or become subject to the expropriation of all or substantially all of your business or assets. +7.4. Upon termination of this Agreement, all licenses granted under Section 2 will expire. +7.5. After termination of this Agreement by either party you will destroy all parts of Licensed Software and its Derivative Works (if any) and will provide to NXP a statement certifying the same. +7.6. Notwithstanding the termination of this Agreement for any reason, the terms of Sections 1 and 3 through 24 will survive. +8. SUPPORT. NXP is not obligated to provide any support, upgrades or new releases of the Licensed Software under this Agreement. If you wish, you may contact NXP and report problems and provide suggestions regarding the Licensed Software. NXP has no obligation to respond to such a problem report or suggestion. NXP may make changes to the Licensed Software at any time, without any obligation to notify or provide updated versions of the Licensed Software to you. +9. NO WARRANTY. To the maximum extent permitted by law, NXP expressly disclaims any warranty for the Licensed Software. The Licensed Software is provided "AS IS", without warranty of any kind, either express or implied, including without limitation the implied warranties of merchantability, fitness for a particular purpose, or non-infringement. You assume the entire risk arising out of the use or performance of the licensed software, or any systems you design using the licensed software (if any). +10. INDEMNITY. You agree to fully defend and indemnify NXP from all claims, liabilities, and costs (including reasonable attorney's fees) related to (1) your use (including your subcontractor's or distributee's use, if permitted) of the Licensed Software or (2) your violation of the terms and conditions of this Agreement. +11. LIMITATION OF LIABILITY. EXCLUDING LIABILITY FOR A BREACH OF SECTION 2 (LICENSE GRANTS), SECTION 3 (LICENSE LIMITATIONS AND RESTRICTIONS), SECTION 16 (CONFIDENTIAL INFORMATION), OR CLAIMS UNDER SECTION 10 (INDEMNITY), IN NO EVENT WILL EITHER PARTY BE LIABLE, WHETHER IN CONTRACT, TORT, OR OTHERWISE, FOR ANY INCIDENTAL, SPECIAL, INDIRECT, CONSEQUENTIAL OR PUNITIVE DAMAGES, INCLUDING, BUT NOT LIMITED TO, DAMAGES FOR ANY LOSS OF USE, LOSS OF TIME, INCONVENIENCE, COMMERCIAL LOSS, OR LOST PROFITS, SAVINGS, OR REVENUES, TO THE FULL EXTENT SUCH MAY BE DISCLAIMED BY LAW. NXP'S TOTAL LIABILITY FOR ALL COSTS, DAMAGES, CLAIMS, OR LOSSES WHATSOEVER ARISING OUT OF OR IN CONNECTION WITH THIS AGREEMENT OR PRODUCT(S) SUPPLIED UNDER THIS AGREEMENT IS LIMITED TO THE AGGREGATE AMOUNT PAID BY YOU TO NXP IN CONNECTION WITH THE LICENSED SOFTWARE PROVIDED UNDER THIS AGREEMENT TO WHICH LOSSES OR DAMAGES ARE CLAIMED. +12. EXPORT COMPLIANCE. Each party shall comply with all applicable export and import control laws and regulations including but not limited to the US Export Administration Regulation (including restrictions on certain military end uses and military end users as specified in Section 15 C.F.R. § 744.21 and prohibited party lists issued by other federal governments), Catch-all regulations and all national and international embargoes. Each party further agrees that it will not knowingly transfer, divert, export or re-export, directly or indirectly, any product, software, including software source code, or technology restricted by such regulations or by other applicable national regulations, received from the other party under this Agreement, or any direct product of such software or technical data to any person, firm, entity, country or destination to which such transfer, diversion, export or re-export is restricted or prohibited, without obtaining prior written authorization from the applicable competent government authorities to the extent required by those laws. +13. GOVERNMENT CONTRACT COMPLIANCE +13.1. If you sell Authorized Systems directly to any government or public entity, including U.S., state, local, foreign or international governments or public entities, or indirectly via a prime contractor or subcontractor of such governments or entities, NXP makes no representations, certifications, or warranties whatsoever about compliance with government or public entity acquisition statutes or regulations, including, without limitation, statutes or regulations that may relate to pricing, quality, origin or content. +13.2. The Licensed Software has been developed at private expense and is a "Commercial Item" as defined in 48 C.F.R. Section 2.101, consisting of "Commercial Computer Software", and/or "Commercial Computer Software Documentation," as such terms are used in 48 C.F.R. Section 12.212 (or 48 C.F.R. Section 227.7202, as applicable) and may only be licensed to or shared with U.S. Government end users in object code form as part of, or embedded within, Authorized Systems. Any agreement pursuant to which you share the Licensed Software will include a provision that reiterates the limitations of this document and requires all sub-agreements to similarly contain such limitations. +14. CRITICAL APPLICATIONS. In some cases, NXP may promote certain software for use in the development of, or for incorporation into, products or services (a) used in applications requiring fail-safe performance or (b) in which failure could lead to death, personal injury, or severe physical or environmental damage (these products and services are referred to as "Critical Applications"). NXP's goal is to educate customers so that they can design their own end-product solutions to meet applicable functional safety standards and requirements. Licensee makes the ultimate design decisions regarding its products and is solely responsible for compliance with all legal, regulatory, safety, and security related requirements concerning its products, regardless of any information or support that may be provided by NXP. As such, Licensee assumes all risk related to use of the Licensed Software in Critical Applications and NXP SHALL NOT BE LIABLE FOR ANY SUCH USE IN CRITICAL APPLICATIONS BY LICENSEE. Accordingly, Licensee will indemnify and hold NXP harmless from any claims, liabilities, damages and associated costs and expenses (including attorneys' fees) that NXP may incur related to Licensee's incorporation of the Licensed Software in a Critical Application. +15. CHOICE OF LAW; VENUE. This Agreement will be governed by, construed, and enforced in accordance with the laws of The Netherlands, without regard to conflicts of laws principles, will apply to all matters relating to this Agreement or the Licensed Software, and you agree that any litigation will be subject to the exclusive jurisdiction of the courts of Amsterdam, The Netherlands. The United Nations Convention on Contracts for the International Sale of Goods will not apply to this document. +16. CONFIDENTIAL INFORMATION. Subject to the license grants and restrictions contained herein, you must treat the Licensed Software as confidential information and you agree to retain the Licensed Software in confidence perpetually. You may not disclose any part of the Licensed Software to anyone other than distributees in accordance with Section 2.3 and employees, or subcontractors in accordance with Section 2.5, who have a need to know of the Licensed Software and who have executed written agreements obligating them to protect such Licensed Software to at least the same degree of confidentiality as in this Agreement. You agree to use the same degree of care, but no less than a reasonable degree of care, with the Licensed Software as you do with your own confidential information. You may disclose Licensed Software to the extent required by a court or under operation of law or order provided that you notify NXP of such requirement prior to disclosure, which you only disclose the minimum of the required information, and that you allow NXP the opportunity to object to such court or other legal body requiring such disclosure. +17. TRADEMARKS. You are not authorized to use any NXP trademarks, brand names, or logos. +18. ENTIRE AGREEMENT. This Agreement constitutes the entire agreement between you and NXP regarding the subject matter of this Agreement, and supersedes all prior communications, negotiations, understandings, agreements or representations, either written or oral, if any. This Agreement may only be amended in written form, signed by you and NXP. +19. SEVERABILITY. If any provision of this Agreement is held for any reason to be invalid or unenforceable, then the remaining provisions of this Agreement will be unimpaired and, unless a modification or replacement of the invalid or unenforceable provision is further held to deprive you or NXP of a material benefit, in which case the Agreement will immediately terminate, the invalid or unenforceable provision will be replaced with a provision that is valid and enforceable and that comes closest to the intention underlying the invalid or unenforceable provision. +20. NO WAIVER. The waiver by NXP of any breach of any provision of this Agreement will not operate or be construed as a waiver of any other or a subsequent breach of the same or a different provision. +21. AUDIT. You will keep full, clear and accurate records with respect to your compliance with the limited license rights granted under this Agreement for three years following expiration or termination of this Agreement. NXP will have the right, either itself or through an independent certified public accountant to examine and audit, at NXP's expense, not more than once a year, and during normal business hours, all such records that may bear upon your compliance with the limited license rights granted above. You must make prompt adjustment to compensate for any errors and/or omissions disclosed by such examination or audit. +22. NOTICES. All notices and communications under this Agreement will be made in writing, and will be effective when received at the following addresses: +NXP: +NXP B.V. +High Tech Campus 60 +5656 AG Eindhoven +The Netherlands +ATTN: Legal Department + +You: +The address provided at registration will be used. + +23. RELATIONSHIP OF THE PARTIES. The parties are independent contractors. Nothing in this Agreement will be construed to create any partnership, joint venture, or similar relationship. Neither party is authorized to bind the other to any obligations with third parties. +24. SUCCESSION AND ASSIGNMENT. This Agreement will be binding upon and inure to the benefit of the parties and their permitted successors and assigns. You may not assign this Agreement, or any part of this Agreement, without the prior written approval of NXP, which approval will not be unreasonably withheld or delayed. NXP may assign this Agreement, or any part of this Agreement, in its sole discretion. +25. PRIVACY. By agreeing to this Agreement and/or utilizing the Licensed Software, Licensee consents to use of certain personal information, including but not limited to name, email address, and location, for the purpose of NXP's internal analysis regarding future software offerings. NXP's complete Privacy Statement can be found at: https://www.nxp.com/company/our-company/about-nxp/privacy-statement:PRIVACYPRACTICES. + + +  +APPENDIX A +Other License Grants and Restrictions: + +The Licensed Software may include some or all of the following software, which is either 1) Third Party Software or 2) NXP proprietary software subject to different terms than those in the Agreement. If the Software Content Register that accompanies the Licensed Software identifies any of the following Third Party Software or specific components of the NXP proprietary software, the following terms apply to the extent they deviate from the terms in the Agreement: + +AGGIOS, Inc.: EnergyLab LITE and Seed software are distributed by NXP under license from AGGIOS, Inc. Your use of AGGIOS software, as the Licensee, is subject to the following: (i) use of AGGIOS software is limited to object code and Authorized System only; (ii) Licensee may not sublicense the AGGIOS software to any third party; (iii) Licensee is only granted an evaluation license for the Seed software, defined as license to use the Seed software internally for own evaluation purposes, limited to three (3) months. Further rights including but not limited to production deployment must be obtained directly from AGGIOS, Inc. + +Airbiquity Inc.: The Airbiquity software may only be used in object code and Licensee may not sublicense the Airbiquity software to any third party. Licensee's license to use the Airbiquity software expires on June 30, 2024. + +Amazon: Use of the Amazon software constitutes your acceptance of the terms of the Amazon Program Materials License Agreement (including the AVS Component Schedule, if applicable), located at https://developer.amazon.com/support/legal/pml. All Amazon software is hereby designated "Amazon confidential". With the exception of the binary library of the Amazon Wake Word Engine for "Alexa", all Amazon software is also hereby designated as "Restricted Program Materials". Amazon is a third-party beneficiary to this Agreement with respect to the Amazon software. + +Amazon Web Services, Inc.: AWS is an intended third-party beneficiary to this Agreement with respect to the Greengrass software. If you have an account with AWS that is not in good standing, you may not download, install, use or distribute the Greengrass software. You will comply with all instructions and requirements in any integration documents, guidelines, or other documentation AWS provides. The license to the Greengrass software will immediately terminate without notice if you (a) fail to comply with this Agreement or any other agreement with AWS, (b) fail to make timely payment for any AWS service, (c) fail to implement AWS updates, or (d) bring any action for intellectual property infringement against AWS or any AWS customer utilizing AWS services. Any dispute or claim relating to your use of the Greengrass software will be resolved by binding arbitration, rather than in court, except that you may assert claims in small claims court if your claims qualify. + +Amazon: AWS Fleetwise software must be used consistent with the terms found here: https://github.com/aws/aws-iot-fleetwise-edge/blob/main/LICENSE. + +Amphion Semiconductor Ltd.: Distribution of Amphion software must be a part of, or embedded within, Authorized Systems that include an Amphion Video Decoder. + +Apple MFi Software Development Kit: Use of Apple MFi Software and associated documentation is restricted to current Apple MFi licensees in accordance with the terms of their own valid and in-effect license from Apple. + +Aquantia Corp.: You may use Aquantia's API binaries solely to flash the API software to an NXP Product which mates with an Aquantia device. + +Argus Cyber Security: The Argus software may only be used in object code and only for evaluation and demonstration purposes. + +Arm Toolkit: This tool is owned by Arm Limited. You may not reverse engineer, decompile or dissemble any ARM Toolkit. You agree to abide by any third-party IP requirements, including the relevant license terms where applicable, where such third-party IP is identified in the documentation provided with the ARM Toolkit. You may not copy the Arm Toolkit except solely for archival and backup purposes provided all notices are preserved. Arm disclaims any and all liability related to your use of the ARM Toolkit. + + +Atheros: Use of Atheros software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from Atheros. + +ATI (AMD): Distribution of ATI software must be a part of, or embedded within, Authorized Systems that include a ATI graphics processor core. + +Au-Zone Technologies: eIQ Portal, Model Tool, DeepViewRT and ModelRunner are distributed by NXP under license from Au-Zone Technologies. Your use of the Licensed Software, examples and related documentation is subject to the following: +(1) Use of Software is limited to Authorized System only +(2) In no event may Licensee Sublicense the Software +(3) AU-ZONE TECHNOLOGIES SHALL NOT BE LIABLE FOR USE OF LICENSED SOFTWARE IN CRITICAL APPLICATIONS BY LICENSEE + + +Broadcom Corporation: Your use of Broadcom Corporation software is restricted to Authorized Systems that incorporate a compatible integrated circuit device manufactured or sold by Broadcom. + +Cadence Design Systems: Use of Cadence audio codec software is limited to distribution only of one copy per single NXP Product. The license granted herein to the Cadence Design Systems HiFi aacPlus Audio Decoder software does not include a license to the AAC family of technologies which you or your customer may need to obtain. Configuration tool outputs may only be distributed by licensees of the relevant Cadence SDK and distribution is limited to distribution of one copy embedded in a single NXP Product. Your use of Cadence NatureDSP Libraries whether in source code or in binary is restricted to NXP SoC based systems or emulation enablement based on NXP SoC. + +CEVA D.S.P. Ltd. And CEVA Technologies Inc. ("CEVA"): The CEVA-SPF2 linear algebra, CEVA-SPF2 Neural Network Libraries, CEVA-SPF2 Core Libraries, CEVA-SPF2 OpenAMP and CEVA-SPF2 STL licensed modules are owned by CEVA and such materials may only be used in connection with an NXP product containing the S250 or S125 integrated circuits, whether or not the CEVA-SPF2 Core is physically implemented and/or enabled on such NXP product + +Cirque Corporation: Use of Cirque Corporation technology is limited to evaluation, demonstration, or certification testing only. Permitted distributions must be similarly limited. Further rights, including but not limited to ANY commercial distribution rights, must be obtained directly from Cirque Corporation. + +Coding Technologies (Dolby Labs): Use of CTS software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained from Dolby Laboratories. + +Coremark: Use of the Coremark benchmarking software is subject to the following terms and conditions: https://github.com/eembc/coremark/blob/main/LICENSE.md + +CSR: Use of Cambridge Silicon Radio, Inc. ("CSR") software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from CSR. + +Crank: Use of Crank Software Inc. software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from Crank Software Inc. + +Cypress Semiconductor Corporation: WWD RTOS source code may only be used in accordance with the Cypress IOT Community License Agreement obtained directly from Cypress Semiconductor Corporation. + +Elektrobit Automotive GmbH ("EB"): EB software must be used consistent with the EB License Terms and Conditions, Version 1.4 (Dec 2019) found here: https://www.elektrobit.com/legal-notice/ . Licensee is only granted an evaluation license for the EB software, defined as license to use the EB software internally for own evaluation purposes, limited to three (3) months. Production deployment of the EB software using this license is prohibited. See additionally Section 2.1.1 EB EULA. + +Embedded Systems Academy GmbH (EmSA): Any use of Micro CANopen Plus is subject to the acceptance of the license conditions described in the LICENSE.INFO file distributed with all example projects and in the documentation and the additional clause described below. +Clause 1: Micro CANopen Plus may not be used for any competitive or comparative purpose, including the publication of any form of run time or compile time metric, without the express permission of EmSA. + +Fenopix Technologies Private Limited: Under no circumstances may the CanvasJS software product be used in any way that would compete with any product from Fenopix. License to the CanvasJS software will terminate immediately without notice if Licensee fail to comply with any provision of this Agreement. + +Fraunhofer IIS: Fraunhofer MPEG Audio Decoder (Fraunhofer copyright) - If you are provided MPEG-H decoding functionality, you understand that NXP will provide Fraunhofer your name and contact information. + +Future Technology Devices International Ltd.: Future Technology Devices International software must be used consistent with the terms found here: http://www.ftdichip.com/Drivers/FTDriverLicenceTerms.htm + +Global Locate (Broadcom Corporation): Use of Global Locate, Inc. software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained from Global Locate. + +IAR Systems: Use of IAR flashloader or any IAR source code is subject to the terms of the IAR Source License located within the IAR zip package. The IAR Source License applies to linker command files, example projects unless another license is explicitly stated, the cstartup code, low_level_init.c, and some other low-level runtime library files. + +LC3plus: the LC3plus Low Complexity Communication Codec Plus (LC3plus) per ETSI TS 103 634 V1.3.1, is subject to ETSI Intellectual Property Rights Policy, See https://portal.etsi.org/directives/45_directives_jun_2022.pdf. For application in an End Product, Fraunhofer communication applies, see https://www.iis.fraunhofer.de/en/ff/amm/communication/lc3.html + +Microsoft: Except for Microsoft PlayReady software, if the Licensed Software includes software owned by Microsoft Corporation ("Microsoft"), it is subject to the terms of your license with Microsoft (the "Microsoft Underlying Licensed Software") and as such, NXP grants no license to you, beyond evaluation and demonstration in connection with NXP processors, in the Microsoft Underlying Licensed Software. You must separately obtain rights beyond evaluation and demonstration in connection with the Microsoft Underlying Licensed Software from Microsoft. Microsoft does not provide support services for the components provided to you through this Agreement. If you have any questions or require technical assistance, please contact NXP. Microsoft Corporation is a third party beneficiary to this Agreement with the right to enforce the terms of this Agreement. TO THE MAXIMUM EXTENT PERMITTED BY LAW, MICROSOFT AND ITS AFFILIATES DISCLAIM ANY WARRANTIES FOR THE MICROSOFT UNDERLYING LICENSED SOFTWARE. TO THE MAXIMUM EXTENT PERMITTED BY LAW, NEITHER MICROSOFT NOR ITS AFFILIATES WILL BE LIABLE, WHETHER IN CONTRACT, TORT, OR OTHERWISE, FOR ANY DIRECT, INCIDENTAL, SPECIAL, INDIRECT, CONSEQUENTIAL OR PUNITIVE DAMAGES, INCLUDING, BUT NOT LIMITED TO, DAMAGES FOR ANY LOSS OF USE, LOSS OF TIME, INCONVENIENCE, COMMERCIAL LOSS, OR LOST PROFITS, SAVINGS, OR REVENUES, ARISING FROM THE FROM THE USE OF THE MICROSOFT UNDERLYING LICENSED SOFTWARE. With respect to the Microsoft PlayReady software, you will have the license rights granted in Section 2, provided that you may not use the Microsoft PlayReady software unless you have entered into a Microsoft PlayReady Master Agreement and license directly with Microsoft. + +MindTree: Notwithstanding the terms contained in Section 2.3 (a), if the Licensed Software includes proprietary software of MindTree in source code format, Licensee may make modifications and create derivative works only to the extent necessary for debugging of the Licensed Software. + +MM SOLUTIONS AD: Use of MM SOLUTIONS AEC (Auto Exposure Control) and AWB (Auto White Balance) software is limited to demonstration, testing, and evaluation only. In no event may Licensee distribute or sublicense the MM SOLUTIONS software. Further rights must be obtained directly from MM SOLUTIONS. + +MPEG LA: Use of MPEG LA audio or video codec technology is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from MPEG LA. + +MQX RTOS Code: MQX RTOS source code may not be re-distributed by any NXP Licensee under any circumstance, even by a signed written amendment to this Agreement. + +NXP Voice Software: VoiceSpot, VoiceSeeker (including AEC), VIT Speech to Intent, and Conversa may be used for evaluation or demonstration purposes only. Any commercial distribution rights are subject to a separate royalty agreement obtained from NXP. + +NXP Wireless Charging Library: License to the Software is limited to use in inductive coupling or wireless charging applications + +Opus: Use of Opus software must be consistent with the terms of the Opus license which can be found at: http://www.opus-codec.org/license/ + +Oracle JRE (Java): The Oracle JRE must be used consistent with terms found here: http://java.com/license + +P&E Micro: P&E Software must be used consistent with the terms found here: http://www.pemicro.com/licenses/gdbserver/license_gdb.pdf + +Pro Design Electronic: Licensee may not modify, create derivative works based on, or copy the Pro Design software, documentation, hardware execution key or the accompanying materials. Licensee shall not use Pro Design's or any of its licensors names, logos or trademarks to market the Authorized System. Only NXP customers and distributors are permitted to further redistribute the Pro Design software and only as part of an Authorized System which contains the Pro Design software. + +Qualcomm Atheros, Inc.: Notwithstanding anything in this Agreement, Qualcomm Atheros, Inc. Wi-Fi software must be used strictly in accordance with the Qualcomm Atheros, Inc. Technology License Agreement that accompanies such software. Any other use is expressly prohibited. + +Real Networks - GStreamer Optimized Real Format Client Code implementation or OpenMax Optimized Real Format Client Code: Use of the GStreamer Optimized Real Format Client Code, or OpenMax Optimized Real Format Client code is restricted to applications in the automotive market. Licensee must be a final manufacturer in good standing with a current license with Real Networks for the commercial use and distribution of products containing the GStreamer Optimized Real Format Client Code implementation or OpenMax Optimized Real Format Client Code + +Real-Time Innovations, Inc.: Not withstanding anything in this Agreement, Real-Time Innovations, Inc. software must be used strictly in accordance with Real-Time Innovations, Inc.'s Automotive Software Evaluation License Agreement, available here: https://www.rti.com/hubfs/_Collateral/Services_and_Support/Automotive_Evaluation_SLA_90_dayNXP.pdf . Any other use is expressly prohibited. + +RivieraWaves SAS (a member of the CEVA, Inc. family of companies): You may not use the RivieraWaves intellectual property licensed under this Agreement if you develop, market, and/or license products similar to such RivieraWaves intellectual property. Such use constitutes a breach of this Agreement. Any such use rights must be obtained directly from RivieraWaves. + +SanDisk Corporation: If the Licensed Software includes software developed by SanDisk Corporation ("SanDisk"), you must separately obtain the rights to reproduce and distribute this software in source code form from SanDisk. Please follow these easy steps to obtain the license and software: +(1) Contact your local SanDisk sales representative to obtain the SanDisk License Agreement. +(2) Sign the license agreement. Fax the signed agreement to SanDisk USA marketing department at 408-542-0403. The license will be valid when fully executed by SanDisk. +(3) If you have specific questions, please send an email to sales@sandisk.com +You may only use the SanDisk Corporation Licensed Software on products compatible with a SanDisk Secure Digital Card. You may not use the SanDisk Corporation Licensed Software on any memory device product. SanDisk retains all rights to any modifications or derivative works to the SanDisk Corporation Licensed Software that you may create. + +SEGGER Microcontroller - emWin Software: Your use of SEGGER emWin software and components is restricted for development of NXP ARM7, ARM9, Cortex-M0, Cortex-M3, Cortex-M4, Cortex-M33, Cortex-M7, and Cortex-A7 based products only. + +SEGGER Microcontroller - J-Link/J-Trace Software: Segger software must be used consistent with the terms found here: http://www.segger.com/jlink-software.html + +Synopsys/BLE Software: Your use of the Synopsys/BLE Software and related documentation is subject to the following: +(1) Synopsys is third-party beneficiaries of, and thus may enforce against you, the license restrictions and confidentiality obligations in this agreement with respect to their intellectual property and proprietary information. +(2) Your distribution of the Licensed Software shall subject any recipient to a written agreement at least as protective of the Licensed Software as provided in this Agreement. + +Synopsys/Target Compiler Technologies: Your use of the Synopsys/Target Compiler Technologies Licensed Software and related documentation is subject to the following: +(1) Duration of the license for the Licensed Software is limited to 12 months, unless otherwise specified in the license file. +(2) The Licensed Software is usable by one user at a time on a single designated computer, unless otherwise agreed by Synopsys. +(3) Licensed Software and documentation are to be used only on a designated computer at the designated physical address provided by you on the APEX license form. +(4) The Licensed Software is not sub-licensable. + +T2 Labs / T2 Software: As a condition to the grant of any license under this Agreement, you represent and warrant that you will comply with all licenses, agreements, rules and bylaws of the Bluetooth SIG (Special Interest Group ) applicable to the licensed software and documentation and its use which may affect when and if you may take certain actions under licenses granted hereunder. + +The license grant under this Agreement is conditional to you being (i) a Bluetooth SIG Associate member until such time as the specifications for the software are made public to Bluetooth SIG members of any level and (ii) thereafter a Bluetooth SIG member of any level. + +Notwithstanding the terms contained in Section 2.3 (a), if the licensed software includes proprietary software in source code format, you may make modifications and create derivative works only to the extent necessary for improving the performance of the source code with the NXP products or your products and for creating enhancements of such products. You may not further sublicense or otherwise distribute the source code, or any modifications or derivatives thereof as stand-alone products. You will be responsible for qualifying any modifications or derivatives with the Bluetooth SIG and any other qualifying bodies. +TARA Systems: Use of TARA Systems GUI technology Embedded Wizard is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from TARA Systems. + +Texas Instruments: Your use of Texas Instruments Inc. WiLink8 Licensed Software is restricted to NXP SoC based systems that include a compatible connectivity device manufactured by TI. + +TES Electronic Solutions Germany (TES): TES 3D Surround View software and associated data and documentation may only be used for evaluation purposes and for demonstration to third parties in integrated form on a board package containing an NXP S32V234 device. Licensee may not distribute or sublicense the TES software. Your license to the TES software may be terminated at any time upon notice. + +Vivante: Distribution of Vivante software must be a part of, or embedded within, Authorized Systems that include a Vivante Graphics Processing Unit. diff --git a/LPC804_manifest_v3_13.xml b/LPC804_manifest_v3_14.xml similarity index 70% rename from LPC804_manifest_v3_13.xml rename to LPC804_manifest_v3_14.xml index 302699f..ed7c23b 100644 --- a/LPC804_manifest_v3_13.xml +++ b/LPC804_manifest_v3_14.xml @@ -1,10 +1,11 @@ - - - - + + + + + @@ -30,6 +31,7 @@ + @@ -84,234 +86,239 @@ ${load} - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + + + + + + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + @@ -387,7 +394,7 @@ ${load} - + @@ -401,6 +408,8 @@ ${load} + + @@ -423,10 +432,21 @@ ${load} - + + + + + + + + + + + + - + + + + @@ -443,7 +466,10 @@ ${load} - + + + + @@ -461,6 +487,563 @@ ${load} - - + + + + + - + - + + + + - + + + + + + + - + + + + + + + - + + + + + + + + - + + + + + + + - + + + + + + + - + + + + + + + - + + + + + + + - + + + + + + + - + + + + + + + - + + + + + + + - + + + + + + + - + + + + + + + - + + + + + + + - + + + + + + + - + + + + @@ -1946,7 +2066,10 @@ ${load} - + + + + @@ -1957,7 +2080,10 @@ ${load} - + + + + @@ -1966,7 +2092,7 @@ ${load} - + @@ -1981,16 +2107,15 @@ ${load} + - - @@ -2002,32 +2127,41 @@ ${load} - + - + + + + - + - + - + - + + + + - + - + + + + @@ -2041,12 +2175,13 @@ ${load} - + + @@ -2062,11 +2197,12 @@ ${load} - + + @@ -2089,7 +2225,10 @@ ${load} - + + + + @@ -2098,361 +2237,341 @@ ${load} - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + - - + + + + + + + + + + + - - - + + + + + + - - - + + + + + + + + + + + + + + + + + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - + + + + + + - - - - - - - - - - - - - - - - + + + + - - - - + + + - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + - - - - - - - - + + + + - - - - - - - - - - - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + - + + + - - + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - - - + + + + + + + + + + + + + - + - + + + + @@ -2464,9 +2583,12 @@ ${load} - + - + + + + @@ -2478,9 +2600,12 @@ ${load} - + - + + + + @@ -2492,9 +2617,12 @@ ${load} - + - + + + + @@ -2509,11 +2637,14 @@ ${load} - + - + + + + - + - + + @@ -2658,11 +2790,12 @@ ${load} - + + @@ -2697,12 +2830,13 @@ ${load} - + + @@ -2724,9 +2858,12 @@ ${load} - + - + + + + @@ -2741,22 +2878,33 @@ ${load} - + - + + + + + + + + - + + @@ -2772,11 +2920,12 @@ ${load} - + + @@ -2792,9 +2941,12 @@ ${load} - + - + + + + @@ -2809,11 +2961,12 @@ ${load} - + + @@ -2829,9 +2982,12 @@ ${load} - + - + + + + @@ -2846,11 +3002,12 @@ ${load} - + + @@ -2866,11 +3023,12 @@ ${load} - + + @@ -2886,12 +3044,13 @@ ${load} - + + @@ -2907,11 +3066,12 @@ ${load} - + + @@ -2931,11 +3091,12 @@ ${load} - + + @@ -2949,9 +3110,12 @@ ${load} - + - + + + + @@ -2966,11 +3130,12 @@ ${load} - + + @@ -2986,9 +3151,12 @@ ${load} - + - + + + + @@ -3003,9 +3171,12 @@ ${load} - + - + + + + @@ -3020,11 +3191,12 @@ ${load} - + + @@ -3040,11 +3212,12 @@ ${load} - + + @@ -3060,7 +3233,7 @@ ${load} - + @@ -3069,6 +3242,7 @@ ${load} + @@ -3084,11 +3258,12 @@ ${load} - + + @@ -3104,9 +3279,12 @@ ${load} - + - + + + + @@ -3121,9 +3299,12 @@ ${load} - + - + + + + @@ -3138,9 +3319,12 @@ ${load} - + - + + + + @@ -3155,9 +3339,12 @@ ${load} - + - + + + + @@ -3172,9 +3359,12 @@ ${load} - + - + + + + @@ -3189,9 +3379,12 @@ ${load} - + - + + + + @@ -3206,9 +3399,12 @@ ${load} - + - + + + + @@ -3223,9 +3419,12 @@ ${load} - + - + + + + @@ -3240,9 +3439,12 @@ ${load} - + - + + + + @@ -3257,9 +3459,12 @@ ${load} - + - + + + + @@ -3271,9 +3476,12 @@ ${load} - + - + + + + @@ -3288,9 +3496,12 @@ ${load} - + - + + + + @@ -3305,9 +3516,12 @@ ${load} - + - + + + + @@ -3322,9 +3536,12 @@ ${load} - + - + + + + @@ -3339,9 +3556,12 @@ ${load} - + - + + + + @@ -3356,9 +3576,12 @@ ${load} - + - + + + + @@ -3373,11 +3596,12 @@ ${load} - + + @@ -3393,11 +3617,12 @@ ${load} - + + @@ -3413,9 +3638,12 @@ ${load} - + - + + + + @@ -3430,9 +3658,12 @@ ${load} - + - + + + + @@ -3447,10 +3678,5 @@ ${load} - - - - - diff --git a/SW-Content-Register.txt b/SW-Content-Register.txt index 194650f..7d51540 100644 --- a/SW-Content-Register.txt +++ b/SW-Content-Register.txt @@ -1,6 +1,6 @@ Release Name: MCUXpresso Software Development Kit (SDK) -Release Version: 2.14.0 -Package License: LA_OPT_NXP_Software_License.txt v45 May 2023- Additional Distribution License granted, license in Section 2.3 applies +Release Version: 2.15.000 +Package License: LA_OPT_NXP_Software_License.txt v49 September 2023- Additional Distribution License granted, license in Section 2.3 applies SDK_Peripheral_Driver Name: SDK Peripheral Driver Version: 2.x.x @@ -43,7 +43,9 @@ CMSIS Name: CMSIS based on Arm Cortex processors, distributed by ARM. cores Location: CMSIS/ - Origin: NXP (Apache License 2.0) + Origin: ARM (Apache-2.0) - + https://github.com/ARM-software/CMSIS_5/releases/t + ag/5.8.0 osa Name: OSA Version: 1.0.0 diff --git a/boards/lpcxpresso804/demo_apps/hello_world/armgcc/build_all.sh b/boards/lpcxpresso804/demo_apps/hello_world/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/demo_apps/hello_world/armgcc/build_debug.sh b/boards/lpcxpresso804/demo_apps/hello_world/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/demo_apps/hello_world/armgcc/build_release.sh b/boards/lpcxpresso804/demo_apps/hello_world/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/demo_apps/hello_world/armgcc/clean.sh b/boards/lpcxpresso804/demo_apps/hello_world/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/demo_apps/hello_world/armgcc/config.cmake b/boards/lpcxpresso804/demo_apps/hello_world/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/demo_apps/hello_world/armgcc/flags.cmake b/boards/lpcxpresso804/demo_apps/hello_world/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/demo_apps/hello_world/armgcc/flags.cmake +++ b/boards/lpcxpresso804/demo_apps/hello_world/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/demo_apps/hello_world/hello_world.bin b/boards/lpcxpresso804/demo_apps/hello_world/hello_world.bin old mode 100755 new mode 100644 index db25e6b2196c1d97997058e4389f5e160c57fd97..9628f376566c9dabca681b9f91a1ebc76b030cb1 GIT binary patch delta 2552 zcmb_ee{2)?6@TCR;q#9K8{8EqPT=AriG!JO$_N3|>Nq3g;J~Iv?NTB(lhDa95H9K3 zKquM!*jAw3622pZxJqHLsu`OIrQRkDtEsw8Wy>TV0xcX=-%&Iuv8y-SEz zP3wO<>ErLa_v_w!-|u^0ch`(p&&%7vaexI-5!czCw->D+Lu&5%6VmS^ZXs?X?jSxv ze2SPrOd$vdz#}Y(Qp9`&{VC__ksB|*vzigmmyQpOuwLfFX}XuKss8b_2z3Aj{vH&L zO%I0w%yVA^BOrWpe1&G&U|F37)fUVMXSg#Gl$O%@Tsrv&{QPX-07lqz;Trros3jOS zV($I_xGwx0rm7ZE$3bd<+;F znj%7zrTm!Viv5bqk!f;#o}MEkG)rCJv#5IUy3V67CdWk}4{s4kO3eB+{Jo2HGrkBu z^wh*JoKew>Bf2ANlpFx7;&^uf{fW8ei_ysmtvkiZ=g=mRNmW~#t(&EI0DK`S#(AI$ z3V*&A0Pql`#^{u})|th<%Z&F{vEYFt1Vkv@rK>FUjkXC{)QcbK2ItPWt=Se8p)v-A zx5lkeYg1*+8m)}!($U@hq3NJAbnIy%S`kZNK=iaFkSvaO#DPlt8BB@A5`nZc+_JZ0 z|Bf&TZc$p+?F`esXZLSeM3Hhn^T7kE<(bnj2+_SUv$>Rz3TIFToE5vP@)B z8xFsTXv5Z${W!}^3#7wE-5PBA8nO^CYUvKg`_TqiX?I;k;8rOcmdfW=*c_Fj73 zy1dMw#xoq+eW*i~2@Wf+(JFi!q?IzIv*!cH`c-%>H+|3Ku9q42A6lwIfgD4CYvQ~-I+G|LEQfUeC)JA}^ zz065C#rNsO>Z#Kb-{@xh6z5fIm0qlz>a18-@l=TGCMbP)N-96Bz*W}G^izdtxXQhX zrWDfTF}EA2;J>M+q&DVNg}utA&$lmc|E&g*-)LWc7+^e83LGrNP`c^Kk~%U;-!9q2 zE(+Dq`K4uF|Ar3UySast#rc%f9&ZC@s3Z2xec-d_h~$YoL$XJTb;K!heaJOG;7T!5 zUPgUq=&sfgZ!?i=AdY8=M?%d4Zt$;hgHu@I%k)TTFzIGU^(*1$upvnLltNSx-T6at zpK?EUFn$Pq9Ev@nRHzR@M|iF5lpp8#Yp~3wf^S~V8ZqTz8Lt|LtrbksVb^rlpt+5G zt_dx)!*Xy5}SMjUXlCdBjzI@yGZ;@$Qoi|j%+c5!F!m5Jy@cqt_&XQ;K;$u@Hj-~T4KKgOYG-R}Xqfsp&q!fq!Z8QD( zJWtYK6*BvxCUAe-4n0E{n|dn`)%*!K!JY_oVXLZt$ya-8a(Z!0Ck1PHu zh5~ESEW9O^`HuC_&C*u??{lQK%U`NOt$D=Z;VC~D z1VgLtGg`?#{)bfah#AEmbP59`eMwn%lk~$Wkd7*Fqow?gi%o;CKF1Dt^X>lfT*A-i zzU9A-R#vnU^5zlFV;baNH&G~@A9r|yzz-Uq`PcM+g1M@hM;O#DXribW8?cVQ)5v3b zu|BCAC7w{(G~2ti>}PIfpca^h_1aoUjll9^t`QH%%lAwG-9}*co6t{Y1G{;wd~2B> ze&uf63f>QJb4su-#ToYky4SP-S~5D;Y$di)^=`!r^KniY+uak3fc;G&gH^j?B0YMM z==A7(|IASk@EXIv6?iM)RfHEE7j?&HuTT;HADAWppJw!t$Usd=bLpn-?sJaU6pIQq zP_Ru{o2?Hxyqd^ff{$DKHjswDYjf0H?IRo?2LAS71#F#u1yo0Nga#Ls(P5MLs9t>S zULBb5Uek+b?yV^A3yZ-oT}y{s!dt>rR>F&+1=mGzK?#mtK-+!!w(vQ3b{)p~-gC54 zC?j=rmEa?3x=mPYe`gHuO$m+@8VZANl>R{ItQ^qJDwjtOYD!p?pekLC8a?DV@w_LK zxAXB0rTusQ3%mZeb;af%&#n#iZl*|AJB;HL4|Ar&^65wuK1 zfYf|xWC|ouNXPc#*p>pJF=_EQQ=Cwx(-t)_ZGXtr>6|Fc*g#wNTP{qdsX}Qbf5F#x zc$nrd=+1oR-tPPCd%Js|d$;+uy%kHR3qkmh1t{hKO1Zu(Wwvs(7F*xTvhqCkwj*{T zb|Lm6_94E5ID|NgIF5J?(T5m73?oh>et>uzG0MGrrJi{TgX-9Xl(|Lf1|Od>g~7+D zToX*g2Sk!$LOKc{7`c0v0dQd<1wK|~pIl@J>C1}Y`xbHxe?RMCqB`}m6>BSX5vl;P zM`s~x*A1G*VBT-W292=q(7kN^s(m(q^EesX{n7g#w4cT!pelQcPP1HJ%o$&f%YJ|_ zEd|(wc+mepJTK01FOa3?JV~BWnDK&3vBt{^wG@fZK=$YPDlmhHyG`Y*ONJD&KB-h+ zYgbwo8kCjQU_8s%XrH81nQyjA|HLH zsI2hUQx7;H1$%V4awB8;r*hc&KV*AzE0Dzm6L-r$@;wv2^_;!Alf4!W=0LKY#A)BZFq;!X??M4e^C>uZ{6NpQA7_VF3; z@R^YVzL;q|kY;^k*1s$MUh-s2f%joO$HaWm`%@wIV~vK7Yv#fUy3Q(YNI7?c#Cpt=dhToY7~WREhh5PQ zixVJiMr&3xh37N|t)%6y26&*yaQ+c`)Vi+Nu<|Jm^xVffl$+s*?3^se-dg&RRkFT$ zkCd}vKDe6BSf3%s=qB6xc+K4FQja@HqzFixxvt1tk�}I-6pnCMbXv@ankB#9tRM zMrxkadOAVm$Fpgh)EY8TI{_3)VP%I*s8G#Op`N7&R>81oh@pD!^!!=LRO@1gfzgCq?dH-Wa~G9z60rw5p&!o+KQQwQnddflf$rSSA?|7t$k9pFFR=6&=ACBauhs zhcXVR54Opn23u1Hio=HD=u%2#P%TXkj=u(;zh%0%+hb(?EzQ*QN5n16bn&*XZ^T`; zAijzSBTzwMw%HXein2{ZjY2$q3dc?&AZQLgaBf<3{?FHA4ibqe=y~sO5WHAdn~S2u zp`u7p)E;s~VvWQ*pCQe6wPU!glL%H4Qu-Qu@Fu(wOazZxjzfdf5{Fa$mVU&YGnau>GP>kS7Y;|L0QN=n^VXGtkwOEBwMsp-t z2OUlxtW{*v4uR?bj66*?49K5N{awSaA!P<47Qidx-<2I1<`k^4Z6u?FTEm__Cl7gm zrQhE@vAbZ8o~yklf+-#ziFfp%WImZ*>)EW5YAkp)C?)-lW)sURGlswjCO5mLN$YMZxK^+9L-&G#HWnELVb zbImt`zvo}RPNYY{L|^c?sGa_1HRgXp-RoCW<}{pPlNaS@Z?Op&2I+e;+-xn;oy-9E zpUseD1u(e4w>2yb^nK0pJDb}~&7(xJ#?R}y2K|U)9qalE^fI)~zZ|7(qyVYg|J#gV zStbNGPx(<((u!>F7udhhi1ZK5GQqhmqx^Xbh3s$gg0y1VGQr~#A5se@8L891R5c~J z1V3ubw(Hh0=q+{rNQ?Pzt2QaV*PqRB^fS#O&1s^j=WZ{M4GE1&l6s>E{AGbjd|E7Y zDPAq%dsy`fd8cGL46d?ngM!^vk-1Uf?Yg|TPI5X zRQx!MxhA(5uk70z>Ii55M-yu>FY$JpP z2qOX#L;8?ih>G+hX=Dh=unR99`ugf~A+!fRel*975T3rn>>K)NQ-cgZGrj@M>gKWy z5b1>gL4-JyO>wp2P=O*M@kWWC6A(!LxLh`J< z5?@i|YF>Vro?PXp^!LCJ>VE5{A4XfDRW=7}@B`8HV%KJ1?^g*cg&x5`OZo6?9TmTI z&6jJzk>kOl<3NdVk}iyfR+BC{lq?h{_TqZTQ3}E8R=qpFs6o0|1rC~f*P&^(p*i2) zNGF`rptyc(iT)BfGQ>?@)cRcDqS-`&43e*sWk^d;LGx~#ua(QJDOdg0AM|x$d_Z)> ze1Ac7`U}2(X6}4;XDpFD`xfs!Rw!a@bXhQ1hn=MKuAn`wrRJc@7<@wkzqM;8#0gQ} zQCu?uH4f#b7j`y14A(73?t6f4Mlk%x>A3iW8jRXi7XSOrhcpKtT1q30Gmm~E>cSg4 zB+WR_nhO11e3gvQzUXc;M>El((zt5O(yTF4tR3r|^BFxwR+Q5qzHF+@Y$D6d-1AQ9 ze|gJxH!a3tCRO)Qm&tvKH9d%4pG3gqFy&xx_P={&&LnzBDt~j*gi*H6=0*2>R>Z?6 zqg$nVDBnWkr!bk1kp~qM*=Ses0X?~s>J4AR6z^b_c`QEOL~IX82kpT+DYx5r8eYDw zcF+UQW1v{qVQDHh{buTt{G}z-MHmi>CQgadbXXeDSiFD%k$)uv^9r>9J3}p!1M42W z!f7w~t@pPxz(L^r#P)&YIa?b$c%xz;wvXD>wCp`_807$5w#FKl@#hn3$Lvd~+DjPF z?c+4Yt0Y7B@dl~T8GcXv>Ma-q9To}A+F&$jiGR2Mg7<~B)R^}yThk$3NutIAStNtE mO|tkAjYpngcks~Y+mfQNfBPkZ+3m;2CmcLLsqetF;_we*-(2Yc delta 1235 zcmcJOPiP!f7{I?bGnt+J(`MEv?9O&KI~fwQ-GfLDV&Y*sYiHA)6b({ClgkK3#$sTC z2I`?CnphHBv6~k$HKtaqLh(@lun3Z&3G~w2E_g@_;+|XziX%jK5>v--c3BYbKKSvz zH{bW(e1F%Z!{pI=H;8vxfFuWy=E^&nM`V;bs~_b}p?q;zpGN6bWCoc<=8!j$3&>l@ zJID(19#Td=K&~R2$fwBX$W89+oe}0aeB8$+R`@aHJmf>QE(7vRl^caR+$M@*i;e{# z20pwN1K!>C9KLExWj_)6r;l0^IMt9Pp6Eh%4TZw614IHuRw%CiNF|s%m;K1bYT< zX~Vm>G^m}gPw6*O&I!oF{kb~yfdZ?95@iytf?dNM=pelv&C_3^neIFHIyMCz^7m*m z_Imo0#%J2r?qWmJvkJ%?5?YkWD(SnglGTg!X6z~Y3jbn(H6#m;GgZkV!;)zimoT%8 zkuZa=hqd4CKoDQ&&Dm_qlF$5=1Zobi##8C@mG^qYV9qSNYtU$O7dLr8rGQ3EQ88Bo|ke%EiVJ!C?WSjb3OQ$hm3 z;ZZthbQym&7?d`Iy#}BMm+|g0-4~un29j6dz;FKSgUrK{me`f?G)+&0mGDmwiOd=y zwU^F>C)&2X(5)(5Nvy;zKHG}YJxPBI_s^?I_AD*1VGN z8UMYptn4+m^>z+egDs`3xW$gH7e!|s{kRfDr1F@bwr>2tx&>Y(3KLuSW>JOXID@6Q zb+r&T;}-gH7K=u(RHU@mSPnu&1RO)01RPY5gF)>w64v55Y^LgZF=)Xjz4lmII96EL zf!OIIV=t#}h+k;o8VrEj??p~W#%Ls(nYfH59j>6v!rKXo9cmYoHW}J){Eczp;9)Me hb#F`9x~AL3f6I6N^ydkdEQixdkf3s^)?MCt=^w m_interrupts + + .crp : + { + . = ALIGN(4); + KEEP(*(.crp)) /* Code Read Protection level (CRP) */ + . = ALIGN(4); + } > m_crp + + /* The program code and other data goes into internal flash */ + .text : + { + . = ALIGN(4); + *(.text) /* .text sections (code) */ + *(.text*) /* .text* sections (code) */ + *(.rodata) /* .rodata sections (constants, strings, etc.) */ + *(.rodata*) /* .rodata* sections (constants, strings, etc.) */ + *(.glue_7) /* glue arm to thumb code */ + *(.glue_7t) /* glue thumb to arm code */ + *(.eh_frame) + KEEP (*(.init)) + KEEP (*(.fini)) + . = ALIGN(4); + } > m_text + + .ARM.extab : + { + *(.ARM.extab* .gnu.linkonce.armextab.*) + } > m_text + + .ARM : + { + __exidx_start = .; + *(.ARM.exidx*) + __exidx_end = .; + } > m_text + + .ctors : + { + __CTOR_LIST__ = .; + /* gcc uses crtbegin.o to find the start of + the constructors, so we make sure it is + first. Because this is a wildcard, it + doesn't matter if the user does not + actually link against crtbegin.o; the + linker won't look for a file to match a + wildcard. The wildcard also means that it + doesn't matter which directory crtbegin.o + is in. */ + KEEP (*crtbegin.o(.ctors)) + KEEP (*crtbegin?.o(.ctors)) + /* We don't want to include the .ctor section from + from the crtend.o file until after the sorted ctors. + The .ctor section from the crtend file contains the + end of ctors marker and it must be last */ + KEEP (*(EXCLUDE_FILE(*crtend?.o *crtend.o) .ctors)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + __CTOR_END__ = .; + } > m_text + + .dtors : + { + __DTOR_LIST__ = .; + KEEP (*crtbegin.o(.dtors)) + KEEP (*crtbegin?.o(.dtors)) + KEEP (*(EXCLUDE_FILE(*crtend?.o *crtend.o) .dtors)) + KEEP (*(SORT(.dtors.*))) + KEEP (*(.dtors)) + __DTOR_END__ = .; + } > m_text + + .preinit_array : + { + PROVIDE_HIDDEN (__preinit_array_start = .); + KEEP (*(.preinit_array*)) + PROVIDE_HIDDEN (__preinit_array_end = .); + } > m_text + + .init_array : + { + PROVIDE_HIDDEN (__init_array_start = .); + KEEP (*(SORT(.init_array.*))) + KEEP (*(.init_array*)) + PROVIDE_HIDDEN (__init_array_end = .); + } > m_text + + .fini_array : + { + PROVIDE_HIDDEN (__fini_array_start = .); + KEEP (*(SORT(.fini_array.*))) + KEEP (*(.fini_array*)) + PROVIDE_HIDDEN (__fini_array_end = .); + } > m_text + + __etext = .; /* define a global symbol at end of code */ + __DATA_ROM = .; /* Symbol is used by startup for data initialization */ + + .data : AT(__DATA_ROM) + { + . = ALIGN(4); + __DATA_RAM = .; + __data_start__ = .; /* create a global symbol at data start */ + *(.ramfunc*) /* for functions in ram */ + *(.data) /* .data sections */ + *(.data*) /* .data* sections */ + KEEP(*(.jcr*)) + . = ALIGN(4); + __data_end__ = .; /* define a global symbol at data end */ + } > m_data + + __DATA_END = __DATA_ROM + (__data_end__ - __data_start__); + text_end = ORIGIN(m_text) + LENGTH(m_text); + ASSERT(__DATA_END <= text_end, "region m_text overflowed with text and data") + + /* Uninitialized data section */ + .bss : + { + /* This is used by the startup in order to initialize the .bss section */ + . = ALIGN(4); + __START_BSS = .; + __bss_start__ = .; + *(.bss) + *(.bss*) + *(COMMON) + . = ALIGN(4); + __bss_end__ = .; + __END_BSS = .; + } > m_data + + .heap : + { + . = ALIGN(8); + __end__ = .; + PROVIDE(end = .); + __HeapBase = .; + . += HEAP_SIZE; + __HeapLimit = .; + __heap_limit = .; /* Add for _sbrk */ + } > m_data + + .stack : + { + . = ALIGN(8); + . += STACK_SIZE; + } > m_data + + /* Initializes stack on the end of block */ + __StackTop = ORIGIN(m_data) + LENGTH(m_data); + __StackLimit = __StackTop - STACK_SIZE; + PROVIDE(__stack = __StackTop); + + .ARM.attributes 0 : { *(.ARM.attributes) } + + ASSERT(__StackLimit >= __HeapLimit, "region m_data overflowed with stack and heap") +} + diff --git a/boards/lpcxpresso804/demo_apps/new_project/armgcc/build_all.bat b/boards/lpcxpresso804/demo_apps/new_project/armgcc/build_all.bat new file mode 100644 index 0000000..a16d8ae --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/armgcc/build_all.bat @@ -0,0 +1,15 @@ +if exist CMakeFiles (RD /s /Q CMakeFiles) +if exist Makefile (DEL /s /Q /F Makefile) +if exist cmake_install.cmake (DEL /s /Q /F cmake_install.cmake) +if exist CMakeCache.txt (DEL /s /Q /F CMakeCache.txt) +cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "MinGW Makefiles" -DCMAKE_BUILD_TYPE=debug . +mingw32-make -j + +if exist CMakeFiles (RD /s /Q CMakeFiles) +if exist Makefile (DEL /s /Q /F Makefile) +if exist cmake_install.cmake (DEL /s /Q /F cmake_install.cmake) +if exist CMakeCache.txt (DEL /s /Q /F CMakeCache.txt) +cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "MinGW Makefiles" -DCMAKE_BUILD_TYPE=release . +mingw32-make -j + +IF "%1" == "" ( pause ) diff --git a/boards/lpcxpresso804/demo_apps/new_project/armgcc/build_all.sh b/boards/lpcxpresso804/demo_apps/new_project/armgcc/build_all.sh new file mode 100644 index 0000000..2536930 --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/armgcc/build_all.sh @@ -0,0 +1,15 @@ +#!/bin/sh +if [ -d "CMakeFiles" ];then rm -rf CMakeFiles; fi +if [ -f "Makefile" ];then rm -f Makefile; fi +if [ -f "cmake_install.cmake" ];then rm -f cmake_install.cmake; fi +if [ -f "CMakeCache.txt" ];then rm -f CMakeCache.txt; fi +cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "Unix Makefiles" -DCMAKE_BUILD_TYPE=debug . +make -j + +if [ -d "CMakeFiles" ];then rm -rf CMakeFiles; fi +if [ -f "Makefile" ];then rm -f Makefile; fi +if [ -f "cmake_install.cmake" ];then rm -f cmake_install.cmake; fi +if [ -f "CMakeCache.txt" ];then rm -f CMakeCache.txt; fi +cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "Unix Makefiles" -DCMAKE_BUILD_TYPE=release . +make -j + diff --git a/boards/lpcxpresso804/demo_apps/new_project/armgcc/build_debug.bat b/boards/lpcxpresso804/demo_apps/new_project/armgcc/build_debug.bat new file mode 100644 index 0000000..1512338 --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/armgcc/build_debug.bat @@ -0,0 +1,6 @@ +if exist CMakeFiles (RD /s /Q CMakeFiles) +if exist Makefile (DEL /s /Q /F Makefile) +if exist cmake_install.cmake (DEL /s /Q /F cmake_install.cmake) +if exist CMakeCache.txt (DEL /s /Q /F CMakeCache.txt) +cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "MinGW Makefiles" -DCMAKE_BUILD_TYPE=debug . +mingw32-make -j 2> build_log.txt diff --git a/boards/lpcxpresso804/demo_apps/new_project/armgcc/build_debug.sh b/boards/lpcxpresso804/demo_apps/new_project/armgcc/build_debug.sh new file mode 100644 index 0000000..4280376 --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/armgcc/build_debug.sh @@ -0,0 +1,7 @@ +#!/bin/sh +if [ -d "CMakeFiles" ];then rm -rf CMakeFiles; fi +if [ -f "Makefile" ];then rm -f Makefile; fi +if [ -f "cmake_install.cmake" ];then rm -f cmake_install.cmake; fi +if [ -f "CMakeCache.txt" ];then rm -f CMakeCache.txt; fi +cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "Unix Makefiles" -DCMAKE_BUILD_TYPE=debug . +make -j 2>&1 | tee build_log.txt diff --git a/boards/lpcxpresso804/demo_apps/new_project/armgcc/build_release.bat b/boards/lpcxpresso804/demo_apps/new_project/armgcc/build_release.bat new file mode 100644 index 0000000..a88e3d6 --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/armgcc/build_release.bat @@ -0,0 +1,6 @@ +if exist CMakeFiles (RD /s /Q CMakeFiles) +if exist Makefile (DEL /s /Q /F Makefile) +if exist cmake_install.cmake (DEL /s /Q /F cmake_install.cmake) +if exist CMakeCache.txt (DEL /s /Q /F CMakeCache.txt) +cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "MinGW Makefiles" -DCMAKE_BUILD_TYPE=release . +mingw32-make -j 2> build_log.txt diff --git a/boards/lpcxpresso804/demo_apps/new_project/armgcc/build_release.sh b/boards/lpcxpresso804/demo_apps/new_project/armgcc/build_release.sh new file mode 100644 index 0000000..47cfb05 --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/armgcc/build_release.sh @@ -0,0 +1,7 @@ +#!/bin/sh +if [ -d "CMakeFiles" ];then rm -rf CMakeFiles; fi +if [ -f "Makefile" ];then rm -f Makefile; fi +if [ -f "cmake_install.cmake" ];then rm -f cmake_install.cmake; fi +if [ -f "CMakeCache.txt" ];then rm -f CMakeCache.txt; fi +cmake -DCMAKE_TOOLCHAIN_FILE="../../../../../tools/cmake_toolchain_files/armgcc.cmake" -G "Unix Makefiles" -DCMAKE_BUILD_TYPE=release . +make -j 2>&1 | tee build_log.txt diff --git a/boards/lpcxpresso804/demo_apps/new_project/armgcc/clean.bat b/boards/lpcxpresso804/demo_apps/new_project/armgcc/clean.bat new file mode 100644 index 0000000..f391a79 --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/armgcc/clean.bat @@ -0,0 +1,3 @@ +RD /s /Q debug release CMakeFiles +DEL /s /Q /F Makefile cmake_install.cmake CMakeCache.txt +pause diff --git a/boards/lpcxpresso804/demo_apps/new_project/armgcc/clean.sh b/boards/lpcxpresso804/demo_apps/new_project/armgcc/clean.sh new file mode 100644 index 0000000..795ad87 --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/armgcc/clean.sh @@ -0,0 +1,3 @@ +#!/bin/sh +rm -rf debug release CMakeFiles +rm -rf Makefile cmake_install.cmake CMakeCache.txt diff --git a/boards/lpcxpresso804/demo_apps/new_project/armgcc/config.cmake b/boards/lpcxpresso804/demo_apps/new_project/armgcc/config.cmake new file mode 100644 index 0000000..572e8f5 --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/armgcc/config.cmake @@ -0,0 +1,34 @@ +# config to select component, the format is CONFIG_USE_${component} +# Please refer to cmake files below to get available components: +# ${SdkRootDirPath}/devices/LPC804/all_lib_device.cmake + +set(CONFIG_COMPILER gcc) +set(CONFIG_TOOLCHAIN armgcc) +set(CONFIG_USE_COMPONENT_CONFIGURATION false) +set(CONFIG_USE_utility_debug_console_lite true) +set(CONFIG_USE_utility_assert_lite true) +set(CONFIG_USE_driver_common true) +set(CONFIG_USE_driver_clock true) +set(CONFIG_USE_driver_power_no_lib true) +set(CONFIG_USE_driver_reset true) +set(CONFIG_USE_device_LPC804_CMSIS true) +set(CONFIG_USE_component_miniusart_adapter true) +set(CONFIG_USE_device_LPC804_startup true) +set(CONFIG_USE_driver_lpc_miniusart true) +set(CONFIG_USE_driver_lpc_iocon_lite true) +set(CONFIG_USE_driver_swm true) +set(CONFIG_USE_driver_lpc_gpio true) +set(CONFIG_USE_driver_syscon true) +set(CONFIG_USE_driver_rom_api true) +set(CONFIG_USE_utilities_misc_utilities true) +set(CONFIG_USE_CMSIS_Include_core_cm true) +set(CONFIG_USE_device_LPC804_system true) +set(CONFIG_USE_driver_swm_connections true) +set(CONFIG_USE_driver_syscon_connections true) +set(CONFIG_CORE cm0p) +set(CONFIG_DEVICE LPC804) +set(CONFIG_BOARD lpcxpresso804) +set(CONFIG_KIT lpcxpresso804) +set(CONFIG_DEVICE_ID LPC804) +set(CONFIG_FPU NO_FPU) +set(CONFIG_DSP NO_DSP) diff --git a/boards/lpcxpresso804/demo_apps/new_project/armgcc/flags.cmake b/boards/lpcxpresso804/demo_apps/new_project/armgcc/flags.cmake new file mode 100644 index 0000000..1965557 --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/armgcc/flags.cmake @@ -0,0 +1,169 @@ +IF(NOT DEFINED FPU) + SET(FPU "-mfloat-abi=soft") +ENDIF() + +IF(NOT DEFINED SPECS) + SET(SPECS "--specs=nano.specs --specs=nosys.specs") +ENDIF() + +IF(NOT DEFINED DEBUG_CONSOLE_CONFIG) + SET(DEBUG_CONSOLE_CONFIG "-DSDK_DEBUGCONSOLE=1") +ENDIF() + +SET(CMAKE_ASM_FLAGS_DEBUG " \ + ${CMAKE_ASM_FLAGS_DEBUG} \ + -DDEBUG \ + -D__STARTUP_CLEAR_BSS \ + -mcpu=cortex-m0plus \ + -mthumb \ + ${FPU} \ +") +SET(CMAKE_ASM_FLAGS_RELEASE " \ + ${CMAKE_ASM_FLAGS_RELEASE} \ + -DNDEBUG \ + -D__STARTUP_CLEAR_BSS \ + -mcpu=cortex-m0plus \ + -mthumb \ + ${FPU} \ +") +SET(CMAKE_C_FLAGS_DEBUG " \ + ${CMAKE_C_FLAGS_DEBUG} \ + -DDEBUG \ + -DCPU_LPC804 \ + -DCPU_LPC804M101JDH24 \ + -DMCUXPRESSO_SDK \ + -g \ + -O0 \ + -mcpu=cortex-m0plus \ + -Wall \ + -mthumb \ + -MMD \ + -MP \ + -fno-common \ + -ffunction-sections \ + -fdata-sections \ + -ffreestanding \ + -fno-builtin \ + -mapcs \ + -std=gnu99 \ + ${FPU} \ + ${DEBUG_CONSOLE_CONFIG} \ +") +SET(CMAKE_C_FLAGS_RELEASE " \ + ${CMAKE_C_FLAGS_RELEASE} \ + -DNDEBUG \ + -DCPU_LPC804 \ + -DCPU_LPC804M101JDH24 \ + -DMCUXPRESSO_SDK \ + -Os \ + -mcpu=cortex-m0plus \ + -Wall \ + -mthumb \ + -MMD \ + -MP \ + -fno-common \ + -ffunction-sections \ + -fdata-sections \ + -ffreestanding \ + -fno-builtin \ + -mapcs \ + -std=gnu99 \ + ${FPU} \ + ${DEBUG_CONSOLE_CONFIG} \ +") +SET(CMAKE_CXX_FLAGS_DEBUG " \ + ${CMAKE_CXX_FLAGS_DEBUG} \ + -DDEBUG \ + -DMCUXPRESSO_SDK \ + -g \ + -O0 \ + -mcpu=cortex-m0plus \ + -Wall \ + -mthumb \ + -MMD \ + -MP \ + -fno-common \ + -ffunction-sections \ + -fdata-sections \ + -ffreestanding \ + -fno-builtin \ + -mapcs \ + -fno-rtti \ + -fno-exceptions \ + ${FPU} \ + ${DEBUG_CONSOLE_CONFIG} \ +") +SET(CMAKE_CXX_FLAGS_RELEASE " \ + ${CMAKE_CXX_FLAGS_RELEASE} \ + -DNDEBUG \ + -DMCUXPRESSO_SDK \ + -Os \ + -mcpu=cortex-m0plus \ + -Wall \ + -mthumb \ + -MMD \ + -MP \ + -fno-common \ + -ffunction-sections \ + -fdata-sections \ + -ffreestanding \ + -fno-builtin \ + -mapcs \ + -fno-rtti \ + -fno-exceptions \ + ${FPU} \ + ${DEBUG_CONSOLE_CONFIG} \ +") +SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ + ${CMAKE_EXE_LINKER_FLAGS_DEBUG} \ + -g \ + -mcpu=cortex-m0plus \ + -Wall \ + -fno-common \ + -ffunction-sections \ + -fdata-sections \ + -ffreestanding \ + -fno-builtin \ + -mthumb \ + -mapcs \ + -Xlinker \ + --gc-sections \ + -Xlinker \ + -static \ + -Xlinker \ + -z \ + -Xlinker \ + muldefs \ + -Xlinker \ + -Map=output.map \ + -Wl,--print-memory-usage \ + ${FPU} \ + ${SPECS} \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ +") +SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ + ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ + -mcpu=cortex-m0plus \ + -Wall \ + -fno-common \ + -ffunction-sections \ + -fdata-sections \ + -ffreestanding \ + -fno-builtin \ + -mthumb \ + -mapcs \ + -Xlinker \ + --gc-sections \ + -Xlinker \ + -static \ + -Xlinker \ + -z \ + -Xlinker \ + muldefs \ + -Xlinker \ + -Map=output.map \ + -Wl,--print-memory-usage \ + ${FPU} \ + ${SPECS} \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ +") diff --git a/boards/lpcxpresso804/demo_apps/new_project/board.c b/boards/lpcxpresso804/demo_apps/new_project/board.c new file mode 100644 index 0000000..2e5e789 --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/board.c @@ -0,0 +1,39 @@ +/* + * Copyright (c) 2016, Freescale Semiconductor, Inc. + * Copyright 2016-2018 NXP + * + * SPDX-License-Identifier: BSD-3-Clause + */ + +#include +#include "fsl_common.h" +#include "clock_config.h" +#include "board.h" +#include "fsl_debug_console.h" + +/******************************************************************************* + * Variables + ******************************************************************************/ + +/* Clock rate on the CLKIN pin */ +const uint32_t ExtClockIn = BOARD_EXTCLKINRATE; + +/******************************************************************************* + * Code + ******************************************************************************/ +/* Initialize debug console. */ +status_t BOARD_InitDebugConsole(void) +{ +#if ((SDK_DEBUGCONSOLE == DEBUGCONSOLE_REDIRECT_TO_SDK) || defined(SDK_DEBUGCONSOLE_UART)) + status_t result; + /* Attach 12 MHz clock to USART0 (debug console) */ + CLOCK_Select(BOARD_DEBUG_USART_CLK_ATTACH); + RESET_PeripheralReset(BOARD_DEBUG_USART_RST); + result = DbgConsole_Init(BOARD_DEBUG_USART_INSTANCE, BOARD_DEBUG_USART_BAUDRATE, BOARD_DEBUG_USART_TYPE, + BOARD_DEBUG_USART_CLK_FREQ); + assert(kStatus_Success == result); + return result; +#else + return kStatus_Success; +#endif +} diff --git a/boards/lpcxpresso804/demo_apps/new_project/board.h b/boards/lpcxpresso804/demo_apps/new_project/board.h new file mode 100644 index 0000000..c8998c0 --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/board.h @@ -0,0 +1,152 @@ +/* + * Copyright (c) 2016, Freescale Semiconductor, Inc. + * Copyright 2016-2018 NXP + * + * SPDX-License-Identifier: BSD-3-Clause + */ + +#ifndef _BOARD_H_ +#define _BOARD_H_ + +#include "clock_config.h" +#include "fsl_common.h" +#include "fsl_gpio.h" + +/******************************************************************************* + * Definitions + ******************************************************************************/ +/*! @brief The board name */ +#define BOARD_NAME "LPCXPRESSO804" + +#define BOARD_EXTCLKINRATE (0) + +/*! @brief The UART to use for debug messages. */ +#define BOARD_DEBUG_USART_TYPE kSerialPort_Uart +#define BOARD_DEBUG_USART_BASEADDR (uint32_t) USART0 +#define BOARD_DEBUG_USART_INSTANCE 0U +#define BOARD_DEBUG_USART_CLK_FREQ CLOCK_GetMainClkFreq() +#define BOARD_DEBUG_USART_CLK_ATTACH kUART0_Clk_From_MainClk +#define BOARD_DEBUG_USART_RST kUART0_RST_N_SHIFT_RSTn +#define BOARD_USART_IRQ USART0_IRQn +#define BOARD_USART_IRQ_HANDLER USART0_IRQHandler + +#ifndef BOARD_DEBUG_USART_BAUDRATE +#define BOARD_DEBUG_USART_BAUDRATE 9600 +#endif /* BOARD_DEBUG_USART_BAUDRATE */ + +/*! @brief Board led mapping */ +#define LOGIC_LED_ON 0U +#define LOGIC_LED_OFF 1U + +/* LED_GREEN */ +#ifndef BOARD_LED_GREEN_GPIO +#define BOARD_LED_GREEN_GPIO GPIO +#endif +#define BOARD_LED_GREEN_GPIO_PORT 0U +#ifndef BOARD_LED_GREEN_GPIO_PIN +#define BOARD_LED_GREEN_GPIO_PIN 12U +#endif + +#define LED_GREEN_INIT(output) \ + GPIO_PortInit(BOARD_LED_GREEN_GPIO, BOARD_LED_GREEN_GPIO_PORT); \ + GPIO_PinInit(BOARD_LED_GREEN_GPIO, BOARD_LED_GREEN_GPIO_PORT, BOARD_LED_GREEN_GPIO_PIN, \ + &(gpio_pin_config_t){kGPIO_DigitalOutput, (output)}); /*!< Enable target LED_GREEN */ +#define LED_GREEN_ON() \ + GPIO_PortClear(BOARD_LED_GREEN_GPIO, BOARD_LED_GREEN_GPIO_PORT, \ + 1U << BOARD_LED_GREEN_GPIO_PIN) /*!< Turn on target LED_GREEN \ \ \ \ \ \ \ + */ +#define LED_GREEN_OFF() \ + GPIO_PortSet(BOARD_LED_GREEN_GPIO, BOARD_LED_GREEN_GPIO_PORT, \ + 1U << BOARD_LED_GREEN_GPIO_PIN) /*!< Turn off target LED_GREEN \ \ \ \ \ \ \ + */ +#define LED_GREEN_TOGGLE() \ + GPIO_PortToggle(BOARD_LED_GREEN_GPIO, BOARD_LED_GREEN_GPIO_PORT, \ + 1U << BOARD_LED_GREEN_GPIO_PIN) /*!< Toggle on target LED_GREEN */ + +/* LED_BLUE */ +#ifndef BOARD_LED_BLUE_GPIO +#define BOARD_LED_BLUE_GPIO GPIO +#endif +#define BOARD_LED_BLUE_GPIO_PORT 0U +#ifndef BOARD_LED_BLUE_GPIO_PIN +#define BOARD_LED_BLUE_GPIO_PIN 11U +#endif + +#ifndef BOARD_S1_GPIO +#define BOARD_S1_GPIO GPIO +#endif +#define BOARD_S1_GPIO_PORT 0U +#ifndef BOARD_S1_GPIO_PIN +#define BOARD_S1_GPIO_PIN 13U +#endif +#define BOARD_S1_NAME "S1" +#define BOARD_S1_IRQ PIN_INT0_IRQn +#define BOARD_S1_IRQ_HANDLER PIN_INT0_IRQHandler + +#define LED_BLUE_INIT(output) \ + GPIO_PortInit(BOARD_LED_BLUE_GPIO, BOARD_LED_BLUE_GPIO_PORT); \ + GPIO_PinInit(BOARD_LED_BLUE_GPIO, BOARD_LED_BLUE_GPIO_PORT, BOARD_LED_BLUE_GPIO_PIN, \ + &(gpio_pin_config_t){kGPIO_DigitalOutput, (output)}); /*!< Enable target LED_GREEN */ +#define LED_BLUE_ON() \ + GPIO_PortClear(BOARD_LED_BLUE_GPIO, BOARD_LED_BLUE_GPIO_PORT, \ + 1U << BOARD_LED_BLUE_GPIO_PIN) /*!< Turn on target LED_BLUE \ \ \ \ \ \ \ + */ +#define LED_BLUE_OFF() \ + GPIO_PortSet(BOARD_LED_BLUE_GPIO, BOARD_LED_BLUE_GPIO_PORT, \ + 1U << BOARD_LED_BLUE_GPIO_PIN) /*!< Turn off target LED_BLUE \ \ \ \ \ \ \ + */ +#define LED_BLUE_TOGGLE() \ + GPIO_PortToggle(BOARD_LED_BLUE_GPIO, BOARD_LED_BLUE_GPIO_PORT, \ + 1U << BOARD_LED_BLUE_GPIO_PIN) /*!< Toggle on target LED_BLUE */ + +/* LED_RED */ +#ifndef BOARD_LED_RED_GPIO +#define BOARD_LED_RED_GPIO GPIO +#endif +#define BOARD_LED_RED_GPIO_PORT 0U +#ifndef BOARD_LED_RED_GPIO_PIN +#define BOARD_LED_RED_GPIO_PIN 13U +#endif + +#define LED_RED_INIT(output) \ + GPIO_PortInit(BOARD_LED_RED_GPIO, BOARD_LED_RED_GPIO_PORT); \ + GPIO_PinInit(BOARD_LED_RED_GPIO, BOARD_LED_RED_GPIO_PORT, BOARD_LED_RED_GPIO_PIN, \ + &(gpio_pin_config_t){kGPIO_DigitalOutput, (output)}); /*!< Enable target LED_GREEN */ +#define LED_RED_ON() \ + GPIO_PortClear(BOARD_LED_RED_GPIO, BOARD_LED_RED_GPIO_PORT, \ + 1U << BOARD_LED_RED_GPIO_PIN) /*!< Turn on target LED_RED \ \ \ \ \ \ \ + */ +#define LED_RED_OFF() \ + GPIO_PortSet(BOARD_LED_RED_GPIO, BOARD_LED_RED_GPIO_PORT, \ + 1U << BOARD_LED_RED_GPIO_PIN) /*!< Turn off target LED_RED \ \ \ \ \ \ \ + */ +#define LED_RED_TOGGLE() \ + GPIO_PortToggle(BOARD_LED_RED_GPIO, BOARD_LED_RED_GPIO_PORT, \ + 1U << BOARD_LED_RED_GPIO_PIN) /*!< Toggle on target LED_RED */ + +#ifndef BOARD_SW1_GPIO +#define BOARD_SW1_GPIO GPIO +#endif +#define BOARD_SW1_GPIO_PORT 0U +#ifndef BOARD_SW1_GPIO_PIN +#define BOARD_SW1_GPIO_PIN 13U +#endif +#define BOARD_SW1_NAME "SW1" +#define BOARD_SW1_IRQ PIN_INT0_IRQn +#define BOARD_SW1_IRQ_HANDLER PIN_INT0_IRQHandler + +#if defined(__cplusplus) +extern "C" { +#endif /* __cplusplus */ + +/******************************************************************************* + * API + ******************************************************************************/ + +status_t BOARD_InitDebugConsole(void); + +#if defined(__cplusplus) +} +#endif /* __cplusplus */ + +#endif /* _BOARD_H_ */ diff --git a/boards/lpcxpresso804/demo_apps/new_project/clock_config.c b/boards/lpcxpresso804/demo_apps/new_project/clock_config.c new file mode 100644 index 0000000..7fe0cfd --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/clock_config.c @@ -0,0 +1,169 @@ +/* + * Copyright 2018,2019 ,2021 NXP + * All rights reserved. + * + * + * SPDX-License-Identifier: BSD-3-Clause + */ + +/* + * How to set up clock using clock driver functions: + * + * 1. Setup clock sources. + * + * 2. Set up all dividers. + * + * 3. Set up all selectors to provide selected clocks. + */ + +/* TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* +!!GlobalInfo +product: Clocks v7.0 +processor: LPC804 +package_id: LPC804M101JDH24 +mcu_data: ksdk2_0 +processor_version: 9.0.0 +board: LPCXpresso804 + * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS **********/ + +#include "fsl_power.h" +#include "fsl_clock.h" +#include "clock_config.h" + +/******************************************************************************* + * Definitions + ******************************************************************************/ + +/******************************************************************************* + * Variables + ******************************************************************************/ +/* System clock frequency. */ +extern uint32_t SystemCoreClock; + +/******************************************************************************* + ************************ BOARD_InitBootClocks function ************************ + ******************************************************************************/ +void BOARD_InitBootClocks(void) +{ + BOARD_BootClockFRO30M(); +} + +/******************************************************************************* + ******************** Configuration BOARD_BootClockFRO18M ********************** + ******************************************************************************/ +/* TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* +!!Configuration +name: BOARD_BootClockFRO18M +outputs: +- {id: FROHF_clock.outFreq, value: 18 MHz} +- {id: LowPower_clock.outFreq, value: 1 MHz} +- {id: System_clock.outFreq, value: 9 MHz} +- {id: WWDT_clock.outFreq, value: 1 MHz} +- {id: divto750k_clock.outFreq, value: 750 kHz} +sources: +- {id: SYSCON.fro_osc.outFreq, value: 18 MHz} + * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS **********/ + +/******************************************************************************* + * Variables for BOARD_BootClockFRO18M configuration + ******************************************************************************/ +/******************************************************************************* + * Code for BOARD_BootClockFRO18M configuration + ******************************************************************************/ +void BOARD_BootClockFRO18M(void) +{ + /*!< Set up the clock sources */ + /*!< Set up FRO */ + POWER_DisablePD(kPDRUNCFG_PD_FRO_OUT); /*!< Ensure FRO OUT is on */ + POWER_DisablePD(kPDRUNCFG_PD_FRO); /*!< Ensure FRO is on */ + CLOCK_SetFroOscFreq(kCLOCK_FroOscOut18M); /*!< Set up FRO freq */ + POWER_DisablePD(kPDRUNCFG_PD_LPOSC); /*!< Ensure LPOSC is on */ + CLOCK_SetMainClkSrc(kCLOCK_MainClkSrcFro); /*!< select fro for main clock */ + CLOCK_Select(kFRG0_Clk_From_Fro); /*!< select fro for frg0 */ + CLOCK_SetFRG0ClkFreq(9000000U); /*!< select frg0 freq */ + CLOCK_Select(kCLKOUT_From_Fro); /*!< select FRO for CLKOUT */ + CLOCK_Select(kADC_Clk_From_Fro); /*!< select FRO for ADC */ + CLOCK_SetCoreSysClkDiv(1U); + /*!< Set SystemCoreClock variable. */ + SystemCoreClock = BOARD_BOOTCLOCKFRO18M_CORE_CLOCK; +} + +/******************************************************************************* + ******************** Configuration BOARD_BootClockFRO24M ********************** + ******************************************************************************/ +/* TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* +!!Configuration +name: BOARD_BootClockFRO24M +outputs: +- {id: FROHF_clock.outFreq, value: 24 MHz} +- {id: LowPower_clock.outFreq, value: 1 MHz} +- {id: System_clock.outFreq, value: 12 MHz} +- {id: WWDT_clock.outFreq, value: 1 MHz} +- {id: divto750k_clock.outFreq, value: 750 kHz} +sources: +- {id: SYSCON.fro_osc.outFreq, value: 24 MHz} + * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS **********/ + +/******************************************************************************* + * Variables for BOARD_BootClockFRO24M configuration + ******************************************************************************/ +/******************************************************************************* + * Code for BOARD_BootClockFRO24M configuration + ******************************************************************************/ +void BOARD_BootClockFRO24M(void) +{ + /*!< Set up the clock sources */ + /*!< Set up FRO */ + POWER_DisablePD(kPDRUNCFG_PD_FRO_OUT); /*!< Ensure FRO OUT is on */ + POWER_DisablePD(kPDRUNCFG_PD_FRO); /*!< Ensure FRO is on */ + CLOCK_SetFroOscFreq(kCLOCK_FroOscOut24M); /*!< Set up FRO freq */ + POWER_DisablePD(kPDRUNCFG_PD_LPOSC); /*!< Ensure LPOSC is on */ + CLOCK_SetMainClkSrc(kCLOCK_MainClkSrcFro); /*!< select fro for main clock */ + CLOCK_Select(kFRG0_Clk_From_Fro); /*!< select fro for frg0 */ + CLOCK_SetFRG0ClkFreq(12000000U); /*!< select frg0 freq */ + CLOCK_Select(kCLKOUT_From_Fro); /*!< select FRO for CLKOUT */ + CLOCK_Select(kADC_Clk_From_Fro); /*!< select FRO for ADC */ + CLOCK_SetCoreSysClkDiv(1U); + /*!< Set SystemCoreClock variable. */ + SystemCoreClock = BOARD_BOOTCLOCKFRO24M_CORE_CLOCK; +} + +/******************************************************************************* + ******************** Configuration BOARD_BootClockFRO30M ********************** + ******************************************************************************/ +/* TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* +!!Configuration +name: BOARD_BootClockFRO30M +called_from_default_init: true +outputs: +- {id: FROHF_clock.outFreq, value: 30 MHz} +- {id: LowPower_clock.outFreq, value: 1 MHz} +- {id: System_clock.outFreq, value: 15 MHz} +- {id: WWDT_clock.outFreq, value: 1 MHz} +- {id: divto750k_clock.outFreq, value: 750 kHz} + * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS **********/ + +/******************************************************************************* + * Variables for BOARD_BootClockFRO30M configuration + ******************************************************************************/ +/******************************************************************************* + * Code for BOARD_BootClockFRO30M configuration + ******************************************************************************/ +void BOARD_BootClockFRO30M(void) +{ + /*!< Set up the clock sources */ + /*!< Set up FRO */ + POWER_DisablePD(kPDRUNCFG_PD_FRO_OUT); /*!< Ensure FRO OUT is on */ + POWER_DisablePD(kPDRUNCFG_PD_FRO); /*!< Ensure FRO is on */ + CLOCK_SetFroOscFreq(kCLOCK_FroOscOut30M); /*!< Set up FRO freq */ + POWER_DisablePD(kPDRUNCFG_PD_LPOSC); /*!< Ensure LPOSC is on */ + CLOCK_SetMainClkSrc(kCLOCK_MainClkSrcFro); /*!< select fro for main clock */ + CLOCK_Select(kFRG0_Clk_From_Fro); /*!< select fro for frg0 */ + CLOCK_SetFRG0ClkFreq(15000000U); /*!< select frg0 freq */ + CLOCK_Select(kCLKOUT_From_Fro); /*!< select FRO for CLKOUT */ + CLOCK_Select(kADC_Clk_From_Fro); /*!< select FRO for ADC */ + CLOCK_SetCoreSysClkDiv(1U); + /*!< Set SystemCoreClock variable. */ + SystemCoreClock = BOARD_BOOTCLOCKFRO30M_CORE_CLOCK; +} + diff --git a/boards/lpcxpresso804/demo_apps/new_project/clock_config.h b/boards/lpcxpresso804/demo_apps/new_project/clock_config.h new file mode 100644 index 0000000..e3488e8 --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/clock_config.h @@ -0,0 +1,122 @@ +/* + * Copyright 2018,2019 ,2021 NXP + * All rights reserved. + * + * + * SPDX-License-Identifier: BSD-3-Clause + */ + +/*********************************************************************************************************************** + * This file was generated by the MCUXpresso Config Tools. Any manual edits made to this file + * will be overwritten if the respective MCUXpresso Config Tools is used to update this file. + **********************************************************************************************************************/ + +#ifndef _CLOCK_CONFIG_H_ +#define _CLOCK_CONFIG_H_ + +#include "fsl_common.h" + +/******************************************************************************* + * Definitions + ******************************************************************************/ +#define BOARD_XTAL0_CLK_HZ 12000000U /*!< Board xtal0 frequency in Hz */ +#define BOARD_XTAL32K_CLK_HZ 32768U /*!< Board xtal32K frequency in Hz */ + +/******************************************************************************* + ************************ BOARD_InitBootClocks function ************************ + ******************************************************************************/ + +#if defined(__cplusplus) +extern "C" { +#endif /* __cplusplus*/ + +/*! + * @brief This function executes default configuration of clocks. + * + */ +void BOARD_InitBootClocks(void); + +#if defined(__cplusplus) +} +#endif /* __cplusplus*/ + +/******************************************************************************* + ******************** Configuration BOARD_BootClockFRO18M ********************** + ******************************************************************************/ +/******************************************************************************* + * Definitions for BOARD_BootClockFRO18M configuration + ******************************************************************************/ +#define BOARD_BOOTCLOCKFRO18M_CORE_CLOCK 9000000U /*!< Core clock frequency: 9000000Hz */ + + +/******************************************************************************* + * API for BOARD_BootClockFRO18M configuration + ******************************************************************************/ +#if defined(__cplusplus) +extern "C" { +#endif /* __cplusplus*/ + +/*! + * @brief This function executes configuration of clocks. + * + */ +void BOARD_BootClockFRO18M(void); + +#if defined(__cplusplus) +} +#endif /* __cplusplus*/ + +/******************************************************************************* + ******************** Configuration BOARD_BootClockFRO24M ********************** + ******************************************************************************/ +/******************************************************************************* + * Definitions for BOARD_BootClockFRO24M configuration + ******************************************************************************/ +#define BOARD_BOOTCLOCKFRO24M_CORE_CLOCK 12000000U /*!< Core clock frequency: 12000000Hz */ + + +/******************************************************************************* + * API for BOARD_BootClockFRO24M configuration + ******************************************************************************/ +#if defined(__cplusplus) +extern "C" { +#endif /* __cplusplus*/ + +/*! + * @brief This function executes configuration of clocks. + * + */ +void BOARD_BootClockFRO24M(void); + +#if defined(__cplusplus) +} +#endif /* __cplusplus*/ + +/******************************************************************************* + ******************** Configuration BOARD_BootClockFRO30M ********************** + ******************************************************************************/ +/******************************************************************************* + * Definitions for BOARD_BootClockFRO30M configuration + ******************************************************************************/ +#define BOARD_BOOTCLOCKFRO30M_CORE_CLOCK 15000000U /*!< Core clock frequency: 15000000Hz */ + + +/******************************************************************************* + * API for BOARD_BootClockFRO30M configuration + ******************************************************************************/ +#if defined(__cplusplus) +extern "C" { +#endif /* __cplusplus*/ + +/*! + * @brief This function executes configuration of clocks. + * + */ +void BOARD_BootClockFRO30M(void); + +#if defined(__cplusplus) +} +#endif /* __cplusplus*/ + +#endif /* _CLOCK_CONFIG_H_ */ + diff --git a/boards/lpcxpresso804/demo_apps/new_project/main.c b/boards/lpcxpresso804/demo_apps/new_project/main.c new file mode 100644 index 0000000..42c4166 --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/main.c @@ -0,0 +1,46 @@ + +/* + * Copyright (c) 2013 - 2015, Freescale Semiconductor, Inc. + * Copyright 2016-2017 NXP + * All rights reserved. + * + * SPDX-License-Identifier: BSD-3-Clause + */ + +#include "fsl_device_registers.h" + + +#include "pin_mux.h" +#include "clock_config.h" +#include "peripherals.h" +#include "board.h" + +/******************************************************************************* + * Definitions + ******************************************************************************/ +#define BOARD_LED_PORT BOARD_LED_RED_PORT +#define BOARD_LED_PIN BOARD_LED_RED_PIN + +/******************************************************************************* + * Prototypes + ******************************************************************************/ + +/******************************************************************************* + * Code + ******************************************************************************/ +/*! + * @brief Main function + */ + +int main(void) +{ + + /* Init board hardware. */ + BOARD_InitBootPins(); + BOARD_InitBootClocks(); + + /* Add user custom codes below */ + while (1) + { + } +} diff --git a/boards/lpcxpresso804/demo_apps/new_project/new_project.mex b/boards/lpcxpresso804/demo_apps/new_project/new_project.mex new file mode 100644 index 0000000..db029be --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/new_project.mex @@ -0,0 +1,166 @@ + + + + LPC804 + LPC804M101JDH24 + LPCXpresso804 + ksdk2_0 + + + + Configuration imported from C:\Users\mcu-sdk-2.0\boards\lpcxpresso804\demo_apps\led_blinky\iar + + + true + false + false + + + + + 6.0.1 + + + + Configures pin routing and optionally pin electrical features. + + true + BOARD_ + core0 + true + + + + + true + + + + + + + + + + + + + + + + + 6.0.1 + + + + + + + + + true + + + + + true + + + + + true + + + + + + + + + + + + false + + + + + + + + true + + + + + true + + + + + true + + + + + + + + + + + + false + + + + + + + + true + + + + + true + + + + + true + + + + + + + + + + true + + + + + + N/A + + + + + + N/A + + + + + + + N/A + + + + + + \ No newline at end of file diff --git a/boards/lpcxpresso804/demo_apps/new_project/new_project_v3_14.xml b/boards/lpcxpresso804/demo_apps/new_project/new_project_v3_14.xml new file mode 100644 index 0000000..605dd6a --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/new_project_v3_14.xml @@ -0,0 +1,139 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/boards/lpcxpresso804/demo_apps/new_project/peripherals.c b/boards/lpcxpresso804/demo_apps/new_project/peripherals.c new file mode 100644 index 0000000..88cbab5 --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/peripherals.c @@ -0,0 +1,57 @@ +/* + * Copyright 2017-2021 NXP + * All rights reserved. + * + * SPDX-License-Identifier: BSD-3-Clause + */ + +/*********************************************************************************************************************** + * This file was generated by the MCUXpresso Config Tools. Any manual edits made to this file + * will be overwritten if the respective MCUXpresso Config Tools is used to update this file. + **********************************************************************************************************************/ + +/* clang-format off */ +/* TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* +!!GlobalInfo +product: Peripherals v8.0 +processor: LPC804 +package_id: LPC804M101JDH24 +mcu_data: ksdk2_0 +processor_version: 0.9.4 +board: LPCXpresso804 +functionalGroups: +- name: BOARD_InitPeripherals + UUID: 4d2f4a99-7981-4376-859d-05028596f45c + called_from_default_init: true + selectedCore: core0 + * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS **********/ + +/* TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* +component: +- type: 'system' +- type_id: 'system' +- global_system_definitions: + - user_definitions: '' + - user_includes: '' + * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS **********/ +/* clang-format on */ + +/*********************************************************************************************************************** + * Included files + **********************************************************************************************************************/ +#include "peripherals.h" + +/*********************************************************************************************************************** + * Initialization functions + **********************************************************************************************************************/ +void BOARD_InitPeripherals(void) +{ +} + +/*********************************************************************************************************************** + * BOARD_InitBootPeripherals function + **********************************************************************************************************************/ +void BOARD_InitBootPeripherals(void) +{ + BOARD_InitPeripherals(); +} diff --git a/boards/lpcxpresso804/demo_apps/new_project/peripherals.h b/boards/lpcxpresso804/demo_apps/new_project/peripherals.h new file mode 100644 index 0000000..eb0e7ac --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/peripherals.h @@ -0,0 +1,35 @@ +/* + * Copyright 2017-2021 NXP + * All rights reserved. + * + * SPDX-License-Identifier: BSD-3-Clause + */ + +/*********************************************************************************************************************** + * This file was generated by the MCUXpresso Config Tools. Any manual edits made to this file + * will be overwritten if the respective MCUXpresso Config Tools is used to update this file. + **********************************************************************************************************************/ + +#ifndef _PERIPHERALS_H_ +#define _PERIPHERALS_H_ + +#if defined(__cplusplus) +extern "C" { +#endif /* __cplusplus */ + +/*********************************************************************************************************************** + * Initialization functions + **********************************************************************************************************************/ + +void BOARD_InitPeripherals(void); + +/*********************************************************************************************************************** + * BOARD_InitBootPeripherals function + **********************************************************************************************************************/ +void BOARD_InitBootPeripherals(void); + +#if defined(__cplusplus) +} +#endif + +#endif /* _PERIPHERALS_H_ */ diff --git a/boards/lpcxpresso804/demo_apps/new_project/pin_mux.c b/boards/lpcxpresso804/demo_apps/new_project/pin_mux.c new file mode 100644 index 0000000..4ea401b --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/pin_mux.c @@ -0,0 +1,409 @@ +/* + * Copyright 2017-2021 NXP + * All rights reserved. + * + * SPDX-License-Identifier: BSD-3-Clause + */ + +/*********************************************************************************************************************** + * This file was generated by the MCUXpresso Config Tools. Any manual edits made to this file + * will be overwritten if the respective MCUXpresso Config Tools is used to update this file. + **********************************************************************************************************************/ + +/* clang-format off */ +/* + * TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* +!!GlobalInfo +product: Pins v8.0 +processor: LPC804 +package_id: LPC804M101JDH24 +mcu_data: ksdk2_0 +processor_version: 0.9.4 +board: LPCXpresso804 + * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS *********** + */ +/* clang-format on */ + +#include "fsl_common.h" +#include "fsl_gpio.h" +#include "fsl_iocon.h" +#include "fsl_swm.h" +#include "pin_mux.h" + +/* FUNCTION ************************************************************************************************************ + * + * Function Name : BOARD_InitBootPins + * Description : Calls initialization functions. + * + * END ****************************************************************************************************************/ +void BOARD_InitBootPins(void) +{ + BOARD_InitPins(); + BOARD_InitDEBUG_UARTPins(); +} + +/* clang-format off */ +/* + * TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* +BOARD_InitPins: +- options: {callFromInitBoot: 'true', coreID: core0, enableClock: 'true'} +- pin_list: [] + * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS *********** + */ +/* clang-format on */ + +/* FUNCTION ************************************************************************************************************ + * + * Function Name : BOARD_InitPins + * Description : Configures pin routing and optionally pin electrical features. + * + * END ****************************************************************************************************************/ +/* Function assigned for the Cortex-M0P */ +void BOARD_InitPins(void) +{ +} + +/* clang-format off */ +/* + * TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* +BOARD_InitLEDsPins: +- options: {callFromInitBoot: 'false', coreID: core0, enableClock: 'true'} +- pin_list: + - {pin_num: '10', peripheral: GPIO, signal: 'PIO0, 11', pin_signal: PIO0_11/ADC_6/WKTCLKIN, direction: OUTPUT, gpio_init_state: 'true', mode: inactive, invert: disabled, + hysteresis: enabled, opendrain: disabled} + - {pin_num: '5', peripheral: GPIO, signal: 'PIO0, 12', pin_signal: PIO0_12, identifier: LED_GREEN, direction: OUTPUT, gpio_init_state: 'true', mode: inactive, invert: disabled, + hysteresis: enabled, opendrain: disabled} + - {pin_num: '4', peripheral: GPIO, signal: 'PIO0, 13', pin_signal: PIO0_13/ADC_10, identifier: LED_RED, direction: OUTPUT, gpio_init_state: 'true', mode: inactive, + invert: disabled, hysteresis: enabled, opendrain: disabled} + * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS *********** + */ +/* clang-format on */ + +/* FUNCTION ************************************************************************************************************ + * + * Function Name : BOARD_InitLEDsPins + * Description : Configures pin routing and optionally pin electrical features. + * + * END ****************************************************************************************************************/ +/* Function assigned for the Cortex-M0P */ +void BOARD_InitLEDsPins(void) +{ + /* Enables clock for IOCON.: enable */ + CLOCK_EnableClock(kCLOCK_Iocon); + /* Enables the clock for the GPIO0 module */ + CLOCK_EnableClock(kCLOCK_Gpio0); + + gpio_pin_config_t LED_BLUE_config = { + .pinDirection = kGPIO_DigitalOutput, + .outputLogic = 1U, + }; + /* Initialize GPIO functionality on pin PIO0_11 (pin 10) */ + GPIO_PinInit(BOARD_INITLEDSPINS_LED_BLUE_GPIO, BOARD_INITLEDSPINS_LED_BLUE_PORT, BOARD_INITLEDSPINS_LED_BLUE_PIN, &LED_BLUE_config); + + gpio_pin_config_t LED_GREEN_config = { + .pinDirection = kGPIO_DigitalOutput, + .outputLogic = 1U, + }; + /* Initialize GPIO functionality on pin PIO0_12 (pin 5) */ + GPIO_PinInit(BOARD_INITLEDSPINS_LED_GREEN_GPIO, BOARD_INITLEDSPINS_LED_GREEN_PORT, BOARD_INITLEDSPINS_LED_GREEN_PIN, &LED_GREEN_config); + + gpio_pin_config_t LED_RED_config = { + .pinDirection = kGPIO_DigitalOutput, + .outputLogic = 1U, + }; + /* Initialize GPIO functionality on pin PIO0_13 (pin 4) */ + GPIO_PinInit(BOARD_INITLEDSPINS_LED_RED_GPIO, BOARD_INITLEDSPINS_LED_RED_PORT, BOARD_INITLEDSPINS_LED_RED_PIN, &LED_RED_config); + + const uint32_t LED_BLUE = (/* No addition pin function */ + IOCON_PIO_MODE_INACT | + /* Enable hysteresis */ + IOCON_PIO_HYS_EN | + /* Input not invert */ + IOCON_PIO_INV_DI | + /* Disables Open-drain function */ + IOCON_PIO_OD_DI); + /* PIO0 PIN11 (coords: 10) is configured as GPIO, PIO0, 11. */ + IOCON_PinMuxSet(IOCON, IOCON_INDEX_PIO0_11, LED_BLUE); + + const uint32_t LED_GREEN = (/* No addition pin function */ + IOCON_PIO_MODE_INACT | + /* Enable hysteresis */ + IOCON_PIO_HYS_EN | + /* Input not invert */ + IOCON_PIO_INV_DI | + /* Disables Open-drain function */ + IOCON_PIO_OD_DI); + /* PIO0 PIN12 (coords: 5) is configured as GPIO, PIO0, 12. */ + IOCON_PinMuxSet(IOCON, IOCON_INDEX_PIO0_12, LED_GREEN); + + const uint32_t LED_RED = (/* No addition pin function */ + IOCON_PIO_MODE_INACT | + /* Enable hysteresis */ + IOCON_PIO_HYS_EN | + /* Input not invert */ + IOCON_PIO_INV_DI | + /* Disables Open-drain function */ + IOCON_PIO_OD_DI); + /* PIO0 PIN13 (coords: 4) is configured as GPIO, PIO0, 13. */ + IOCON_PinMuxSet(IOCON, IOCON_INDEX_PIO0_13, LED_RED); +} + +/* clang-format off */ +/* + * TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* +BOARD_InitDEBUG_UARTPins: +- options: {callFromInitBoot: 'true', coreID: core0, enableClock: 'true'} +- pin_list: + - {pin_num: '22', peripheral: USART0, signal: RXD, pin_signal: PIO0_0/ACMP_I1, mode: pullUp, invert: disabled, hysteresis: enabled, opendrain: disabled} + - {pin_num: '7', peripheral: USART0, signal: TXD, pin_signal: PIO0_4/ADC_11, mode: pullUp, invert: disabled, hysteresis: enabled, opendrain: disabled} + * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS *********** + */ +/* clang-format on */ + +/* FUNCTION ************************************************************************************************************ + * + * Function Name : BOARD_InitDEBUG_UARTPins + * Description : Configures pin routing and optionally pin electrical features. + * + * END ****************************************************************************************************************/ +/* Function assigned for the Cortex-M0P */ +void BOARD_InitDEBUG_UARTPins(void) +{ + /* Enables clock for IOCON.: enable */ + CLOCK_EnableClock(kCLOCK_Iocon); + /* Enables clock for switch matrix.: enable */ + CLOCK_EnableClock(kCLOCK_Swm); + + const uint32_t DEBUG_UART_RX = (/* Selects pull-up function */ + IOCON_PIO_MODE_PULLUP | + /* Enable hysteresis */ + IOCON_PIO_HYS_EN | + /* Input not invert */ + IOCON_PIO_INV_DI | + /* Disables Open-drain function */ + IOCON_PIO_OD_DI); + /* PIO0 PIN0 (coords: 22) is configured as USART0, RXD. */ + IOCON_PinMuxSet(IOCON, IOCON_INDEX_PIO0_0, DEBUG_UART_RX); + + const uint32_t DEBUG_UART_TX = (/* Selects pull-up function */ + IOCON_PIO_MODE_PULLUP | + /* Enable hysteresis */ + IOCON_PIO_HYS_EN | + /* Input not invert */ + IOCON_PIO_INV_DI | + /* Disables Open-drain function */ + IOCON_PIO_OD_DI); + /* PIO0 PIN4 (coords: 7) is configured as USART0, TXD. */ + IOCON_PinMuxSet(IOCON, IOCON_INDEX_PIO0_4, DEBUG_UART_TX); + + /* USART0_TXD connect to P0_4 */ + SWM_SetMovablePinSelect(SWM0, kSWM_USART0_TXD, kSWM_PortPin_P0_4); + + /* USART0_RXD connect to P0_0 */ + SWM_SetMovablePinSelect(SWM0, kSWM_USART0_RXD, kSWM_PortPin_P0_0); + + /* Disable clock for switch matrix. */ + CLOCK_DisableClock(kCLOCK_Swm); +} + +/* clang-format off */ +/* + * TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* +BOARD_InitSWD_DEBUGPins: +- options: {callFromInitBoot: 'false', coreID: core0, enableClock: 'true'} +- pin_list: + - {pin_num: '8', peripheral: SWD, signal: SWCLK, pin_signal: SWCLK/PIO0_3, mode: pullUp, invert: disabled, hysteresis: enabled, opendrain: disabled} + - {pin_num: '9', peripheral: SWD, signal: SWDIO, pin_signal: SWDIO/PIO0_2, mode: pullUp, invert: disabled, hysteresis: enabled, opendrain: disabled} + - {pin_num: '6', peripheral: SYSCON, signal: RESETN, pin_signal: RESETN/PIO0_5, mode: pullUp, invert: disabled, hysteresis: enabled, opendrain: disabled} + * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS *********** + */ +/* clang-format on */ + +/* FUNCTION ************************************************************************************************************ + * + * Function Name : BOARD_InitSWD_DEBUGPins + * Description : Configures pin routing and optionally pin electrical features. + * + * END ****************************************************************************************************************/ +/* Function assigned for the Cortex-M0P */ +void BOARD_InitSWD_DEBUGPins(void) +{ + /* Enables clock for IOCON.: enable */ + CLOCK_EnableClock(kCLOCK_Iocon); + /* Enables clock for switch matrix.: enable */ + CLOCK_EnableClock(kCLOCK_Swm); + + const uint32_t DEBUG_SWD_SWDIO = (/* Selects pull-up function */ + IOCON_PIO_MODE_PULLUP | + /* Enable hysteresis */ + IOCON_PIO_HYS_EN | + /* Input not invert */ + IOCON_PIO_INV_DI | + /* Disables Open-drain function */ + IOCON_PIO_OD_DI); + /* PIO0 PIN2 (coords: 9) is configured as SWD, SWDIO. */ + IOCON_PinMuxSet(IOCON, IOCON_INDEX_PIO0_2, DEBUG_SWD_SWDIO); + + const uint32_t DEBUG_SWD_SWDCLK = (/* Selects pull-up function */ + IOCON_PIO_MODE_PULLUP | + /* Enable hysteresis */ + IOCON_PIO_HYS_EN | + /* Input not invert */ + IOCON_PIO_INV_DI | + /* Disables Open-drain function */ + IOCON_PIO_OD_DI); + /* PIO0 PIN3 (coords: 8) is configured as SWD, SWCLK. */ + IOCON_PinMuxSet(IOCON, IOCON_INDEX_PIO0_3, DEBUG_SWD_SWDCLK); + + const uint32_t DEBUG_SWD_RESETN = (/* Selects pull-up function */ + IOCON_PIO_MODE_PULLUP | + /* Enable hysteresis */ + IOCON_PIO_HYS_EN | + /* Input not invert */ + IOCON_PIO_INV_DI | + /* Disables Open-drain function */ + IOCON_PIO_OD_DI); + /* PIO0 PIN5 (coords: 6) is configured as SYSCON, RESETN. */ + IOCON_PinMuxSet(IOCON, IOCON_INDEX_PIO0_5, DEBUG_SWD_RESETN); + + /* SWCLK connect to P0_3 */ + SWM_SetFixedPinSelect(SWM0, kSWM_SWCLK, true); + + /* SWDIO connect to P0_2 */ + SWM_SetFixedPinSelect(SWM0, kSWM_SWDIO, true); + + /* RESETN connect to P0_5 */ + SWM_SetFixedPinSelect(SWM0, kSWM_RESETN, true); + + /* Disable clock for switch matrix. */ + CLOCK_DisableClock(kCLOCK_Swm); +} + +/* clang-format off */ +/* + * TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* +BOARD_InitI2CPins: +- options: {callFromInitBoot: 'false', coreID: core0, enableClock: 'true'} +- pin_list: + - {pin_num: '23', peripheral: I2C0, signal: SCL, pin_signal: PIO0_14/ACMP_I3/ADC_2, mode: pullUp, invert: disabled, hysteresis: enabled, opendrain: disabled} + - {pin_num: '20', peripheral: I2C0, signal: SDA, pin_signal: PIO0_7/ADC_1/ACMPVREF, mode: pullUp, invert: disabled, hysteresis: enabled, opendrain: disabled} + * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS *********** + */ +/* clang-format on */ + +/* FUNCTION ************************************************************************************************************ + * + * Function Name : BOARD_InitI2CPins + * Description : Configures pin routing and optionally pin electrical features. + * + * END ****************************************************************************************************************/ +/* Function assigned for the Cortex-M0P */ +void BOARD_InitI2CPins(void) +{ + /* Enables clock for IOCON.: enable */ + CLOCK_EnableClock(kCLOCK_Iocon); + /* Enables clock for switch matrix.: enable */ + CLOCK_EnableClock(kCLOCK_Swm); + + const uint32_t I2C_SCL = (/* Selects pull-up function */ + IOCON_PIO_MODE_PULLUP | + /* Enable hysteresis */ + IOCON_PIO_HYS_EN | + /* Input not invert */ + IOCON_PIO_INV_DI | + /* Disables Open-drain function */ + IOCON_PIO_OD_DI); + /* PIO0 PIN14 (coords: 23) is configured as I2C0, SCL. */ + IOCON_PinMuxSet(IOCON, IOCON_INDEX_PIO0_14, I2C_SCL); + + const uint32_t I2C_SDA = (/* Selects pull-up function */ + IOCON_PIO_MODE_PULLUP | + /* Enable hysteresis */ + IOCON_PIO_HYS_EN | + /* Input not invert */ + IOCON_PIO_INV_DI | + /* Disables Open-drain function */ + IOCON_PIO_OD_DI); + /* PIO0 PIN7 (coords: 20) is configured as I2C0, SDA. */ + IOCON_PinMuxSet(IOCON, IOCON_INDEX_PIO0_7, I2C_SDA); + + /* I2C0_SDA connect to P0_7 */ + SWM_SetMovablePinSelect(SWM0, kSWM_I2C0_SDA, kSWM_PortPin_P0_7); + + /* I2C0_SCL connect to P0_14 */ + SWM_SetMovablePinSelect(SWM0, kSWM_I2C0_SCL, kSWM_PortPin_P0_14); + + /* Disable clock for switch matrix. */ + CLOCK_DisableClock(kCLOCK_Swm); +} + +/* clang-format off */ +/* + * TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* +BOARD_InitBUTTONsPins: +- options: {callFromInitBoot: 'false', coreID: core0, enableClock: 'true'} +- pin_list: + - {pin_num: '4', peripheral: GPIO, signal: 'PIO0, 13', pin_signal: PIO0_13/ADC_10, identifier: S1, direction: INPUT, mode: pullUp, invert: disabled, hysteresis: enabled, + opendrain: disabled} + - {pin_num: '5', peripheral: GPIO, signal: 'PIO0, 12', pin_signal: PIO0_12, identifier: S2, direction: INPUT, mode: pullUp, invert: disabled, hysteresis: enabled, + opendrain: disabled} + * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS *********** + */ +/* clang-format on */ + +/* FUNCTION ************************************************************************************************************ + * + * Function Name : BOARD_InitBUTTONsPins + * Description : Configures pin routing and optionally pin electrical features. + * + * END ****************************************************************************************************************/ +/* Function assigned for the Cortex-M0P */ +void BOARD_InitBUTTONsPins(void) +{ + /* Enables clock for IOCON.: enable */ + CLOCK_EnableClock(kCLOCK_Iocon); + /* Enables the clock for the GPIO0 module */ + CLOCK_EnableClock(kCLOCK_Gpio0); + + gpio_pin_config_t S2_config = { + .pinDirection = kGPIO_DigitalInput, + .outputLogic = 0U, + }; + /* Initialize GPIO functionality on pin PIO0_12 (pin 5) */ + GPIO_PinInit(BOARD_INITBUTTONSPINS_S2_GPIO, BOARD_INITBUTTONSPINS_S2_PORT, BOARD_INITBUTTONSPINS_S2_PIN, &S2_config); + + gpio_pin_config_t S1_config = { + .pinDirection = kGPIO_DigitalInput, + .outputLogic = 0U, + }; + /* Initialize GPIO functionality on pin PIO0_13 (pin 4) */ + GPIO_PinInit(BOARD_INITBUTTONSPINS_S1_GPIO, BOARD_INITBUTTONSPINS_S1_PORT, BOARD_INITBUTTONSPINS_S1_PIN, &S1_config); + + const uint32_t S2 = (/* Selects pull-up function */ + IOCON_PIO_MODE_PULLUP | + /* Enable hysteresis */ + IOCON_PIO_HYS_EN | + /* Input not invert */ + IOCON_PIO_INV_DI | + /* Disables Open-drain function */ + IOCON_PIO_OD_DI); + /* PIO0 PIN12 (coords: 5) is configured as GPIO, PIO0, 12. */ + IOCON_PinMuxSet(IOCON, IOCON_INDEX_PIO0_12, S2); + + const uint32_t S1 = (/* Selects pull-up function */ + IOCON_PIO_MODE_PULLUP | + /* Enable hysteresis */ + IOCON_PIO_HYS_EN | + /* Input not invert */ + IOCON_PIO_INV_DI | + /* Disables Open-drain function */ + IOCON_PIO_OD_DI); + /* PIO0 PIN13 (coords: 4) is configured as GPIO, PIO0, 13. */ + IOCON_PinMuxSet(IOCON, IOCON_INDEX_PIO0_13, S1); + + /* Disable clock for switch matrix. */ + CLOCK_DisableClock(kCLOCK_Swm); +} +/*********************************************************************************************************************** + * EOF + **********************************************************************************************************************/ diff --git a/boards/lpcxpresso804/demo_apps/new_project/pin_mux.h b/boards/lpcxpresso804/demo_apps/new_project/pin_mux.h new file mode 100644 index 0000000..7865eaa --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/pin_mux.h @@ -0,0 +1,536 @@ +/* + * Copyright 2017-2021 NXP + * All rights reserved. + * + * SPDX-License-Identifier: BSD-3-Clause + */ + +/*********************************************************************************************************************** + * This file was generated by the MCUXpresso Config Tools. Any manual edits made to this file + * will be overwritten if the respective MCUXpresso Config Tools is used to update this file. + **********************************************************************************************************************/ + +#ifndef _PIN_MUX_H_ +#define _PIN_MUX_H_ + +/*********************************************************************************************************************** + * Definitions + **********************************************************************************************************************/ + +/*! @brief Direction type */ +typedef enum _pin_mux_direction +{ + kPIN_MUX_DirectionInput = 0U, /* Input direction */ + kPIN_MUX_DirectionOutput = 1U, /* Output direction */ + kPIN_MUX_DirectionInputOrOutput = 2U /* Input or output direction */ +} pin_mux_direction_t; + +/*! + * @addtogroup pin_mux + * @{ + */ + +/*********************************************************************************************************************** + * API + **********************************************************************************************************************/ + +#if defined(__cplusplus) +extern "C" { +#endif + +/*! + * @brief Calls initialization functions. + * + */ +void BOARD_InitBootPins(void); + +/*! + * @brief Configures pin routing and optionally pin electrical features. + * + */ +void BOARD_InitPins(void); /* Function assigned for the Cortex-M0P */ + +#define IOCON_PIO_HYS_EN 0x20u /*!<@brief Enable hysteresis */ +#define IOCON_PIO_INV_DI 0x00u /*!<@brief Input not invert */ +#define IOCON_PIO_MODE_INACT 0x00u /*!<@brief No addition pin function */ +#define IOCON_PIO_OD_DI 0x00u /*!<@brief Disables Open-drain function */ + +/*! @name PIO0_11 (number 10), CN6[10]/CN8[3]/D4/PIO0_11/M_PIO0_11 + @{ */ +/* Routed pin properties */ +/*! + * @brief Peripheral name */ +#define BOARD_INITLEDSPINS_LED_BLUE_PERIPHERAL GPIO +/*! + * @brief Signal name */ +#define BOARD_INITLEDSPINS_LED_BLUE_SIGNAL PIO0 +/*! + * @brief Signal channel */ +#define BOARD_INITLEDSPINS_LED_BLUE_CHANNEL 11 +/*! + * @brief Routed pin name */ +#define BOARD_INITLEDSPINS_LED_BLUE_PIN_NAME PIO0_11 +/*! + * @brief Label */ +#define BOARD_INITLEDSPINS_LED_BLUE_LABEL "CN6[10]/CN8[3]/D4/PIO0_11/M_PIO0_11" +/*! + * @brief Identifier */ +#define BOARD_INITLEDSPINS_LED_BLUE_NAME "LED_BLUE" +/*! + * @brief Direction */ +#define BOARD_INITLEDSPINS_LED_BLUE_DIRECTION kPIN_MUX_DirectionOutput + +/* Symbols to be used with GPIO driver */ +/*! + * @brief GPIO peripheral base pointer */ +#define BOARD_INITLEDSPINS_LED_BLUE_GPIO GPIO +/*! + * @brief GPIO pin number */ +#define BOARD_INITLEDSPINS_LED_BLUE_GPIO_PIN 11U +/*! + * @brief GPIO pin mask */ +#define BOARD_INITLEDSPINS_LED_BLUE_GPIO_PIN_MASK (1U << 11U) +/*! + * @brief PORT device index: 0 */ +#define BOARD_INITLEDSPINS_LED_BLUE_PORT 0U +/*! + * @brief PORT pin number */ +#define BOARD_INITLEDSPINS_LED_BLUE_PIN 11U +/*! + * @brief PORT pin mask */ +#define BOARD_INITLEDSPINS_LED_BLUE_PIN_MASK (1U << 11U) +/* @} */ + +/*! @name PIO0_12 (number 5), S2/CN8[6]/CN6[5]/D3/M_PIO0_12/PIO0_12 + @{ */ +/* Routed pin properties */ +/*! + * @brief Peripheral name */ +#define BOARD_INITLEDSPINS_LED_GREEN_PERIPHERAL GPIO +/*! + * @brief Signal name */ +#define BOARD_INITLEDSPINS_LED_GREEN_SIGNAL PIO0 +/*! + * @brief Signal channel */ +#define BOARD_INITLEDSPINS_LED_GREEN_CHANNEL 12 +/*! + * @brief Routed pin name */ +#define BOARD_INITLEDSPINS_LED_GREEN_PIN_NAME PIO0_12 +/*! + * @brief Label */ +#define BOARD_INITLEDSPINS_LED_GREEN_LABEL "S2/CN8[6]/CN6[5]/D3/M_PIO0_12/PIO0_12" +/*! + * @brief Identifier */ +#define BOARD_INITLEDSPINS_LED_GREEN_NAME "LED_GREEN" +/*! + * @brief Direction */ +#define BOARD_INITLEDSPINS_LED_GREEN_DIRECTION kPIN_MUX_DirectionOutput + +/* Symbols to be used with GPIO driver */ +/*! + * @brief GPIO peripheral base pointer */ +#define BOARD_INITLEDSPINS_LED_GREEN_GPIO GPIO +/*! + * @brief GPIO pin number */ +#define BOARD_INITLEDSPINS_LED_GREEN_GPIO_PIN 12U +/*! + * @brief GPIO pin mask */ +#define BOARD_INITLEDSPINS_LED_GREEN_GPIO_PIN_MASK (1U << 12U) +/*! + * @brief PORT device index: 0 */ +#define BOARD_INITLEDSPINS_LED_GREEN_PORT 0U +/*! + * @brief PORT pin number */ +#define BOARD_INITLEDSPINS_LED_GREEN_PIN 12U +/*! + * @brief PORT pin mask */ +#define BOARD_INITLEDSPINS_LED_GREEN_PIN_MASK (1U << 12U) +/* @} */ + +/*! @name PIO0_13 (number 4), S1/CN8[4]/CN6[4]/D2/M_PIO0_13/PIO0_13 + @{ */ +/* Routed pin properties */ +/*! + * @brief Peripheral name */ +#define BOARD_INITLEDSPINS_LED_RED_PERIPHERAL GPIO +/*! + * @brief Signal name */ +#define BOARD_INITLEDSPINS_LED_RED_SIGNAL PIO0 +/*! + * @brief Signal channel */ +#define BOARD_INITLEDSPINS_LED_RED_CHANNEL 13 +/*! + * @brief Routed pin name */ +#define BOARD_INITLEDSPINS_LED_RED_PIN_NAME PIO0_13 +/*! + * @brief Label */ +#define BOARD_INITLEDSPINS_LED_RED_LABEL "S1/CN8[4]/CN6[4]/D2/M_PIO0_13/PIO0_13" +/*! + * @brief Identifier */ +#define BOARD_INITLEDSPINS_LED_RED_NAME "LED_RED" +/*! + * @brief Direction */ +#define BOARD_INITLEDSPINS_LED_RED_DIRECTION kPIN_MUX_DirectionOutput + +/* Symbols to be used with GPIO driver */ +/*! + * @brief GPIO peripheral base pointer */ +#define BOARD_INITLEDSPINS_LED_RED_GPIO GPIO +/*! + * @brief GPIO pin number */ +#define BOARD_INITLEDSPINS_LED_RED_GPIO_PIN 13U +/*! + * @brief GPIO pin mask */ +#define BOARD_INITLEDSPINS_LED_RED_GPIO_PIN_MASK (1U << 13U) +/*! + * @brief PORT device index: 0 */ +#define BOARD_INITLEDSPINS_LED_RED_PORT 0U +/*! + * @brief PORT pin number */ +#define BOARD_INITLEDSPINS_LED_RED_PIN 13U +/*! + * @brief PORT pin mask */ +#define BOARD_INITLEDSPINS_LED_RED_PIN_MASK (1U << 13U) +/* @} */ + +/*! + * @brief Configures pin routing and optionally pin electrical features. + * + */ +void BOARD_InitLEDsPins(void); /* Function assigned for the Cortex-M0P */ + +#define IOCON_PIO_HYS_EN 0x20u /*!<@brief Enable hysteresis */ +#define IOCON_PIO_INV_DI 0x00u /*!<@brief Input not invert */ +#define IOCON_PIO_MODE_PULLUP 0x10u /*!<@brief Selects pull-up function */ +#define IOCON_PIO_OD_DI 0x00u /*!<@brief Disables Open-drain function */ + +/*! @name PIO0_0 (number 22), CN7[3]/CN8[8]/JP2/PIO0_0 + @{ */ +/* Routed pin properties */ +/*! + * @brief Peripheral name */ +#define BOARD_INITDEBUG_UARTPINS_DEBUG_UART_RX_PERIPHERAL USART0 +/*! + * @brief Signal name */ +#define BOARD_INITDEBUG_UARTPINS_DEBUG_UART_RX_SIGNAL RXD +/*! + * @brief Routed pin name */ +#define BOARD_INITDEBUG_UARTPINS_DEBUG_UART_RX_PIN_NAME PIO0_0 +/*! + * @brief Label */ +#define BOARD_INITDEBUG_UARTPINS_DEBUG_UART_RX_LABEL "CN7[3]/CN8[8]/JP2/PIO0_0" +/*! + * @brief Identifier */ +#define BOARD_INITDEBUG_UARTPINS_DEBUG_UART_RX_NAME "DEBUG_UART_RX" +/*! + * @brief PORT device index: 0 */ +#define BOARD_INITDEBUG_UARTPINS_DEBUG_UART_RX_PORT 0U +/*! + * @brief PORT pin number */ +#define BOARD_INITDEBUG_UARTPINS_DEBUG_UART_RX_PIN 0U +/*! + * @brief PORT pin mask */ +#define BOARD_INITDEBUG_UARTPINS_DEBUG_UART_RX_PIN_MASK (1U << 0U) +/* @} */ + +/*! @name PIO0_4 (number 7), CN6[7]/CN8[7]/CN5[5]/JP24/PIO0_4 + @{ */ +/* Routed pin properties */ +/*! + * @brief Peripheral name */ +#define BOARD_INITDEBUG_UARTPINS_DEBUG_UART_TX_PERIPHERAL USART0 +/*! + * @brief Signal name */ +#define BOARD_INITDEBUG_UARTPINS_DEBUG_UART_TX_SIGNAL TXD +/*! + * @brief Routed pin name */ +#define BOARD_INITDEBUG_UARTPINS_DEBUG_UART_TX_PIN_NAME PIO0_4 +/*! + * @brief Label */ +#define BOARD_INITDEBUG_UARTPINS_DEBUG_UART_TX_LABEL "CN6[7]/CN8[7]/CN5[5]/JP24/PIO0_4" +/*! + * @brief Identifier */ +#define BOARD_INITDEBUG_UARTPINS_DEBUG_UART_TX_NAME "DEBUG_UART_TX" +/*! + * @brief PORT device index: 0 */ +#define BOARD_INITDEBUG_UARTPINS_DEBUG_UART_TX_PORT 0U +/*! + * @brief PORT pin number */ +#define BOARD_INITDEBUG_UARTPINS_DEBUG_UART_TX_PIN 4U +/*! + * @brief PORT pin mask */ +#define BOARD_INITDEBUG_UARTPINS_DEBUG_UART_TX_PIN_MASK (1U << 4U) +/* @} */ + +/*! + * @brief Configures pin routing and optionally pin electrical features. + * + */ +void BOARD_InitDEBUG_UARTPins(void); /* Function assigned for the Cortex-M0P */ + +#define IOCON_PIO_HYS_EN 0x20u /*!<@brief Enable hysteresis */ +#define IOCON_PIO_INV_DI 0x00u /*!<@brief Input not invert */ +#define IOCON_PIO_MODE_PULLUP 0x10u /*!<@brief Selects pull-up function */ +#define IOCON_PIO_OD_DI 0x00u /*!<@brief Disables Open-drain function */ + +/*! @name SWCLK (number 8), CN6[8]/CN1[4]/U1[16]/SWCLK_PIO0_3 + @{ */ +/* Routed pin properties */ +/*! + * @brief Peripheral name */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_SWDCLK_PERIPHERAL SWD +/*! + * @brief Signal name */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_SWDCLK_SIGNAL SWCLK +/*! + * @brief Routed pin name */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_SWDCLK_PIN_NAME SWCLK +/*! + * @brief Label */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_SWDCLK_LABEL "CN6[8]/CN1[4]/U1[16]/SWCLK_PIO0_3" +/*! + * @brief Identifier */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_SWDCLK_NAME "DEBUG_SWD_SWDCLK" +/*! + * @brief PORT device index: 0 */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_SWDCLK_PORT 0U +/*! + * @brief PORT pin number */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_SWDCLK_PIN 3U +/*! + * @brief PORT pin mask */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_SWDCLK_PIN_MASK (1U << 3U) +/* @} */ + +/*! @name SWDIO (number 9), CN6[9]/CN1[2]/U1[17]/SWDIO_PIO0_2 + @{ */ +/* Routed pin properties */ +/*! + * @brief Peripheral name */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_SWDIO_PERIPHERAL SWD +/*! + * @brief Signal name */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_SWDIO_SIGNAL SWDIO +/*! + * @brief Routed pin name */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_SWDIO_PIN_NAME SWDIO +/*! + * @brief Label */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_SWDIO_LABEL "CN6[9]/CN1[2]/U1[17]/SWDIO_PIO0_2" +/*! + * @brief Identifier */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_SWDIO_NAME "DEBUG_SWD_SWDIO" +/*! + * @brief PORT device index: 0 */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_SWDIO_PORT 0U +/*! + * @brief PORT pin number */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_SWDIO_PIN 2U +/*! + * @brief PORT pin mask */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_SWDIO_PIN_MASK (1U << 2U) +/* @} */ + +/*! @name RESETN (number 6), CN6[6]/CN1[10]/S3/CN4[3]/U1[3]/U1[8]/TRST_P0_5 + @{ */ +/* Routed pin properties */ +/*! + * @brief Peripheral name */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_RESETN_PERIPHERAL SYSCON +/*! + * @brief Signal name */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_RESETN_SIGNAL RESETN +/*! + * @brief Routed pin name */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_RESETN_PIN_NAME RESETN +/*! + * @brief Label */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_RESETN_LABEL "CN6[6]/CN1[10]/S3/CN4[3]/U1[3]/U1[8]/TRST_P0_5" +/*! + * @brief Identifier */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_RESETN_NAME "DEBUG_SWD_RESETN" +/*! + * @brief PORT device index: 0 */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_RESETN_PORT 0U +/*! + * @brief PORT pin number */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_RESETN_PIN 5U +/*! + * @brief PORT pin mask */ +#define BOARD_INITSWD_DEBUGPINS_DEBUG_SWD_RESETN_PIN_MASK (1U << 5U) +/* @} */ + +/*! + * @brief Configures pin routing and optionally pin electrical features. + * + */ +void BOARD_InitSWD_DEBUGPins(void); /* Function assigned for the Cortex-M0P */ + +#define IOCON_PIO_HYS_EN 0x20u /*!<@brief Enable hysteresis */ +#define IOCON_PIO_INV_DI 0x00u /*!<@brief Input not invert */ +#define IOCON_PIO_MODE_PULLUP 0x10u /*!<@brief Selects pull-up function */ +#define IOCON_PIO_OD_DI 0x00u /*!<@brief Disables Open-drain function */ + +/*! @name PIO0_14 (number 23), CN7[2]/CN3[1]/JP4/PIO0_14 + @{ */ +/* Routed pin properties */ +#define BOARD_INITI2CPINS_I2C_SCL_PERIPHERAL I2C0 /*!<@brief Peripheral name */ +#define BOARD_INITI2CPINS_I2C_SCL_SIGNAL SCL /*!<@brief Signal name */ +#define BOARD_INITI2CPINS_I2C_SCL_PIN_NAME PIO0_14 /*!<@brief Routed pin name */ +#define BOARD_INITI2CPINS_I2C_SCL_LABEL "CN7[2]/CN3[1]/JP4/PIO0_14" /*!<@brief Label */ +#define BOARD_INITI2CPINS_I2C_SCL_NAME "I2C_SCL" /*!<@brief Identifier */ +#define BOARD_INITI2CPINS_I2C_SCL_PORT 0U /*!<@brief PORT device index: 0 */ +#define BOARD_INITI2CPINS_I2C_SCL_PIN 14U /*!<@brief PORT pin number */ +#define BOARD_INITI2CPINS_I2C_SCL_PIN_MASK (1U << 14U) /*!<@brief PORT pin mask */ + /* @} */ + +/*! @name PIO0_7 (number 20), CN7[5]/CN3[2]/JP23/CN5[4]/PIO0_7 + @{ */ +/* Routed pin properties */ +/*! + * @brief Peripheral name */ +#define BOARD_INITI2CPINS_I2C_SDA_PERIPHERAL I2C0 +/*! + * @brief Signal name */ +#define BOARD_INITI2CPINS_I2C_SDA_SIGNAL SDA +/*! + * @brief Routed pin name */ +#define BOARD_INITI2CPINS_I2C_SDA_PIN_NAME PIO0_7 +/*! + * @brief Label */ +#define BOARD_INITI2CPINS_I2C_SDA_LABEL "CN7[5]/CN3[2]/JP23/CN5[4]/PIO0_7" +/*! + * @brief Identifier */ +#define BOARD_INITI2CPINS_I2C_SDA_NAME "I2C_SDA" +/*! + * @brief PORT device index: 0 */ +#define BOARD_INITI2CPINS_I2C_SDA_PORT 0U +/*! + * @brief PORT pin number */ +#define BOARD_INITI2CPINS_I2C_SDA_PIN 7U +/*! + * @brief PORT pin mask */ +#define BOARD_INITI2CPINS_I2C_SDA_PIN_MASK (1U << 7U) +/* @} */ + +/*! + * @brief Configures pin routing and optionally pin electrical features. + * + */ +void BOARD_InitI2CPins(void); /* Function assigned for the Cortex-M0P */ + +#define IOCON_PIO_HYS_EN 0x20u /*!<@brief Enable hysteresis */ +#define IOCON_PIO_INV_DI 0x00u /*!<@brief Input not invert */ +#define IOCON_PIO_MODE_PULLUP 0x10u /*!<@brief Selects pull-up function */ +#define IOCON_PIO_OD_DI 0x00u /*!<@brief Disables Open-drain function */ + +/*! @name PIO0_13 (number 4), S1/CN8[4]/CN6[4]/D2/M_PIO0_13/PIO0_13 + @{ */ +/* Routed pin properties */ +/*! + * @brief Peripheral name */ +#define BOARD_INITBUTTONSPINS_S1_PERIPHERAL GPIO +/*! + * @brief Signal name */ +#define BOARD_INITBUTTONSPINS_S1_SIGNAL PIO0 +/*! + * @brief Signal channel */ +#define BOARD_INITBUTTONSPINS_S1_CHANNEL 13 +/*! + * @brief Routed pin name */ +#define BOARD_INITBUTTONSPINS_S1_PIN_NAME PIO0_13 +/*! + * @brief Label */ +#define BOARD_INITBUTTONSPINS_S1_LABEL "S1/CN8[4]/CN6[4]/D2/M_PIO0_13/PIO0_13" +/*! + * @brief Identifier */ +#define BOARD_INITBUTTONSPINS_S1_NAME "S1" +/*! + * @brief Direction */ +#define BOARD_INITBUTTONSPINS_S1_DIRECTION kPIN_MUX_DirectionInput + +/* Symbols to be used with GPIO driver */ +/*! + * @brief GPIO peripheral base pointer */ +#define BOARD_INITBUTTONSPINS_S1_GPIO GPIO +/*! + * @brief GPIO pin number */ +#define BOARD_INITBUTTONSPINS_S1_GPIO_PIN 13U +/*! + * @brief GPIO pin mask */ +#define BOARD_INITBUTTONSPINS_S1_GPIO_PIN_MASK (1U << 13U) +/*! + * @brief PORT device index: 0 */ +#define BOARD_INITBUTTONSPINS_S1_PORT 0U +/*! + * @brief PORT pin number */ +#define BOARD_INITBUTTONSPINS_S1_PIN 13U +/*! + * @brief PORT pin mask */ +#define BOARD_INITBUTTONSPINS_S1_PIN_MASK (1U << 13U) +/* @} */ + +/*! @name PIO0_12 (number 5), S2/CN8[6]/CN6[5]/D3/M_PIO0_12/PIO0_12 + @{ */ +/* Routed pin properties */ +/*! + * @brief Peripheral name */ +#define BOARD_INITBUTTONSPINS_S2_PERIPHERAL GPIO +/*! + * @brief Signal name */ +#define BOARD_INITBUTTONSPINS_S2_SIGNAL PIO0 +/*! + * @brief Signal channel */ +#define BOARD_INITBUTTONSPINS_S2_CHANNEL 12 +/*! + * @brief Routed pin name */ +#define BOARD_INITBUTTONSPINS_S2_PIN_NAME PIO0_12 +/*! + * @brief Label */ +#define BOARD_INITBUTTONSPINS_S2_LABEL "S2/CN8[6]/CN6[5]/D3/M_PIO0_12/PIO0_12" +/*! + * @brief Identifier */ +#define BOARD_INITBUTTONSPINS_S2_NAME "S2" +/*! + * @brief Direction */ +#define BOARD_INITBUTTONSPINS_S2_DIRECTION kPIN_MUX_DirectionInput + +/* Symbols to be used with GPIO driver */ +/*! + * @brief GPIO peripheral base pointer */ +#define BOARD_INITBUTTONSPINS_S2_GPIO GPIO +/*! + * @brief GPIO pin number */ +#define BOARD_INITBUTTONSPINS_S2_GPIO_PIN 12U +/*! + * @brief GPIO pin mask */ +#define BOARD_INITBUTTONSPINS_S2_GPIO_PIN_MASK (1U << 12U) +/*! + * @brief PORT device index: 0 */ +#define BOARD_INITBUTTONSPINS_S2_PORT 0U +/*! + * @brief PORT pin number */ +#define BOARD_INITBUTTONSPINS_S2_PIN 12U +/*! + * @brief PORT pin mask */ +#define BOARD_INITBUTTONSPINS_S2_PIN_MASK (1U << 12U) +/* @} */ + +/*! + * @brief Configures pin routing and optionally pin electrical features. + * + */ +void BOARD_InitBUTTONsPins(void); /* Function assigned for the Cortex-M0P */ + +#if defined(__cplusplus) +} +#endif + +/*! + * @} + */ +#endif /* _PIN_MUX_H_ */ + +/*********************************************************************************************************************** + * EOF + **********************************************************************************************************************/ diff --git a/boards/lpcxpresso804/demo_apps/new_project/readme.md b/boards/lpcxpresso804/demo_apps/new_project/readme.md new file mode 100644 index 0000000..bb5fde1 --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/new_project/readme.md @@ -0,0 +1,24 @@ +Overview +======== +The new project is provided as empty project with device/board setup prepared. User can add additional customization take this project as starting point. + + +SDK version +=========== +- Version: 2.15.000 + +Toolchain supported +=================== +- IAR embedded Workbench 9.40.1 +- Keil MDK 5.38.1 +- GCC ARM Embedded 12.2 +- MCUXpresso 11.8.0 + +Prepare the Demo +================ +NA + +Running the demo +================ +NA + diff --git a/boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/build_all.sh b/boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/build_debug.sh b/boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/build_release.sh b/boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/clean.sh b/boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/config.cmake b/boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/flags.cmake b/boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/flags.cmake +++ b/boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/power_mode_switch_lpc.bin b/boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/power_mode_switch_lpc.bin old mode 100755 new mode 100644 index 54656e742b4abd76ab7c8faa9edb99b81b8569ee..623b1aeef78464665335dbf7940453d784bd1682 GIT binary patch delta 3457 zcmb_feQ;A%7C-mBe5Y+v0^2m{N0L`)ATb0=p*H9~-g84~D54cDAa2^~Zp2{;RNbn( zo7Une;wbbkP#Q!W7zc)7K{Tv8ngK`LUDobw9>UlPyF?u}vWiAoHZ2W(dtQLio!R|w zGxNLmzI%V?+;h)8_ui9KeY(CRZ31&I3m_rRuv^}(x_bm$H-CS{_9uu7h>M6zh;I;A z5MzjI2%-W|BlL)3L@8nlq8w3)n6BG0S9txsTNy2CmGMWLST|Wp*Ri!zO;;T-9U$9v zm1M7s9S;KNZcYt~W%y<8rb#wX{<0BZ*j5-U^cF^Nw3wEv;;}!Y9oJU?pxA%YZl(Vw zwwM48B5r>FKkAESTw^JeK}#vXFA)b3-zk1WQ2&gSknCHdB)%)i94>k%x{%(hyEmq4 zQisfmK7mcCLg0baz(R9US&;%|jhZIyBE4;s`K0Nj)tp#l{$D(ELc5sj29I8nb7y2V z>S9u=CP>3E!g0~-Iu(6~(R0d-;6Y7`)Uyi|IX5JmlZw*;ig03u6kTXe;GShiWWRfS z6f~r93*$;Am^;ZfyT;d?}Wuyw$O^`Bm7|M&|U1 zo0e92M654bE)6u75?c*w8|Ml-t|;6$w&$ZD>-pgQLLTH>8TQ&l5mpS>pM z+GMkXZ*E-Fy5@&1ePZiFFS>MGKRnmQqT2a5l|c?*sg+d@Iaep&dgqBF^P`N{kZNes zkFZ`YWpzL{oi|}A=Vzwtko(O9i`+_CRh3NZoXWJ z5^qn`MTqC1q;Yv7RAd@0q9^=XhDTXn{>wtWB>y=|tj%A@Z0=F<#S!Q!18e{fyB|_c z8ISGbz~_~|9^kvn0bWM9ag#N;$+sc=j{(%+cNew+82(vh^AkN&^@|NlxQHJ-A{SP< zzzNw@-Vfj+*!qC3GS*rSB06Sj0^aX+ss^D288ukv~>B))@iHJ;`AFvUnZZmUW-qXtl1}{ggI@(GfY`2H9m};AW*gPkEy1!~P`G zG@dyg{57uX&`exlw+4B~+wcTrKN=IO1{Sa(Ua;~?_#?+%AmLy)TcAPqjWKZC7{oJy zeoCl9s%A>h7dhrS>}w#wdbGn<+}M}%j7D$NhO7}@2T2Xq;~8~mJi}nCM|UNo?28xW z{j|P#rcG&$;sKL$f0x0jfenIn*oj|j^YmB6F8#$kak8NX-b(iu-$%TZluXtBsNA!h ziXM7PNf~PqS-P-f9uaA*q&)WQVKtsx(r;I5&zMok$T(?mcYs5CIGZ$Zq}DWz490|3 zQB92mD7%f>4m;EXa_;@{-JH6?&JGBcQwFD;OOAI{ET~v6sx}iGJvz?WHVANvwKIcM zU{0xCK}ia6a=YCQRO>q@^>8bhR|K}=6dOO#F|*_M8EASxbLS5LMia%L!pl(3ZKk4W zI{5=#V`^cm#A!5XD*y3MWN?3*Dr>4v_i!DNm0%G&!;d@x9#e{Nu82kCU0k>m1HLot z!Cu{sUM{Rj^T@Y|-)1@^D>dXaI7XAi#UV3`JG>WnxCec_lh&I9F*`%1?hHPT*B^=R z5Qqd0d%7>;5%Q_0BYmi&FFaeQkS0TCa6WJ0?^dZ#gV!j5rzB-)(xkzwmXv5~15N0- zbt0*>Rm}s|v5fezF-m5g&XA_dnGmjY3BsIULu_+-WKx7}+Kl&i13;B|4)z1D;ja%a z|NfwP4lOO^VwO-ep~kZ}AQVfbA=X(DzCXM(d@fwket-MU_H*qT2M0Qj0ljQ&Ua2mP zR5*;H1&`6mF>hxyX#fqZ5OuS+@uY5!_z!e|a_D)SRdIqpL26#$i(5{#t2*`xjAU%t z2%)>!h@?4LwnudGMv<)wmvS~imD&*Q*Rp5sX!j>igvT-)+OK8`is-wgw{eyCxp5DB zWw=FkmT>j_0bbQ?36nWG@0U_Esqpqu=cIXrr^_eJ#ByVy{9U2ESSZUa4SKFl zvbc(G&`^&*Q9|vLxtIp+^Ct=SjI)p52=}ycV(Zdu;q1XD%531)pRINgj^Qb9Z2s`4 z$1dOb(y_~HU($!l+`5t8n!R9KZfzjWUjBK}c9%K%Orhsr=w21dD^{ayQO}V+9%iT{ z)gl8f%n*()Bs207vnclf5}!lT3;%^^5slvwzsFPFSvhxFR$hk-_ztt2IU&~=`LnQ- zALKXcHUe)Ykyk~7$k5E>nUy_O7WMRSrsgOfv(iW$l(fDIYyb()sjM_p}t=Tr!zU>i?NB zILRQht6B6n#;t^QRWP)x+Xntd{fuu$e4ertvyF*A(EXs#m+JPx$Xn@lpJh1cTas$? zLF)DNd?2;;Y2XO`B*Vnwq>iCXd}X&kp!eiPKF!ntadiaF9vS49g=~UB>hk?O)ro>z z6~Q4nw_PUntFisC&m_$U-H^ehqN@Fb)9#aV0eM4Ed!X|U*v!&4-v=pD+wCispjJ0z zcB!d)e*hGg`+Ka|THjAU17b=BaB>slrJx2y%2XM~|aJJQU$;!sTGb_dJa;H0d zwp7%oPCe$kh*AcW(x!DoDwk%zdY^_u_Q0sw6#(^qWtuA!-x+f%qZ?w7oy|Bf4(6gC z|CAxO%eh%GS#j~0#KzfetI7}BnO(KO%)KKsA9{vhW-iqL6FE-S0BlwaGo6D$a^0|V zn{2DfeekxuZ56mb!_6r|zvK$)wWvOm@naYIU*S&(%N=-+swe+~gaoYrDPSZhyna4zKo?K@e2s#3D zPRE0-!4*Nu3&Cn=#kvX(34!550!UA!R|famlMB#*j~}O%+Hx|T&eM8GoVIJLP4A6h zX2U^0p<)m`!}KL>SLLqE9^swgr!ztjuV-i6hKwzwpSV8eN&hpnS~u@V`3y1%z=X>v k!O~i8vsYABRa4$(wKjY%#|REA(BeNM1lWHIykLTV1H1lNwg3PC delta 3420 zcmb_fk9Skm9sl0Em%RLHn>Ikx^an3_rKC**!Gf)HjMoT3GOr_Uy#GJy@;rdM^B^Cd!bS}7HR6AYPfoDEASOs@#z^EvuWG>fZfM0B zFCEF}?}XGJ$I3wiJZ?gCtjc=G%hyJ{Rp-}wo4iz%ymLXROW+M@>EIg@BsxZ0yss3- z>c9yXw~m4x1n41@2y2n0)DqS}9kj{fq@9+MocD)ra6Ddc4$za&<%d#h08-zMLCQ0()JJ)8+zDBB8-S)V1gQlRHdyUjjpJUw zu9WXis!+Dde;c+hPBvhfm9g**zL#KMGg-XTv+t)Rm17Aa94&)VXXwGu%^CrWwZy3& za^j-F=En806J+t+%Bu+ywu`pqsAA)FIlWdk@ymSGE1Nd5Wjj4h_dRXb3O%s9nL(8` z$eEa`#xgzS202|VFIn}$iDe;`D=)sdK6i+5RmLqmq((D2SYFRSDdVyvOtuBSrVR#9 zQ(N9>C5|1MVt6@SAhRWx;>4j%8SN3AWy%<@)*Nr!tUwd+d1|gB!nx zpueU{XuEzujyo_+Um z{-QuAM$hTpVcU3n5U8+S<(!+?;BDI6zCE{|)UK79>Na@k=DzI@c-NLtY@0WIx_zeA zw5e~0Js1H?Gy2{*IJiXL4rfR`;EplQzsErsPLld`lE=?_V<7<3!PP5VOh>6t$30SW z9qSh*i^%xTSTHGty$W%4T$UBGDav#(({wb@IPgl=Qski(ij4KK{@wuVSNp7J)bC|e zOxacV8Z#h@q3|s*_#tZ92m<5Kps`CcDo$_Y{Dr|5tp$^U23r$JwSO|H!d66kEeV|* zD|X}H{dC;0(5ke?FyiF&7c$s1uuHNG+VJiBNh%rbx`#5v#`rmLF5PInlXTM$jPp3L zfVqo$7<$E+&saSwYRIY%S6_c#=(Ig zSZ|Zl%O`gf)fM?Ys_p`qj-yGzy2~rU$4nv9OC{!GRWEyx^e_}6WO-pBP;TL1qEjHf zEvjCWvXNtL6>X={UhkntubKle7R>?{lc=2DP19NB~(AdhYovzSNes(SWLtbX3v#md$2{5 zzCi2(@`S)uoY#Q{?!N*1aWP>ndQ!dPz z4T#$iegwLlpYat2bAwF%p%o^3>&?#trE$ZB05pTdW0;w0qE89cL8H}mosG|MU#$n~7-BD7y zU(9ZNzm;u!O;RO{jeEeqnhAof&vQWo7i?hgFo9gbDzWih0m?BKZf$kP-p>w!S@Sm-keEO}fl(FOCEMS5 zlQz*+`U+U@veXb;m$=tsU5!ES&<)SE5jzq0ikg*wx&QQctDZUi-9yjhJ1z}AG0ny- ztt4R7Lj;wv^TbXOD)7SO%MBj(9Bbr^SH%Kvt|{LQ*{2UMfBP%K70l(nU2oJEUgOV1X(yJF$uTe z2@!PJRy$r0;`~xlre8{WYVeMQ@s78TrO;yGKvzx%4S1ltq3?Eb^K;TSL!T#=b3g-x zH^P$xk4xr+>RBk&H{+5to=8urrx(p~tgY`~=?Qll`RJIEe{gQ|lhV(9<}Brc84bF7JzD!jK;W6aA} zlX{*xOlY0QeajjP(?fbmWK9RD$_4i=y7JV}f(mtl(6!j(UaG4Ij}MW^-5u*)i{lTt zZi@3l1@wBn6-(pI6*X}uwABuuFgs!B_oMgtE{cES9=kw<#h|7Sxf7@eq_l2?>4n1}?3G}+$tqh^&w*=Qf<($dg$sN&iFuu#N^1YAw`-`Tp9s3} zYjV0y-sRODYrhq`RkYbP8*`2_1qdCkj}l5td(LF%DA%u!=#X9U1NJ*fB7B|Ho@4FW ze(p6b#e3G6NtiRLJ;&h^XT^ zZgJW0oj(Vz{c#6OrQe>?0CX#RVH$y6W@ax&_F4Z~@MAbuIC!^WvR@|UbQDMbJIPn$ zlmj^B7fB`Zk4>TK>R^)04@)&*#v^6MCrCgGId5`Nwx4`R4&Z4vMd#!%@G0)1#lHl+ zVB&uS-Z$_+LhZK%cWzMp_RtC*J*akU=C9#L${8GmGGk*_!}`KkOuxmf2W61@XiVRz zZ=iegOR@q;xqBoiI5TJlqu - + diff --git a/boards/lpcxpresso804/driver_examples/iap/iap_basic/readme.txt b/boards/lpcxpresso804/driver_examples/iap/iap_basic/readme.md similarity index 96% rename from boards/lpcxpresso804/driver_examples/iap/iap_basic/readme.txt rename to boards/lpcxpresso804/driver_examples/iap/iap_basic/readme.md index c7cf7f9..1e5864a 100644 --- a/boards/lpcxpresso804/driver_examples/iap/iap_basic/readme.txt +++ b/boards/lpcxpresso804/driver_examples/iap/iap_basic/readme.md @@ -4,6 +4,10 @@ The IAP project is a simple demonstration program of the SDK IAP driver. It reads part id, boot code version, unique id and reinvoke ISP. A message a printed on the UART terminal as various bascial iap operations are performed. +SDK version +=========== +- Version: 2.15.000 + Toolchain supported =================== - IAR embedded Workbench 9.40.1 diff --git a/boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/iap/iap_flash/iap_flash_v3_13.xml b/boards/lpcxpresso804/driver_examples/iap/iap_flash/iap_flash_v3_14.xml similarity index 99% rename from boards/lpcxpresso804/driver_examples/iap/iap_flash/iap_flash_v3_13.xml rename to boards/lpcxpresso804/driver_examples/iap/iap_flash/iap_flash_v3_14.xml index f431c7a..37a7f3e 100644 --- a/boards/lpcxpresso804/driver_examples/iap/iap_flash/iap_flash_v3_13.xml +++ b/boards/lpcxpresso804/driver_examples/iap/iap_flash/iap_flash_v3_14.xml @@ -120,7 +120,7 @@ - + diff --git a/boards/lpcxpresso804/driver_examples/iap/iap_flash/readme.txt b/boards/lpcxpresso804/driver_examples/iap/iap_flash/readme.md similarity index 96% rename from boards/lpcxpresso804/driver_examples/iap/iap_flash/readme.txt rename to boards/lpcxpresso804/driver_examples/iap/iap_flash/readme.md index 01ceb47..7e873ea 100644 --- a/boards/lpcxpresso804/driver_examples/iap/iap_flash/readme.txt +++ b/boards/lpcxpresso804/driver_examples/iap/iap_flash/readme.md @@ -4,6 +4,10 @@ The IAP Flash project is a simple demonstration program of the SDK IAP driver. I a portion of on-chip flash memory. A message a printed on the UART terminal as various operations on flash memory are performed. +SDK version +=========== +- Version: 2.15.000 + Toolchain supported =================== - IAR embedded Workbench 9.40.1 diff --git a/boards/lpcxpresso804/driver_examples/mrt/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/mrt/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/mrt/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/mrt/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/mrt/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/mrt/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/mrt/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/mrt/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/mrt/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/mrt/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/mrt/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/mrt/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/driver_examples/mrt/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/mrt/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/mrt/mrt_example_v3_13.xml b/boards/lpcxpresso804/driver_examples/mrt/mrt_example_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/driver_examples/mrt/mrt_example_v3_13.xml rename to boards/lpcxpresso804/driver_examples/mrt/mrt_example_v3_14.xml diff --git a/boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/pint/pattern_match/pint_pattern_match_v3_13.xml b/boards/lpcxpresso804/driver_examples/pint/pattern_match/pint_pattern_match_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/driver_examples/pint/pattern_match/pint_pattern_match_v3_13.xml rename to boards/lpcxpresso804/driver_examples/pint/pattern_match/pint_pattern_match_v3_14.xml diff --git a/boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/pint/pin_interrupt/pint_pin_interrupt_v3_13.xml b/boards/lpcxpresso804/driver_examples/pint/pin_interrupt/pint_pin_interrupt_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/driver_examples/pint/pin_interrupt/pint_pin_interrupt_v3_13.xml rename to boards/lpcxpresso804/driver_examples/pint/pin_interrupt/pint_pin_interrupt_v3_14.xml diff --git a/boards/lpcxpresso804/driver_examples/plu/combination/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/plu/combination/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/plu/combination/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/plu/combination/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/plu/combination/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/plu/combination/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/plu/combination/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/plu/combination/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/plu/combination/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/plu/combination/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/plu/combination/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/plu/combination/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/driver_examples/plu/combination/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/plu/combination/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/plu/combination/plu_combination_v3_13.xml b/boards/lpcxpresso804/driver_examples/plu/combination/plu_combination_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/driver_examples/plu/combination/plu_combination_v3_13.xml rename to boards/lpcxpresso804/driver_examples/plu/combination/plu_combination_v3_14.xml diff --git a/boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/spi/interrupt/master/spi_interrupt_master_v3_13.xml b/boards/lpcxpresso804/driver_examples/spi/interrupt/master/spi_interrupt_master_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/driver_examples/spi/interrupt/master/spi_interrupt_master_v3_13.xml rename to boards/lpcxpresso804/driver_examples/spi/interrupt/master/spi_interrupt_master_v3_14.xml diff --git a/boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/spi/interrupt/slave/spi_interrupt_slave_v3_13.xml b/boards/lpcxpresso804/driver_examples/spi/interrupt/slave/spi_interrupt_slave_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/driver_examples/spi/interrupt/slave/spi_interrupt_slave_v3_13.xml rename to boards/lpcxpresso804/driver_examples/spi/interrupt/slave/spi_interrupt_slave_v3_14.xml diff --git a/boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/spi/polling/master/spi_polling_master_v3_13.xml b/boards/lpcxpresso804/driver_examples/spi/polling/master/spi_polling_master_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/driver_examples/spi/polling/master/spi_polling_master_v3_13.xml rename to boards/lpcxpresso804/driver_examples/spi/polling/master/spi_polling_master_v3_14.xml diff --git a/boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/spi/polling/slave/spi_polling_slave_v3_13.xml b/boards/lpcxpresso804/driver_examples/spi/polling/slave/spi_polling_slave_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/driver_examples/spi/polling/slave/spi_polling_slave_v3_13.xml rename to boards/lpcxpresso804/driver_examples/spi/polling/slave/spi_polling_slave_v3_14.xml diff --git a/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/spi_transfer_interrupt_master_v3_13.xml b/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/spi_transfer_interrupt_master_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/spi_transfer_interrupt_master_v3_13.xml rename to boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/spi_transfer_interrupt_master_v3_14.xml diff --git a/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/spi_transfer_interrupt_slave_v3_13.xml b/boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/spi_transfer_interrupt_slave_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/spi_transfer_interrupt_slave_v3_13.xml rename to boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/spi_transfer_interrupt_slave_v3_14.xml diff --git a/boards/lpcxpresso804/driver_examples/usart/polling/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/usart/polling/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/polling/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/usart/polling/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/polling/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/usart/polling/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/polling/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/usart/polling/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/polling/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/usart/polling/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/polling/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/usart/polling/armgcc/flags.cmake old mode 100755 new mode 100644 index 8bd4319..c80d4b2 --- a/boards/lpcxpresso804/driver_examples/usart/polling/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/usart/polling/armgcc/flags.cmake @@ -141,7 +141,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -167,5 +167,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/usart/polling/usart_polling_example_v3_13.xml b/boards/lpcxpresso804/driver_examples/usart/polling/usart_polling_example_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/driver_examples/usart/polling/usart_polling_example_v3_13.xml rename to boards/lpcxpresso804/driver_examples/usart/polling/usart_polling_example_v3_14.xml diff --git a/boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/usart/terminal/usart_terminal_v3_13.xml b/boards/lpcxpresso804/driver_examples/usart/terminal/usart_terminal_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/driver_examples/usart/terminal/usart_terminal_v3_13.xml rename to boards/lpcxpresso804/driver_examples/usart/terminal/usart_terminal_v3_14.xml diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/usart_transfer_interrupt_v3_13.xml b/boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/usart_transfer_interrupt_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/usart_transfer_interrupt_v3_13.xml rename to boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/usart_transfer_interrupt_v3_14.xml diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/usart_transfer_ring_buffer_v3_13.xml b/boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/usart_transfer_ring_buffer_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/usart_transfer_ring_buffer_v3_13.xml rename to boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/usart_transfer_ring_buffer_v3_14.xml diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/usart_transfer_sync_b2b_master_v3_13.xml b/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/usart_transfer_sync_b2b_master_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/usart_transfer_sync_b2b_master_v3_13.xml rename to boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/usart_transfer_sync_b2b_master_v3_14.xml diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/usart_transfer_sync_b2b_slave_v3_13.xml b/boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/usart_transfer_sync_b2b_slave_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/usart_transfer_sync_b2b_slave_v3_13.xml rename to boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/usart_transfer_sync_b2b_slave_v3_14.xml diff --git a/boards/lpcxpresso804/driver_examples/wkt/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/wkt/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/wkt/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/wkt/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/wkt/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/wkt/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/wkt/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/wkt/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/wkt/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/wkt/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/wkt/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/wkt/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/driver_examples/wkt/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/wkt/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/wkt/wkt_example_v3_13.xml b/boards/lpcxpresso804/driver_examples/wkt/wkt_example_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/driver_examples/wkt/wkt_example_v3_13.xml rename to boards/lpcxpresso804/driver_examples/wkt/wkt_example_v3_14.xml diff --git a/boards/lpcxpresso804/driver_examples/wwdt/armgcc/build_all.sh b/boards/lpcxpresso804/driver_examples/wwdt/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/wwdt/armgcc/build_debug.sh b/boards/lpcxpresso804/driver_examples/wwdt/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/wwdt/armgcc/build_release.sh b/boards/lpcxpresso804/driver_examples/wwdt/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/wwdt/armgcc/clean.sh b/boards/lpcxpresso804/driver_examples/wwdt/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/wwdt/armgcc/config.cmake b/boards/lpcxpresso804/driver_examples/wwdt/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/driver_examples/wwdt/armgcc/flags.cmake b/boards/lpcxpresso804/driver_examples/wwdt/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/driver_examples/wwdt/armgcc/flags.cmake +++ b/boards/lpcxpresso804/driver_examples/wwdt/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/driver_examples/wwdt/wwdt.c b/boards/lpcxpresso804/driver_examples/wwdt/wwdt.c index 11252b7..da3091d 100644 --- a/boards/lpcxpresso804/driver_examples/wwdt/wwdt.c +++ b/boards/lpcxpresso804/driver_examples/wwdt/wwdt.c @@ -106,7 +106,11 @@ int main(void) timeOutResetEnable = true; /* Check if reset is due to Watchdog */ +#ifdef IS_WWDT_RESET + if (IS_WWDT_RESET) +#else if (WWDT_GetStatusFlags(WWDT) & kWWDT_TimeoutFlag) +#endif { APP_LED_ON; PRINTF("Watchdog reset occurred\r\n"); diff --git a/boards/lpcxpresso804/driver_examples/wwdt/wwdt_example_v3_13.xml b/boards/lpcxpresso804/driver_examples/wwdt/wwdt_example_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/driver_examples/wwdt/wwdt_example_v3_13.xml rename to boards/lpcxpresso804/driver_examples/wwdt/wwdt_example_v3_14.xml diff --git a/boards/lpcxpresso804/touch_examples/capt_key/armgcc/build_all.sh b/boards/lpcxpresso804/touch_examples/capt_key/armgcc/build_all.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/touch_examples/capt_key/armgcc/build_debug.sh b/boards/lpcxpresso804/touch_examples/capt_key/armgcc/build_debug.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/touch_examples/capt_key/armgcc/build_release.sh b/boards/lpcxpresso804/touch_examples/capt_key/armgcc/build_release.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/touch_examples/capt_key/armgcc/clean.sh b/boards/lpcxpresso804/touch_examples/capt_key/armgcc/clean.sh old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/touch_examples/capt_key/armgcc/config.cmake b/boards/lpcxpresso804/touch_examples/capt_key/armgcc/config.cmake old mode 100755 new mode 100644 diff --git a/boards/lpcxpresso804/touch_examples/capt_key/armgcc/flags.cmake b/boards/lpcxpresso804/touch_examples/capt_key/armgcc/flags.cmake old mode 100755 new mode 100644 index fd209f6..1965557 --- a/boards/lpcxpresso804/touch_examples/capt_key/armgcc/flags.cmake +++ b/boards/lpcxpresso804/touch_examples/capt_key/armgcc/flags.cmake @@ -139,7 +139,7 @@ SET(CMAKE_EXE_LINKER_FLAGS_DEBUG " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ ${CMAKE_EXE_LINKER_FLAGS_RELEASE} \ @@ -165,5 +165,5 @@ SET(CMAKE_EXE_LINKER_FLAGS_RELEASE " \ -Wl,--print-memory-usage \ ${FPU} \ ${SPECS} \ - -T${ProjDirPath}/LPC804_flash.ld -static \ + -T\"${ProjDirPath}/LPC804_flash.ld\" -static \ ") diff --git a/boards/lpcxpresso804/touch_examples/capt_key/capt_key_v3_13.xml b/boards/lpcxpresso804/touch_examples/capt_key/capt_key_v3_14.xml similarity index 100% rename from boards/lpcxpresso804/touch_examples/capt_key/capt_key_v3_13.xml rename to boards/lpcxpresso804/touch_examples/capt_key/capt_key_v3_14.xml diff --git a/boards/lpcxpresso804/touch_examples/capt_key/glitch_filter.c b/boards/lpcxpresso804/touch_examples/capt_key/glitch_filter.c index 8a66747..0dffd98 100644 --- a/boards/lpcxpresso804/touch_examples/capt_key/glitch_filter.c +++ b/boards/lpcxpresso804/touch_examples/capt_key/glitch_filter.c @@ -27,7 +27,7 @@ int32_t FILTER_Output(glitch_filter_handle_t *handle, int32_t inputRaw) handle->newOutput = inputRaw; handle->filterLevelCount = 1u; /* Start to count the new input value. */ } - else /* inputRaw == handle->newOutput */ + else /* inputRaw == handle->newOutput */ { if (handle->filterLevelCount < handle->filterLevelMax) { diff --git a/components/i2c/fsl_adapter_i2c.h b/components/i2c/fsl_adapter_i2c.h index 99dc3bd..e09437f 100644 --- a/components/i2c/fsl_adapter_i2c.h +++ b/components/i2c/fsl_adapter_i2c.h @@ -232,7 +232,7 @@ extern "C" { * #HAL_I2C_MASTER_HANDLE_DEFINE(handle); * or * uint32_t handle[((HAL_I2C_MASTER_HANDLE_SIZE + sizeof(uint32_t) - 1U) / sizeof(uint32_t))]; - * @param config A pointer to the master configuration structure + * @param halI2cConfig A pointer to the master configuration structure * @retval kStatus_HAL_I2cError An error occurred. * @retval kStatus_HAL_I2cSuccess i2c master initialization succeed */ @@ -265,7 +265,7 @@ hal_i2c_status_t HAL_I2cMasterInit(hal_i2c_master_handle_t handle, const hal_i2c * #HAL_I2C_SLAVE_HANDLE_DEFINE(handle); * or * uint32_t handle[((HAL_I2C_SLAVE_HANDLE_SIZE + sizeof(uint32_t) - 1U) / sizeof(uint32_t))]; - * @param config A pointer to the slave configuration structure + * @param halI2cConfig A pointer to the slave configuration structure * @retval kStatus_HAL_I2cError An error occurred. * @retval kStatus_HAL_I2cSuccess i2c slave initialization succeed */ diff --git a/components/lists/fsl_component_generic_list.c b/components/lists/fsl_component_generic_list.c index e09f389..5644f38 100644 --- a/components/lists/fsl_component_generic_list.c +++ b/components/lists/fsl_component_generic_list.c @@ -100,9 +100,9 @@ void LIST_Init(list_handle_t list, uint32_t max) * \remarks * ********************************************************************************** */ -list_handle_t LIST_GetList(list_element_handle_t element) +list_handle_t LIST_GetList(list_element_handle_t listElement) { - return element->list; + return listElement->list; } /*! ********************************************************************************* @@ -121,29 +121,29 @@ list_handle_t LIST_GetList(list_element_handle_t element) * \remarks * ********************************************************************************** */ -list_status_t LIST_AddTail(list_handle_t list, list_element_handle_t element) +list_status_t LIST_AddTail(list_handle_t list, list_element_handle_t listElement) { LIST_ENTER_CRITICAL(); list_status_t listStatus = kLIST_Ok; - listStatus = LIST_Error_Check(list, element); + listStatus = LIST_Error_Check(list, listElement); if (listStatus == kLIST_Ok) /* Avoiding list status error */ { if (list->size == 0U) { - list->head = element; + list->head = listElement; } else { - list->tail->next = element; + list->tail->next = listElement; } #if (defined(GENERIC_LIST_LIGHT) && (GENERIC_LIST_LIGHT > 0U)) #else - element->prev = list->tail; + listElement->prev = list->tail; #endif - element->list = list; - element->next = NULL; - list->tail = element; + listElement->list = list; + listElement->next = NULL; + list->tail = listElement; list->size++; } @@ -167,30 +167,30 @@ list_status_t LIST_AddTail(list_handle_t list, list_element_handle_t element) * \remarks * ********************************************************************************** */ -list_status_t LIST_AddHead(list_handle_t list, list_element_handle_t element) +list_status_t LIST_AddHead(list_handle_t list, list_element_handle_t listElement) { LIST_ENTER_CRITICAL(); list_status_t listStatus = kLIST_Ok; - listStatus = LIST_Error_Check(list, element); + listStatus = LIST_Error_Check(list, listElement); if (listStatus == kLIST_Ok) /* Avoiding list status error */ { /* Links element to the head of the list */ if (list->size == 0U) { - list->tail = element; + list->tail = listElement; } #if (defined(GENERIC_LIST_LIGHT) && (GENERIC_LIST_LIGHT > 0U)) #else else { - list->head->prev = element; + list->head->prev = listElement; } - element->prev = NULL; + listElement->prev = NULL; #endif - element->list = list; - element->next = list->head; - list->head = element; + listElement->list = list; + listElement->next = list->head; + list->head = listElement; list->size++; } @@ -215,17 +215,17 @@ list_status_t LIST_AddHead(list_handle_t list, list_element_handle_t element) ********************************************************************************** */ list_element_handle_t LIST_RemoveHead(list_handle_t list) { - list_element_handle_t element; + list_element_handle_t listElement; LIST_ENTER_CRITICAL(); if ((NULL == list) || (list->size == 0U)) { - element = NULL; /*LIST_ is empty*/ + listElement = NULL; /*LIST_ is empty*/ } else { - element = list->head; + listElement = list->head; list->size--; if (list->size == 0U) { @@ -235,15 +235,15 @@ list_element_handle_t LIST_RemoveHead(list_handle_t list) #else else { - element->next->prev = NULL; + listElement->next->prev = NULL; } #endif - element->list = NULL; - list->head = element->next; /*Is NULL if element is head*/ + listElement->list = NULL; + list->head = listElement->next; /*Is NULL if element is head*/ } LIST_EXIT_CRITICAL(); - return element; + return listElement; } /*! ********************************************************************************* @@ -281,9 +281,9 @@ list_element_handle_t LIST_GetHead(list_handle_t list) * \remarks * ********************************************************************************** */ -list_element_handle_t LIST_GetNext(list_element_handle_t element) +list_element_handle_t LIST_GetNext(list_element_handle_t listElement) { - return element->next; + return listElement->next; } /*! ********************************************************************************* @@ -301,12 +301,12 @@ list_element_handle_t LIST_GetNext(list_element_handle_t element) * \remarks * ********************************************************************************** */ -list_element_handle_t LIST_GetPrev(list_element_handle_t element) +list_element_handle_t LIST_GetPrev(list_element_handle_t listElement) { #if (defined(GENERIC_LIST_LIGHT) && (GENERIC_LIST_LIGHT > 0U)) return NULL; #else - return element->prev; + return listElement->prev; #endif } @@ -325,53 +325,59 @@ list_element_handle_t LIST_GetPrev(list_element_handle_t element) * \remarks * ********************************************************************************** */ -list_status_t LIST_RemoveElement(list_element_handle_t element) +list_status_t LIST_RemoveElement(list_element_handle_t listElement) { list_status_t listStatus = kLIST_Ok; LIST_ENTER_CRITICAL(); - if (element->list == NULL) + if (listElement->list == NULL) { listStatus = kLIST_OrphanElement; /*Element was previusly removed or never added*/ } else { #if (defined(GENERIC_LIST_LIGHT) && (GENERIC_LIST_LIGHT > 0U)) - list_element_handle_t element_list = element->list->head; + list_element_handle_t element_list = listElement->list->head; + list_element_handle_t element_Prev = NULL; while (NULL != element_list) { - if (element->list->head == element) + if (listElement->list->head == listElement) { - element->list->head = element_list->next; + listElement->list->head = element_list->next; break; } - if (element_list->next == element) + if (element_list->next == listElement) { - element_list->next = element->next; + element_Prev = element_list; + element_list->next = listElement->next; break; } element_list = element_list->next; } + if (listElement->next == NULL) + { + listElement->list->tail = element_Prev; + } #else - if (element->prev == NULL) /*Element is head or solo*/ + if (listElement->prev == NULL) /*Element is head or solo*/ { - element->list->head = element->next; /*is null if solo*/ + listElement->list->head = listElement->next; /*is null if solo*/ } - if (element->next == NULL) /*Element is tail or solo*/ + if (listElement->next == NULL) /*Element is tail or solo*/ { - element->list->tail = element->prev; /*is null if solo*/ + listElement->list->tail = listElement->prev; /*is null if solo*/ } - if (element->prev != NULL) /*Element is not head*/ + if (listElement->prev != NULL) /*Element is not head*/ { - element->prev->next = element->next; + listElement->prev->next = listElement->next; } - if (element->next != NULL) /*Element is not tail*/ + if (listElement->next != NULL) /*Element is not tail*/ { - element->next->prev = element->prev; + listElement->next->prev = listElement->prev; } #endif - element->list->size--; - element->list = NULL; + listElement->list->size--; + listElement->list = NULL; } LIST_EXIT_CRITICAL(); @@ -396,56 +402,56 @@ list_status_t LIST_RemoveElement(list_element_handle_t element) * \remarks * ********************************************************************************** */ -list_status_t LIST_AddPrevElement(list_element_handle_t element, list_element_handle_t newElement) +list_status_t LIST_AddPrevElement(list_element_handle_t listElement, list_element_handle_t newElement) { list_status_t listStatus = kLIST_Ok; LIST_ENTER_CRITICAL(); - if (element->list == NULL) + if (listElement->list == NULL) { listStatus = kLIST_OrphanElement; /*Element was previusly removed or never added*/ } else { - listStatus = LIST_Error_Check(element->list, newElement); + listStatus = LIST_Error_Check(listElement->list, newElement); if (listStatus == kLIST_Ok) { #if (defined(GENERIC_LIST_LIGHT) && (GENERIC_LIST_LIGHT > 0U)) - list_element_handle_t element_list = element->list->head; + list_element_handle_t element_list = listElement->list->head; while (NULL != element_list) { - if ((element_list->next == element) || (element_list == element)) + if ((element_list->next == listElement) || (element_list == listElement)) { - if (element_list == element) + if (element_list == listElement) { - element->list->head = newElement; + listElement->list->head = newElement; } else { element_list->next = newElement; } - newElement->list = element->list; - newElement->next = element; - element->list->size++; + newElement->list = listElement->list; + newElement->next = listElement; + listElement->list->size++; break; } element_list = element_list->next; } #else - if (element->prev == NULL) /*Element is list head*/ + if (listElement->prev == NULL) /*Element is list head*/ { - element->list->head = newElement; + listElement->list->head = newElement; } else { - element->prev->next = newElement; + listElement->prev->next = newElement; } - newElement->list = element->list; - element->list->size++; - newElement->next = element; - newElement->prev = element->prev; - element->prev = newElement; + newElement->list = listElement->list; + listElement->list->size++; + newElement->next = listElement; + newElement->prev = listElement->prev; + listElement->prev = newElement; #endif } } diff --git a/components/lists/fsl_component_generic_list.h b/components/lists/fsl_component_generic_list.h index aa468e2..ab7ea1a 100644 --- a/components/lists/fsl_component_generic_list.h +++ b/components/lists/fsl_component_generic_list.h @@ -112,28 +112,28 @@ void LIST_Init(list_handle_t list, uint32_t max); * @brief Gets the list that contains the given element. * * - * @param element - Handle of the element. + * @param listElement - Handle of the element. * @retval NULL if element is orphan, Handle of the list the element is inserted into. */ -list_handle_t LIST_GetList(list_element_handle_t element); +list_handle_t LIST_GetList(list_element_handle_t listElement); /*! * @brief Links element to the head of the list. * * @param list - Handle of the list. - * @param element - Handle of the element. + * @param listElement - Handle of the element. * @retval kLIST_Full if list is full, kLIST_Ok if insertion was successful. */ -list_status_t LIST_AddHead(list_handle_t list, list_element_handle_t element); +list_status_t LIST_AddHead(list_handle_t list, list_element_handle_t listElement); /*! * @brief Links element to the tail of the list. * * @param list - Handle of the list. - * @param element - Handle of the element. + * @param listElement - Handle of the element. * @retval kLIST_Full if list is full, kLIST_Ok if insertion was successful. */ -list_status_t LIST_AddTail(list_handle_t list, list_element_handle_t element); +list_status_t LIST_AddTail(list_handle_t list, list_element_handle_t listElement); /*! * @brief Unlinks element from the head of the list. @@ -156,41 +156,41 @@ list_element_handle_t LIST_GetHead(list_handle_t list); /*! * @brief Gets next element handle for given element handle. * - * @param element - Handle of the element. + * @param listElement - Handle of the element. * * @retval NULL if list is empty, handle of removed element(pointer) if removal was successful. */ -list_element_handle_t LIST_GetNext(list_element_handle_t element); +list_element_handle_t LIST_GetNext(list_element_handle_t listElement); /*! * @brief Gets previous element handle for given element handle. * - * @param element - Handle of the element. + * @param listElement - Handle of the element. * * @retval NULL if list is empty, handle of removed element(pointer) if removal was successful. */ -list_element_handle_t LIST_GetPrev(list_element_handle_t element); +list_element_handle_t LIST_GetPrev(list_element_handle_t listElement); /*! * @brief Unlinks an element from its list. * - * @param element - Handle of the element. + * @param listElement - Handle of the element. * * @retval kLIST_OrphanElement if element is not part of any list. * @retval kLIST_Ok if removal was successful. */ -list_status_t LIST_RemoveElement(list_element_handle_t element); +list_status_t LIST_RemoveElement(list_element_handle_t listElement); /*! * @brief Links an element in the previous position relative to a given member of a list. * - * @param element - Handle of the element. + * @param listElement - Handle of the element. * @param newElement - New element to insert before the given member. * * @retval kLIST_OrphanElement if element is not part of any list. * @retval kLIST_Ok if removal was successful. */ -list_status_t LIST_AddPrevElement(list_element_handle_t element, list_element_handle_t newElement); +list_status_t LIST_AddPrevElement(list_element_handle_t listElement, list_element_handle_t newElement); /*! * @brief Gets the current size of a list. @@ -210,7 +210,7 @@ uint32_t LIST_GetSize(list_handle_t list); */ uint32_t LIST_GetAvailableSize(list_handle_t list); -/* @} */ +/*! @} */ #if defined(__cplusplus) } diff --git a/components/mem_manager/fsl_component_mem_manager.h b/components/mem_manager/fsl_component_mem_manager.h index 57d4176..78070a5 100644 --- a/components/mem_manager/fsl_component_mem_manager.h +++ b/components/mem_manager/fsl_component_mem_manager.h @@ -1,5 +1,5 @@ /* - * Copyright 2018, 2020 NXP + * Copyright 2018, 2020, 2023 NXP * All rights reserved. * * @@ -36,10 +36,9 @@ * By Default, Minimal heap size is set to 4 bytes (unlikely enough to have application work correctly) */ #if !defined(MinimalHeapSize_c) -#define MinimalHeapSize_c (uint32_t)4 +#define MinimalHeapSize_c (uint32_t)4 #endif - /*! * @brief Configures the memory manager light enable. */ @@ -204,6 +203,29 @@ typedef enum mem_alloc_test_status } mem_alloc_test_status_t; #endif +#ifdef MEM_STATISTICS +#define MML_INTERNAL_STRUCT_SZ (2 * sizeof(uint32_t) + 48) +#else +#define MML_INTERNAL_STRUCT_SZ (2 * sizeof(uint32_t)) +#endif + +#define AREA_FLAGS_POOL_NOT_SHARED (1u << 0) +#define AREA_FLAGS_VALID_MASK (AREA_FLAGS_POOL_NOT_SHARED) +#define AREA_FLAGS_RFFU ~(AREA_FLAGS_VALID_MASK) + +/**@brief Memory user config. */ +typedef struct _mem_area_cfg_s memAreaCfg_t; +struct _mem_area_cfg_s +{ + memAreaCfg_t *next; /*< Next registered RAM area descriptor. */ + void *start_address; /*< Start address of RAM area. */ + void *end_address; /*< Size of registered RAM area. */ + uint16_t flags; /*< BIT(0) AREA_FLAGS_POOL_NOT_SHARED means not member of default pool, other bits RFFU */ + uint16_t reserved; /*< 16 bit padding */ + uint32_t low_watermark; /*< lowest level of number of free bytes */ + uint8_t internal_ctx[MML_INTERNAL_STRUCT_SZ]; /* Placeholder for internal allocator data */ +}; + /***************************************************************************** ****************************************************************************** * Public memory declarations @@ -323,6 +345,66 @@ void *MEM_BufferRealloc(void *buffer, uint32_t new_size); */ uint32_t MEM_GetHeapUpperLimit(void); +#if defined(gMemManagerLight) && (gMemManagerLight > 0) +/*! + * @brief Get the address after the last allocated block in area defined by id. + * + * @param[in] id 0 means memHeap, other values depend on number of registered areas + * + * @retval UpperLimit Return the address after the last allocated block if MemManagerLight is used. + * @retval 0 Return 0 in case of the legacy MemManager. + */ +uint32_t MEM_GetHeapUpperLimitByAreaId(uint8_t id); +#endif + +/*! + * @brief Get the free space low watermark. + * + * @retval FreeHeapSize Return the heap space low water mark free if MemManagerLight is used. + * @retval 0 Return 0 in case of the legacy MemManager. + */ +uint32_t MEM_GetFreeHeapSizeLowWaterMark(void); + +/*! + * @brief Get the free space low watermark. + * + * @param area_id Selected area Id + * + * @retval Return the heap space low water mark free if MemManagerLight is used. + * @retval 0 Return 0 in case of the legacy MemManager. + */ +uint32_t MEM_GetFreeHeapSizeLowWaterMarkByAreaId(uint8_t area_id); + +/*! + * @brief Reset the free space low watermark. + * + * @retval FreeHeapSize Return the heap space low water mark free at the time it was reset + * if MemManagerLight is used. + * @retval 0 Return 0 in case of the legacy MemManager. + */ +uint32_t MEM_ResetFreeHeapSizeLowWaterMark(void); + +/*! + * @brief Reset the free space low watermark. + * + * @param area_id Selected area Id + * + * @retval FreeHeapSize Return the heap space low water mark free at the time it was reset + * if MemManagerLight is used. + * @retval 0 Return 0 in case of the legacy MemManager. + */ +uint32_t MEM_ResetFreeHeapSizeLowWaterMarkByAreaId(uint8_t area_id); + +/*! + * @brief Get the free space in the heap for a area id. + * + * @param area_id area_id whose available size is requested (0 means generic pool) + * + * @retval FreeHeapSize Return the free space in the heap if MemManagerLight is used. + * @retval 0 Return 0 in case of the legacy MemManager. + */ +uint32_t MEM_GetFreeHeapSizeByAreaId(uint8_t area_id); + /*! * @brief Get the free space in the heap. * @@ -375,6 +457,35 @@ void MEM_Trace(void); void *MEM_CallocAlt(size_t len, size_t val); #endif /*gMemManagerLight == 1*/ +#if defined(gMemManagerLight) && (gMemManagerLight > 0) +/*! + * @brief Function to register additional areas to allocate memory from. + * + * @param[in] area_desc memAreaCfg_t structure defining start address and end address of area. + * This atructure may not be in rodata becasue the next field and internal private + * context are reserved in this structure. If NULL defines the default memHeap area. + * @param[out] area_id pointer to return id of area. Required if allocation from specific pool + * is required. + * @param[in] flags BIT(0) means that allocations can be performed in pool only explicitly and + * it is not a member of the default pool (id 0). Invalid for initial registration call. + * @return kStatus_MemSuccess if success, kStatus_MemInitError otherwise. + * + */ +mem_status_t MEM_RegisterExtendedArea(memAreaCfg_t *area_desc, uint8_t *area_id, uint16_t flags); + +/*! + * @brief Function to unregister an extended area + * + * @param[in] area_id must be different from 0 (main heap). + * + * @return kStatus_MemSuccess if success, + * kStatus_MemFreeError if area_id is 0 or area not found or still has buffers in use. + * + */ +mem_status_t MEM_UnRegisterExtendedArea(uint8_t area_id); + +#endif + #if defined(__cplusplus) } #endif diff --git a/components/mem_manager/fsl_component_mem_manager_light.c b/components/mem_manager/fsl_component_mem_manager_light.c index a2bcfc4..29e247a 100644 --- a/components/mem_manager/fsl_component_mem_manager_light.c +++ b/components/mem_manager/fsl_component_mem_manager_light.c @@ -1,6 +1,6 @@ /*! ********************************************************************************* * Copyright (c) 2015, Freescale Semiconductor, Inc. - * Copyright 2016-2022 NXP + * Copyright 2016-2022, 2023 NXP * All rights reserved. * * \file @@ -37,10 +37,17 @@ #endif #if defined(cMemManagerLightReuseFreeBlocks) && (cMemManagerLightReuseFreeBlocks > 0) -/* because a more restrictive on the size of the free blocks when cMemManagerLightReuseFreeBlocks - is set, we need to enable a garbage collector to clean up the free block when possible */ +/* Because a more restrictive on the size of the free blocks when cMemManagerLightReuseFreeBlocks + * is set, we need to enable a garbage collector to clean up the free block when possible . + * When set gMemManagerLightFreeBlocksCleanUp is used to select between 2 policies: + * 1: on each bufffer free, the allocator parses the free list in the forward direction and + * attempts to merge the freeed buffer with the top unused remainder of the region. + * 2: In addition to behaviour described in 1, allocator parses the list backwards to merge + * previous contiguous members of the free list (free blocks) if adjacent to the last block. + * In this case they meld in the top of the unused region. + */ #ifndef gMemManagerLightFreeBlocksCleanUp -#define gMemManagerLightFreeBlocksCleanUp 1 +#define gMemManagerLightFreeBlocksCleanUp 2 #endif #endif @@ -62,7 +69,7 @@ * with fsl_component_memory_manager_light, so this flag shall be kept to 0 */ #ifndef gMemManagerLightExtendHeapAreaUsage -#define gMemManagerLightExtendHeapAreaUsage 0 +#define gMemManagerLightExtendHeapAreaUsage 0 #endif /*! ********************************************************************************* @@ -70,6 +77,9 @@ * Private macros ************************************************************************************* ********************************************************************************** */ +#ifndef MAX_UINT16 +#define MAX_UINT16 0x00010000U +#endif #define MEMMANAGER_BLOCK_INVALID (uint16_t)0x0 /* Used to remove a block in the heap - debug only */ #define MEMMANAGER_BLOCK_FREE (uint16_t)0xBA00 /* Mark a previous allocated block as free */ @@ -87,7 +97,7 @@ #if defined(__IAR_SYSTEMS_ICC__) #define __mem_get_LR() __get_LR() #elif defined(__GNUC__) -#define __mem_get_LR() __builtin_return_address(0) +#define __mem_get_LR() __builtin_return_address(0U) #elif defined(__CC_ARM) || defined(__ARMCC_VERSION) #define __mem_get_LR() __return_address() #endif @@ -105,6 +115,17 @@ #define gMemManagerLightAddPostGuard 0 #endif +#if defined(__IAR_SYSTEMS_ICC__) && (defined __CORTEX_M) && \ + ((__CORTEX_M == 4U) || (__CORTEX_M == 7U) || (__CORTEX_M == 33U)) +#define D_BARRIER __asm("DSB"); /* __DSB() could not be used */ +#else +#define D_BARRIER +#endif +#define ENABLE_GLOBAL_IRQ(reg) \ + D_BARRIER; \ + EnableGlobalIRQ(reg) +#define KB(x) ((x) << 10u) + /************************************************************************************ ************************************************************************************* * Private type definitions @@ -117,6 +138,8 @@ typedef struct blockHeader_s uint8_t preguard[BLOCK_HDR_PREGUARD_SIZE]; #endif uint16_t used; + uint8_t area_id; + uint8_t reserved; #if defined(MEM_STATISTICS_INTERNAL) uint16_t buff_size; #endif @@ -145,6 +168,28 @@ typedef union void_ptr_tag void *void_ptr; blockHeader_t *block_hdr_ptr; } void_ptr_t; +typedef struct _memAreaPriv_s +{ + freeBlockHeaderList_t FreeBlockHdrList; +#ifdef MEM_STATISTICS_INTERNAL + mem_statis_t statistics; +#endif +} memAreaPriv_t; + +typedef struct _mem_area_priv_desc_s +{ + memAreaCfg_t *next; /*< Next registered RAM area descriptor. */ + void_ptr_t start_address; /*< Start address of RAM area. */ + void_ptr_t end_address; /*< End address of registered RAM area. */ + uint16_t flags; /*< BIT(0) means not member of default pool, other bits RFFU */ + uint16_t reserved; /*< alignment padding */ + uint32_t low_watermark; + union + { + uint8_t internal_ctx[MML_INTERNAL_STRUCT_SZ]; /* Placeholder for internal allocator data */ + memAreaPriv_t ctx; + }; +} memAreaPrivDesc_t; /*! ********************************************************************************* ************************************************************************************* @@ -185,7 +230,7 @@ extern uint32_t *memHeap; extern uint32_t memHeapEnd; #endif /* MEMORY_POOL_GLOBAL_VARIABLE_ALLOC */ -static freeBlockHeaderList_t FreeBlockHdrList; +static memAreaPrivDesc_t heap_area_list; #ifdef MEM_STATISTICS_INTERNAL static mem_statis_t s_memStatis; @@ -204,7 +249,7 @@ extern mem_alloc_test_status_t FSCI_MemAllocTestCanAllocate(void *pCaller); #ifdef MEM_STATISTICS_INTERNAL static void MEM_Inits_memStatis(mem_statis_t *s_memStatis_) { - (void)memset(s_memStatis_, 0, sizeof(mem_statis_t)); + (void)memset(s_memStatis_, 0U, sizeof(mem_statis_t)); SystemCoreClockUpdate(); } @@ -314,26 +359,39 @@ static void MEM_BufferFrees_memStatis(void *buffer) #endif /* MEM_STATISTICS_INTERNAL */ -#if defined(gMemManagerLightFreeBlocksCleanUp) && (gMemManagerLightFreeBlocksCleanUp == 1) -static void MEM_BufferFreeBlocksCleanUp(blockHeader_t *BlockHdr) +#if defined(gMemManagerLightFreeBlocksCleanUp) && (gMemManagerLightFreeBlocksCleanUp > 0) +static void MEM_BufferFreeBlocksCleanUp(memAreaPrivDesc_t *p_area, blockHeader_t *BlockHdr) { blockHeader_t *NextBlockHdr = BlockHdr->next; blockHeader_t *NextFreeBlockHdr = BlockHdr->next_free; - /* This function shouldn't be called on the last free block */ - assert(BlockHdr < FreeBlockHdrList.tail); + assert(BlockHdr < p_area->ctx.FreeBlockHdrList.tail); + /* Step forward and append contiguous free blocks if they can be merged with the unused top of heap */ while (NextBlockHdr == NextFreeBlockHdr) { if (NextBlockHdr == NULL) { +#if (gMemManagerLightFreeBlocksCleanUp == 2) + /* Step backwards to merge all preceeding contiguous free blocks */ + blockHeader_t *PrevFreeBlockHdr = BlockHdr->prev_free; + while (PrevFreeBlockHdr->next == BlockHdr) + { + assert(PrevFreeBlockHdr->next_free == BlockHdr); + assert(PrevFreeBlockHdr->used == MEMMANAGER_BLOCK_FREE); + PrevFreeBlockHdr->next_free = BlockHdr->next_free; + PrevFreeBlockHdr->next = BlockHdr->next; + BlockHdr = PrevFreeBlockHdr; + PrevFreeBlockHdr = BlockHdr->prev_free; + } +#endif assert(BlockHdr->next == BlockHdr->next_free); assert(BlockHdr->used == MEMMANAGER_BLOCK_FREE); /* pool is reached. All buffers from BlockHdr to the pool are free remove all next buffers */ - BlockHdr->next = NULL; - BlockHdr->next_free = NULL; - FreeBlockHdrList.tail = BlockHdr; + BlockHdr->next = NULL; + BlockHdr->next_free = NULL; + p_area->ctx.FreeBlockHdrList.tail = BlockHdr; break; } NextBlockHdr = NextBlockHdr->next; @@ -374,6 +432,16 @@ static void MEM_BlockHeaderSetGuards(blockHeader_t *BlockHdr) #endif +static memAreaPrivDesc_t *MEM_GetAreaByAreaId(uint8_t area_id) +{ + memAreaPrivDesc_t *p_area = &heap_area_list; + for (uint8_t i = 0u; i < area_id; i++) + { + p_area = (memAreaPrivDesc_t *)p_area->next; + } + return p_area; +} + /*! ********************************************************************************* ************************************************************************************* * Public functions @@ -411,16 +479,94 @@ static void MEM_Reports_memStatis(void) static bool initialized = false; -mem_status_t MEM_Init(void) +mem_status_t MEM_RegisterExtendedArea(memAreaCfg_t *area_desc, uint8_t *p_area_id, uint16_t flags) { - if (initialized == false) + mem_status_t st = kStatus_MemSuccess; + memAreaPrivDesc_t *p_area; + uint32_t regPrimask = DisableGlobalIRQ(); + assert(offsetof(memAreaCfg_t, internal_ctx) == offsetof(memAreaPrivDesc_t, ctx)); + assert(sizeof(memAreaCfg_t) >= sizeof(memAreaPrivDesc_t)); + do { - initialized = true; - /* union to solve Misra 11.3 */ void_ptr_t ptr; - ptr.address_ptr = memHeap; blockHeader_t *firstBlockHdr; - firstBlockHdr = ptr.block_hdr_ptr; + uint32_t initial_level; + + if (area_desc == NULL) + { + assert(flags == 0U); + p_area = &heap_area_list; + /* Area_desc can only be NULL in the case of the implicit default memHeap registration */ + if ((p_area->start_address.address_ptr != NULL) || (p_area->end_address.address_ptr != NULL)) + { + st = kStatus_MemInitError; + break; + } + /* The head of the area des list is necessarily the main heap */ + p_area->start_address.address_ptr = &memHeap[0]; + p_area->end_address.raw_address = memHeapEnd; + assert(p_area->end_address.raw_address > p_area->start_address.raw_address); + p_area->next = NULL; + if (p_area_id != NULL) + { + *p_area_id = 0u; + } + } + else + { + uint32_t area_sz; + + memAreaPrivDesc_t *new_area_desc = (memAreaPrivDesc_t *)area_desc; + assert((flags & AREA_FLAGS_RFFU) == 0U); + /* Registering an additional area : memHeap nust have been registered beforehand */ + uint8_t id = 0; + if (area_desc->start_address == NULL) + { + st = kStatus_MemInitError; + break; + } + if (heap_area_list.start_address.address_ptr == NULL) + { + /* memHeap must have been registered before */ + st = kStatus_MemInitError; + break; + } + area_sz = new_area_desc->end_address.raw_address - new_area_desc->start_address.raw_address; + if (area_sz <= KB(1)) + { + /* doesn't make sense to register an area smaller than 1024 bytes */ + st = kStatus_MemInitError; + break; + } + + for (p_area = &heap_area_list, id = 1; p_area->next != NULL; p_area = (memAreaPrivDesc_t *)p_area->next) + { + if (p_area == new_area_desc) + { + st = kStatus_MemInitError; + break; + } + id++; + } + if (st != kStatus_MemSuccess) + { + break; + } + if (p_area_id != NULL) + { + /* Determine the rank of the area in the list and return it as area_id */ + *p_area_id = id; + } + p_area->next = area_desc; /* p_area still points to previous area desc */ + p_area = new_area_desc; /* let p_area point to new element */ + p_area->flags = flags; + } + /* Here p_area points either to the implicit memHeap when invoked from MEM_Init or to the + * newly appended area configuration descriptor + */ + p_area->next = NULL; + ptr.address_ptr = p_area->start_address.address_ptr; + firstBlockHdr = ptr.block_hdr_ptr; /* MEM_DBG_LOG("%x %d\r\n", memHeap, heapSize_c/sizeof(uint32_t)); */ @@ -435,8 +581,11 @@ mem_status_t MEM_Init(void) #endif /* Init FreeBlockHdrList with firstBlockHdr */ - FreeBlockHdrList.head = firstBlockHdr; - FreeBlockHdrList.tail = firstBlockHdr; + p_area->ctx.FreeBlockHdrList.head = firstBlockHdr; + p_area->ctx.FreeBlockHdrList.tail = firstBlockHdr; + initial_level = p_area->end_address.raw_address - ((uint32_t)firstBlockHdr + BLOCK_HDR_SIZE - 1U); + + p_area->low_watermark = initial_level; #if defined(gMemManagerLightGuardsCheckEnable) && (gMemManagerLightGuardsCheckEnable == 1) MEM_BlockHeaderSetGuards(firstBlockHdr); @@ -444,22 +593,92 @@ mem_status_t MEM_Init(void) #if defined(MEM_STATISTICS_INTERNAL) /* Init memory statistics */ - MEM_Inits_memStatis(&s_memStatis); + MEM_Inits_memStatis(&p_area->ctx.statistics); #endif + + st = kStatus_MemSuccess; + } while (false); + ENABLE_GLOBAL_IRQ(regPrimask); + return st; +} + +static bool MEM_AreaIsEmpty(memAreaPrivDesc_t *p_area) +{ + bool res = false; + + blockHeader_t *FreeBlockHdr = p_area->ctx.FreeBlockHdrList.head; + blockHeader_t *NextFreeBlockHdr = FreeBlockHdr->next_free; + if ((FreeBlockHdr == (blockHeader_t *)p_area->start_address.raw_address) && (NextFreeBlockHdr == NULL)) + { + res = true; } - return kStatus_MemSuccess; + return res; } -static void *MEM_BufferAllocate(uint32_t numBytes, uint8_t poolId) + +mem_status_t MEM_UnRegisterExtendedArea(uint8_t area_id) { + mem_status_t st = kStatus_MemUnknownError; + memAreaPrivDesc_t *prev_area; + memAreaPrivDesc_t *p_area_to_remove = NULL; + uint32_t regPrimask = DisableGlobalIRQ(); + + do + { + /* Cannot unregister main heap */ + if (area_id == 0U) + { + st = kStatus_MemFreeError; + break; + } + prev_area = MEM_GetAreaByAreaId(area_id - 1); /* Get previous area in list */ + if (prev_area == NULL) + { + st = kStatus_MemFreeError; + break; + } + + p_area_to_remove = (memAreaPrivDesc_t *)prev_area->next; + if (p_area_to_remove == NULL) + { + st = kStatus_MemFreeError; + break; + } + if (!MEM_AreaIsEmpty(p_area_to_remove)) + { + st = kStatus_MemFreeError; + break; + } + + /* Only unchain if no remaining allocated buffers */ + prev_area->next = p_area_to_remove->next; + p_area_to_remove->next = NULL; + + st = kStatus_MemSuccess; + } while (false); + + ENABLE_GLOBAL_IRQ(regPrimask); + + return st; +} + +mem_status_t MEM_Init(void) +{ + mem_status_t st = kStatus_MemSuccess; + uint8_t memHeap_id; if (initialized == false) { - (void)MEM_Init(); + initialized = true; + st = MEM_RegisterExtendedArea(NULL, &memHeap_id, 0U); /* initialized default heap area */ } + return st; +} +static void *MEM_BufferAllocateFromArea(memAreaPrivDesc_t *p_area, uint8_t area_id, uint32_t numBytes) +{ uint32_t regPrimask = DisableGlobalIRQ(); - blockHeader_t *FreeBlockHdr = FreeBlockHdrList.head; + blockHeader_t *FreeBlockHdr = p_area->ctx.FreeBlockHdrList.head; blockHeader_t *NextFreeBlockHdr = FreeBlockHdr->next_free; blockHeader_t *PrevFreeBlockHdr = FreeBlockHdr->prev_free; blockHeader_t *BlockHdrFound = NULL; @@ -484,7 +703,7 @@ static void *MEM_BufferAllocate(uint32_t numBytes, uint8_t poolId) /* if a next block hdr exists, it means (by design) that a next free block exists too Because the last block header at the end of the heap will always be free So, the current block header can't be the tail, and the next free can't be NULL */ - assert(FreeBlockHdr < FreeBlockHdrList.tail); + assert(FreeBlockHdr < p_area->ctx.FreeBlockHdrList.tail); assert(FreeBlockHdr->next_free != NULL); if (available_size >= numBytes) /* enough space in this free buffer */ @@ -498,11 +717,13 @@ static void *MEM_BufferAllocate(uint32_t numBytes, uint8_t poolId) /* To avoid waste of large blocks with small blocks, make sure the required size is big enough for the available block otherwise, try an other block ! Do not check if available block size is 4 bytes, take the block anyway ! */ - if ( (available_size <= 4u) || ((available_size - numBytes) < (available_size >> cMemManagerLightReuseFreeBlocks))) + if ((available_size <= 4u) || + ((available_size - numBytes) < (available_size >> cMemManagerLightReuseFreeBlocks))) #endif { /* Found a matching free block */ - FreeBlockHdr->used = MEMMANAGER_BLOCK_USED; + FreeBlockHdr->used = MEMMANAGER_BLOCK_USED; + FreeBlockHdr->area_id = area_id; #if defined(MEM_STATISTICS_INTERNAL) FreeBlockHdr->buff_size = (uint16_t)numBytes; #endif @@ -511,14 +732,14 @@ static void *MEM_BufferAllocate(uint32_t numBytes, uint8_t poolId) /* In the current state, the current block header can be anywhere from list head to previous block of list tail */ - if (FreeBlockHdrList.head == FreeBlockHdr) + if (p_area->ctx.FreeBlockHdrList.head == FreeBlockHdr) { - FreeBlockHdrList.head = NextFreeBlockHdr; - NextFreeBlockHdr->prev_free = NULL; + p_area->ctx.FreeBlockHdrList.head = NextFreeBlockHdr; + NextFreeBlockHdr->prev_free = NULL; } else { - assert(FreeBlockHdrList.head->next_free <= FreeBlockHdr); + assert(p_area->ctx.FreeBlockHdrList.head->next_free <= FreeBlockHdr); NextFreeBlockHdr->prev_free = PrevFreeBlockHdr; PrevFreeBlockHdr->next_free = NextFreeBlockHdr; @@ -532,28 +753,35 @@ static void *MEM_BufferAllocate(uint32_t numBytes, uint8_t poolId) else { /* last block in the heap, check if available space to allocate the block */ - uint32_t available_size; + int32_t available_size; + uint32_t total_size; uint32_t current_footprint = (uint32_t)FreeBlockHdr + BLOCK_HDR_SIZE - 1U; + int32_t remaining_bytes; /* Current allocation should never be greater than heap end */ - assert(current_footprint <= memHeapEnd); + available_size = p_area->end_address.raw_address - current_footprint; + assert(available_size >= 0); - available_size = memHeapEnd - current_footprint; - - assert(FreeBlockHdr == FreeBlockHdrList.tail); - - if (available_size >= (numBytes + BLOCK_HDR_SIZE)) /* need to keep the room for the next BlockHeader */ + assert(FreeBlockHdr == p_area->ctx.FreeBlockHdrList.tail); + total_size = (numBytes + BLOCK_HDR_SIZE); + remaining_bytes = (available_size - total_size); + if (remaining_bytes >= 0) /* need to keep the room for the next BlockHeader */ { + if (p_area->low_watermark > remaining_bytes) + { + p_area->low_watermark = remaining_bytes; + } /* Depending on the platform, some RAM banks could need some reinitialization after a low power * period, such as ECC RAM banks */ - MEM_ReinitRamBank((uint32_t)FreeBlockHdr + BLOCK_HDR_SIZE, ROUNDUP_WORD(((uint32_t)FreeBlockHdr + BLOCK_HDR_SIZE + numBytes))); + MEM_ReinitRamBank((uint32_t)FreeBlockHdr + BLOCK_HDR_SIZE, + ROUNDUP_WORD(((uint32_t)FreeBlockHdr + total_size + BLOCK_HDR_SIZE))); - FreeBlockHdr->used = MEMMANAGER_BLOCK_USED; + FreeBlockHdr->used = MEMMANAGER_BLOCK_USED; + FreeBlockHdr->area_id = area_id; #if defined(MEM_STATISTICS_INTERNAL) FreeBlockHdr->buff_size = (uint16_t)numBytes; #endif - FreeBlockHdr->next = - (blockHeader_t *)ROUNDUP_WORD(((uint32_t)FreeBlockHdr + BLOCK_HDR_SIZE + numBytes)); + FreeBlockHdr->next = (blockHeader_t *)ROUNDUP_WORD(((uint32_t)FreeBlockHdr + total_size)); FreeBlockHdr->next_free = FreeBlockHdr->next; PrevFreeBlockHdr = FreeBlockHdr->prev_free; @@ -567,13 +795,13 @@ static void *MEM_BufferAllocate(uint32_t numBytes, uint8_t poolId) NextFreeBlockHdr->next_free = NULL; NextFreeBlockHdr->prev_free = PrevFreeBlockHdr; - if (FreeBlockHdrList.head == FreeBlockHdr) + if (p_area->ctx.FreeBlockHdrList.head == FreeBlockHdr) { - assert(FreeBlockHdrList.head == FreeBlockHdrList.tail); + assert(p_area->ctx.FreeBlockHdrList.head == p_area->ctx.FreeBlockHdrList.tail); assert(PrevFreeBlockHdr == NULL); /* last free block in heap was the only free block available so now the first free block in the heap is the next one */ - FreeBlockHdrList.head = FreeBlockHdr->next_free; + p_area->ctx.FreeBlockHdrList.head = FreeBlockHdr->next_free; } else { @@ -583,7 +811,7 @@ static void *MEM_BufferAllocate(uint32_t numBytes, uint8_t poolId) } /* new free block is now the tail of the free block list */ - FreeBlockHdrList.tail = NextFreeBlockHdr; + p_area->ctx.FreeBlockHdrList.tail = NextFreeBlockHdr; #if defined(gMemManagerLightGuardsCheckEnable) && (gMemManagerLightGuardsCheckEnable == 1) MEM_BlockHeaderSetGuards(NextFreeBlockHdr); @@ -595,7 +823,8 @@ static void *MEM_BufferAllocate(uint32_t numBytes, uint8_t poolId) else if (UsableBlockHdr != NULL) { /* we found a free block that can be used */ - UsableBlockHdr->used = MEMMANAGER_BLOCK_USED; + UsableBlockHdr->used = MEMMANAGER_BLOCK_USED; + UsableBlockHdr->area_id = area_id; #if defined(MEM_STATISTICS_INTERNAL) UsableBlockHdr->buff_size = (uint16_t)numBytes; #endif @@ -604,14 +833,14 @@ static void *MEM_BufferAllocate(uint32_t numBytes, uint8_t poolId) /* In the current state, the current block header can be anywhere from list head to previous block of list tail */ - if (FreeBlockHdrList.head == UsableBlockHdr) + if (p_area->ctx.FreeBlockHdrList.head == UsableBlockHdr) { - FreeBlockHdrList.head = NextFreeBlockHdr; - NextFreeBlockHdr->prev_free = NULL; + p_area->ctx.FreeBlockHdrList.head = NextFreeBlockHdr; + NextFreeBlockHdr->prev_free = NULL; } else { - assert(FreeBlockHdrList.head->next_free <= UsableBlockHdr); + assert(p_area->ctx.FreeBlockHdrList.head->next_free <= UsableBlockHdr); NextFreeBlockHdr->prev_free = PrevFreeBlockHdr; PrevFreeBlockHdr->next_free = NextFreeBlockHdr; @@ -653,27 +882,65 @@ static void *MEM_BufferAllocate(uint32_t numBytes, uint8_t poolId) #endif buffer_ptr.raw_address = (uint32_t)BlockHdrFound + BLOCK_HDR_SIZE; buffer = buffer_ptr.void_ptr; - (void)memset(buffer, 0x0, numBytes); - } - #ifdef MEM_STATISTICS_INTERNAL #ifdef MEM_MANAGER_BENCH - MEM_BufferAllocates_memStatis(buffer, ALLOC_TIME, numBytes); + MEM_BufferAllocates_memStatis(buffer, ALLOC_TIME, numBytes); #else - MEM_BufferAllocates_memStatis(buffer, 0, numBytes); + MEM_BufferAllocates_memStatis(buffer, 0, numBytes); #endif - - if ((s_memStatis.nb_alloc % NB_ALLOC_REPORT_THRESHOLD) == 0U) - { - MEM_Reports_memStatis(); - } + if ((p_area->ctx.statistics.nb_alloc % NB_ALLOC_REPORT_THRESHOLD) == 0U) + { + MEM_Reports_memStatis(); + } #endif /* MEM_STATISTICS_INTERNAL */ + } + else + { + /* TODO: Allocation failure try to merge free blocks together */ + } EnableGlobalIRQ(regPrimask); return buffer; } +static void *MEM_BufferAllocate(uint32_t numBytes, uint8_t poolId) +{ + memAreaPrivDesc_t *p_area; + void *buffer = NULL; + uint8_t area_id = 0U; + + if (initialized == false) + { + (void)MEM_Init(); + } + if (poolId == 0U) + { + area_id = 0U; + for (p_area = &heap_area_list; p_area != NULL; p_area = (memAreaPrivDesc_t *)p_area->next) + { + if ((p_area->flags & AREA_FLAGS_POOL_NOT_SHARED) == 0U) + { + buffer = MEM_BufferAllocateFromArea(p_area, area_id, numBytes); + if (buffer != NULL) + { + break; + } + } + area_id++; + } + } + else + { + p_area = MEM_GetAreaByAreaId(poolId); /* Exclusively allocate from targeted pool */ + if (p_area != NULL) + { + buffer = MEM_BufferAllocateFromArea(p_area, poolId, numBytes); + } + } + return buffer; +} + void *MEM_BufferAllocWithId(uint32_t numBytes, uint8_t poolId) { #ifdef MEM_TRACKING @@ -707,6 +974,67 @@ void *MEM_BufferAllocWithId(uint32_t numBytes, uint8_t poolId) return buffer_ptr.void_ptr; } +static mem_status_t MEM_BufferFreeBackToArea(memAreaPrivDesc_t *p_area, void *buffer) +{ + void_ptr_t buffer_ptr; + buffer_ptr.void_ptr = buffer; + blockHeader_t *BlockHdr; + BlockHdr = (blockHeader_t *)(buffer_ptr.raw_address - BLOCK_HDR_SIZE); + + mem_status_t ret = kStatus_MemSuccess; + /* when allocating a buffer, we always create a FreeBlockHdr at + the end of the buffer, so the FreeBlockHdrList.tail should always + be at a higher address than current BlockHdr */ + assert(BlockHdr < p_area->ctx.FreeBlockHdrList.tail); + +#if defined(gMemManagerLightGuardsCheckEnable) && (gMemManagerLightGuardsCheckEnable == 1) + MEM_BlockHeaderCheck(BlockHdr->next); +#endif + + /* MEM_DBG_LOG("%x %d", BlockHdr, BlockHdr->buff_size); */ + +#if defined(MEM_STATISTICS_INTERNAL) + MEM_BufferFrees_memStatis(buffer); +#endif /* MEM_STATISTICS_INTERNAL */ + + if (BlockHdr < p_area->ctx.FreeBlockHdrList.head) + { + /* BlockHdr is placed before FreeBlockHdrList.head so we can set it as + the new head of the list */ + BlockHdr->next_free = p_area->ctx.FreeBlockHdrList.head; + BlockHdr->prev_free = NULL; + p_area->ctx.FreeBlockHdrList.head->prev_free = BlockHdr; + p_area->ctx.FreeBlockHdrList.head = BlockHdr; + } + else + { + /* we want to find the previous free block header + here, we cannot use prev_free as this information could be outdated + so we need to run through the whole list to be sure to catch the + correct previous free block header */ + blockHeader_t *PrevFreeBlockHdr = p_area->ctx.FreeBlockHdrList.head; + while ((uint32_t)PrevFreeBlockHdr->next_free < (uint32_t)BlockHdr) + { + PrevFreeBlockHdr = PrevFreeBlockHdr->next_free; + } + /* insert the new free block in the list */ + BlockHdr->next_free = PrevFreeBlockHdr->next_free; + BlockHdr->prev_free = PrevFreeBlockHdr; + BlockHdr->next_free->prev_free = BlockHdr; + PrevFreeBlockHdr->next_free = BlockHdr; + } + + BlockHdr->used = MEMMANAGER_BLOCK_FREE; +#if defined(MEM_STATISTICS_INTERNAL) + BlockHdr->buff_size = 0U; +#endif + +#if defined(gMemManagerLightFreeBlocksCleanUp) && (gMemManagerLightFreeBlocksCleanUp != 0) + MEM_BufferFreeBlocksCleanUp(p_area, BlockHdr); +#endif + return ret; +} + mem_status_t MEM_BufferFree(void *buffer /* IN: Block of memory to free*/) { mem_status_t ret = kStatus_MemSuccess; @@ -727,57 +1055,18 @@ mem_status_t MEM_BufferFree(void *buffer /* IN: Block of memory to free*/) /* assert checks */ assert(BlockHdr->used == MEMMANAGER_BLOCK_USED); assert(BlockHdr->next != NULL); - /* when allocating a buffer, we always create a FreeBlockHdr at - the end of the buffer, so the FreeBlockHdrList.tail should always - be at a higher address than current BlockHdr */ - assert(BlockHdr < FreeBlockHdrList.tail); + memAreaPrivDesc_t *p_area = MEM_GetAreaByAreaId(BlockHdr->area_id); -#if defined(gMemManagerLightGuardsCheckEnable) && (gMemManagerLightGuardsCheckEnable == 1) - MEM_BlockHeaderCheck(BlockHdr->next); -#endif - - /* MEM_DBG_LOG("%x %d", BlockHdr, BlockHdr->buff_size); */ - -#if defined(MEM_STATISTICS_INTERNAL) - MEM_BufferFrees_memStatis(buffer); -#endif /* MEM_STATISTICS_INTERNAL */ - - if (BlockHdr < FreeBlockHdrList.head) + if (p_area != NULL) { - /* BlockHdr is placed before FreeBlockHdrList.head so we can set it as - the new head of the list */ - BlockHdr->next_free = FreeBlockHdrList.head; - BlockHdr->prev_free = NULL; - FreeBlockHdrList.head->prev_free = BlockHdr; - FreeBlockHdrList.head = BlockHdr; + ret = MEM_BufferFreeBackToArea(p_area, buffer); } else { - /* we want to find the previous free block header - here, we cannot use prev_free as this information could be outdated - so we need to run through the whole list to be sure to catch the - correct previous free block header */ - blockHeader_t *PrevFreeBlockHdr = FreeBlockHdrList.head; - while ((uint32_t)PrevFreeBlockHdr->next_free < (uint32_t)BlockHdr) - { - PrevFreeBlockHdr = PrevFreeBlockHdr->next_free; - } - /* insert the new free block in the list */ - BlockHdr->next_free = PrevFreeBlockHdr->next_free; - BlockHdr->prev_free = PrevFreeBlockHdr; - BlockHdr->next_free->prev_free = BlockHdr; - PrevFreeBlockHdr->next_free = BlockHdr; + assert(p_area != NULL); + ret = kStatus_MemFreeError; } - BlockHdr->used = MEMMANAGER_BLOCK_FREE; -#if defined(MEM_STATISTICS_INTERNAL) - BlockHdr->buff_size = 0U; -#endif - -#if defined(gMemManagerLightFreeBlocksCleanUp) && (gMemManagerLightFreeBlocksCleanUp == 1) - MEM_BufferFreeBlocksCleanUp(BlockHdr); -#endif - EnableGlobalIRQ(regPrimask); } @@ -797,11 +1086,80 @@ mem_status_t MEM_BufferFreeAllWithId(uint8_t poolId) return status; } -uint32_t MEM_GetHeapUpperLimit(void) +uint32_t MEM_GetHeapUpperLimitByAreaId(uint8_t area_id) { /* There is always a free block at the end of the heap - and this free block is the tail of the list */ - return ((uint32_t)FreeBlockHdrList.tail + BLOCK_HDR_SIZE); + and this free block is the tail of the list */ + uint32_t upper_limit = 0U; + do + { + memAreaPrivDesc_t *p_area; + p_area = MEM_GetAreaByAreaId(area_id); + if (p_area == NULL) + { + break; + } + upper_limit = ((uint32_t)p_area->ctx.FreeBlockHdrList.tail + BLOCK_HDR_SIZE); + + } while (false); + + return upper_limit; +} + +uint32_t MEM_GetHeapUpperLimit(void) +{ + return MEM_GetHeapUpperLimitByAreaId(0u); +} + +uint32_t MEM_GetFreeHeapSizeLowWaterMarkByAreaId(uint8_t area_id) +{ + uint32_t low_watermark = 0U; + do + { + memAreaPrivDesc_t *p_area; + p_area = MEM_GetAreaByAreaId(area_id); + if (p_area == NULL) + { + break; + } + low_watermark = p_area->low_watermark; + + } while (false); + return low_watermark; +} + +uint32_t MEM_GetFreeHeapSizeLowWaterMark(void) +{ + return MEM_GetFreeHeapSizeLowWaterMarkByAreaId(0u); +} + +uint32_t MEM_ResetFreeHeapSizeLowWaterMarkByAreaId(uint8_t area_id) +{ + uint32_t current_level = 0U; + do + { + memAreaPrivDesc_t *p_area; + blockHeader_t *FreeBlockHdr; + uint32_t current_footprint; + p_area = MEM_GetAreaByAreaId(area_id); + if (p_area == NULL) + { + break; + } + FreeBlockHdr = p_area->ctx.FreeBlockHdrList.head; + current_footprint = (uint32_t)FreeBlockHdr + BLOCK_HDR_SIZE - 1U; + + /* Current allocation should never be greater than heap end */ + current_level = p_area->end_address.raw_address - current_footprint; + p_area->low_watermark = current_level; + + } while (false); + return current_level; +} + +uint32_t MEM_ResetFreeHeapSizeLowWaterMark(void) +{ + return MEM_ResetFreeHeapSizeLowWaterMarkByAreaId(0u); } uint16_t MEM_BufferGetSize(void *buffer) @@ -831,22 +1189,28 @@ void *MEM_BufferRealloc(void *buffer, uint32_t new_size) { void *realloc_buffer = NULL; uint16_t block_size = 0U; - - assert(new_size <= 0x0000FFFFU); /* size will be casted to 16 bits */ - - if (new_size == 0U) - { - /* new requested size is 0, free old buffer */ - (void)MEM_BufferFree(buffer); - realloc_buffer = NULL; - } - else if (buffer == NULL) - { - /* input buffer is NULL simply allocate a new buffer and return it */ - realloc_buffer = MEM_BufferAllocate(new_size, 0U); - } - else + do { + if (new_size >= MAX_UINT16) + { + realloc_buffer = NULL; + /* Bypass he whole procedure so keep original buffer that cannot be reallocated */ + break; + } + if (new_size == 0U) + { + /* new requested size is 0, free old buffer */ + (void)MEM_BufferFree(buffer); + realloc_buffer = NULL; + break; + } + if (buffer == NULL) + { + /* input buffer is NULL simply allocate a new buffer and return it */ + realloc_buffer = MEM_BufferAllocate(new_size, 0U); + break; + } + /* Current buffer needs to be reallocated */ block_size = MEM_BufferGetSize(buffer); if ((uint16_t)new_size <= block_size) @@ -858,7 +1222,7 @@ void *MEM_BufferRealloc(void *buffer, uint32_t new_size) else { /* not enough space in the current block, creating a new one */ - realloc_buffer = MEM_BufferAllocate(new_size, 0); + realloc_buffer = MEM_BufferAllocate(new_size, 0U); if (realloc_buffer != NULL) { @@ -869,29 +1233,59 @@ void *MEM_BufferRealloc(void *buffer, uint32_t new_size) (void)MEM_BufferFree(buffer); } } - } - + } while (false); return realloc_buffer; } - -uint32_t MEM_GetFreeHeapSize(void) +static uint32_t MEM_GetFreeHeapSpaceInArea(memAreaPrivDesc_t *p_area) { - uint32_t free_size = 0U; - blockHeader_t *freeBlockHdr = FreeBlockHdrList.head; + uint32_t free_sz = 0U; + /* skip unshared areas */ + blockHeader_t *freeBlockHdr = p_area->ctx.FreeBlockHdrList.head; /* Count every free block in the free space */ - while (freeBlockHdr != FreeBlockHdrList.tail) + while (freeBlockHdr != p_area->ctx.FreeBlockHdrList.tail) { - free_size += ((uint32_t)freeBlockHdr->next - (uint32_t)freeBlockHdr - BLOCK_HDR_SIZE); + free_sz += ((uint32_t)freeBlockHdr->next - (uint32_t)freeBlockHdr - BLOCK_HDR_SIZE); freeBlockHdr = freeBlockHdr->next_free; } /* Add remaining free space in the heap */ - free_size += memHeapEnd - (uint32_t)FreeBlockHdrList.tail - BLOCK_HDR_SIZE; + free_sz += p_area->end_address.raw_address - (uint32_t)p_area->ctx.FreeBlockHdrList.tail - BLOCK_HDR_SIZE + 1; + return free_sz; +} +uint32_t MEM_GetFreeHeapSizeByAreaId(uint8_t area_id) +{ + memAreaPrivDesc_t *p_area; + uint32_t free_size = 0U; + + if (area_id == 0U) + { + /* Iterate through all registered areas */ + for (p_area = &heap_area_list; p_area != NULL; p_area = (memAreaPrivDesc_t *)p_area->next) + { + if ((p_area->flags & AREA_FLAGS_POOL_NOT_SHARED) == 0U) + { + free_size += MEM_GetFreeHeapSpaceInArea(p_area); + } + } + } + else + { + p_area = MEM_GetAreaByAreaId(area_id); + if (p_area != NULL) + { + free_size = MEM_GetFreeHeapSpaceInArea(p_area); + } + } return free_size; } +uint32_t MEM_GetFreeHeapSize(void) +{ + return MEM_GetFreeHeapSizeByAreaId(0U); +} + __attribute__((weak)) void MEM_ReinitRamBank(uint32_t startAddress, uint32_t endAddress) { /* To be implemented by the platform */ @@ -915,10 +1309,10 @@ void *MEM_CallocAlt(size_t len, size_t val) blk_size = len * val; - void *pData = MEM_BufferAllocate(blk_size, 0); + void *pData = MEM_BufferAllocate(blk_size, 0U); if (NULL != pData) { - (void)memset(pData, 0, blk_size); + (void)memset(pData, 0U, blk_size); } return pData; diff --git a/components/osa/fsl_os_abstraction.h b/components/osa/fsl_os_abstraction.h index 69462e4..834ef86 100644 --- a/components/osa/fsl_os_abstraction.h +++ b/components/osa/fsl_os_abstraction.h @@ -64,7 +64,7 @@ typedef struct osa_task_def_tag uint32_t tpriority; /*!< initial thread priority*/ uint32_t instances; /*!< maximum number of instances of that thread function*/ uint32_t stacksize; /*!< stack size requirements in bytes; 0 is default stack size*/ - uint32_t *tstack; /*!< stack pointer*/ + uint32_t *tstack; /*!< stack pointer, which can be used on freertos static allocation*/ void *tlink; /*!< link pointer*/ uint8_t *tname; /*!< name pointer*/ uint8_t useFloat; /*!< is use float*/ @@ -118,20 +118,56 @@ typedef enum _osa_status #undef USE_RTOS #endif +#if defined(SDK_OS_FREE_RTOS) +#include "fsl_os_abstraction_free_rtos.h" +#elif defined(FSL_RTOS_THREADX) +#include "fsl_os_abstraction_threadx.h" +#else +#include "fsl_os_abstraction_bm.h" +#endif + +extern const uint8_t gUseRtos_c; + #if defined(SDK_OS_MQX) #define USE_RTOS (1) #elif defined(SDK_OS_FREE_RTOS) #define USE_RTOS (1) #if (defined(GENERIC_LIST_LIGHT) && (GENERIC_LIST_LIGHT > 0U)) +#if (defined(configSUPPORT_STATIC_ALLOCATION) && (configSUPPORT_STATIC_ALLOCATION > 0U)) && \ + !((defined(configSUPPORT_DYNAMIC_ALLOCATION) && (configSUPPORT_DYNAMIC_ALLOCATION > 0U))) +#define OSA_TASK_HANDLE_SIZE (132U) +#else #define OSA_TASK_HANDLE_SIZE (12U) +#endif #else #define OSA_TASK_HANDLE_SIZE (16U) #endif +#if (defined(configSUPPORT_STATIC_ALLOCATION) && (configSUPPORT_STATIC_ALLOCATION > 0U)) && \ + !((defined(configSUPPORT_DYNAMIC_ALLOCATION) && (configSUPPORT_DYNAMIC_ALLOCATION > 0U))) +#define OSA_EVENT_HANDLE_SIZE (40U) +#else #define OSA_EVENT_HANDLE_SIZE (8U) -#define OSA_SEM_HANDLE_SIZE (4U) +#endif +#if (defined(configSUPPORT_STATIC_ALLOCATION) && (configSUPPORT_STATIC_ALLOCATION > 0U)) && \ + !((defined(configSUPPORT_DYNAMIC_ALLOCATION) && (configSUPPORT_DYNAMIC_ALLOCATION > 0U))) +#define OSA_SEM_HANDLE_SIZE (84U) +#else +#define OSA_SEM_HANDLE_SIZE (4U) +#endif +#if (defined(configSUPPORT_STATIC_ALLOCATION) && (configSUPPORT_STATIC_ALLOCATION > 0U)) && \ + !((defined(configSUPPORT_DYNAMIC_ALLOCATION) && (configSUPPORT_DYNAMIC_ALLOCATION > 0U))) +#define OSA_MUTEX_HANDLE_SIZE (84U) +#else #define OSA_MUTEX_HANDLE_SIZE (4U) -#define OSA_MSGQ_HANDLE_SIZE (4U) +#endif +#if (defined(configSUPPORT_STATIC_ALLOCATION) && (configSUPPORT_STATIC_ALLOCATION > 0U)) && \ + !((defined(configSUPPORT_DYNAMIC_ALLOCATION) && (configSUPPORT_DYNAMIC_ALLOCATION > 0U))) +#define OSA_MSGQ_HANDLE_SIZE (84U) +#else +#define OSA_MSGQ_HANDLE_SIZE (4U) +#endif #define OSA_MSG_HANDLE_SIZE (0U) +#define OSA_TIMER_HANDLE_SIZE (4U) #elif defined(SDK_OS_UCOSII) #define USE_RTOS (1) #elif defined(SDK_OS_UCOSIII) @@ -206,7 +242,8 @@ typedef enum _osa_status * Converse the percent of the priority to the priority of the OSA. * The the range of the parameter x is 0-100. */ -#define OSA_TASK_PRIORITY_PERCENT(x) ((((OSA_TASK_PRIORITY_MIN - OSA_TASK_PRIORITY_MAX) * (100 - (x))) / 100 ) + OSA_TASK_PRIORITY_MAX) +#define OSA_TASK_PRIORITY_PERCENT(x) \ + ((((OSA_TASK_PRIORITY_MIN - OSA_TASK_PRIORITY_MAX) * (100 - (x))) / 100) + OSA_TASK_PRIORITY_MAX) #define SIZE_IN_UINT32_UNITS(size) (((size) + sizeof(uint32_t) - 1) / sizeof(uint32_t)) @@ -251,10 +288,18 @@ typedef enum _osa_status static const osa_task_def_t os_thread_def_##name = { \ (name), (priority), (instances), (stackSz), s_stackBuffer##name, NULL, (uint8_t *)#name, (useFloat)} #else +#if (defined(configSUPPORT_STATIC_ALLOCATION) && (configSUPPORT_STATIC_ALLOCATION > 0U)) && \ + !((defined(configSUPPORT_DYNAMIC_ALLOCATION) && (configSUPPORT_DYNAMIC_ALLOCATION > 0U))) +#define OSA_TASK_DEFINE(name, priority, instances, stackSz, useFloat) \ + uint32_t s_stackBuffer##name[(stackSz + sizeof(uint32_t) - 1U) / sizeof(uint32_t)]; \ + static const osa_task_def_t os_thread_def_##name = { \ + (name), (priority), (instances), (stackSz), s_stackBuffer##name, NULL, (uint8_t *)#name, (useFloat)} +#else #define OSA_TASK_DEFINE(name, priority, instances, stackSz, useFloat) \ const osa_task_def_t os_thread_def_##name = {(name), (priority), (instances), (stackSz), \ NULL, NULL, (uint8_t *)#name, (useFloat)} #endif +#endif /* Access a Thread defintion. * \param name name of the thread definition object. */ @@ -346,16 +391,33 @@ typedef enum _osa_status * @param msgSize Message size. * */ -#if defined(SDK_OS_FREE_RTOS) -/*< Macro For FREE_RTOS*/ +#if defined(SDK_OS_FREE_RTOS) && (defined(configSUPPORT_DYNAMIC_ALLOCATION) && (configSUPPORT_DYNAMIC_ALLOCATION > 0)) +/*< Macro For FREE_RTOS dynamic allocation*/ #define OSA_MSGQ_HANDLE_DEFINE(name, numberOfMsgs, msgSize) \ uint32_t name[(OSA_MSGQ_HANDLE_SIZE + sizeof(uint32_t) - 1U) / sizeof(uint32_t)] #else -/*< Macro For BARE_MATEL*/ +/*< Macro For BARE_MATEL and FREE_RTOS static allocation*/ #define OSA_MSGQ_HANDLE_DEFINE(name, numberOfMsgs, msgSize) \ uint32_t name[((OSA_MSGQ_HANDLE_SIZE + numberOfMsgs * msgSize) + sizeof(uint32_t) - 1U) / sizeof(uint32_t)] #endif +/*! + * @brief Defines the timer handle + * + * This macro is used to define a 4 byte aligned timer handle. + * Then use "(osa_timer_handle_t)name" to get the timer handle. + * + * The macro should be global and could be optional. You could also define timer handle by yourself. + * + * This is an example, + * @code + * OSA_TIMER_HANDLE_DEFINE(timerHandle); + * @endcode + * + * @param name The name string of the timer handle. + */ +#define OSA_TIMER_HANDLE_DEFINE(name) uint32_t name[(OSA_TIMER_HANDLE_SIZE + sizeof(uint32_t) - 1U) / sizeof(uint32_t)] + /*! * @brief Defines the TASK handle * @@ -373,16 +435,6 @@ typedef enum _osa_status */ #define OSA_TASK_HANDLE_DEFINE(name) uint32_t name[(OSA_TASK_HANDLE_SIZE + sizeof(uint32_t) - 1U) / sizeof(uint32_t)] -#if defined(SDK_OS_FREE_RTOS) -#include "fsl_os_abstraction_free_rtos.h" -#elif defined(FSL_RTOS_THREADX) -#include "fsl_os_abstraction_threadx.h" -#else -#include "fsl_os_abstraction_bm.h" -#endif - -extern const uint8_t gUseRtos_c; - #ifndef __DSB #define __DSB() #endif @@ -410,11 +462,11 @@ extern const uint8_t gUseRtos_c; * * The function is used to reserve the requested amount of memory in bytes and initializes it to 0. * - * @param length Amount of bytes to reserve. + * @param memLength Amount of bytes to reserve. * * @return Pointer to the reserved memory. NULL if memory can't be allocated. */ -void *OSA_MemoryAllocate(uint32_t length); +void *OSA_MemoryAllocate(uint32_t memLength); /*! * @brief Frees the memory previously reserved. @@ -473,6 +525,7 @@ void OSA_Init(void); void OSA_Start(void); #endif +#if ((defined(FSL_OSA_TASK_ENABLE)) && (FSL_OSA_TASK_ENABLE > 0U)) /*! * @brief Creates a task. * @@ -497,21 +550,21 @@ void OSA_Start(void); * @retval KOSA_StatusSuccess The task is successfully created. * @retval KOSA_StatusError The task can not be created. */ -#if ((defined(FSL_OSA_TASK_ENABLE)) && (FSL_OSA_TASK_ENABLE > 0U)) osa_status_t OSA_TaskCreate(osa_task_handle_t taskHandle, const osa_task_def_t *thread_def, osa_task_param_t task_param); #endif /* FSL_OSA_TASK_ENABLE */ +#if ((defined(FSL_OSA_TASK_ENABLE)) && (FSL_OSA_TASK_ENABLE > 0U)) /*! * @brief Gets the handler of active task. * * @return Handler to current active task. */ -#if ((defined(FSL_OSA_TASK_ENABLE)) && (FSL_OSA_TASK_ENABLE > 0U)) osa_task_handle_t OSA_TaskGetCurrentHandle(void); #endif /* FSL_OSA_TASK_ENABLE */ +#if ((defined(FSL_OSA_TASK_ENABLE)) && (FSL_OSA_TASK_ENABLE > 0U)) /*! * @brief Puts the active task to the end of scheduler's queue. * @@ -520,10 +573,10 @@ osa_task_handle_t OSA_TaskGetCurrentHandle(void); * * @retval NULL */ -#if ((defined(FSL_OSA_TASK_ENABLE)) && (FSL_OSA_TASK_ENABLE > 0U)) void OSA_TaskYield(void); #endif /* FSL_OSA_TASK_ENABLE */ +#if ((defined(FSL_OSA_TASK_ENABLE)) && (FSL_OSA_TASK_ENABLE > 0U)) /*! * @brief Gets the priority of a task. * @@ -531,10 +584,10 @@ void OSA_TaskYield(void); * * @return Task's priority. */ -#if ((defined(FSL_OSA_TASK_ENABLE)) && (FSL_OSA_TASK_ENABLE > 0U)) osa_task_priority_t OSA_TaskGetPriority(osa_task_handle_t taskHandle); #endif /* FSL_OSA_TASK_ENABLE */ +#if ((defined(FSL_OSA_TASK_ENABLE)) && (FSL_OSA_TASK_ENABLE > 0U)) /*! * @brief Sets the priority of a task. * @@ -544,10 +597,10 @@ osa_task_priority_t OSA_TaskGetPriority(osa_task_handle_t taskHandle); * @retval KOSA_StatusSuccess Task's priority is set successfully. * @retval KOSA_StatusError Task's priority can not be set. */ -#if ((defined(FSL_OSA_TASK_ENABLE)) && (FSL_OSA_TASK_ENABLE > 0U)) osa_status_t OSA_TaskSetPriority(osa_task_handle_t taskHandle, osa_task_priority_t taskPriority); #endif /* FSL_OSA_TASK_ENABLE */ +#if ((defined(FSL_OSA_TASK_ENABLE)) && (FSL_OSA_TASK_ENABLE > 0U)) /*! * @brief Destroys a previously created task. * @@ -556,7 +609,6 @@ osa_status_t OSA_TaskSetPriority(osa_task_handle_t taskHandle, osa_task_priority * @retval KOSA_StatusSuccess The task was successfully destroyed. * @retval KOSA_StatusError Task destruction failed or invalid parameter. */ -#if ((defined(FSL_OSA_TASK_ENABLE)) && (FSL_OSA_TASK_ENABLE > 0U)) osa_status_t OSA_TaskDestroy(osa_task_handle_t taskHandle); #endif /* FSL_OSA_TASK_ENABLE */ @@ -577,7 +629,7 @@ osa_status_t OSA_TaskDestroy(osa_task_handle_t taskHandle); * #OSA_SEMAPHORE_HANDLE_DEFINE(semaphoreHandle); * or * uint32_t semaphoreHandle[((OSA_SEM_HANDLE_SIZE + sizeof(uint32_t) - 1U) / sizeof(uint32_t))]; - * @param taskHandler taskHandler The task handler this event is used by. + * @param taskHandler The task handler this semaphore is used by. * * @retval KOSA_StatusSuccess the new semaphore if the semaphore is created successfully. */ @@ -884,14 +936,13 @@ osa_status_t OSA_EventDestroy(osa_event_handle_t eventHandle); * OSA_MsgQCreate((osa_msgq_handle_t)msgqHandle, 5U, sizeof(msg)); * @endcode * - * @param msgqHandle Pointer to a memory space of size #(OSA_MSGQ_HANDLE_SIZE + msgNo*msgSize) on bare-matel - * and #(OSA_MSGQ_HANDLE_SIZE) on FreeRTOS allocated by the caller, message queue handle. - * The handle should be 4 byte aligned, because unaligned access doesn't be supported on some devices. - * You can define the handle in the following two ways: - * #OSA_MSGQ_HANDLE_DEFINE(msgqHandle); - * or - * For bm: uint32_t msgqHandle[((OSA_MSGQ_HANDLE_SIZE + msgNo*msgSize + sizeof(uint32_t) - 1U) / sizeof(uint32_t))]; - * For freertos: uint32_t msgqHandle[((OSA_MSGQ_HANDLE_SIZE + sizeof(uint32_t) - 1U) / sizeof(uint32_t))]; + * @param msgqHandle Pointer to a memory space of size #(OSA_MSGQ_HANDLE_SIZE + msgNo*msgSize) on bare-matel, + * FreeRTOS static allocation allocated by the caller and #(OSA_MSGQ_HANDLE_SIZE) on FreeRTOS dynamic allocation, + * message queue handle. The handle should be 4 byte aligned, because unaligned access doesn't be supported on some + * devices. You can define the handle in the following two ways: #OSA_MSGQ_HANDLE_DEFINE(msgqHandle); or For bm and + * freertos static: uint32_t msgqHandle[((OSA_MSGQ_HANDLE_SIZE + msgNo*msgSize + sizeof(uint32_t) - 1U) / + * sizeof(uint32_t))]; For freertos dynamic: uint32_t msgqHandle[((OSA_MSGQ_HANDLE_SIZE + sizeof(uint32_t) - 1U) / + * sizeof(uint32_t))]; * @param msgNo :number of messages the message queue should accommodate. * @param msgSize :size of a single message structure. * @@ -973,6 +1024,16 @@ void OSA_EnableIRQGlobal(void); */ void OSA_DisableIRQGlobal(void); +/*! + * @brief Disable the scheduling of any task. + */ +void OSA_DisableScheduler(void); + +/*! + * @brief Enable the scheduling of any task. + */ +void OSA_EnableScheduler(void); + /*! * @brief Delays execution for a number of milliseconds. * diff --git a/components/osa/fsl_os_abstraction_bm.c b/components/osa/fsl_os_abstraction_bm.c index d06ff64..ff16899 100644 --- a/components/osa/fsl_os_abstraction_bm.c +++ b/components/osa/fsl_os_abstraction_bm.c @@ -82,7 +82,7 @@ typedef struct Semaphore uint32_t timeout; /*!< Timeout to wait in milliseconds */ #endif #if (defined(FSL_OSA_TASK_ENABLE) && (FSL_OSA_TASK_ENABLE > 0U)) - task_handler_t waitingTask; /*!< Handler to the waiting task */ + task_handler_t waitingTask; /*!< Handler to the waiting task */ #endif volatile uint8_t isWaiting; /*!< Is any task waiting for a timeout on this object */ volatile uint8_t semCount; /*!< The count value of the object */ @@ -93,8 +93,8 @@ typedef struct Semaphore typedef struct Mutex { #if (defined(FSL_OSA_BM_TIMEOUT_ENABLE) && (FSL_OSA_BM_TIMEOUT_ENABLE > 0U)) - uint32_t time_start; /*!< The time to start timeout */ - uint32_t timeout; /*!< Timeout to wait in milliseconds */ + uint32_t time_start; /*!< The time to start timeout */ + uint32_t timeout; /*!< Timeout to wait in milliseconds */ #endif volatile uint8_t isWaiting; /*!< Is any task waiting for a timeout on this mutex */ volatile uint8_t isLocked; /*!< Is the object locked or not */ @@ -109,10 +109,10 @@ typedef struct Event uint32_t timeout; /*!< Timeout to wait in milliseconds */ volatile event_flags_t flags; /*!< The flags status */ #if (defined(FSL_OSA_TASK_ENABLE) && (FSL_OSA_TASK_ENABLE > 0U)) - task_handler_t waitingTask; /*!< Handler to the waiting task */ + task_handler_t waitingTask; /*!< Handler to the waiting task */ #endif - uint8_t autoClear; /*!< Auto clear or manual clear */ - volatile uint8_t isWaiting; /*!< Is any task waiting for a timeout on this event */ + uint8_t autoClear; /*!< Auto clear or manual clear */ + volatile uint8_t isWaiting; /*!< Is any task waiting for a timeout on this event */ } event_t; /*! @brief Type for a message queue */ @@ -125,11 +125,11 @@ typedef struct MsgQueue #if (defined(FSL_OSA_TASK_ENABLE) && (FSL_OSA_TASK_ENABLE > 0U)) task_handler_t waitingTask; /*!< Handler to the waiting task */ #endif - uint8_t *queueMem; /*!< Points to the queue memory */ - uint16_t number; /*!< The number of messages in the queue */ - uint16_t max; /*!< The max number of queue messages */ - uint16_t head; /*!< Index of the next message to be read */ - uint16_t tail; /*!< Index of the next place to write to */ + uint8_t *queueMem; /*!< Points to the queue memory */ + uint16_t number; /*!< The number of messages in the queue */ + uint16_t max; /*!< The max number of queue messages */ + uint16_t head; /*!< Index of the next message to be read */ + uint16_t tail; /*!< Index of the next place to write to */ } msg_queue_t; /*! @brief Type for a message queue handler */ @@ -177,7 +177,6 @@ const uint8_t gUseRtos_c = USE_RTOS; /* USE_RTOS = 0 for BareMetal and 1 for OS ************************************************************************************* ********************************************************************************** */ static osa_state_t s_osaState; - /*! ********************************************************************************* ************************************************************************************* * Public functions @@ -189,13 +188,13 @@ static osa_state_t s_osaState; * Description : Reserves the requested amount of memory in bytes. * *END**************************************************************************/ -void *OSA_MemoryAllocate(uint32_t length) +void *OSA_MemoryAllocate(uint32_t memLength) { - void *p = (void *)malloc(length); + void *p = (void *)malloc(memLength); if (NULL != p) { - (void)memset(p, 0, length); + (void)memset(p, 0, memLength); } return p; @@ -261,6 +260,30 @@ void OSA_DisableIRQGlobal(void) s_osaState.interruptDisableCount++; } +/*FUNCTION********************************************************************** + * + * Function Name : OSA_DisableScheduler + * Description : Disable the scheduling of any task + * This function will disable the scheduling of any task + * + *END**************************************************************************/ +void OSA_DisableScheduler(void) +{ + /* No need to do something in baremetal as preemption can not occur */ +} + +/*FUNCTION********************************************************************** + * + * Function Name : OSA_EnableScheduler + * Description : Enable the scheduling of any task + * This function will enable the scheduling of any task + * + *END**************************************************************************/ +void OSA_EnableScheduler(void) +{ + /* No need to do something in baremetal as preemption can not occur */ +} + /*FUNCTION********************************************************************** * * Function Name : OSA_TaskGetCurrentHandle @@ -651,9 +674,10 @@ osa_status_t OSA_SemaphoreWait(osa_semaphore_handle_t semaphoreHandle, uint32_t pSemStruct->waitingTask = OSA_TaskGetCurrentHandle(); #endif #endif + + OSA_EnterCritical(®Primask); if (0U != pSemStruct->semCount) { - OSA_EnterCritical(®Primask); pSemStruct->semCount--; pSemStruct->isWaiting = 0U; OSA_ExitCritical(regPrimask); @@ -664,6 +688,7 @@ osa_status_t OSA_SemaphoreWait(osa_semaphore_handle_t semaphoreHandle, uint32_t if (0U == millisec) { /* If timeout is 0 and semaphore is not available, return kStatus_OSA_Timeout. */ + OSA_ExitCritical(regPrimask); return KOSA_StatusTimeout; } #if (defined(FSL_OSA_BM_TIMEOUT_ENABLE) && (FSL_OSA_BM_TIMEOUT_ENABLE > 0U)) @@ -674,7 +699,6 @@ osa_status_t OSA_SemaphoreWait(osa_semaphore_handle_t semaphoreHandle, uint32_t currentTime = OSA_TimeGetMsec(); if (pSemStruct->timeout < OSA_TimeDiff(pSemStruct->time_start, currentTime)) { - OSA_EnterCritical(®Primask); pSemStruct->isWaiting = 0U; OSA_ExitCritical(regPrimask); return KOSA_StatusTimeout; @@ -683,9 +707,7 @@ osa_status_t OSA_SemaphoreWait(osa_semaphore_handle_t semaphoreHandle, uint32_t else if (millisec != osaWaitForever_c) /* If don't wait forever, start the timer */ { /* Start the timeout counter */ - OSA_EnterCritical(®Primask); - pSemStruct->isWaiting = 1U; - OSA_ExitCritical(regPrimask); + pSemStruct->isWaiting = 1U; pSemStruct->time_start = OSA_TimeGetMsec(); pSemStruct->timeout = millisec; } @@ -698,7 +720,7 @@ osa_status_t OSA_SemaphoreWait(osa_semaphore_handle_t semaphoreHandle, uint32_t #endif } } - + OSA_ExitCritical(regPrimask); return KOSA_StatusIdle; } /*FUNCTION********************************************************************** @@ -718,7 +740,7 @@ osa_status_t OSA_SemaphorePost(osa_semaphore_handle_t semaphoreHandle) /* check whether max value is reached */ if (((KOSA_CountingSemaphore == pSemStruct->semaphoreType) && - (0xFFU == pSemStruct->semCount)) || /* For counting semaphore: the max value is 0xFF */ + (0xFFU == pSemStruct->semCount)) || /* For counting semaphore: the max value is 0xFF */ ((0x01U == pSemStruct->semCount) && (KOSA_BinarySemaphore == pSemStruct->semaphoreType))) /* For binary semaphore: the max value is 0x01 */ { @@ -1037,6 +1059,9 @@ osa_status_t OSA_EventWait(osa_event_handle_t eventHandle, if (1U == pEventStruct->autoClear) { pEventStruct->flags &= ~flagsToWait; +#if (defined(FSL_OSA_TASK_ENABLE) && (FSL_OSA_TASK_ENABLE > 0U)) + pEventStruct->waitingTask->haveToRun = 0U; +#endif } retVal = KOSA_StatusSuccess; } @@ -1474,3 +1499,11 @@ void SysTick_Handler(void) s_osaState.tickCounter++; } #endif + +void OSA_UpdateSysTickCounter(uint32_t corr) +{ +#if (FSL_OSA_BM_TIMER_CONFIG != FSL_OSA_BM_TIMER_NONE) + s_osaState.tickCounter += corr; +#else +#endif +} diff --git a/components/osa/fsl_os_abstraction_bm.h b/components/osa/fsl_os_abstraction_bm.h index 0f743a3..f9a64d6 100644 --- a/components/osa/fsl_os_abstraction_bm.h +++ b/components/osa/fsl_os_abstraction_bm.h @@ -76,6 +76,17 @@ void OSA_ProcessTasks(void); * */ uint8_t OSA_TaskShouldYield(void); + +/*! + * @brief Correct OSA tick counter for when exiting sleep + * + * This function allows the tick counter used by the OSA functions for time + * keeping to be corrected with the sleep duration (taken from a low power + * timer. This is available only in BM context and only if the systick is used + * as a time source for the OSA. + */ +void OSA_UpdateSysTickCounter(uint32_t corr); + /*! * @name Thread management * @{ diff --git a/components/timer/fsl_adapter_ctimer.c b/components/timer/fsl_adapter_ctimer.c index 1ac2b5b..fd2a7d3 100644 --- a/components/timer/fsl_adapter_ctimer.c +++ b/components/timer/fsl_adapter_ctimer.c @@ -153,7 +153,7 @@ uint32_t HAL_TimerGetMaxTimeout(hal_timer_handle_t halTimerHandle) assert(halTimerHandle); hal_timer_handle_struct_t *halTimerState = halTimerHandle; reserveCount = (uint32_t)MSEC_TO_COUNT((reserveMs), (halTimerState->timerClock_Hz)); - + retValue = COUNT_TO_USEC(((uint64_t)0xFFFFFFFF - (uint64_t)reserveCount), (uint64_t)halTimerState->timerClock_Hz); return (uint32_t)((retValue > 0xFFFFFFFFU) ? (0xFFFFFFFFU - reserveMs * 1000U) : (uint32_t)retValue); } diff --git a/components/timer/fsl_adapter_mrt.c b/components/timer/fsl_adapter_mrt.c index b5ad940..076122c 100644 --- a/components/timer/fsl_adapter_mrt.c +++ b/components/timer/fsl_adapter_mrt.c @@ -140,7 +140,7 @@ uint32_t HAL_TimerGetMaxTimeout(hal_timer_handle_t halTimerHandle) assert(halTimerHandle); hal_timer_handle_struct_t *halTimerState = halTimerHandle; reserveCount = (uint32_t)MSEC_TO_COUNT((reserveMs), (halTimerState->timerClock_Hz)); - + retValue = COUNT_TO_USEC(((uint64_t)0xFFFFFFFF - (uint64_t)reserveCount), (uint64_t)halTimerState->timerClock_Hz); return (uint32_t)((retValue > 0xFFFFFFFFU) ? (0xFFFFFFFFU - reserveMs * 1000U) : (uint32_t)retValue); } diff --git a/components/timer_manager/fsl_component_timer_manager.c b/components/timer_manager/fsl_component_timer_manager.c index 5be6108..5304cb0 100644 --- a/components/timer_manager/fsl_component_timer_manager.c +++ b/components/timer_manager/fsl_component_timer_manager.c @@ -107,11 +107,11 @@ typedef struct _timer_handle_struct_t /*! @brief State structure for timer manager. */ typedef struct _timermanager_state { - uint32_t mUsInTimerInterval; /*!< Timer intervl in microseconds */ - uint32_t mUsActiveInTimerInterval; /*!< Timer active intervl in microseconds */ - uint32_t previousTimeInUs; /*!< Previous timer count in microseconds */ - timer_handle_struct_t *timerHead; /*!< Timer list head */ - TIMER_HANDLE_DEFINE(halTimerHandle); /*!< Timer handle buffer */ + uint32_t mUsInTimerInterval; /*!< Timer intervl in microseconds */ + uint32_t mUsActiveInTimerInterval; /*!< Timer active intervl in microseconds */ + uint32_t previousTimeInUs; /*!< Previous timer count in microseconds */ + timer_handle_struct_t *timerHead; /*!< Timer list head */ + TIMER_HANDLE_DEFINE(halTimerHandle); /*!< Timer handle buffer */ #if (defined(TM_ENABLE_TIME_STAMP) && (TM_ENABLE_TIME_STAMP > 0U)) TIME_STAMP_HANDLE_DEFINE(halTimeStampHandle); /*!< Time stamp handle buffer */ #endif @@ -314,9 +314,12 @@ TIMER_MANAGER_STATIC void TimersUpdate(bool updateRemainingUs, bool updateOnlyPo /*! ------------------------------------------------------------------------- * \brief Internal process of Timer Task + * \param[in] isInTaskContext TimerManagerTaskProcess can be called from other contexts than TimerManager task's, in + * such case, the active timers will be ignored as their callbacks must be called from + * TimerManager task context. * \return *---------------------------------------------------------------------------*/ -static void TimerManagerTaskProcess(void) +static void TimerManagerTaskProcess(bool isInTaskContext) { uint8_t timerType; timer_state_t state; @@ -342,8 +345,9 @@ static void TimerManagerTaskProcess(void) if (kTimerStateActive_c == state) { - /* This timer is active. Decrement it's countdown.. */ - if (0U >= th->remainingUs) + /* Active timers expiration will be processed only in the TimerManager task context + * this is to ensure the timers callbacks are called only in the task context */ + if ((0U >= th->remainingUs) && (isInTaskContext == true)) { /* If this is an interval timer, restart it. Otherwise, mark it as inactive. */ if (0U != (timerType & (uint32_t)(kTimerModeSingleShot))) @@ -447,7 +451,7 @@ static void TimersUpdateSyncTask(uint32_t remainingUs) static void TimersUpdateDirectSync(uint32_t remainingUs) { TimersCheckAndUpdate(remainingUs); - TimerManagerTaskProcess(); + TimerManagerTaskProcess(false); } /*! ------------------------------------------------------------------------- @@ -491,7 +495,7 @@ void TimerManagerTask(void *param) { #endif #endif - TimerManagerTaskProcess(); + TimerManagerTaskProcess(true); #if defined(OSA_USED) #if (defined(TM_COMMON_TASK_ENABLE) && (TM_COMMON_TASK_ENABLE > 0U)) @@ -648,12 +652,18 @@ void TM_Deinit(void) */ void TM_ExitLowpower(void) { + uint32_t remainingUs; + #if (defined(TM_ENABLE_LOW_POWER_TIMER) && (TM_ENABLE_LOW_POWER_TIMER > 0U)) HAL_TimerExitLowpower((hal_timer_handle_t)s_timermanager.halTimerHandle); #endif #if (defined(TM_ENABLE_TIME_STAMP) && (TM_ENABLE_TIME_STAMP > 0U)) HAL_TimeStampExitLowpower(s_timermanager.halTimerHandle); #endif + + remainingUs = HAL_TimerGetCurrentTimerCount((hal_timer_handle_t)s_timermanager.halTimerHandle); + TimersUpdateSyncTask(remainingUs); + s_timermanager.previousTimeInUs = remainingUs; } /*! @@ -662,6 +672,15 @@ void TM_ExitLowpower(void) */ void TM_EnterLowpower(void) { + uint32_t remainingUs; + + /* Sync directly the timer manager ressources while bypassing the task + * This allows to update the timer manager ressources (timebase, timers, ...) under masked interrupts + * and make sure all timers are processed correctly */ + remainingUs = HAL_TimerGetCurrentTimerCount((hal_timer_handle_t)s_timermanager.halTimerHandle); + TimersUpdateDirectSync(remainingUs); + s_timermanager.previousTimeInUs = remainingUs; + #if (defined(TM_ENABLE_LOW_POWER_TIMER) && (TM_ENABLE_LOW_POWER_TIMER > 0U)) HAL_TimerEnterLowpower((hal_timer_handle_t)s_timermanager.halTimerHandle); #endif @@ -758,10 +777,24 @@ uint64_t TM_GetTimestamp(void) timer_status_t TM_Open(timer_handle_t timerHandle) { timer_handle_struct_t *timerState = timerHandle; + timer_handle_struct_t *th; assert(sizeof(timer_handle_struct_t) == TIMER_HANDLE_SIZE); assert(timerHandle); TIMER_ENTER_CRITICAL(); + th = s_timermanager.timerHead; + while (th != NULL) + { + /* Determine if timer element is already in list */ + if (th == timerState) + { + assert(0); + TIMER_EXIT_CRITICAL(); + return kStatus_TimerSuccess; + } + th = th->next; + } TimerSetTimerStatus(timerState, (uint8_t)kTimerStateInactive_c); + if (NULL == s_timermanager.timerHead) { timerState->next = NULL; diff --git a/components/timer_manager/fsl_component_timer_manager.h b/components/timer_manager/fsl_component_timer_manager.h index 3dfdef4..e9181f1 100644 --- a/components/timer_manager/fsl_component_timer_manager.h +++ b/components/timer_manager/fsl_component_timer_manager.h @@ -135,35 +135,31 @@ typedef enum _timer_status kStatus_TimerOutOfRange = 3, /*!< Out Of Range */ kStatus_TimerError = 4, /*!< Fail */ } timer_status_t; - #endif /**@brief Timer modes. */ -typedef enum _timer_mode -{ - kTimerModeSingleShot = 0x01U, /**< The timer will expire only once. */ - kTimerModeIntervalTimer = 0x02U, /**< The timer will restart each time it expires. */ - kTimerModeSetMinuteTimer = 0x04U, /**< The timer will one minute timer. */ - kTimerModeSetSecondTimer = 0x08U, /**< The timer will one second timer. */ - kTimerModeLowPowerTimer = 0x10U, /**< The timer will low power mode timer. */ - kTimerModeSetMicrosTimer = 0x20U, /**< The timer will low power mode timer with microsecond unit. */ -} timer_mode_t; +#define kTimerModeSingleShot 0x01U /**< The timer will expire only once. */ +#define kTimerModeIntervalTimer 0x02U /**< The timer will restart each time it expires. */ +#define kTimerModeSetMinuteTimer 0x04U /**< The timer will one minute timer. */ +#define kTimerModeSetSecondTimer 0x08U /**< The timer will one second timer. */ +#define kTimerModeLowPowerTimer 0x10U /**< The timer will low power mode timer. */ +#define kTimerModeSetMicrosTimer 0x20U /**< The timer will low power mode timer with microsecond unit. */ /**@brief Timer config. */ typedef struct _timer_config { - uint32_t srcClock_Hz; /**< The timer source clock frequency. */ - uint8_t instance; /*!< Hardware timer module instance, for example: if you want use FTM0,then the instance - is configured to 0, if you want use FTM2 hardware timer, then configure the instance - to 2, detail information please refer to the SOC corresponding RM. Invalid instance - value will cause initialization failure. */ + uint32_t srcClock_Hz; /**< The timer source clock frequency. */ + uint8_t instance; /*!< Hardware timer module instance, for example: if you want use FTM0,then the instance + is configured to 0, if you want use FTM2 hardware timer, then configure the instance + to 2, detail information please refer to the SOC corresponding RM. Invalid instance + value will cause initialization failure. */ uint8_t clockSrcSelect; /*!< Select clock source. It is timer clock select, if the lptmr does not to use the default clock source*/ #if (defined(TM_ENABLE_TIME_STAMP) && (TM_ENABLE_TIME_STAMP > 0U)) - uint32_t timeStampSrcClock_Hz; /**< The timer stamp source clock frequency. */ - uint8_t timeStampInstance; /**< Hardware timer module instance. This instance for time stamp */ + uint32_t timeStampSrcClock_Hz; /**< The timer stamp source clock frequency. */ + uint8_t timeStampInstance; /**< Hardware timer module instance. This instance for time stamp */ uint8_t timeStampClockSrcSelect; /*!< Select clock source. It is timer clock select, if the lptmr does not to use the default clock source*/ diff --git a/components/uart/fsl_adapter_uart.h b/components/uart/fsl_adapter_uart.h index acfca7c..5bb9f82 100644 --- a/components/uart/fsl_adapter_uart.h +++ b/components/uart/fsl_adapter_uart.h @@ -299,7 +299,7 @@ extern "C" { * #UART_HANDLE_DEFINE(handle); * or * uint32_t handle[((HAL_UART_HANDLE_SIZE + sizeof(uint32_t) - 1U) / sizeof(uint32_t))]; - * @param config Pointer to user-defined configuration structure. + * @param uart_config Pointer to user-defined configuration structure. * @retval kStatus_HAL_UartBaudrateNotSupport Baudrate is not support in current clock source. * @retval kStatus_HAL_UartSuccess UART initialization succeed */ @@ -395,7 +395,7 @@ hal_uart_status_t HAL_UartTransferInstallCallback(hal_uart_handle_t handle, * The receive request is saved by the UART driver. * When the new data arrives, the receive request is serviced first. * When all data is received, the UART driver notifies the upper layer - * through a callback function and passes the status parameter @ref kStatus_UART_RxIdle. + * through a callback function and passes the status parameter @ref kStatus_HAL_UartRxIdle. * * @note The function #HAL_UartReceiveBlocking and the function #HAL_UartTransferReceiveNonBlocking * cannot be used at the same time. @@ -414,7 +414,7 @@ hal_uart_status_t HAL_UartTransferReceiveNonBlocking(hal_uart_handle_t handle, h * This function sends data using an interrupt method. This is a non-blocking function, which * returns directly without waiting for all data to be written to the TX register. When * all data is written to the TX register in the ISR, the UART driver calls the callback - * function and passes the @ref kStatus_UART_TxIdle as status parameter. + * function and passes the @ref kStatus_HAL_UartTxIdle as status parameter. * * @note The function #HAL_UartSendBlocking and the function #HAL_UartTransferSendNonBlocking * cannot be used at the same time. @@ -516,7 +516,7 @@ hal_uart_status_t HAL_UartInstallCallback(hal_uart_handle_t handle, * The receive request is saved by the UART adapter. * When the new data arrives, the receive request is serviced first. * When all data is received, the UART adapter notifies the upper layer - * through a callback function and passes the status parameter @ref kStatus_UART_RxIdle. + * through a callback function and passes the status parameter @ref kStatus_HAL_UartRxIdle. * * @note The function #HAL_UartReceiveBlocking and the function #HAL_UartReceiveNonBlocking * cannot be used at the same time. @@ -536,7 +536,7 @@ hal_uart_status_t HAL_UartReceiveNonBlocking(hal_uart_handle_t handle, uint8_t * * This function sends data using an interrupt method. This is a non-blocking function, which * returns directly without waiting for all data to be written to the TX register. When * all data is written to the TX register in the ISR, the UART driver calls the callback - * function and passes the @ref kStatus_UART_TxIdle as status parameter. + * function and passes the @ref kStatus_HAL_UartTxIdle as status parameter. * * @note The function #HAL_UartSendBlocking and the function #HAL_UartSendNonBlocking * cannot be used at the same time. @@ -556,7 +556,7 @@ hal_uart_status_t HAL_UartSendNonBlocking(hal_uart_handle_t handle, uint8_t *dat * This function gets the number of bytes that have been received. * * @param handle UART handle pointer. - * @param count Receive bytes count. + * @param reCount Receive bytes count. * @retval kStatus_HAL_UartError An error occurred. * @retval kStatus_Success Get successfully through the parameter \p count. */ @@ -569,7 +569,7 @@ hal_uart_status_t HAL_UartGetReceiveCount(hal_uart_handle_t handle, uint32_t *re * register by using the interrupt method. * * @param handle UART handle pointer. - * @param count Send bytes count. + * @param seCount Send bytes count. * @retval kStatus_HAL_UartError An error occurred. * @retval kStatus_Success Get successfully through the parameter \p count. */ @@ -762,7 +762,7 @@ hal_uart_dma_status_t HAL_UartDMAGetReceiveCount(hal_uart_handle_t handle, uint3 * register by using the DMA method. * * @param handle UART handle pointer. - * @param count Send bytes count. + * @param seCount Send bytes count. * @retval kStatus_HAL_UartDmaError An error occurred. * @retval kStatus_HAL_UartDmaSuccess Get successfully through the parameter \p seCount. */ diff --git a/devices/LPC804/device_LPC804_startup.LPC804.cmake b/devices/LPC804/device_LPC804_startup.LPC804.cmake index 5bb3785..c8208eb 100644 --- a/devices/LPC804/device_LPC804_startup.LPC804.cmake +++ b/devices/LPC804/device_LPC804_startup.LPC804.cmake @@ -5,27 +5,23 @@ message("${CMAKE_CURRENT_LIST_FILE} component is included.") if(CONFIG_USE_device_LPC804_system) -if(CONFIG_TOOLCHAIN STREQUAL armgcc) - target_sources(${MCUX_SDK_PROJECT_NAME} PRIVATE - ${CMAKE_CURRENT_LIST_DIR}/gcc/startup_LPC804.S - ) -endif() - -if(CONFIG_TOOLCHAIN STREQUAL mcux) - target_sources(${MCUX_SDK_PROJECT_NAME} PRIVATE - ${CMAKE_CURRENT_LIST_DIR}/mcuxpresso/startup_lpc804.c - ${CMAKE_CURRENT_LIST_DIR}/mcuxpresso/startup_lpc804.cpp - ) -endif() - if(CONFIG_TOOLCHAIN STREQUAL iar) add_config_file(${CMAKE_CURRENT_LIST_DIR}/iar/startup_LPC804.s "" device_LPC804_startup.LPC804) endif() +if(CONFIG_TOOLCHAIN STREQUAL armgcc) + add_config_file(${CMAKE_CURRENT_LIST_DIR}/gcc/startup_LPC804.S "" device_LPC804_startup.LPC804) +endif() + if(CONFIG_TOOLCHAIN STREQUAL mdk) add_config_file(${CMAKE_CURRENT_LIST_DIR}/arm/startup_LPC804.S "" device_LPC804_startup.LPC804) endif() +if(CONFIG_TOOLCHAIN STREQUAL mcux) + add_config_file(${CMAKE_CURRENT_LIST_DIR}/mcuxpresso/startup_lpc804.c "" device_LPC804_startup.LPC804) + add_config_file(${CMAKE_CURRENT_LIST_DIR}/mcuxpresso/startup_lpc804.cpp "" device_LPC804_startup.LPC804) +endif() + else() message(SEND_ERROR "device_LPC804_startup.LPC804 dependency does not meet, please check ${CMAKE_CURRENT_LIST_FILE}.") diff --git a/devices/LPC804/drivers/fsl_acomp.h b/devices/LPC804/drivers/fsl_acomp.h index abfe1bd..e31890d 100644 --- a/devices/LPC804/drivers/fsl_acomp.h +++ b/devices/LPC804/drivers/fsl_acomp.h @@ -4,8 +4,8 @@ * * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef __FSL_ACOMP_H__ -#define __FSL_ACOMP_H__ +#ifndef FSL_ACOMP_H__ +#define FSL_ACOMP_H__ #include "fsl_common.h" /*! @addtogroup lpc_acomp */ @@ -17,10 +17,10 @@ * Definitions ******************************************************************************/ /*! @name Driver version */ -/*@{*/ +/*! @{ */ /*! @brief ACOMP driver version 2.1.0. */ #define FSL_ACOMP_DRIVER_VERSION (MAKE_VERSION(2, 1, 0)) -/*@}*/ +/*! @} */ /*! * @brief The ACOMP ladder reference voltage. @@ -178,12 +178,12 @@ static inline void ACOMP_SetInputChannel(ACOMP_Type *base, uint32_t postiveInput */ void ACOMP_SetLadderConfig(ACOMP_Type *base, const acomp_ladder_config_t *config); -/*@}*/ +/*! @} */ #if defined(__cplusplus) } #endif /* __cplusplus*/ -/*@}*/ +/*! @} */ -#endif /* _FSL_ACOMP_H_ */ +#endif /* FSL_ACOMP_H_ */ diff --git a/devices/LPC804/drivers/fsl_adc.c b/devices/LPC804/drivers/fsl_adc.c index 0d70bdf..b117cff 100644 --- a/devices/LPC804/drivers/fsl_adc.c +++ b/devices/LPC804/drivers/fsl_adc.c @@ -14,10 +14,20 @@ #define FSL_COMPONENT_ID "platform.drivers.lpc_adc" #endif +#if defined(ADC_RSTS) +#define ADC_RESETS_ARRAY ADC_RSTS +#elif defined(ADC_RSTS_N) +#define ADC_RESETS_ARRAY ADC_RSTS_N +#endif + static ADC_Type *const s_adcBases[] = ADC_BASE_PTRS; #if !(defined(FSL_SDK_DISABLE_DRIVER_CLOCK_CONTROL) && FSL_SDK_DISABLE_DRIVER_CLOCK_CONTROL) static const clock_ip_name_t s_adcClocks[] = ADC_CLOCKS; #endif /* FSL_SDK_DISABLE_DRIVER_CLOCK_CONTROL */ +#if defined(ADC_RESETS_ARRAY) +/* Reset array */ +static const reset_ip_name_t s_adcResets[] = ADC_RESETS_ARRAY; +#endif #define FREQUENCY_1MHZ (1000000UL) @@ -56,6 +66,10 @@ void ADC_Init(ADC_Type *base, const adc_config_t *config) CLOCK_EnableClock(s_adcClocks[ADC_GetInstance(base)]); #endif /* FSL_SDK_DISABLE_DRIVER_CLOCK_CONTROL */ +#if defined(ADC_RESETS_ARRAY) + RESET_ReleasePeripheralReset(s_adcResets[ADC_GetInstance(base)]); +#endif + /* Disable the interrupts. */ base->INTEN = 0U; /* Quickly disable all the interrupts. */ diff --git a/devices/LPC804/drivers/fsl_adc.h b/devices/LPC804/drivers/fsl_adc.h index 0276050..0349e4f 100644 --- a/devices/LPC804/drivers/fsl_adc.h +++ b/devices/LPC804/drivers/fsl_adc.h @@ -6,8 +6,8 @@ * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef __FSL_ADC_H__ -#define __FSL_ADC_H__ +#ifndef FSL_ADC_H__ +#define FSL_ADC_H__ #include "fsl_common.h" @@ -23,10 +23,10 @@ ******************************************************************************/ /*! @name Driver version */ -/*@{*/ -/*! @brief ADC driver version 2.5.2. */ -#define FSL_ADC_DRIVER_VERSION (MAKE_VERSION(2, 5, 2)) -/*@}*/ +/*! @{ */ +/*! @brief ADC driver version 2.5.3. */ +#define FSL_ADC_DRIVER_VERSION (MAKE_VERSION(2, 5,3)) +/*! @} */ /*! * @brief Flags @@ -436,7 +436,7 @@ static inline void ADC_EnableTemperatureSensor(ADC_Type *base, bool enable) } #endif /* FSL_FEATURE_ADC_ASYNC_SYSCON_TEMP. */ #endif /* FSL_FEATURE_ADC_HAS_NO_INSEL. */ - /* @} */ + /*! @} */ /*! * @name Control conversion sequence A. @@ -518,7 +518,7 @@ static inline void ADC_SetConvSeqAHighPriority(ADC_Type *base) } #endif /* FSL_FEATURE_ADC_HAS_SINGLE_SEQ */ -/* @} */ +/*! @} */ #if !(defined(FSL_FEATURE_ADC_HAS_SINGLE_SEQ) && FSL_FEATURE_ADC_HAS_SINGLE_SEQ) /*! @@ -599,7 +599,7 @@ static inline void ADC_SetConvSeqBHighPriority(ADC_Type *base) base->SEQ_CTRL[0] |= ADC_SEQ_CTRL_LOWPRIO_MASK; } -/* @} */ +/*! @} */ #endif /* FSL_FEATURE_ADC_HAS_SINGLE_SEQ */ /*! @@ -640,7 +640,7 @@ bool ADC_GetConvSeqBGlobalConversionResult(ADC_Type *base, adc_result_info_t *in */ bool ADC_GetChannelConversionResult(ADC_Type *base, uint32_t channel, adc_result_info_t *info); -/* @} */ +/*! @} */ /*! * @name Threshold function. @@ -695,7 +695,7 @@ static inline void ADC_SetChannelWithThresholdPair1(ADC_Type *base, uint32_t cha base->CHAN_THRSEL |= channelMask; } -/* @} */ +/*! @} */ /*! * @name Interrupts. @@ -738,7 +738,7 @@ static inline void ADC_EnableThresholdCompareInterrupt(ADC_Type *base, base->INTEN = (base->INTEN & ~(0x3UL << ((channel << 1UL) + 3UL))) | ((uint32_t)(mode) << ((channel << 1UL) + 3UL)); } -/* @} */ +/*! @} */ /*! * @name Status. @@ -767,12 +767,12 @@ static inline void ADC_ClearStatusFlags(ADC_Type *base, uint32_t mask) base->FLAGS = mask; /* Write 1 to clear. */ } -/* @} */ +/*! @} */ #if defined(__cplusplus) } #endif -/* @} */ +/*! @} */ -#endif /* __FSL_ADC_H__ */ +#endif /* FSL_ADC_H__ */ diff --git a/devices/LPC804/drivers/fsl_capt.h b/devices/LPC804/drivers/fsl_capt.h index eca472b..81b91e5 100644 --- a/devices/LPC804/drivers/fsl_capt.h +++ b/devices/LPC804/drivers/fsl_capt.h @@ -4,8 +4,8 @@ * * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef __FSL_CAPT_H__ -#define __FSL_CAPT_H__ +#ifndef FSL_CAPT_H__ +#define FSL_CAPT_H__ #include "fsl_common.h" @@ -19,10 +19,10 @@ ******************************************************************************/ /*! @name Driver version */ -/*@{*/ +/*! @{ */ /*! @brief CAPT driver version. */ #define FSL_CAPT_DRIVER_VERSION (MAKE_VERSION(2, 1, 0)) -/*@}*/ +/*! @} */ #define CAPT_GET_XMAX_NUMBER(mask) (((CAPT_STATUS_XMAX_MASK & (mask)) >> CAPT_STATUS_XMAX_SHIFT) + 1) @@ -370,12 +370,12 @@ bool CAPT_GetTouchData(CAPT_Type *base, capt_touch_data_t *data); */ void CAPT_PollNow(CAPT_Type *base, uint16_t enableXpins); -/*@}*/ +/*! @} */ #if defined(__cplusplus) } #endif /* __cplusplus*/ -/*@}*/ +/*! @} */ -#endif /* _FSL_CAPT_H_ */ +#endif /* FSL_CAPT_H_ */ diff --git a/devices/LPC804/drivers/fsl_common.h b/devices/LPC804/drivers/fsl_common.h index 8b9790d..6001b9b 100644 --- a/devices/LPC804/drivers/fsl_common.h +++ b/devices/LPC804/drivers/fsl_common.h @@ -6,8 +6,8 @@ * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef _FSL_COMMON_H_ -#define _FSL_COMMON_H_ +#ifndef FSL_COMMON_H_ +#define FSL_COMMON_H_ #include #include @@ -57,12 +57,13 @@ #define MAKE_VERSION(major, minor, bugfix) (((major)*65536L) + ((minor)*256L) + (bugfix)) /*! @name Driver version */ -/*@{*/ +/*! @{ */ /*! @brief common driver version. */ #define FSL_COMMON_DRIVER_VERSION (MAKE_VERSION(2, 4, 0)) -/*@}*/ +/*! @} */ -/* Debug console type definition. */ +/*! @name Debug console type definition. */ +/*! @{ */ #define DEBUG_CONSOLE_DEVICE_TYPE_NONE 0U /*!< No debug console. */ #define DEBUG_CONSOLE_DEVICE_TYPE_UART 1U /*!< Debug console based on UART. */ #define DEBUG_CONSOLE_DEVICE_TYPE_LPUART 2U /*!< Debug console based on LPUART. */ @@ -74,6 +75,7 @@ #define DEBUG_CONSOLE_DEVICE_TYPE_MINI_USART 8U /*!< Debug console based on LPC_USART. */ #define DEBUG_CONSOLE_DEVICE_TYPE_SWO 9U /*!< Debug console based on SWO. */ #define DEBUG_CONSOLE_DEVICE_TYPE_QSCI 10U /*!< Debug console based on QSCI. */ +/*! @} */ /*! @brief Status group numbers. */ enum _status_groups @@ -189,7 +191,7 @@ enum _status_groups kStatusGroup_LOG = 154, /*!< Group number for LOG status codes. */ kStatusGroup_I3CBUS = 155, /*!< Group number for I3CBUS status codes. */ kStatusGroup_QSCI = 156, /*!< Group number for QSCI status codes. */ - kStatusGroup_SNT = 157, /*!< Group number for SNT status codes. */ + kStatusGroup_ELEMU = 157, /*!< Group number for ELEMU status codes. */ kStatusGroup_QUEUEDSPI = 158, /*!< Group number for QSPI status codes. */ kStatusGroup_POWER_MANAGER = 159, /*!< Group number for POWER_MANAGER status codes. */ kStatusGroup_IPED = 160, /*!< Group number for IPED status codes. */ @@ -199,6 +201,7 @@ enum _status_groups kStatusGroup_CLIF = 164, /*!< Group number for CLIF status codes. */ kStatusGroup_BMA = 165, /*!< Group number for BMA status codes. */ kStatusGroup_NETC = 166, /*!< Group number for NETC status codes. */ + kStatusGroup_ELE = 167, /*!< Group number for ELE status codes. */ }; /*! \public @@ -227,13 +230,15 @@ typedef int32_t status_t; * @{ */ #if !defined(MIN) +/*! Computes the minimum of \a a and \a b. */ #define MIN(a, b) (((a) < (b)) ? (a) : (b)) #endif #if !defined(MAX) +/*! Computes the maximum of \a a and \a b. */ #define MAX(a, b) (((a) > (b)) ? (a) : (b)) #endif -/* @} */ +/*! @} */ /*! @brief Computes the number of elements in an array. */ #if !defined(ARRAY_SIZE) @@ -241,29 +246,31 @@ typedef int32_t status_t; #endif /*! @name UINT16_MAX/UINT32_MAX value */ -/* @{ */ +/*! @{ */ #if !defined(UINT16_MAX) +/*! Max value of uint16_t type. */ #define UINT16_MAX ((uint16_t)-1) #endif #if !defined(UINT32_MAX) +/*! Max value of uint32_t type. */ #define UINT32_MAX ((uint32_t)-1) #endif -/* @} */ +/*! @} */ -/*! @name Suppress fallthrough warning macro */ -/* For switch case code block, if case section ends without "break;" statement, there wil be - fallthrough warning with compiler flag -Wextra or -Wimplicit-fallthrough=n when using armgcc. - To suppress this warning, "SUPPRESS_FALL_THROUGH_WARNING();" need to be added at the end of each - case section which misses "break;"statement. +/*! + * @def SUPPRESS_FALL_THROUGH_WARNING() + * + * For switch case code block, if case section ends without "break;" statement, there wil be + * fallthrough warning with compiler flag -Wextra or -Wimplicit-fallthrough=n when using armgcc. + * To suppress this warning, "SUPPRESS_FALL_THROUGH_WARNING();" need to be added at the end of each + * case section which misses "break;"statement. */ -/* @{ */ #if defined(__GNUC__) && !defined(__ARMCC_VERSION) #define SUPPRESS_FALL_THROUGH_WARNING() __attribute__((fallthrough)) #else #define SUPPRESS_FALL_THROUGH_WARNING() #endif -/* @} */ /******************************************************************************* * API @@ -317,4 +324,4 @@ void SDK_DelayAtLeastUs(uint32_t delayTime_us, uint32_t coreClock_Hz); #include "fsl_common_arm.h" #endif -#endif /* _FSL_COMMON_H_ */ +#endif /* FSL_COMMON_H_ */ diff --git a/devices/LPC804/drivers/fsl_common_arm.c b/devices/LPC804/drivers/fsl_common_arm.c index 241005e..45c7bb3 100644 --- a/devices/LPC804/drivers/fsl_common_arm.c +++ b/devices/LPC804/drivers/fsl_common_arm.c @@ -25,11 +25,11 @@ uint32_t InstallIRQHandler(IRQn_Type irq, uint32_t irqHandler) #if defined(__CC_ARM) || defined(__ARMCC_VERSION) extern uint32_t Image$$VECTOR_ROM$$Base[]; extern uint32_t Image$$VECTOR_RAM$$Base[]; - extern uint32_t Image$$RW_m_data$$Base[]; + extern uint32_t Image$$VECTOR_RAM$$ZI$$Limit[]; #define __VECTOR_TABLE Image$$VECTOR_ROM$$Base #define __VECTOR_RAM Image$$VECTOR_RAM$$Base -#define __RAM_VECTOR_TABLE_SIZE (((uint32_t)Image$$RW_m_data$$Base - (uint32_t)Image$$VECTOR_RAM$$Base)) +#define __RAM_VECTOR_TABLE_SIZE (((uint32_t)Image$$VECTOR_RAM$$ZI$$Limit - (uint32_t)Image$$VECTOR_RAM$$Base)) #elif defined(__ICCARM__) extern uint32_t __RAM_VECTOR_TABLE_SIZE[]; extern uint32_t __VECTOR_TABLE[]; diff --git a/devices/LPC804/drivers/fsl_common_arm.h b/devices/LPC804/drivers/fsl_common_arm.h index 453e1f0..3d35d76 100644 --- a/devices/LPC804/drivers/fsl_common_arm.h +++ b/devices/LPC804/drivers/fsl_common_arm.h @@ -6,8 +6,8 @@ * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef _FSL_COMMON_ARM_H_ -#define _FSL_COMMON_ARM_H_ +#ifndef FSL_COMMON_ARM_H_ +#define FSL_COMMON_ARM_H_ /* * For CMSIS pack RTE. @@ -28,13 +28,7 @@ * These macros are used for atomic access, such as read-modify-write * to the peripheral registers. * - * - SDK_ATOMIC_LOCAL_ADD - * - SDK_ATOMIC_LOCAL_SET - * - SDK_ATOMIC_LOCAL_CLEAR - * - SDK_ATOMIC_LOCAL_TOGGLE - * - SDK_ATOMIC_LOCAL_CLEAR_AND_SET - * - * Take SDK_ATOMIC_LOCAL_CLEAR_AND_SET as an example: the parameter @c addr + * Take @ref SDK_ATOMIC_LOCAL_CLEAR_AND_SET as an example: the parameter @c addr * means the address of the peripheral register or variable you want to modify * atomically, the parameter @c clearBits is the bits to clear, the parameter * @c setBits it the bits to set. @@ -59,6 +53,27 @@ * @{ */ +/*! + * @def SDK_ATOMIC_LOCAL_ADD(addr, val) + * Add value \a val from the variable at address \a address. + * + * @def SDK_ATOMIC_LOCAL_SUB(addr, val) + * Subtract value \a val to the variable at address \a address. + * + * @def SDK_ATOMIC_LOCAL_SET(addr, bits) + * Set the bits specifiled by \a bits to the variable at address \a address. + * + * @def SDK_ATOMIC_LOCAL_CLEAR(addr, bits) + * Clear the bits specifiled by \a bits to the variable at address \a address. + * + * @def SDK_ATOMIC_LOCAL_TOGGLE(addr, bits) + * Toggle the bits specifiled by \a bits to the variable at address \a address. + * + * @def SDK_ATOMIC_LOCAL_CLEAR_AND_SET(addr, clearBits, setBits) + * For the variable at address \a address, clear the bits specifiled by \a clearBits + * and set the bits specifiled by \a setBits. + */ + /* clang-format off */ #if ((defined(__ARM_ARCH_7M__ ) && (__ARM_ARCH_7M__ == 1)) || \ (defined(__ARM_ARCH_7EM__ ) && (__ARM_ARCH_7EM__ == 1)) || \ @@ -261,7 +276,7 @@ static inline void _SDK_AtomicLocalClearAndSet4Byte(volatile uint32_t *addr, uin s_atomicOldInt = DisableGlobalIRQ(); \ *(addr) += (val); \ EnableGlobalIRQ(s_atomicOldInt); \ - } while (0) + } while (false) #define SDK_ATOMIC_LOCAL_SUB(addr, val) \ do \ @@ -270,7 +285,7 @@ static inline void _SDK_AtomicLocalClearAndSet4Byte(volatile uint32_t *addr, uin s_atomicOldInt = DisableGlobalIRQ(); \ *(addr) -= (val); \ EnableGlobalIRQ(s_atomicOldInt); \ - } while (0) + } while (false) #define SDK_ATOMIC_LOCAL_SET(addr, bits) \ do \ @@ -279,7 +294,7 @@ static inline void _SDK_AtomicLocalClearAndSet4Byte(volatile uint32_t *addr, uin s_atomicOldInt = DisableGlobalIRQ(); \ *(addr) |= (bits); \ EnableGlobalIRQ(s_atomicOldInt); \ - } while (0) + } while (false) #define SDK_ATOMIC_LOCAL_CLEAR(addr, bits) \ do \ @@ -288,7 +303,7 @@ static inline void _SDK_AtomicLocalClearAndSet4Byte(volatile uint32_t *addr, uin s_atomicOldInt = DisableGlobalIRQ(); \ *(addr) &= ~(bits); \ EnableGlobalIRQ(s_atomicOldInt); \ - } while (0) + } while (false) #define SDK_ATOMIC_LOCAL_TOGGLE(addr, bits) \ do \ @@ -297,7 +312,7 @@ static inline void _SDK_AtomicLocalClearAndSet4Byte(volatile uint32_t *addr, uin s_atomicOldInt = DisableGlobalIRQ(); \ *(addr) ^= (bits); \ EnableGlobalIRQ(s_atomicOldInt); \ - } while (0) + } while (false) #define SDK_ATOMIC_LOCAL_CLEAR_AND_SET(addr, clearBits, setBits) \ do \ @@ -306,13 +321,13 @@ static inline void _SDK_AtomicLocalClearAndSet4Byte(volatile uint32_t *addr, uin s_atomicOldInt = DisableGlobalIRQ(); \ *(addr) = (*(addr) & ~(clearBits)) | (setBits); \ EnableGlobalIRQ(s_atomicOldInt); \ - } while (0) + } while (false) #endif -/* @} */ +/*! @} */ /*! @name Timer utilities */ -/* @{ */ +/*! @{ */ /*! Macro to convert a microsecond period to raw count value */ #define USEC_TO_COUNT(us, clockFreqInHz) (uint64_t)(((uint64_t)(us) * (clockFreqInHz)) / 1000000U) /*! Macro to convert a raw count value to microsecond */ @@ -322,7 +337,7 @@ static inline void _SDK_AtomicLocalClearAndSet4Byte(volatile uint32_t *addr, uin #define MSEC_TO_COUNT(ms, clockFreqInHz) (uint64_t)((uint64_t)(ms) * (clockFreqInHz) / 1000U) /*! Macro to convert a raw count value to millisecond */ #define COUNT_TO_MSEC(count, clockFreqInHz) (uint64_t)((uint64_t)(count)*1000U / (clockFreqInHz)) -/* @} */ +/*! @} */ /*! @name ISR exit barrier * @{ @@ -339,10 +354,10 @@ static inline void _SDK_AtomicLocalClearAndSet4Byte(volatile uint32_t *addr, uin #define SDK_ISR_EXIT_BARRIER #endif -/* @} */ +/*! @} */ /*! @name Alignment variable definition macros */ -/* @{ */ +/*! @{ */ #if (defined(__ICCARM__)) /* * Workaround to disable MISRA C message suppress warnings for IAR compiler. @@ -356,7 +371,7 @@ _Pragma("diag_suppress=Pm120") #elif defined(__CC_ARM) || defined(__ARMCC_VERSION) /*! Macro to define a variable with alignbytes alignment */ #define SDK_ALIGN(var, alignbytes) __attribute__((aligned(alignbytes))) var -#elif defined(__GNUC__) +#elif defined(__GNUC__) || defined(DOXYGEN_OUTPUT) /*! Macro to define a variable with alignbytes alignment */ #define SDK_ALIGN(var, alignbytes) var __attribute__((aligned(alignbytes))) #else @@ -375,15 +390,37 @@ _Pragma("diag_suppress=Pm120") /*! Macro to change a value to a given size aligned value */ #define SDK_SIZEALIGN(var, alignbytes) \ ((unsigned int)((var) + ((alignbytes)-1U)) & (unsigned int)(~(unsigned int)((alignbytes)-1U))) -/* @} */ +/*! @} */ -/*! @name Non-cacheable region definition macros */ -/* For initialized non-zero non-cacheable variables, please using "AT_NONCACHEABLE_SECTION_INIT(var) ={xx};" or - * "AT_NONCACHEABLE_SECTION_ALIGN_INIT(var) ={xx};" in your projects to define them, for zero-inited non-cacheable - * variables, please using "AT_NONCACHEABLE_SECTION(var);" or "AT_NONCACHEABLE_SECTION_ALIGN(var);" to define them, +/*! + * @name Non-cacheable region definition macros + * + * For initialized non-zero non-cacheable variables, please use "AT_NONCACHEABLE_SECTION_INIT(var) ={xx};" or + * "AT_NONCACHEABLE_SECTION_ALIGN_INIT(var) ={xx};" in your projects to define them. For zero-inited non-cacheable + * variables, please use "AT_NONCACHEABLE_SECTION(var);" or "AT_NONCACHEABLE_SECTION_ALIGN(var);" to define them, * these zero-inited variables will be initialized to zero in system startup. + * + * @note For GCC, when the non-cacheable section is required, please define "__STARTUP_INITIALIZE_NONCACHEDATA" + * in your projects to make sure the non-cacheable section variables will be initialized in system startup. + * + * @{ + */ + +/*! + * @def AT_NONCACHEABLE_SECTION(var) + * Define a variable \a var, and place it in non-cacheable section. + * + * @def AT_NONCACHEABLE_SECTION_ALIGN(var, alignbytes) + * Define a variable \a var, and place it in non-cacheable section, the start address + * of the variable is aligned to \a alignbytes. + * + * @def AT_NONCACHEABLE_SECTION_INIT(var) + * Define a variable \a var with initial value, and place it in non-cacheable section. + * + * @def AT_NONCACHEABLE_SECTION_ALIGN_INIT(var, alignbytes) + * Define a variable \a var with initial value, and place it in non-cacheable section, + * the start address of the variable is aligned to \a alignbytes. */ -/* @{ */ #if ((!(defined(FSL_FEATURE_HAS_NO_NONCACHEABLE_SECTION) && FSL_FEATURE_HAS_NO_NONCACHEABLE_SECTION)) && \ defined(FSL_FEATURE_L1ICACHE_LINESIZE_BYTE)) @@ -409,7 +446,7 @@ _Pragma("diag_suppress=Pm120") __attribute__((section(".bss.NonCacheable"))) __attribute__((aligned(alignbytes))) var #endif -#elif (defined(__GNUC__)) +#elif (defined(__GNUC__)) || defined(DOXYGEN_OUTPUT) /* For GCC, when the non-cacheable section is required, please define "__STARTUP_INITIALIZE_NONCACHEDATA" * in your projects to make sure the non-cacheable section variables will be initialized in system startup. */ @@ -432,12 +469,24 @@ _Pragma("diag_suppress=Pm120") #endif -/* @} */ +/*! @} */ /*! * @name Time sensitive region * @{ */ + +/*! + * @def AT_QUICKACCESS_SECTION_CODE(func) + * Place function in a section which can be accessed quickly by core. + * + * @def AT_QUICKACCESS_SECTION_DATA(var) + * Place data in a section which can be accessed quickly by core. + * + * @def AT_QUICKACCESS_SECTION_DATA_ALIGN(var, alignbytes) + * Place data in a section which can be accessed quickly by core, and the variable + * address is set to align with \a alignbytes. + */ #if (defined(__ICCARM__)) #define AT_QUICKACCESS_SECTION_CODE(func) func @"CodeQuickAccess" #define AT_QUICKACCESS_SECTION_DATA(var) var @"DataQuickAccess" @@ -448,7 +497,7 @@ _Pragma("diag_suppress=Pm120") #define AT_QUICKACCESS_SECTION_DATA(var) __attribute__((section("DataQuickAccess"))) var #define AT_QUICKACCESS_SECTION_DATA_ALIGN(var, alignbytes) \ __attribute__((section("DataQuickAccess"))) __attribute__((aligned(alignbytes))) var -#elif (defined(__GNUC__)) +#elif (defined(__GNUC__)) || defined(DOXYGEN_OUTPUT) #define AT_QUICKACCESS_SECTION_CODE(func) __attribute__((section("CodeQuickAccess"), __noinline__)) func #define AT_QUICKACCESS_SECTION_DATA(var) __attribute__((section("DataQuickAccess"))) var #define AT_QUICKACCESS_SECTION_DATA_ALIGN(var, alignbytes) \ @@ -456,18 +505,25 @@ _Pragma("diag_suppress=Pm120") #else #error Toolchain not supported. #endif /* defined(__ICCARM__) */ +/*! @} */ -/*! @name Ram Function */ +/*! + * @name Ram Function + * @{ + * + * @def RAMFUNCTION_SECTION_CODE(func) + * Place function in ram. + */ #if (defined(__ICCARM__)) #define RAMFUNCTION_SECTION_CODE(func) func @"RamFunction" #elif (defined(__CC_ARM) || defined(__ARMCC_VERSION)) #define RAMFUNCTION_SECTION_CODE(func) __attribute__((section("RamFunction"))) func -#elif (defined(__GNUC__)) +#elif (defined(__GNUC__)) || defined(DOXYGEN_OUTPUT) #define RAMFUNCTION_SECTION_CODE(func) __attribute__((section("RamFunction"))) func #else #error Toolchain not supported. #endif /* defined(__ICCARM__) */ -/* @} */ +/*! @} */ #if defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) void DefaultISR(void); @@ -839,4 +895,4 @@ uint32_t MSDK_GetCpuCycleCount(void); /*! @} */ -#endif /* _FSL_COMMON_ARM_H_ */ +#endif /* FSL_COMMON_ARM_H_ */ diff --git a/devices/LPC804/drivers/fsl_crc.h b/devices/LPC804/drivers/fsl_crc.h index 08e08bd..9ca8dd5 100644 --- a/devices/LPC804/drivers/fsl_crc.h +++ b/devices/LPC804/drivers/fsl_crc.h @@ -6,8 +6,8 @@ * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef _FSL_CRC_H_ -#define _FSL_CRC_H_ +#ifndef FSL_CRC_H_ +#define FSL_CRC_H_ #include "fsl_common.h" @@ -23,7 +23,7 @@ ******************************************************************************/ /*! @name Driver version */ -/*@{*/ +/*! @{ */ /*! @brief CRC driver version. Version 2.1.1. * * Current version: 2.1.1 @@ -41,7 +41,7 @@ * - Fix MISRA issue */ #define FSL_CRC_DRIVER_VERSION (MAKE_VERSION(2, 1, 1)) -/*@}*/ +/*! @} */ #ifndef CRC_DRIVER_CUSTOM_DEFAULTS /*! @brief Default configuration structure filled by CRC_GetDefaultConfig(). Uses CRC-16/CCITT-FALSE as default. */ @@ -192,4 +192,4 @@ static inline uint16_t CRC_Get16bitResult(CRC_Type *base) *@} */ -#endif /* _FSL_CRC_H_ */ +#endif /* FSL_CRC_H_ */ diff --git a/devices/LPC804/drivers/fsl_ctimer.h b/devices/LPC804/drivers/fsl_ctimer.h index 27b6906..0ec7286 100644 --- a/devices/LPC804/drivers/fsl_ctimer.h +++ b/devices/LPC804/drivers/fsl_ctimer.h @@ -5,8 +5,8 @@ * * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef _FSL_CTIMER_H_ -#define _FSL_CTIMER_H_ +#ifndef FSL_CTIMER_H_ +#define FSL_CTIMER_H_ #include "fsl_common.h" @@ -22,9 +22,9 @@ ******************************************************************************/ /*! @name Driver version */ -/*@{*/ +/*! @{ */ #define FSL_CTIMER_DRIVER_VERSION (MAKE_VERSION(2, 3, 1)) /*!< Version 2.3.1 */ -/*@}*/ +/*! @} */ /*! @brief List of Timer capture channels */ typedef enum _ctimer_capture_channel @@ -679,4 +679,4 @@ static inline void CTIMER_SetShadowValue(CTIMER_Type *base, ctimer_match_t match /*! @}*/ -#endif /* _FSL_CTIMER_H_ */ +#endif /* FSL_CTIMER_H_ */ diff --git a/devices/LPC804/drivers/fsl_dac.h b/devices/LPC804/drivers/fsl_dac.h index 9b28fb1..2d22376 100644 --- a/devices/LPC804/drivers/fsl_dac.h +++ b/devices/LPC804/drivers/fsl_dac.h @@ -4,8 +4,8 @@ * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef __FSL_DAC_H__ -#define __FSL_DAC_H__ +#ifndef FSL_DAC_H__ +#define FSL_DAC_H__ #include "fsl_common.h" @@ -21,10 +21,10 @@ ******************************************************************************/ /*! @name Driver version */ -/*@{*/ +/*! @{ */ /*! @brief DAC driver version 2.0.2. */ #define LPC_DAC_DRIVER_VERSION (MAKE_VERSION(2, 0, 2)) -/*@}*/ +/*! @} */ /*! * @brief The DAC settling time. @@ -179,6 +179,6 @@ static inline bool DAC_GetDMAInterruptRequestFlag(DAC_Type *base) } #endif -/* @} */ +/*! @} */ -#endif /* __FSL_DAC_H__ */ +#endif /* FSL_DAC_H__ */ diff --git a/devices/LPC804/drivers/fsl_gpio.h b/devices/LPC804/drivers/fsl_gpio.h index 50a33f8..4485603 100644 --- a/devices/LPC804/drivers/fsl_gpio.h +++ b/devices/LPC804/drivers/fsl_gpio.h @@ -23,10 +23,10 @@ ******************************************************************************/ /*! @name Driver version */ -/*@{*/ +/*! @{ */ /*! @brief LPC GPIO driver version. */ #define FSL_GPIO_DRIVER_VERSION (MAKE_VERSION(2, 1, 7)) -/*@}*/ +/*! @} */ /*! @brief LPC GPIO direction definition */ typedef enum _gpio_pin_direction @@ -94,7 +94,7 @@ extern "C" { #endif /*! @name GPIO Configuration */ -/*@{*/ +/*! @{ */ /*! * @brief Initializes the GPIO peripheral. @@ -135,10 +135,10 @@ void GPIO_PortInit(GPIO_Type *base, uint32_t port); */ void GPIO_PinInit(GPIO_Type *base, uint32_t port, uint32_t pin, const gpio_pin_config_t *config); -/*@}*/ +/*! @} */ /*! @name GPIO Output Operations */ -/*@{*/ +/*! @{ */ /*! * @brief Sets the output level of the one GPIO pin to the logic 1 or 0. @@ -155,9 +155,9 @@ static inline void GPIO_PinWrite(GPIO_Type *base, uint32_t port, uint32_t pin, u base->B[port][pin] = output; } -/*@}*/ +/*! @} */ /*! @name GPIO Input Operations */ -/*@{*/ +/*! @{ */ /*! * @brief Reads the current input value of the GPIO PIN. @@ -174,7 +174,7 @@ static inline uint32_t GPIO_PinRead(GPIO_Type *base, uint32_t port, uint32_t pin return (uint32_t)base->B[port][pin]; } -/*@}*/ +/*! @} */ /*! * @brief Sets the output level of the multiple GPIO pins to the logic 1. @@ -212,7 +212,7 @@ static inline void GPIO_PortToggle(GPIO_Type *base, uint32_t port, uint32_t mask base->NOT[port] = mask; } -/*@}*/ +/*! @} */ /*! * @brief Reads the current input value of the whole GPIO port. @@ -225,9 +225,9 @@ static inline uint32_t GPIO_PortRead(GPIO_Type *base, uint32_t port) return (uint32_t)base->PIN[port]; } -/*@}*/ +/*! @} */ /*! @name GPIO Mask Operations */ -/*@{*/ +/*! @{ */ /*! * @brief Sets port mask, 0 - enable pin, 1 - disable pin. @@ -351,7 +351,7 @@ void GPIO_PinClearInterruptFlag(GPIO_Type *base, uint32_t port, uint32_t pin, ui #endif /* FSL_FEATURE_GPIO_HAS_INTERRUPT */ -/*@}*/ +/*! @} */ #if defined(__cplusplus) } diff --git a/devices/LPC804/drivers/fsl_i2c.h b/devices/LPC804/drivers/fsl_i2c.h index c2a3c8d..787d487 100644 --- a/devices/LPC804/drivers/fsl_i2c.h +++ b/devices/LPC804/drivers/fsl_i2c.h @@ -5,8 +5,8 @@ * * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef _FSL_I2C_H_ -#define _FSL_I2C_H_ +#ifndef FSL_I2C_H_ +#define FSL_I2C_H_ #include #include "fsl_device_registers.h" @@ -31,10 +31,10 @@ /*! @file */ /*! @name Driver version */ -/*@{*/ +/*! @{ */ /*! @brief I2C driver version. */ #define FSL_I2C_DRIVER_VERSION (MAKE_VERSION(2, 1, 0)) -/*@}*/ +/*! @} */ /*! @brief Retry times for waiting flag. */ #ifndef I2C_RETRY_TIMES @@ -386,7 +386,7 @@ extern "C" { */ /*! @name Initialization and deinitialization */ -/*@{*/ +/*! @{ */ /*! * @brief Provides a default configuration for the I2C master peripheral. @@ -470,10 +470,10 @@ static inline void I2C_MasterEnable(I2C_Type *base, bool enable) } } -/*@}*/ +/*! @} */ /*! @name Status */ -/*@{*/ +/*! @{ */ /*! * @brief Gets the I2C status flags. @@ -513,10 +513,10 @@ static inline void I2C_MasterClearStatusFlags(I2C_Type *base, uint32_t statusMas base->STAT = statusMask & (I2C_STAT_MSTARBLOSS_MASK | I2C_STAT_MSTSTSTPERR_MASK); } -/*@}*/ +/*! @} */ /*! @name Interrupts */ -/*@{*/ +/*! @{ */ /*! * @brief Enables the I2C master interrupt requests. @@ -554,10 +554,10 @@ static inline uint32_t I2C_GetEnabledInterrupts(I2C_Type *base) return base->INTSTAT; } -/*@}*/ +/*! @} */ /*! @name Bus operations */ -/*@{*/ +/*! @{ */ /*! * @brief Sets the I2C bus frequency for master transactions. @@ -672,11 +672,11 @@ status_t I2C_MasterReadBlocking(I2C_Type *base, void *rxBuff, size_t rxSize, uin */ status_t I2C_MasterTransferBlocking(I2C_Type *base, i2c_master_transfer_t *xfer); -/*@}*/ +/*! @} */ #if defined(FSL_SDK_ENABLE_I2C_DRIVER_TRANSACTIONAL_APIS) && (FSL_SDK_ENABLE_I2C_DRIVER_TRANSACTIONAL_APIS) /*! @name Non-blocking */ -/*@{*/ +/*! @{ */ /*! * @brief Creates a new handle for the I2C master non-blocking APIs. @@ -730,10 +730,10 @@ status_t I2C_MasterTransferGetCount(I2C_Type *base, i2c_master_handle_t *handle, */ status_t I2C_MasterTransferAbort(I2C_Type *base, i2c_master_handle_t *handle); -/*@}*/ +/*! @} */ /*! @name IRQ handler */ -/*@{*/ +/*! @{ */ /*! * @brief Reusable routine to handle master interrupts. @@ -744,7 +744,7 @@ status_t I2C_MasterTransferAbort(I2C_Type *base, i2c_master_handle_t *handle); */ void I2C_MasterTransferHandleIRQ(I2C_Type *base, void *i2cHandle); -/*@}*/ +/*! @} */ /*! @} */ /* end of i2c_master_driver */ @@ -754,7 +754,7 @@ void I2C_MasterTransferHandleIRQ(I2C_Type *base, void *i2cHandle); */ /*! @name Slave initialization and deinitialization */ -/*@{*/ +/*! @{ */ #endif /* FSL_SDK_ENABLE_I2C_DRIVER_TRANSACTIONAL_APIS */ /*! @@ -833,10 +833,10 @@ static inline void I2C_SlaveEnable(I2C_Type *base, bool enable) base->CFG = I2C_CFG_SLVEN(enable); } -/*@}*/ /* end of Slave initialization and deinitialization */ +/*! @} */ /* end of Slave initialization and deinitialization */ /*! @name Slave status */ -/*@{*/ +/*! @{ */ /*! * @brief Clears the I2C status flag state. @@ -858,10 +858,10 @@ static inline void I2C_SlaveClearStatusFlags(I2C_Type *base, uint32_t statusMask base->STAT = statusMask & I2C_STAT_SLVDESEL_MASK; } -/*@}*/ /* end of Slave status */ +/*! @} */ /* end of Slave status */ /*! @name Slave bus operations */ -/*@{*/ +/*! @{ */ /*! * @brief Performs a polling send transfer on the I2C bus. @@ -889,11 +889,11 @@ status_t I2C_SlaveWriteBlocking(I2C_Type *base, const uint8_t *txBuff, size_t tx */ status_t I2C_SlaveReadBlocking(I2C_Type *base, uint8_t *rxBuff, size_t rxSize); -/*@}*/ /* end of Slave bus operations */ +/*! @} */ /* end of Slave bus operations */ #if defined(FSL_SDK_ENABLE_I2C_DRIVER_TRANSACTIONAL_APIS) && (FSL_SDK_ENABLE_I2C_DRIVER_TRANSACTIONAL_APIS) /*! @name Slave non-blocking */ -/*@{*/ +/*! @{ */ /*! * @brief Creates a new handle for the I2C slave non-blocking APIs. @@ -1031,10 +1031,10 @@ void I2C_SlaveTransferAbort(I2C_Type *base, i2c_slave_handle_t *handle); */ status_t I2C_SlaveTransferGetCount(I2C_Type *base, i2c_slave_handle_t *handle, size_t *count); -/*@}*/ /* end of Slave non-blocking */ +/*! @} */ /* end of Slave non-blocking */ /*! @name Slave IRQ handler */ -/*@{*/ +/*! @{ */ /*! * @brief Reusable routine to handle slave interrupts. @@ -1045,7 +1045,7 @@ status_t I2C_SlaveTransferGetCount(I2C_Type *base, i2c_slave_handle_t *handle, s */ void I2C_SlaveTransferHandleIRQ(I2C_Type *base, void *i2cHandle); -/*@}*/ /* end of Slave IRQ handler */ +/*! @} */ /* end of Slave IRQ handler */ /*! @} */ /* end of i2c_slave_driver */ #endif /* FSL_SDK_ENABLE_I2C_DRIVER_TRANSACTIONAL_APIS */ @@ -1054,4 +1054,4 @@ void I2C_SlaveTransferHandleIRQ(I2C_Type *base, void *i2cHandle); } #endif -#endif /* _FSL_I2C_H_ */ +#endif /* FSL_I2C_H_ */ diff --git a/devices/LPC804/drivers/fsl_iap.c b/devices/LPC804/drivers/fsl_iap.c old mode 100755 new mode 100644 diff --git a/devices/LPC804/drivers/fsl_iap.h b/devices/LPC804/drivers/fsl_iap.h index 8dc434f..aaee3c2 100644 --- a/devices/LPC804/drivers/fsl_iap.h +++ b/devices/LPC804/drivers/fsl_iap.h @@ -5,8 +5,8 @@ * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef _FSL_IAP_H_ -#define _FSL_IAP_H_ +#ifndef FSL_IAP_H_ +#define FSL_IAP_H_ #include "fsl_common.h" @@ -22,9 +22,9 @@ ******************************************************************************/ /*! @name Driver version */ -/*@{*/ +/*! @{ */ #define FSL_IAP_DRIVER_VERSION (MAKE_VERSION(2, 0, 7)) -/*@}*/ +/*! @} */ /*! * @brief iap status codes. @@ -176,7 +176,7 @@ status_t IAP_ReadUniqueID(uint32_t *uniqueID); status_t IAP_ReadFactorySettings(uint32_t dstRegAddr, uint32_t *factoryValue); #endif /* FSL_FEATURE_IAP_HAS_READ_FACTORY_SETTINGS_FUNCTION */ -/*@}*/ +/*! @} */ #if defined(FSL_FEATURE_IAP_HAS_FLASH_FUNCTION) && FSL_FEATURE_IAP_HAS_FLASH_FUNCTION /*! @@ -346,7 +346,7 @@ status_t IAP_ExtendedFlashSignatureRead(uint32_t startPage, status_t IAP_ReadFlashSignature(uint32_t *signature); #endif /* FSL_FEATURE_IAP_HAS_FLASH_SIGNATURE_READ */ -/*@}*/ +/*! @} */ #endif /* FSL_FEATURE_IAP_HAS_FLASH_FUNCTION */ #if (defined(FSL_FEATURE_IAP_HAS_EEPROM_FUNCTION) && (FSL_FEATURE_IAP_HAS_EEPROM_FUNCTION == 1)) @@ -389,7 +389,7 @@ status_t IAP_ReadEEPROMPage(uint32_t pageNumber, uint32_t *dstAddr, uint32_t sys */ status_t IAP_WriteEEPROMPage(uint32_t pageNumber, uint32_t *srcAddr, uint32_t systemCoreClock); -/*@}*/ +/*! @} */ #endif /* FSL_FEATURE_IAP_HAS_EEPROM_FUNCTION */ #if defined(FSL_FEATURE_IAP_HAS_FAIM_FUNCTION) && FSL_FEATURE_IAP_HAS_FAIM_FUNCTION @@ -425,12 +425,12 @@ status_t IAP_ReadFAIMPage(uint32_t pageNumber, uint32_t *dstAddr); status_t IAP_WriteFAIMPage(uint32_t pageNumber, uint32_t *srcAddr); #endif /* FSL_FEATURE_IAP_HAS_FAIM_FUNCTION */ -/*@}*/ +/*! @} */ #ifdef __cplusplus } #endif -/*@}*/ +/*! @} */ -#endif /* _FSL_IAP_H_ */ +#endif /* FSL_IAP_H_ */ diff --git a/devices/LPC804/drivers/fsl_iocon.h b/devices/LPC804/drivers/fsl_iocon.h index 6e53ab7..888a9c8 100644 --- a/devices/LPC804/drivers/fsl_iocon.h +++ b/devices/LPC804/drivers/fsl_iocon.h @@ -6,8 +6,8 @@ * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef _FSL_IOCON_H_ -#define _FSL_IOCON_H_ +#ifndef FSL_IOCON_H_ +#define FSL_IOCON_H_ #include "fsl_common.h" @@ -28,10 +28,10 @@ #endif /*! @name Driver version */ -/*@{*/ +/*! @{ */ /*! @brief IOCON driver version 2.0.2. */ #define LPC_IOCON_DRIVER_VERSION (MAKE_VERSION(2, 0, 2)) -/*@}*/ +/*! @} */ /** * @brief Array of IOCON pin definitions passed to IOCON_SetPinMuxing() must be in this format @@ -121,10 +121,10 @@ __STATIC_INLINE void IOCON_SetPinMuxing(IOCON_Type *base, const iocon_group_t *p } } -/* @} */ +/*! @} */ #if defined(__cplusplus) } #endif -#endif /* _FSL_IOCON_H_ */ +#endif /* FSL_IOCON_H_ */ diff --git a/devices/LPC804/drivers/fsl_mrt.h b/devices/LPC804/drivers/fsl_mrt.h index 7829d98..77f3a13 100644 --- a/devices/LPC804/drivers/fsl_mrt.h +++ b/devices/LPC804/drivers/fsl_mrt.h @@ -5,8 +5,8 @@ * * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef _FSL_MRT_H_ -#define _FSL_MRT_H_ +#ifndef FSL_MRT_H_ +#define FSL_MRT_H_ #include "fsl_common.h" @@ -22,9 +22,9 @@ ******************************************************************************/ /*! @name Driver version */ -/*@{*/ +/*! @{ */ #define FSL_MRT_DRIVER_VERSION (MAKE_VERSION(2, 0, 3)) /*!< Version 2.0.3 */ -/*@}*/ +/*! @} */ /*! @brief List of MRT channels */ typedef enum _mrt_chnl @@ -363,4 +363,4 @@ static inline void MRT_ReleaseChannel(MRT_Type *base, mrt_chnl_t channel) /*! @}*/ -#endif /* _FSL_MRT_H_ */ +#endif /* FSL_MRT_H_ */ diff --git a/devices/LPC804/drivers/fsl_pint.c b/devices/LPC804/drivers/fsl_pint.c index 6a03d4e..863c348 100644 --- a/devices/LPC804/drivers/fsl_pint.c +++ b/devices/LPC804/drivers/fsl_pint.c @@ -561,7 +561,7 @@ void PINT_EnableCallbackByIndex(PINT_Type *base, pint_pin_int_t pintIdx) #if (defined(FSL_FEATURE_SECPINT_NUMBER_OF_CONNECTED_OUTPUTS) && FSL_FEATURE_SECPINT_NUMBER_OF_CONNECTED_OUTPUTS) /* Get the right security pint irq index in array */ - if (base == SECPINT) + if ((base == SECPINT) && ((uint32_t)pintIdx < (uint32_t)FSL_FEATURE_SECPINT_NUMBER_OF_CONNECTED_OUTPUTS)) { pintIdx = (pint_pin_int_t)(uint32_t)((uint32_t)pintIdx + (uint32_t)FSL_FEATURE_PINT_NUMBER_OF_CONNECTED_OUTPUTS); diff --git a/devices/LPC804/drivers/fsl_pint.h b/devices/LPC804/drivers/fsl_pint.h index 5148b53..e8457b0 100644 --- a/devices/LPC804/drivers/fsl_pint.h +++ b/devices/LPC804/drivers/fsl_pint.h @@ -6,8 +6,8 @@ * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef _FSL_PINT_H_ -#define _FSL_PINT_H_ +#ifndef FSL_PINT_H_ +#define FSL_PINT_H_ #include "fsl_common.h" @@ -23,9 +23,9 @@ ******************************************************************************/ /*! @name Driver version */ -/*@{*/ -#define FSL_PINT_DRIVER_VERSION (MAKE_VERSION(2, 1, 11)) -/*@}*/ +/*! @{ */ +#define FSL_PINT_DRIVER_VERSION (MAKE_VERSION(2, 1, 12)) +/*! @} */ /* Number of interrupt line supported by PINT */ #define PINT_PIN_INT_COUNT 8U @@ -576,6 +576,6 @@ void PINT_DisableCallbackByIndex(PINT_Type *base, pint_pin_int_t pintIdx); } #endif -/*@}*/ +/*! @} */ -#endif /* _FSL_PINT_H_ */ +#endif /* FSL_PINT_H_ */ diff --git a/devices/LPC804/drivers/fsl_plu.h b/devices/LPC804/drivers/fsl_plu.h index 0c94d49..9335bc6 100644 --- a/devices/LPC804/drivers/fsl_plu.h +++ b/devices/LPC804/drivers/fsl_plu.h @@ -4,8 +4,8 @@ * * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef _FSL_PLU_H_ -#define _FSL_PLU_H_ +#ifndef FSL_PLU_H_ +#define FSL_PLU_H_ #include "fsl_common.h" @@ -19,9 +19,9 @@ ******************************************************************************/ /*! @name Driver version */ -/*@{*/ +/*! @{ */ #define FSL_PLU_DRIVER_VERSION (MAKE_VERSION(2, 2, 1)) /*!< Version 2.2.1 */ - /*@}*/ + /*! @} */ /*! @brief Index of LUT */ typedef enum _plu_lut_index @@ -371,4 +371,4 @@ void PLU_ClearLatchedInterrupt(PLU_Type *base); /*! @}*/ -#endif /* _FSL_PLU_H_ */ +#endif /* FSL_PLU_H_ */ diff --git a/devices/LPC804/drivers/fsl_reset.c b/devices/LPC804/drivers/fsl_reset.c index 17ff6b2..e03a53c 100644 --- a/devices/LPC804/drivers/fsl_reset.c +++ b/devices/LPC804/drivers/fsl_reset.c @@ -20,26 +20,6 @@ * Variables ******************************************************************************/ -/*! - * @brief Assert reset to peripheral. - * - * Asserts reset signal to specified peripheral module. - * - * @param peripheral Assert reset to this peripheral. The enum argument contains encoding of reset register - * and reset bit position in the reset register. - */ -static void RESET_SetPeripheralReset(reset_ip_name_t peripheral); - -/*! - * @brief Clear reset to peripheral. - * - * Clears reset signal to specified peripheral module, allows it to operate. - * - * @param peripheral Clear reset to this peripheral. The enum argument contains encoding of reset register - * and reset bit position in the reset register. - */ -static void RESET_ClearPeripheralReset(reset_ip_name_t peripheral); - /******************************************************************************* * Prototypes ******************************************************************************/ @@ -51,7 +31,7 @@ static void RESET_ClearPeripheralReset(reset_ip_name_t peripheral); #if ((defined(FSL_FEATURE_SOC_SYSCON_COUNT) && (FSL_FEATURE_SOC_SYSCON_COUNT > 0)) || \ (defined(FSL_FEATURE_SOC_ASYNC_SYSCON_COUNT) && (FSL_FEATURE_SOC_ASYNC_SYSCON_COUNT > 0))) -static void RESET_SetPeripheralReset(reset_ip_name_t peripheral) +void RESET_SetPeripheralReset(reset_ip_name_t peripheral) { const uint32_t regIndex = ((uint32_t)peripheral & 0xFFFF0000u) >> 16; const uint32_t bitPos = ((uint32_t)peripheral & 0x0000FFFFu); @@ -73,7 +53,7 @@ static void RESET_SetPeripheralReset(reset_ip_name_t peripheral) } } -static void RESET_ClearPeripheralReset(reset_ip_name_t peripheral) +void RESET_ClearPeripheralReset(reset_ip_name_t peripheral) { const uint32_t regIndex = ((uint32_t)peripheral & 0xFFFF0000u) >> 16; const uint32_t bitPos = ((uint32_t)peripheral & 0x0000FFFFu); diff --git a/devices/LPC804/drivers/fsl_reset.h b/devices/LPC804/drivers/fsl_reset.h index a32c73e..b644770 100644 --- a/devices/LPC804/drivers/fsl_reset.h +++ b/devices/LPC804/drivers/fsl_reset.h @@ -25,8 +25,8 @@ /*! @name Driver version */ /*@{*/ -/*! @brief reset driver version 2.0.1. */ -#define FSL_RESET_DRIVER_VERSION (MAKE_VERSION(2, 0, 1)) +/*! @brief reset driver version 2.4.0 */ +#define FSL_RESET_DRIVER_VERSION (MAKE_VERSION(2, 4, 0)) /*@}*/ /*! @@ -142,6 +142,26 @@ typedef SYSCON_RSTn_t reset_ip_name_t; extern "C" { #endif +/*! + * @brief Assert reset to peripheral. + * + * Asserts reset signal to specified peripheral module. + * + * @param peripheral Assert reset to this peripheral. The enum argument contains encoding of reset register + * and reset bit position in the reset register. + */ +void RESET_SetPeripheralReset(reset_ip_name_t peripheral); + +/*! + * @brief Clear reset to peripheral. + * + * Clears reset signal to specified peripheral module, allows it to operate. + * + * @param peripheral Clear reset to this peripheral. The enum argument contains encoding of reset register + * and reset bit position in the reset register. + */ +void RESET_ClearPeripheralReset(reset_ip_name_t peripheral); + /*! * @brief Reset peripheral module. * @@ -152,6 +172,19 @@ extern "C" { */ void RESET_PeripheralReset(reset_ip_name_t peripheral); +/*! + * @brief Release peripheral module. + * + * Release peripheral module. + * + * @param peripheral Peripheral to release. The enum argument contains encoding of reset register + * and reset bit position in the reset register. + */ +static inline void RESET_ReleasePeripheralReset(reset_ip_name_t peripheral) +{ + RESET_ClearPeripheralReset(peripheral); +} + #if defined(__cplusplus) } #endif diff --git a/devices/LPC804/drivers/fsl_spi.h b/devices/LPC804/drivers/fsl_spi.h index 66b0d56..d6c103c 100644 --- a/devices/LPC804/drivers/fsl_spi.h +++ b/devices/LPC804/drivers/fsl_spi.h @@ -6,8 +6,8 @@ * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef _FSL_SPI_H_ -#define _FSL_SPI_H_ +#ifndef FSL_SPI_H_ +#define FSL_SPI_H_ #include "fsl_common.h" @@ -23,10 +23,10 @@ ******************************************************************************/ /*! @name Driver version */ -/*@{*/ +/*! @{ */ /*! @brief SPI driver version. */ #define FSL_SPI_DRIVER_VERSION (MAKE_VERSION(2, 0, 6)) -/*@}*/ +/*! @} */ #ifndef SPI_DUMMYDATA /*! @brief SPI dummy transfer data, the data is sent while txBuff is NULL. */ @@ -680,4 +680,4 @@ void SPI_SlaveTransferHandleIRQ(SPI_Type *base, spi_slave_handle_t *handle); /*! @} */ -#endif /* _FSL_SPI_H_*/ +#endif /* FSL_SPI_H_*/ diff --git a/devices/LPC804/drivers/fsl_swm.h b/devices/LPC804/drivers/fsl_swm.h index 4232fdc..b4249e2 100644 --- a/devices/LPC804/drivers/fsl_swm.h +++ b/devices/LPC804/drivers/fsl_swm.h @@ -5,8 +5,8 @@ * * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef _FSL_SWM_H_ -#define _FSL_SWM_H_ +#ifndef FSL_SWM_H_ +#define FSL_SWM_H_ #include "fsl_swm_connections.h" @@ -22,10 +22,10 @@ ******************************************************************************/ /*! @name Driver version */ -/*@{*/ +/*! @{ */ /*! @brief LPC SWM driver version. */ #define FSL_SWM_DRIVER_VERSION (MAKE_VERSION(2, 1, 2)) -/*@}*/ +/*! @} */ /******************************************************************************* * API @@ -94,4 +94,4 @@ void SWM_SetFlextimerPinSelect(SWM_Type *base, swm_flextimer_pin_func_t func, sw /*! @}*/ -#endif /* _FSL_SWM_H_ */ +#endif /* FSL_SWM_H_ */ diff --git a/devices/LPC804/drivers/fsl_syscon.h b/devices/LPC804/drivers/fsl_syscon.h index 2af6452..d1fc4f7 100644 --- a/devices/LPC804/drivers/fsl_syscon.h +++ b/devices/LPC804/drivers/fsl_syscon.h @@ -6,8 +6,8 @@ * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef _FSL_SYSCON_H_ -#define _FSL_SYSCON_H_ +#ifndef FSL_SYSCON_H_ +#define FSL_SYSCON_H_ #include "fsl_syscon_connections.h" /******************************************************************************* @@ -27,10 +27,10 @@ ******************************************************************************/ /*! @name Driver version */ -/*@{*/ +/*! @{ */ /*! @brief Group syscon driver version for SDK */ #define FSL_SYSON_DRIVER_VERSION (MAKE_VERSION(2, 0, 1)) /*!< Version 2.0.1. */ - /*@}*/ + /*! @} */ /******************************************************************************* * API @@ -57,6 +57,6 @@ void SYSCON_AttachSignal(SYSCON_Type *base, uint32_t index, syscon_connection_t } #endif -/*@}*/ +/*! @} */ -#endif /* _FSL_SYSCON_H_ */ +#endif /* FSL_SYSCON_H_ */ diff --git a/devices/LPC804/drivers/fsl_usart.h b/devices/LPC804/drivers/fsl_usart.h index cc188fa..10f0416 100644 --- a/devices/LPC804/drivers/fsl_usart.h +++ b/devices/LPC804/drivers/fsl_usart.h @@ -5,8 +5,8 @@ * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef _FSL_USART_H_ -#define _FSL_USART_H_ +#ifndef FSL_USART_H_ +#define FSL_USART_H_ #include "fsl_common.h" @@ -19,10 +19,10 @@ * Definitions ******************************************************************************/ /*! @name Driver version */ -/*@{*/ +/*! @{ */ /*! @brief USART driver version. */ -#define FSL_USART_DRIVER_VERSION (MAKE_VERSION(2, 5, 0)) -/*@}*/ +#define FSL_USART_DRIVER_VERSION (MAKE_VERSION(2, 5, 1)) +/*! @} */ /*! @brief Macro gate for enable transaction API. 1 for enable, 0 for disable. */ #ifndef FSL_SDK_ENABLE_USART_DRIVER_TRANSACTIONAL_APIS @@ -274,7 +274,7 @@ extern "C" { /*! @brief Returns instance number for USART peripheral base address. */ uint32_t USART_GetInstance(USART_Type *base); -/* @} */ +/*! @} */ /*! * @name Initialization and deinitialization @@ -347,7 +347,7 @@ void USART_GetDefaultConfig(usart_config_t *config); * @retval kStatus_InvalidArgument One or more arguments are invalid. */ status_t USART_SetBaudRate(USART_Type *base, uint32_t baudrate_Bps, uint32_t srcClock_Hz); -/* @} */ +/*! @} */ /*! * @name Status @@ -392,7 +392,7 @@ static inline void USART_ClearStatusFlags(USART_Type *base, uint32_t mask) { base->STAT = mask; } -/* @} */ +/*! @} */ /*! * @name Interrupts @@ -439,7 +439,6 @@ static inline void USART_DisableInterrupts(USART_Type *base, uint32_t mask) * * This function configures the number idle character of USART rx idle. * For 115200,8n1, 1 character timing is 86.81uS = 1/ (115200/(1start+8data+0parity+1stop)) - * @ref USART_CTL_RXIDLETOCFG * @code * USART_SetRxIdleTimeout(USART1, 1); * @endcode @@ -463,7 +462,7 @@ static inline uint32_t USART_GetEnabledInterrupts(USART_Type *base) { return base->INTENSET; } -/* @} */ +/*! @} */ /*! * @name Bus Operations @@ -635,7 +634,7 @@ status_t USART_WriteBlocking(USART_Type *base, const uint8_t *data, size_t lengt */ status_t USART_ReadBlocking(USART_Type *base, uint8_t *data, size_t length); -/* @} */ +/*! @} */ #if defined(FSL_SDK_ENABLE_USART_DRIVER_TRANSACTIONAL_APIS) && (FSL_SDK_ENABLE_USART_DRIVER_TRANSACTIONAL_APIS) /*! @@ -813,7 +812,7 @@ status_t USART_TransferGetReceiveCount(USART_Type *base, usart_handle_t *handle, */ void USART_TransferHandleIRQ(USART_Type *base, usart_handle_t *handle); -/* @} */ +/*! @} */ #endif #if defined(__cplusplus) @@ -822,4 +821,4 @@ void USART_TransferHandleIRQ(USART_Type *base, usart_handle_t *handle); /*! @}*/ -#endif /* _FSL_USART_H_ */ +#endif /* FSL_USART_H_ */ diff --git a/devices/LPC804/drivers/fsl_wkt.h b/devices/LPC804/drivers/fsl_wkt.h index c9342cd..9bdb90b 100644 --- a/devices/LPC804/drivers/fsl_wkt.h +++ b/devices/LPC804/drivers/fsl_wkt.h @@ -4,8 +4,8 @@ * * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef _FSL_WKT_H_ -#define _FSL_WKT_H_ +#ifndef FSL_WKT_H_ +#define FSL_WKT_H_ #include "fsl_common.h" @@ -21,9 +21,9 @@ ******************************************************************************/ /*! @name Driver version */ -/*@{*/ +/*! @{ */ #define FSL_WKT_DRIVER_VERSION (MAKE_VERSION(2, 0, 2)) /*!< Version 2.0.2 */ -/*@}*/ +/*! @} */ /*! @brief Describes WKT clock source. */ typedef enum _wkt_clock_source @@ -192,4 +192,4 @@ static inline void WKT_StopTimer(WKT_Type *base) /*! @}*/ -#endif /* _FSL_WKT_H_ */ +#endif /* FSL_WKT_H_ */ diff --git a/devices/LPC804/drivers/fsl_wwdt.h b/devices/LPC804/drivers/fsl_wwdt.h index 2546253..40c90e4 100644 --- a/devices/LPC804/drivers/fsl_wwdt.h +++ b/devices/LPC804/drivers/fsl_wwdt.h @@ -5,8 +5,8 @@ * * SPDX-License-Identifier: BSD-3-Clause */ -#ifndef _FSL_WWDT_H_ -#define _FSL_WWDT_H_ +#ifndef FSL_WWDT_H_ +#define FSL_WWDT_H_ #include "fsl_common.h" @@ -22,16 +22,16 @@ *******************************************************************************/ /*! @name Driver version */ -/*@{*/ +/*! @{ */ /*! @brief Defines WWDT driver version. */ #define FSL_WWDT_DRIVER_VERSION (MAKE_VERSION(2, 1, 9)) -/*@}*/ +/*! @} */ /*! @name Refresh sequence */ -/*@{*/ +/*! @{ */ #define WWDT_FIRST_WORD_OF_REFRESH (0xAAU) /*!< First word of refresh sequence */ #define WWDT_SECOND_WORD_OF_REFRESH (0x55U) /*!< Second word of refresh sequence */ -/*@}*/ +/*! @} */ /*! @brief Describes WWDT configuration structure. */ typedef struct _wwdt_config @@ -126,7 +126,7 @@ void WWDT_Init(WWDT_Type *base, const wwdt_config_t *config); */ void WWDT_Deinit(WWDT_Type *base); -/* @} */ +/*! @} */ /*! * @name WWDT Functional Operation @@ -265,7 +265,7 @@ static inline void WWDT_SetWindowValue(WWDT_Type *base, uint32_t windowValue) */ void WWDT_Refresh(WWDT_Type *base); -/*@}*/ +/*! @} */ #if defined(__cplusplus) } @@ -273,4 +273,4 @@ void WWDT_Refresh(WWDT_Type *base); /*! @}*/ -#endif /* _FSL_WWDT_H_ */ +#endif /* FSL_WWDT_H_ */ diff --git a/devices/LPC804/gcc/startup_LPC804.S b/devices/LPC804/gcc/startup_LPC804.S index 9760fb0..125248b 100644 --- a/devices/LPC804/gcc/startup_LPC804.S +++ b/devices/LPC804/gcc/startup_LPC804.S @@ -4,7 +4,7 @@ /* LPC804 */ /* @version: 1.0 */ /* @date: 2018-1-9 */ -/* @build: b230529 */ +/* @build: b231018 */ /* ------------------------------------------------------------------------- */ /* */ /* Copyright 1997-2016 Freescale Semiconductor, Inc. */ @@ -90,6 +90,11 @@ __Vectors: .text .thumb +#if defined (__cplusplus) +#ifdef __REDLIB__ +#error Redlib does not support C++ +#endif +#endif /* Reset Handler */ .thumb_func @@ -148,8 +153,12 @@ Reset_Handler: #endif cpsie i /* Unmask interrupts */ #ifndef __START +#ifdef __REDLIB__ +#define __START __main +#else #define __START _start #endif +#endif #ifndef __ATOLLIC__ ldr r0,=__START blx r0 diff --git a/devices/LPC804/project_template/DEVICES_Project_Template_LPC804.LPC804.cmake b/devices/LPC804/project_template/DEVICES_Project_Template_LPC804.LPC804.cmake index de5e8ed..3b5a348 100644 --- a/devices/LPC804/project_template/DEVICES_Project_Template_LPC804.LPC804.cmake +++ b/devices/LPC804/project_template/DEVICES_Project_Template_LPC804.LPC804.cmake @@ -5,8 +5,6 @@ message("${CMAKE_CURRENT_LIST_FILE} component is included.") if(CONFIG_USE_component_miniusart_adapter AND (CONFIG_DEVICE_ID STREQUAL LPC804) AND CONFIG_USE_device_LPC804_startup AND CONFIG_USE_driver_clock AND CONFIG_USE_driver_common AND CONFIG_USE_driver_lpc_gpio AND CONFIG_USE_driver_lpc_iocon_lite AND CONFIG_USE_driver_lpc_miniusart AND CONFIG_USE_driver_power_no_lib AND CONFIG_USE_driver_rom_api AND CONFIG_USE_driver_swm AND CONFIG_USE_utility_assert_lite AND CONFIG_USE_utility_debug_console_lite) -add_config_file(${CMAKE_CURRENT_LIST_DIR}/board.h "" DEVICES_Project_Template_LPC804.LPC804) -add_config_file(${CMAKE_CURRENT_LIST_DIR}/board.c "" DEVICES_Project_Template_LPC804.LPC804) add_config_file(${CMAKE_CURRENT_LIST_DIR}/clock_config.h "" DEVICES_Project_Template_LPC804.LPC804) add_config_file(${CMAKE_CURRENT_LIST_DIR}/clock_config.c "" DEVICES_Project_Template_LPC804.LPC804) add_config_file(${CMAKE_CURRENT_LIST_DIR}/pin_mux.h "" DEVICES_Project_Template_LPC804.LPC804) diff --git a/devices/LPC804/project_template/board.c b/devices/LPC804/project_template/board.c deleted file mode 100644 index 30bda70..0000000 --- a/devices/LPC804/project_template/board.c +++ /dev/null @@ -1,24 +0,0 @@ -/* - * Copyright 201, NXP - * All rights reserved. - * - * SPDX-License-Identifier: BSD-3-Clause - */ - -/** - * @file board.c - * @brief Board initialization file. - */ - -/* This is an empty template for board specific configuration.*/ - -#include -#include "board.h" - -/** - * @brief Set up and initialize all required blocks and functions related to the board hardware. - */ -void BOARD_InitDebugConsole(void) -{ - /* The user initialization should be placed here */ -} diff --git a/devices/LPC804/project_template/board.h b/devices/LPC804/project_template/board.h deleted file mode 100644 index b3b5ef0..0000000 --- a/devices/LPC804/project_template/board.h +++ /dev/null @@ -1,36 +0,0 @@ -/* - * Copyright 2017, NXP - * All rights reserved. - * - * SPDX-License-Identifier: BSD-3-Clause - */ - -/** - * @file board.h - * @brief Board initialization header file. - */ - -/* This is an empty template for board specific configuration.*/ - -#ifndef _BOARD_H_ -#define _BOARD_H_ - -/** - * @brief The board name - */ -#define BOARD_NAME "board" - -#if defined(__cplusplus) -extern "C" { -#endif /* __cplusplus */ - -/** - * @brief Initialize board specific settings. - */ -void BOARD_InitDebugConsole(void); - -#if defined(__cplusplus) -} -#endif /* __cplusplus */ - -#endif /* _BOARD_H_ */ diff --git a/devices/LPC804/project_template/clock_config.c b/devices/LPC804/project_template/clock_config.c index 8e5b038..a0c21b8 100644 --- a/devices/LPC804/project_template/clock_config.c +++ b/devices/LPC804/project_template/clock_config.c @@ -1,32 +1,86 @@ /* - * Copyright 2017, NXP + * Copyright 2018 NXP. * All rights reserved. * * SPDX-License-Identifier: BSD-3-Clause */ +/* + * How to set up clock using clock driver functions: + * + * 1. Setup clock sources. + * + * 2. Set up all dividers. + * + * 3. Set up all selectors to provide selected clocks. + */ + /* TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* !!GlobalInfo -product: Clocks v4.0 -* BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS **********/ +product: Clocks v12.0 +processor: LPC804 +package_id: LPC804M101JDH24 +mcu_data: ksdk2_0 +processor_version: 14.0.0 + * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS **********/ -/** - * @file clock_config.c - * @brief Board clocks initialization file. - */ - -/* This is a empty template for board specific configuration.*/ - -#include "fsl_common.h" +#include "fsl_power.h" +#include "fsl_clock.h" #include "clock_config.h" -/** - * @brief Set up and initialize all required blocks and functions related to the board hardware. - */ +/******************************************************************************* + * Definitions + ******************************************************************************/ + +/******************************************************************************* + * Variables + ******************************************************************************/ + +/******************************************************************************* + ************************ BOARD_InitBootClocks function ************************ + ******************************************************************************/ void BOARD_InitBootClocks(void) { - /* The user initialization should be placed here */ - - /* Read core clock setting. */ - SystemCoreClockUpdate(); + BOARD_BootClockRUN(); } + +/******************************************************************************* + ********************** Configuration BOARD_BootClockRUN *********************** + ******************************************************************************/ +/* TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* +!!Configuration +name: BOARD_BootClockRUN +called_from_default_init: true +outputs: +- {id: FROHF_clock.outFreq, value: 30 MHz} +- {id: LowPower_clock.outFreq, value: 1 MHz} +- {id: System_clock.outFreq, value: 15 MHz} +- {id: WWDT_clock.outFreq, value: 1 MHz} +- {id: divto750k_clock.outFreq, value: 750 kHz} + * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS **********/ + +/******************************************************************************* + * Variables for BOARD_BootClockRUN configuration + ******************************************************************************/ +/******************************************************************************* + * Code for BOARD_BootClockRUN configuration + ******************************************************************************/ +void BOARD_BootClockRUN(void) +{ + /*!< Set up the clock sources */ + /*!< Set up FRO */ + POWER_DisablePD(kPDRUNCFG_PD_FRO_OUT); /*!< Ensure FRO OUT is on */ + POWER_DisablePD(kPDRUNCFG_PD_FRO); /*!< Ensure FRO is on */ + CLOCK_SetFroOscFreq(kCLOCK_FroOscOut30M); /*!< Set up FRO freq */ + POWER_DisablePD(kPDRUNCFG_PD_LPOSC); /*!< Ensure LPOSC is on */ + CLOCK_SetMainClkSrc(kCLOCK_MainClkSrcFro); /*!< select fro for main clock */ + CLOCK_Select(kFRG0_Clk_From_Fro); /*!< select fro for frg0 */ + CLOCK_SetFRG0ClkFreq(15000000U); /*!< select frg0 freq */ + CLOCK_Select(kCLKOUT_From_Fro); /*!< select FRO for CLKOUT */ + CLOCK_Select(kADC_Clk_From_Fro); /*!< select FRO for ADC */ + CLOCK_SetCoreSysClkDiv(1U); + /*!< Set SystemCoreClock variable. */ + SystemCoreClock = BOARD_BOOTCLOCKRUN_CORE_CLOCK; +} + + diff --git a/devices/LPC804/project_template/clock_config.h b/devices/LPC804/project_template/clock_config.h index b706c0d..909bb25 100644 --- a/devices/LPC804/project_template/clock_config.h +++ b/devices/LPC804/project_template/clock_config.h @@ -1,31 +1,64 @@ /* - * Copyright 2017, NXP + * Copyright 2018 NXP. * All rights reserved. * * SPDX-License-Identifier: BSD-3-Clause */ -/** - * @file clock_config.h - * @brief Board clocks header file. - */ - -/* This is an empty template for board specific configuration.*/ - #ifndef _CLOCK_CONFIG_H_ #define _CLOCK_CONFIG_H_ +#include "fsl_common.h" + +/******************************************************************************* + * Definitions + ******************************************************************************/ +#define BOARD_XTAL0_CLK_HZ 12000000U /*!< Board xtal0 frequency in Hz */ +#define BOARD_XTAL32K_CLK_HZ 32768U /*!< Board xtal32K frequency in Hz */ + +/******************************************************************************* + ************************ BOARD_InitBootClocks function ************************ + ******************************************************************************/ + #if defined(__cplusplus) extern "C" { -#endif /* __cplusplus */ +#endif /* __cplusplus*/ -/** - * @brief Initialize board clocks. +/*! + * @brief This function executes default configuration of clocks. + * */ void BOARD_InitBootClocks(void); #if defined(__cplusplus) } -#endif /* __cplusplus */ +#endif /* __cplusplus*/ + +/******************************************************************************* + ********************** Configuration BOARD_BootClockRUN *********************** + ******************************************************************************/ +/******************************************************************************* + * Definitions for BOARD_BootClockRUN configuration + ******************************************************************************/ +#define BOARD_BOOTCLOCKRUN_CORE_CLOCK 15000000U /*!< Core clock frequency: 15000000Hz */ + + +/******************************************************************************* + * API for BOARD_BootClockRUN configuration + ******************************************************************************/ +#if defined(__cplusplus) +extern "C" { +#endif /* __cplusplus*/ + +/*! + * @brief This function executes configuration of clocks. + * + */ +void BOARD_BootClockRUN(void); + +#if defined(__cplusplus) +} +#endif /* __cplusplus*/ #endif /* _CLOCK_CONFIG_H_ */ + diff --git a/devices/LPC804/project_template/peripherals.c b/devices/LPC804/project_template/peripherals.c index 118cdef..e0c5222 100644 --- a/devices/LPC804/project_template/peripherals.c +++ b/devices/LPC804/project_template/peripherals.c @@ -1,28 +1,23 @@ /* - * Copyright 2017, NXP + * Copyright 2017-2018 NXP * All rights reserved. - * + * * SPDX-License-Identifier: BSD-3-Clause */ /* TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* !!GlobalInfo product: Peripherals v1.0 -* BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS **********/ - -/** - * @file peripherals.c - * @brief Peripherals initialization file. - */ - -/* This is an empty template for board specific configuration.*/ + * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS **********/ +/******************************************************************************* + * Included files + ******************************************************************************/ #include "peripherals.h" -/** - * @brief Set up and initialize all required blocks and functions related to the peripherals hardware. - */ +/******************************************************************************* + * BOARD_InitBootPeripherals function + ******************************************************************************/ void BOARD_InitBootPeripherals(void) { - /* The user initialization should be placed here */ } diff --git a/devices/LPC804/project_template/peripherals.h b/devices/LPC804/project_template/peripherals.h index 9180d4d..99b6c0b 100644 --- a/devices/LPC804/project_template/peripherals.h +++ b/devices/LPC804/project_template/peripherals.h @@ -1,31 +1,23 @@ /* - * Copyright 2017, NXP + * Copyright 2017-2018 NXP * All rights reserved. - * + * * SPDX-License-Identifier: BSD-3-Clause */ -/** - * @file peripherals.h - * @brief Peripherals initialization header file. - */ - -/* This is an empty template for board specific configuration.*/ - #ifndef _PERIPHERALS_H_ #define _PERIPHERALS_H_ #if defined(__cplusplus) extern "C" { -#endif /* __cplusplus */ - -/** - * @brief Initialize peripherals specific settings. - */ +#endif /*_cplusplus. */ + /******************************************************************************* + * BOARD_InitBootPeripherals function + ******************************************************************************/ void BOARD_InitBootPeripherals(void); #if defined(__cplusplus) } -#endif /* __cplusplus */ +#endif /*_cplusplus. */ #endif /* _PERIPHERALS_H_ */ diff --git a/devices/LPC804/project_template/pin_mux.c b/devices/LPC804/project_template/pin_mux.c index 010927e..8430601 100644 --- a/devices/LPC804/project_template/pin_mux.c +++ b/devices/LPC804/project_template/pin_mux.c @@ -1,27 +1,62 @@ /* - * Copyright 2017, NXP + * Copyright 2018-2019 NXP. * All rights reserved. * * SPDX-License-Identifier: BSD-3-Clause */ - -/* TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* + +/*********************************************************************************************************************** + * This file was generated by the MCUXpresso Config Tools. Any manual edits made to this file + * will be overwritten if the respective MCUXpresso Config Tools is used to update this file. + **********************************************************************************************************************/ + +/* clang-format off */ +/* + * TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* !!GlobalInfo -product: Pins v4.0 -* BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS ***********/ - -/** - * @file pin_mux.c - * @brief Board pins file. +product: Pins v14.0 +processor: LPC804 +package_id: LPC804M101JDH24 +mcu_data: ksdk2_0 +processor_version: 14.0.0 + * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS *********** */ - -/* This is an empty template for board specific configuration.*/ +/* clang-format on */ +#include "fsl_common.h" #include "pin_mux.h" -/** - * @brief Set up and initialize all required blocks and functions related to the board hardware. - */ -void BOARD_InitBootPins(void) { - /* The user initialization should be placed here */ +/* FUNCTION ************************************************************************************************************ + * + * Function Name : BOARD_InitBootPins + * Description : Calls initialization functions. + * + * END ****************************************************************************************************************/ +void BOARD_InitBootPins(void) +{ + BOARD_InitPins(); } + +/* clang-format off */ +/* + * TEXT BELOW IS USED AS SETTING FOR TOOLS ************************************* +BOARD_InitPins: +- options: {callFromInitBoot: 'true', coreID: core0, enableClock: 'true'} +- pin_list: [] + * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS *********** + */ +/* clang-format on */ + +/* FUNCTION ************************************************************************************************************ + * + * Function Name : BOARD_InitPins + * Description : Configures pin routing and optionally pin electrical features. + * + * END ****************************************************************************************************************/ +/* Function assigned for the Cortex-M0P */ +void BOARD_InitPins(void) +{ +} +/*********************************************************************************************************************** + * EOF + **********************************************************************************************************************/ diff --git a/devices/LPC804/project_template/pin_mux.h b/devices/LPC804/project_template/pin_mux.h index 13f807d..87d9da9 100644 --- a/devices/LPC804/project_template/pin_mux.h +++ b/devices/LPC804/project_template/pin_mux.h @@ -1,33 +1,64 @@ /* - * Copyright 2017, NXP + * Copyright 2018-2019 NXP. * All rights reserved. * * SPDX-License-Identifier: BSD-3-Clause */ -/** - * @file pin_mux.h - * @brief Board pins header file. - */ - -/* This is an empty template for board specific configuration.*/ +/*********************************************************************************************************************** + * This file was generated by the MCUXpresso Config Tools. Any manual edits made to this file + * will be overwritten if the respective MCUXpresso Config Tools is used to update this file. + **********************************************************************************************************************/ #ifndef _PIN_MUX_H_ #define _PIN_MUX_H_ +/*********************************************************************************************************************** + * Definitions + **********************************************************************************************************************/ + +/*! @brief Direction type */ +typedef enum _pin_mux_direction +{ + kPIN_MUX_DirectionInput = 0U, /* Input direction */ + kPIN_MUX_DirectionOutput = 1U, /* Output direction */ + kPIN_MUX_DirectionInputOrOutput = 2U /* Input or output direction */ +} pin_mux_direction_t; + +/*! + * @addtogroup pin_mux + * @{ + */ + +/*********************************************************************************************************************** + * API + **********************************************************************************************************************/ + #if defined(__cplusplus) extern "C" { -#endif /* __cplusplus */ +#endif -/** - * @brief Initialize board pins. +/*! + * @brief Calls initialization functions. + * */ void BOARD_InitBootPins(void); +/*! + * @brief Configures pin routing and optionally pin electrical features. + * + */ +void BOARD_InitPins(void); /* Function assigned for the Cortex-M0P */ + #if defined(__cplusplus) } -#endif /* __cplusplus */ +#endif +/*! + * @} + */ #endif /* _PIN_MUX_H_ */ - +/*********************************************************************************************************************** + * EOF + **********************************************************************************************************************/ diff --git a/devices/LPC804/system_LPC804.c b/devices/LPC804/system_LPC804.c index 0c6f774..d73dc57 100644 --- a/devices/LPC804/system_LPC804.c +++ b/devices/LPC804/system_LPC804.c @@ -50,8 +50,7 @@ #include #include "fsl_device_registers.h" -extern volatile uint32_t g_Fro_Osc_Freq; -extern volatile uint32_t g_LP_Osc_Freq; + @@ -94,7 +93,7 @@ void SystemCoreClockUpdate (void) { SystemCoreClock = CLK_OSC_IN; break; case 2U: /* lower power oscillator */ - SystemCoreClock = g_LP_Osc_Freq; + SystemCoreClock = CLK_OSC_LP; break; case 3U: /* Free running oscillator ((FRO / 2) / 2) */ SystemCoreClock = (g_Fro_Osc_Freq >> 2U); diff --git a/devices/LPC804/system_LPC804.h b/devices/LPC804/system_LPC804.h index 82a8577..9cd9738 100644 --- a/devices/LPC804/system_LPC804.h +++ b/devices/LPC804/system_LPC804.h @@ -60,7 +60,9 @@ extern "C" { #define CLK_RTC_32K_CLK 32768u /* RTC oscillator 32 kHz output (32k_clk */ #define CLK_FRO_12MHZ 12000000u /* FRO 12 MHz (fro_12m) */ #define CLK_OSC_IN 12000000u /* Oscillator input */ +#define CLK_OSC_LP 1000000u /* Low power oscillator */ +volatile extern uint32_t g_Fro_Osc_Freq; /** * @brief System clock frequency (core clock) diff --git a/devices/LPC804/utilities/debug_console_lite/fsl_assert.c b/devices/LPC804/utilities/debug_console_lite/fsl_assert.c index bb9127a..cf73a5f 100644 --- a/devices/LPC804/utilities/debug_console_lite/fsl_assert.c +++ b/devices/LPC804/utilities/debug_console_lite/fsl_assert.c @@ -1,6 +1,6 @@ /* * Copyright (c) 2015-2016, Freescale Semiconductor, Inc. - * Copyright 2016-2017 NXP + * Copyright 2016-2017, 2022-2023 NXP * All rights reserved. * * @@ -8,8 +8,19 @@ */ #include "fsl_common.h" +#include "fsl_assert.h" #include "fsl_debug_console.h" +/* User can implement its own asser handler (dump logs, registers, etc) by reimplementing the function fsl_assert_hook() */ +__attribute__ ((weak)) int fsl_assert_hook(const char *failedExpr, const char *file, int line) +{ + (void)failedExpr; + (void)file; + (void)line; + + return 0; +} + #ifndef NDEBUG #if (defined(__CC_ARM)) || (defined(__ARMCC_VERSION)) || (defined(__ICCARM__)) void __aeabi_assert(const char *failedExpr, const char *file, int line) @@ -20,6 +31,8 @@ void __aeabi_assert(const char *failedExpr, const char *file, int line) (void)PRINTF("ASSERT ERROR \" %s \": file \"%s\" Line \"%d\" \n", failedExpr, file, line); #endif + (void)fsl_assert_hook(failedExpr, file, line); + for (;;) { __BKPT(0); @@ -29,7 +42,13 @@ void __aeabi_assert(const char *failedExpr, const char *file, int line) #if defined(__REDLIB__) void __assertion_failed(char *failedExpr) { + const char *file = NULL; + int line = -1; + (void)PRINTF("ASSERT ERROR \" %s \n", failedExpr); + + (void)fsl_assert_hook(failedExpr, file, line); + for (;;) { __BKPT(0); @@ -40,6 +59,9 @@ void __assert_func(const char *file, int line, const char *func, const char *fai { (void)PRINTF("ASSERT ERROR \" %s \": file \"%s\" Line \"%d\" function name \"%s\" \n", failedExpr, file, line, func); + + (void)fsl_assert_hook(failedExpr, file, line); + for (;;) { __BKPT(0); diff --git a/devices/LPC804/utilities/debug_console_lite/fsl_assert.h b/devices/LPC804/utilities/debug_console_lite/fsl_assert.h new file mode 100644 index 0000000..58b50dc --- /dev/null +++ b/devices/LPC804/utilities/debug_console_lite/fsl_assert.h @@ -0,0 +1,51 @@ +/* + * Copyright 2023 NXP + * All rights reserved. + * + * SPDX-License-Identifier: BSD-3-Clause + */ + +#ifndef _FSL_ASSERT_H_ +#define _FSL_ASSERT_H_ + +/*! + * @addtogroup assert + * @{ + */ + +/******************************************************************************* + * Definitions + ******************************************************************************/ + + +/******************************************************************************* + * Prototypes + ******************************************************************************/ + +#if defined(__cplusplus) +extern "C" { +#endif /* __cplusplus */ + +/*! @name Initialization*/ +/* @{ */ + + +/*! + * @brief Assert hook that can be redifined + * + * @param failedExpr Expression that caused the assert + * @param file File where the exception occured. + * @param line Line on the file where the exception occured. + */ +int fsl_assert_hook(const char *failedExpr, const char *file, int line); + +/*! @} */ + +#if defined(__cplusplus) +} +#endif /* __cplusplus */ + +/*! @} */ + +#endif /* _FSL_DEBUGCONSOLE_H_ */ + diff --git a/devices/LPC804/utilities/debug_console_lite/fsl_debug_console.c b/devices/LPC804/utilities/debug_console_lite/fsl_debug_console.c index 6489bf7..faaf3e0 100644 --- a/devices/LPC804/utilities/debug_console_lite/fsl_debug_console.c +++ b/devices/LPC804/utilities/debug_console_lite/fsl_debug_console.c @@ -124,23 +124,23 @@ status_t DbgConsole_Deinit(void) /* See fsl_debug_console.h for documentation of this function. */ status_t DbgConsole_EnterLowpower(void) { - hal_uart_status_t status = kStatus_HAL_UartError; + hal_uart_status_t DbgConsoleUartStatus = kStatus_HAL_UartError; if (kSerialPort_Uart == s_debugConsole.serial_port_type) { - status = HAL_UartEnterLowpower((hal_uart_handle_t)&s_debugConsole.uartHandleBuffer[0]); + DbgConsoleUartStatus = HAL_UartEnterLowpower((hal_uart_handle_t)&s_debugConsole.uartHandleBuffer[0]); } - return (status_t)status; + return (status_t)DbgConsoleUartStatus; } /* See fsl_debug_console.h for documentation of this function. */ status_t DbgConsole_ExitLowpower(void) { - hal_uart_status_t status = kStatus_HAL_UartError; + hal_uart_status_t DbgConsoleUartStatus = kStatus_HAL_UartError; if (kSerialPort_Uart == s_debugConsole.serial_port_type) { - status = HAL_UartExitLowpower((hal_uart_handle_t)&s_debugConsole.uartHandleBuffer[0]); + DbgConsoleUartStatus = HAL_UartExitLowpower((hal_uart_handle_t)&s_debugConsole.uartHandleBuffer[0]); } - return (status_t)status; + return (status_t)DbgConsoleUartStatus; } #endif /* DEBUGCONSOLE_REDIRECT_TO_SDK */ @@ -176,14 +176,14 @@ int DbgConsole_Vprintf(const char *fmt_s, va_list formatStringArg) } /* See fsl_debug_console.h for documentation of this function. */ -int DbgConsole_Putchar(int ch) +int DbgConsole_Putchar(int dbgConsoleCh) { /* Do nothing if the debug UART is not initialized. */ if (kSerialPort_None == s_debugConsole.serial_port_type) { return -1; } - (void)s_debugConsole.putChar((hal_uart_handle_t)&s_debugConsole.uartHandleBuffer[0], (uint8_t *)(&ch), 1); + (void)s_debugConsole.putChar((hal_uart_handle_t)&s_debugConsole.uartHandleBuffer[0], (uint8_t *)(&dbgConsoleCh), 1); return 1; } @@ -250,19 +250,19 @@ int DbgConsole_Scanf(char *fmt_s, ...) /* See fsl_debug_console.h for documentation of this function. */ int DbgConsole_Getchar(void) { - char ch; + char dbgConsoleCh; /* Do nothing if the debug UART is not initialized. */ if (kSerialPort_None == s_debugConsole.serial_port_type) { return -1; } while (kStatus_HAL_UartSuccess != - s_debugConsole.getChar((hal_uart_handle_t)&s_debugConsole.uartHandleBuffer[0], (uint8_t *)(&ch), 1)) + s_debugConsole.getChar((hal_uart_handle_t)&s_debugConsole.uartHandleBuffer[0], (uint8_t *)(&dbgConsoleCh), 1)) { return -1; } - return (int)ch; + return (int)dbgConsoleCh; } /*************Code for process formatted data*******************************/ diff --git a/devices/LPC804/utilities/debug_console_lite/fsl_debug_console.h b/devices/LPC804/utilities/debug_console_lite/fsl_debug_console.h index 1090b91..3efe7d3 100644 --- a/devices/LPC804/utilities/debug_console_lite/fsl_debug_console.h +++ b/devices/LPC804/utilities/debug_console_lite/fsl_debug_console.h @@ -259,10 +259,10 @@ int DbgConsole_Vprintf(const char *fmt_s, va_list formatStringArg); * * Call this function to write a character to stdout. * - * @param ch Character to be written. + * @param dbgConsoleCh Character to be written. * @return Returns the character written. */ -int DbgConsole_Putchar(int ch); +int DbgConsole_Putchar(int dbgConsoleCh); /*! * @brief Reads formatted data from the standard input stream. diff --git a/devices/LPC804/utilities/debug_console_lite/utility_assert_lite.LPC804.cmake b/devices/LPC804/utilities/debug_console_lite/utility_assert_lite.LPC804.cmake index 0bf8895..ea51cf2 100644 --- a/devices/LPC804/utilities/debug_console_lite/utility_assert_lite.LPC804.cmake +++ b/devices/LPC804/utilities/debug_console_lite/utility_assert_lite.LPC804.cmake @@ -3,12 +3,16 @@ include_guard(GLOBAL) message("${CMAKE_CURRENT_LIST_FILE} component is included.") -if(CONFIG_USE_utility_debug_console_lite) +if(CONFIG_USE_utility_debug_console_lite AND CONFIG_USE_driver_common) target_sources(${MCUX_SDK_PROJECT_NAME} PRIVATE ${CMAKE_CURRENT_LIST_DIR}/fsl_assert.c ) +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/. +) + else() message(SEND_ERROR "utility_assert_lite.LPC804 dependency does not meet, please check ${CMAKE_CURRENT_LIST_FILE}.") diff --git a/devices/LPC804/utilities/str/fsl_str.c b/devices/LPC804/utilities/str/fsl_str.c index fde1413..433d07a 100644 --- a/devices/LPC804/utilities/str/fsl_str.c +++ b/devices/LPC804/utilities/str/fsl_str.c @@ -1,5 +1,5 @@ /* - * Copyright 2017, 2020, 2022 NXP + * Copyright 2017, 2020, 2022-2023 NXP * All rights reserved. * * @@ -31,6 +31,13 @@ #pragma diag_suppress 1256 #endif /* __CC_ARM */ +#if (defined(PRINTF_ADVANCED_ENABLE) && (PRINTF_ADVANCED_ENABLE > 0U)) +#define STR_FORMAT_PRINTF_UVAL_TYPE unsigned long long int +#define STR_FORMAT_PRINTF_IVAL_TYPE long long int +#else +#define STR_FORMAT_PRINTF_UVAL_TYPE unsigned int +#define STR_FORMAT_PRINTF_IVAL_TYPE int +#endif /******************************************************************************* * Prototypes ******************************************************************************/ @@ -441,12 +448,12 @@ static uint32_t PrintGetLengthFlag(const char **s) static void PrintFilterLengthFlag(const char **s) { const char *p = *s; - char ch; + char strCh; do { - ch = *++p; - } while ((ch == 'h') || (ch == 'l')); + strCh = *++p; + } while ((strCh == 'h') || (strCh == 'l')); *s = --p; } @@ -542,12 +549,12 @@ static int32_t ConvertRadixNumToString(char *numstr, void *nump, unsigned int ne uc = 0ULL; uc_param = 0ULL; #else - a = 0; - b = 0; - c = 0; - ua = 0U; - ub = 0U; - uc = 0U; + a = 0; + b = 0; + c = 0; + ua = 0U; + ub = 0U; + uc = 0U; uc_param = 0U; #endif /* PRINTF_ADVANCED_ENABLE */ @@ -752,6 +759,94 @@ static int32_t ConvertFloatRadixNumToString(char *numstr, void *nump, int32_t ra } #endif /* PRINTF_FLOAT_ENABLE */ +#if (defined(PRINTF_ADVANCED_ENABLE) && (PRINTF_ADVANCED_ENABLE > 0U)) +static void StrFormatExaminedi(uint32_t *flags_used, long long int *ival, va_list *ap) +#else +static void StrFormatExaminedi(int *ival, va_list *ap) +#endif +{ +#if (defined(PRINTF_ADVANCED_ENABLE) && (PRINTF_ADVANCED_ENABLE > 0U)) + if (0U != (*flags_used & (uint32_t)kPRINTF_LengthLongLongInt)) + { + *ival = (long long int)va_arg(*ap, long long int); + } + else if (0U != (*flags_used & (uint32_t)kPRINTF_LengthLongInt)) + { + *ival = (long long int)va_arg(*ap, long int); + } + else +#endif /* PRINTF_ADVANCED_ENABLE */ + { + *ival = (STR_FORMAT_PRINTF_IVAL_TYPE)va_arg(*ap, int); + } +} + +#if (defined(PRINTF_ADVANCED_ENABLE) && (PRINTF_ADVANCED_ENABLE > 0U)) +static void StrFormatExaminexX(uint32_t *flags_used, unsigned long long int *uval, va_list *ap) +#else +static void StrFormatExaminexX(unsigned int *uval, va_list *ap) +#endif +{ +#if (defined(PRINTF_ADVANCED_ENABLE) && (PRINTF_ADVANCED_ENABLE > 0U)) + if (0U != (*flags_used & (unsigned int)kPRINTF_LengthLongLongInt)) + { + *uval = (unsigned long long int)va_arg(*ap, unsigned long long int); + } + else if (0U != (*flags_used & (unsigned int)kPRINTF_LengthLongInt)) + { + *uval = (unsigned long long int)va_arg(*ap, unsigned long int); + } + else +#endif /* PRINTF_ADVANCED_ENABLE */ + { + *uval = (STR_FORMAT_PRINTF_UVAL_TYPE)va_arg(*ap, unsigned int); + } +} + +#if (defined(PRINTF_ADVANCED_ENABLE) && (PRINTF_ADVANCED_ENABLE > 0U)) +static void StrFormatExamineobpu(uint32_t *flags_used, unsigned long long int *uval, va_list *ap) +#else +static void StrFormatExamineobpu(unsigned int *uval, va_list *ap) +#endif +{ +#if (defined(PRINTF_ADVANCED_ENABLE) && (PRINTF_ADVANCED_ENABLE > 0U)) + if (0U != (*flags_used & (unsigned int)kPRINTF_LengthLongLongInt)) + { + *uval = (unsigned long long int)va_arg(*ap, unsigned long long int); + } + else if (0U != (*flags_used & (unsigned int)kPRINTF_LengthLongInt)) + { + *uval = (unsigned long long int)va_arg(*ap, unsigned long int); + } + else +#endif /* PRINTF_ADVANCED_ENABLE */ + { + *uval = (STR_FORMAT_PRINTF_UVAL_TYPE)va_arg(*ap, unsigned int); + } +} +#if (defined(PRINTF_ADVANCED_ENABLE) && (PRINTF_ADVANCED_ENABLE > 0U)) +static int32_t ConvertPrecisionWidthToLength(bool valid_precision_width, uint32_t precision_width, char *sval) +#else +static int32_t ConvertPrecisionWidthToLength(char *sval) +#endif +{ + int32_t vlen = 0; +#if (defined(PRINTF_ADVANCED_ENABLE) && (PRINTF_ADVANCED_ENABLE > 0U)) + if (valid_precision_width) + { + vlen = (int)precision_width; + } + else + { + vlen = (int)strlen(sval); + } +#else + vlen = (int32_t)strlen(sval); +#endif /* PRINTF_ADVANCED_ENABLE */ + + return vlen; +} + /*! * brief This function outputs its parameters according to a formatted string. * @@ -789,14 +884,10 @@ int StrFormatPrintf(const char *fmt, va_list ap, char *buf, printfCb cb) char schar; long long int ival; unsigned long long int uval = 0; -#define STR_FORMAT_PRINTF_UVAL_TYPE unsigned long long int -#define STR_FORMAT_PRINTF_IVAL_TYPE long long int bool valid_precision_width; #else int ival; unsigned int uval = 0; -#define STR_FORMAT_PRINTF_UVAL_TYPE unsigned int -#define STR_FORMAT_PRINTF_IVAL_TYPE int #endif /* PRINTF_ADVANCED_ENABLE */ #if (defined(PRINTF_FLOAT_ENABLE) && (PRINTF_FLOAT_ENABLE > 0U)) @@ -858,19 +949,10 @@ int StrFormatPrintf(const char *fmt, va_list ap, char *buf, printfCb cb) if (1U == PrintIsdi(c)) { #if (defined(PRINTF_ADVANCED_ENABLE) && (PRINTF_ADVANCED_ENABLE > 0U)) - if (0U != (flags_used & (uint32_t)kPRINTF_LengthLongLongInt)) - { - ival = (long long int)va_arg(ap, long long int); - } - else if (0U != (flags_used & (uint32_t)kPRINTF_LengthLongInt)) - { - ival = (long long int)va_arg(ap, long int); - } - else -#endif /* PRINTF_ADVANCED_ENABLE */ - { - ival = (STR_FORMAT_PRINTF_IVAL_TYPE)va_arg(ap, int); - } + StrFormatExaminedi(&flags_used, &ival, &ap); +#else + StrFormatExaminedi(&ival, &ap); +#endif vlen = ConvertRadixNumToString((char *)vstr, (void *)&ival, 1, 10, use_caps); vstrp = &vstr[vlen]; @@ -907,19 +989,10 @@ int StrFormatPrintf(const char *fmt, va_list ap, char *buf, printfCb cb) use_caps = false; } #if (defined(PRINTF_ADVANCED_ENABLE) && (PRINTF_ADVANCED_ENABLE > 0U)) - if (0U != (flags_used & (unsigned int)kPRINTF_LengthLongLongInt)) - { - uval = (unsigned long long int)va_arg(ap, unsigned long long int); - } - else if (0U != (flags_used & (unsigned int)kPRINTF_LengthLongInt)) - { - uval = (unsigned long long int)va_arg(ap, unsigned long int); - } - else -#endif /* PRINTF_ADVANCED_ENABLE */ - { - uval = (STR_FORMAT_PRINTF_UVAL_TYPE)va_arg(ap, unsigned int); - } + StrFormatExaminexX(&flags_used, &uval, &ap); +#else + StrFormatExaminexX(&uval, &ap); +#endif vlen = ConvertRadixNumToString((char *)vstr, (void *)&uval, 0, 16, use_caps); vstrp = &vstr[vlen]; @@ -947,22 +1020,11 @@ int StrFormatPrintf(const char *fmt, va_list ap, char *buf, printfCb cb) else { #if (defined(PRINTF_ADVANCED_ENABLE) && (PRINTF_ADVANCED_ENABLE > 0U)) - if (0U != (flags_used & (unsigned int)kPRINTF_LengthLongLongInt)) - { - uval = (unsigned long long int)va_arg(ap, unsigned long long int); - } - else if (0U != (flags_used & (unsigned int)kPRINTF_LengthLongInt)) - { - uval = (unsigned long long int)va_arg(ap, unsigned long int); - } - else - { -#endif /* PRINTF_ADVANCED_ENABLE */ - uval = (STR_FORMAT_PRINTF_UVAL_TYPE)va_arg(ap, unsigned int); - } -#if (defined(PRINTF_ADVANCED_ENABLE) && (PRINTF_ADVANCED_ENABLE > 0U)) + StrFormatExamineobpu(&flags_used, &uval, &ap); +#else + StrFormatExamineobpu(&uval, &ap); +#endif } -#endif /* PRINTF_ADVANCED_ENABLE */ radix = PrintGetRadixFromobpu(c); @@ -985,17 +1047,10 @@ int StrFormatPrintf(const char *fmt, va_list ap, char *buf, printfCb cb) if (NULL != sval) { #if (defined(PRINTF_ADVANCED_ENABLE) && (PRINTF_ADVANCED_ENABLE > 0U)) - if (valid_precision_width) - { - vlen = (int)precision_width; - } - else - { - vlen = (int)strlen(sval); - } + vlen = ConvertPrecisionWidthToLength(valid_precision_width, precision_width, sval); #else - vlen = (int32_t)strlen(sval); -#endif /* PRINTF_ADVANCED_ENABLE */ + vlen = ConvertPrecisionWidthToLength(sval); +#endif #if (defined(PRINTF_ADVANCED_ENABLE) && (PRINTF_ADVANCED_ENABLE > 0U)) if (0U == (flags_used & (unsigned int)kPRINTF_Minus)) #endif /* PRINTF_ADVANCED_ENABLE */ @@ -1194,7 +1249,7 @@ static uint8_t StrFormatScanFillInteger(uint32_t flag, va_list *args_ptr, int32_ } #endif /* SCANF_ADVANCED_ENABLE */ - return 1u; + return 1U; } #if (defined(SCANF_FLOAT_ENABLE) && (SCANF_FLOAT_ENABLE > 0U)) @@ -1203,7 +1258,7 @@ static uint8_t StrFormatScanFillFloat(uint32_t flag, va_list *args_ptr, double f #if (defined(SCANF_ADVANCED_ENABLE) && (SCANF_ADVANCED_ENABLE > 0U)) if (0U != (flag & (uint32_t)kSCANF_Suppress)) { - return 0u; + return 0U; } else #endif /* SCANF_ADVANCED_ENABLE */ @@ -1216,11 +1271,59 @@ static uint8_t StrFormatScanFillFloat(uint32_t flag, va_list *args_ptr, double f { *va_arg(*args_ptr, float *) = (float)fnum; } - return 1u; + return 1U; } } #endif /* SCANF_FLOAT_ENABLE */ +#if (defined(SCANF_ADVANCED_ENABLE) && (SCANF_ADVANCED_ENABLE > 0U)) +static uint8_t strFormatScanfHandleh(uint8_t exitPending, char **c, uint32_t *flag) +{ + if (0U != ((*flag) & (uint32_t)kSCANF_LengthMask)) + { + /* Match failure. */ + exitPending = 1U; + } + else + { + if ((*c)[1] == 'h') + { + (*flag) |= (uint32_t)kSCANF_LengthChar; + *c = *c + 1U; + } + else + { + (*flag) |= (uint32_t)kSCANF_LengthShortInt; + } + } + + return exitPending; +} + +static uint8_t strFormatScanfHandlel(uint8_t exitPending, char **c, uint32_t *flag) +{ + if (0U != ((*flag) & (uint32_t)kSCANF_LengthMask)) + { + /* Match failure. */ + exitPending = 1U; + } + else + { + if ((*c)[1] == 'l') + { + (*flag) |= (uint32_t)kSCANF_LengthLongLongInt; + *c = *c + 1U; + } + else + { + (*flag) |= (uint32_t)kSCANF_LengthLongInt; + } + } + + return exitPending; +} +#endif + static uint8_t StrFormatScanfStringHandling(char **str, uint32_t *flag, uint32_t *field_width, uint8_t *base) { uint8_t exitPending = 0U; @@ -1244,43 +1347,11 @@ static uint8_t StrFormatScanfStringHandling(char **str, uint32_t *flag, uint32_t } else if ('h' == (*c)) { - if (0U != ((*flag) & (uint32_t)kSCANF_LengthMask)) - { - /* Match failure. */ - exitPending = 1U; - } - else - { - if (c[1] == 'h') - { - (*flag) |= (uint32_t)kSCANF_LengthChar; - c++; - } - else - { - (*flag) |= (uint32_t)kSCANF_LengthShortInt; - } - } + exitPending = strFormatScanfHandleh(exitPending, &c, flag); } else if ('l' == (*c)) { - if (0U != ((*flag) & (uint32_t)kSCANF_LengthMask)) - { - /* Match failure. */ - exitPending = 1U; - } - else - { - if (c[1] == 'l') - { - (*flag) |= (uint32_t)kSCANF_LengthLongLongInt; - c++; - } - else - { - (*flag) |= (uint32_t)kSCANF_LengthLongInt; - } - } + exitPending = strFormatScanfHandlel(exitPending, &c, flag); } else #endif /* SCANF_ADVANCED_ENABLE */ @@ -1300,71 +1371,71 @@ static uint8_t StrFormatScanfStringHandling(char **str, uint32_t *flag, uint32_t else #endif /* SCANF_FLOAT_ENABLE */ if (((*c) >= '0') && ((*c) <= '9')) - { { - char *p; - errno = 0; - (*field_width) = strtoul(c, &p, 10); - if (0 != errno) { - *field_width = 0U; + char *p; + errno = 0; + (*field_width) = strtoul(c, &p, 10); + if (0 != errno) + { + *field_width = 0U; + } + c = p - 1; } - c = p - 1; } - } - else if ('d' == (*c)) - { - (*base) = 10U; - (*flag) |= (uint32_t)kSCANF_TypeSinged; - (*flag) |= (uint32_t)kSCANF_DestInt; - } - else if ('u' == (*c)) - { - (*base) = 10U; - (*flag) |= (uint32_t)kSCANF_DestInt; - } - else if ('o' == (*c)) - { - (*base) = 8U; - (*flag) |= (uint32_t)kSCANF_DestInt; - } - else if (('x' == (*c))) - { - (*base) = 16U; - (*flag) |= (uint32_t)kSCANF_DestInt; - } - else if ('X' == (*c)) - { - (*base) = 16U; - (*flag) |= (uint32_t)kSCANF_DestInt; - } - else if ('i' == (*c)) - { - (*base) = 0U; - (*flag) |= (uint32_t)kSCANF_DestInt; - } -#if (defined(SCANF_FLOAT_ENABLE) && (SCANF_FLOAT_ENABLE > 0U)) - else if (1U == StrFormatScanIsFloat(c)) - { - (*flag) |= (uint32_t)kSCANF_DestFloat; - } -#endif /* SCANF_FLOAT_ENABLE */ - else if ('c' == (*c)) - { - (*flag) |= (uint32_t)kSCANF_DestChar; - if (MAX_FIELD_WIDTH == (*field_width)) + else if ('d' == (*c)) { - (*field_width) = 1; + (*base) = 10U; + (*flag) |= (uint32_t)kSCANF_TypeSinged; + (*flag) |= (uint32_t)kSCANF_DestInt; + } + else if ('u' == (*c)) + { + (*base) = 10U; + (*flag) |= (uint32_t)kSCANF_DestInt; + } + else if ('o' == (*c)) + { + (*base) = 8U; + (*flag) |= (uint32_t)kSCANF_DestInt; + } + else if (('x' == (*c))) + { + (*base) = 16U; + (*flag) |= (uint32_t)kSCANF_DestInt; + } + else if ('X' == (*c)) + { + (*base) = 16U; + (*flag) |= (uint32_t)kSCANF_DestInt; + } + else if ('i' == (*c)) + { + (*base) = 0U; + (*flag) |= (uint32_t)kSCANF_DestInt; + } +#if (defined(SCANF_FLOAT_ENABLE) && (SCANF_FLOAT_ENABLE > 0U)) + else if (1U == StrFormatScanIsFloat(c)) + { + (*flag) |= (uint32_t)kSCANF_DestFloat; + } +#endif /* SCANF_FLOAT_ENABLE */ + else if ('c' == (*c)) + { + (*flag) |= (uint32_t)kSCANF_DestChar; + if (MAX_FIELD_WIDTH == (*field_width)) + { + (*field_width) = 1; + } + } + else if ('s' == (*c)) + { + (*flag) |= (uint32_t)kSCANF_DestString; + } + else + { + exitPending = 1U; } - } - else if ('s' == (*c)) - { - (*flag) |= (uint32_t)kSCANF_DestString; - } - else - { - exitPending = 1U; - } if (1U == exitPending) { @@ -1379,6 +1450,81 @@ static uint8_t StrFormatScanfStringHandling(char **str, uint32_t *flag, uint32_t return exitPending; } +static void StrFormatScanfHandleChar( + const char **Cp, uint32_t *field_width, char **buf, uint32_t flag, uint32_t *n_decode, uint32_t *nassigned) +{ +#if (defined(SCANF_ADVANCED_ENABLE) && (SCANF_ADVANCED_ENABLE > 0U)) + uint8_t added = 0; +#endif + while ((0U != ((*field_width)--)) +#if (defined(SCANF_ADVANCED_ENABLE) && (SCANF_ADVANCED_ENABLE > 0U)) + && ('\0' != (**Cp)) +#endif + ) + { +#if (defined(SCANF_ADVANCED_ENABLE) && (SCANF_ADVANCED_ENABLE > 0U)) + if (0U != (flag & (uint32_t)kSCANF_Suppress)) + { + (*Cp) = (*Cp) + 1U; + } + else +#endif + { + **buf = **Cp; + (*Cp) = (*Cp) + 1U; + (*buf) = (*buf) + 1U; + +#if (defined(SCANF_ADVANCED_ENABLE) && (SCANF_ADVANCED_ENABLE > 0U)) + added = 1u; +#endif + } + *n_decode = *n_decode + 1U; + } + +#if (defined(SCANF_ADVANCED_ENABLE) && (SCANF_ADVANCED_ENABLE > 0U)) + if (1u == added) +#endif + { + *nassigned = *nassigned + 1U; + } +} + +static void StrFormatScanfHandleString( + const char **Sp, uint32_t *field_width, char **buf, uint32_t flag, uint32_t *n_decode, uint32_t *nassigned) +{ +#if (defined(SCANF_ADVANCED_ENABLE) && (SCANF_ADVANCED_ENABLE > 0U)) + uint8_t added = 0; +#endif + while ((0U != ((*field_width)--)) && (**Sp != '\0') && (0U == ScanIsWhiteSpace(**Sp))) + { +#if (defined(SCANF_ADVANCED_ENABLE) && (SCANF_ADVANCED_ENABLE > 0U)) + if (0U != (flag & (uint32_t)kSCANF_Suppress)) + { + (*Sp) = (*Sp) + 1U; + } + else +#endif + { + **buf = **Sp; + (*buf) = (*buf) + 1U; + (*Sp) = (*Sp) + 1U; +#if (defined(SCANF_ADVANCED_ENABLE) && (SCANF_ADVANCED_ENABLE > 0U)) + added = 1u; +#endif + } + *n_decode = *n_decode + 1U; + } + +#if (defined(SCANF_ADVANCED_ENABLE) && (SCANF_ADVANCED_ENABLE > 0U)) + if (1u == added) +#endif + { + /* Add NULL to end of string. */ + **buf = '\0'; + *nassigned = *nassigned + 1U; + } +} + /*! * brief Converts an input line of ASCII characters based upon a provided * string format. @@ -1408,7 +1554,7 @@ int StrFormatScanf(const char *line_ptr, char *format, va_list args_ptr) int32_t val; - uint8_t added; + uint8_t added = 0U; uint8_t exitPending = 0; @@ -1462,7 +1608,6 @@ int StrFormatScanf(const char *line_ptr, char *format, va_list args_ptr) flag = 0; field_width = MAX_FIELD_WIDTH; base = 0; - added = 0U; exitPending = StrFormatScanfStringHandling(&c, &flag, &field_width, &base); @@ -1477,66 +1622,13 @@ int StrFormatScanf(const char *line_ptr, char *format, va_list args_ptr) { s = (const char *)p; buf = va_arg(args_ptr, char *); - while ((0U != (field_width--)) -#if (defined(SCANF_ADVANCED_ENABLE) && (SCANF_ADVANCED_ENABLE > 0U)) - && ('\0' != (*p)) -#endif - ) - { -#if (defined(SCANF_ADVANCED_ENABLE) && (SCANF_ADVANCED_ENABLE > 0U)) - if (0U != (flag & (uint32_t)kSCANF_Suppress)) - { - p++; - } - else -#endif - { - *buf++ = *p++; -#if (defined(SCANF_ADVANCED_ENABLE) && (SCANF_ADVANCED_ENABLE > 0U)) - added = 1u; -#endif - } - n_decode++; - } - -#if (defined(SCANF_ADVANCED_ENABLE) && (SCANF_ADVANCED_ENABLE > 0U)) - if (1u == added) -#endif - { - nassigned++; - } + StrFormatScanfHandleChar(&p, &field_width, &buf, flag, &n_decode, &nassigned); } else if ((flag & (uint32_t)kSCANF_DestMask) == (uint32_t)kSCANF_DestString) { n_decode += ScanIgnoreWhiteSpace(&p); - s = p; buf = va_arg(args_ptr, char *); - while ((0U != (field_width--)) && (*p != '\0') && (0U == ScanIsWhiteSpace(*p))) - { -#if (defined(SCANF_ADVANCED_ENABLE) && (SCANF_ADVANCED_ENABLE > 0U)) - if (0U != (flag & (uint32_t)kSCANF_Suppress)) - { - p++; - } - else -#endif - { - *buf++ = *p++; -#if (defined(SCANF_ADVANCED_ENABLE) && (SCANF_ADVANCED_ENABLE > 0U)) - added = 1u; -#endif - } - n_decode++; - } - -#if (defined(SCANF_ADVANCED_ENABLE) && (SCANF_ADVANCED_ENABLE > 0U)) - if (1u == added) -#endif - { - /* Add NULL to end of string. */ - *buf = '\0'; - nassigned++; - } + StrFormatScanfHandleString(&p, &field_width, &buf, flag, &n_decode, &nassigned); } else if ((flag & (uint32_t)kSCANF_DestMask) == (uint32_t)kSCANF_DestInt) { @@ -1568,7 +1660,6 @@ int StrFormatScanf(const char *line_ptr, char *format, va_list args_ptr) else { char *tempEnd; - val = 0; errno = 0; val = (int32_t)strtoul(p, &tempEnd, (int)base); if (0 != errno) @@ -1587,7 +1678,6 @@ int StrFormatScanf(const char *line_ptr, char *format, va_list args_ptr) else if ((flag & (uint32_t)kSCANF_DestMask) == (uint32_t)kSCANF_DestFloat) { n_decode += ScanIgnoreWhiteSpace(&p); - fnum = 0.0; errno = 0; fnum = strtod(p, (char **)&s_temp); diff --git a/docs/Getting Started with MCUXpresso SDK.pdf b/docs/Getting Started with MCUXpresso SDK.pdf index 9fc2659ea14e13e5e12912a12bfc305a0fe3aa40..b2e28c15462cfa0e99be7bb85df27de2c8e6072d 100644 GIT binary patch delta 310943 zcmZU(1zglo*ELE=cXxMp58WjV(jd~^N)6Iof22F4LAqO7x{+>?2I;t?&-1?Dz4znC z@2s`gUVEQmI55LuFD`dM*KPJff5V1G;pODw;{5x;#amAX&5Dl6%f`vY#>J`4$teu^ zsl(&bolX3XQ_+2t!Fo@li1Y_D z;vg^62J(jVL27qHnWxVPKCkm7FB?vd9p1D`ET|#=Z@IqERp_C;W2>3b zysI=F)S>@lv6<9z^*o%387c~U&4*4?JX+v&Kex8(-MuEXC~|xz-o)&_AcI(pOT8|B zb7qQJkwab-z8rT=>%%P?nq$w#bMmkk{_=7w%y|bM=;=zsra^P)7v%Kr%0KubR`aX1 z_#lpa>a*#*8kD2-Nw1FZ$CG|X^+(pU&^-TE(>VsdooLE07e62U$)eiu@exuG1AjKj z@#>OyPBgNUnd?|Xq1Z%^q#-RQA$*{!_`YYE`|TjZe&G0P0IbE0LAP^>{0igCjNETr zx9Rv`up+|*-_H6%^Hs%1;mdQZ31>w(Arb~;r2tt8U5*OPkT-iL)pdjPRP=83qjH42 zaKRg*_Q^K=Kcig9c25hu_^j!6XW!Lw$BAZQwUhDdy(?q4ZWcYYT{4d*YZY1vD;g@j zD)V4>zPi}wZ}@^)B9@sTDw^p`;DVjOZn@)L2_`)o2&_YN7@>7uJ}XI1w7>Iu=f4K0 zO4Xb}Zl*-@R$A0X1j#hRiWY7)?jrxvNZSU>iQ zSDLo<8s)=xfrv+FZVOK#WeRGTM^}dgw|D(`X_Ua$9r?vM?98Vhixg+psgA=V!fX>I8nY`=4l|Hw7ggg%P8f67l6;D>~ZE+|=%FMwzLX`tg(!-hwN7*^(fLr zzaf%>Y5~34_{1{% zDM*-ejmf%$cD)S`W7kCb6Y;_p(H^p^dGmz2q0zpXP|RIr2s$1Xn^cj(b1=>lj(q~} z?b6t{|K1No#s@iG=dL)we_Uy7H-&yFf^yDWi`vOnYg@Xpe4{iZ+8-P+HF_+A5nNF6 zaq$SL4KD>~_OzcTb&2VH`7X3M!mm*x|Gc0{%*4^QN65DSnt(rOu}?)B%x(bR-#Ndt zPj&tHHTGu9>-}*l`cw?vudT)f$sJN~X<44FKoPVe$I=ha&TOj?a?exq>N5qA38$}L zY{NyfN2{H^YV$^zSfiVstINdM>D!F|sK;J)mIQs?^R%>dEFhC+^&SYhmR*>Iym z|IH>-t#y|?QY`{(q*k^OzD9{z{jGYPSJL~=1tO;mmB#crV{bhUGJdMW0UHREDry+wI{jfUlVrhDNKrqHnUmSK=7Oej(S*%de z&7B+v$k^8@?P)%DB3lr0EDOg8Ayygm(dQ7L-{}-!5)2Y*9r>`pX$0Gen>!O$7Xk0^ zjvMxClC&7w=RDaVN=MQVl*8azHJPK z(?s_0y`^3a)g<-Vi^C9DWdv)}Yih{)yyqLz*c?twl+!PaeeU3KJ06~>`#r_gqsUWP6AI~u-VAG&$(c!I2QUUIlrZtb0zXK?!^ug>N$LFF4w*}kmlN62>BB$H^#cKgG>Zar62@W$p1D2p2bPAEwB@Prq;FVGUprHi)Cy1ir2dXGVQc1PZ2>@(r zK|p{C^q(M`njh$)5&_U@)Bq)F5CjQ;Z#4YCEVVE|NvjSR(}+Nj2>4FR2kb+5Hyt6M zLig`sIMa$kLRH|NRs@izR|A6SKoBGZj_COSRC-}RpFtf+p%;N55%A2w2T(AG0FI1m zKp6uFf`pJKkq_Wz6afO6)PN2~5CjPU8fHE~mPrI4XCVYCnE!1v!6XU^RRBF^5rC7G z2>oatZ?k z-0FZfmk0!jfOc*^U=6}qc+>!EZV&_sfe9Wy;GA0o5aU$?e0V?*{6FUbhWEecLFhl{ z0TGD(uL4~02?B(C|NZ_4@N@n^vXXNz$b0rJ2!ykRn*08GS4$W|HLlFKX(L$t!wMy zKt8QA^E7Ac*}O^U<>}X-RJGUpaUr7H%duCZjX1t&f!5@t<1r4f?|r}8;N9e48m;(V zZtW$qQF5;7u608E#j@W0uU%(wyT8?X(`40?Q{WjDuu>uKH$6 z@gX5a+d+T!QSDMH+OYfOK9S**09np%zn1&{e%Ue~TkCN40j8;g$vOG1D;dVr$NO*u z>}CXg3QC)(r^nTo!N$oW>AsZK4H7FblHyoz>H7HH>5@)y0jIOTBJP2EgQ4F8%f76`pdDRVRov(RbavZ=vJ>BS@ zj~LG6m@v|Hw6s(Xj<`OZ;#BT}8D@Xxj!j%e>_MeveQE>CYZI z%eUcLB@JliKhI28HV7@jDMAiLZWF)9)JR~5=BC*OEqvi(*Cuc0By-5g!8+%-pG;7j znvjTh;&&}0zwD?JUeZ?Tap954lH=>MQ^wZ~59_sf|@x>pcMTd1h zdVj278BoDm&mK6Q3uf&Tc>|7Pn!{%46OpiO`YZV28CqHnS7C(~eN<5sRkZKh)`K#1 zayJB0@DhYYj`R(*@Hw>Ij?{N`m()Ji=M)j#eCDhyev3h6xDes}yLHGm^cjkGt2EU< z`~2*}3-(qJPV-|t?6T{V;MT_0A2+oPq(>dc3sMo2B?Q&D)t&1;u$WBS0lLKRd?VVd zLDJVQR_X}oYry~+vPl*b=WTLpL=uVfRV$>xCTgX^11AB*M9A>+>!ZU@h8eD5fpb0VCv2MuUouz_iv!ymWgWFAfHoD#SI~vHZ#u!yIZ) z$D}oq;ePe+PEkvpEZ*JJ-j*nc54@{S)E~7H*4l-g{G)ci;3(Y1uRh>TX9kAQFeqCV zvrXtUY8gA%kilstuQ$fe>I~PY%}cy~?4mk-O?%(*eBuS@fwjJ4z=0gCYK8ScK(p3tB+wlHLw z5o2H|OmlGWc?D@)+j13`hou*WM>3edNKKFV&+7%@#1z~r|8NrNW%St+-`c9C6_>)% z%i>(UdP9n54(=v1UxjK7#%$t=A)##E)Fb6)Ri@+?v~00PR%xr!6dG)ScEjLzJRlU1 zIL$wWquPcybKVm5y{r|c$zbMZZ>}~kM`bbbpv*0gj$Y;HlGr$jht<{4vzQNM^5O7? zI^F|)LJ<_jS$C(UC{HzndcbZPhIT`dj4S?LT|{|{2|h}fufyFX2d%qP=h`LsLcKv@ zY4s0Ug{_o~G*;9&&G(U`9?=ZJ?T?$-y@}M&UNTz3p{b7MkV=O6G^V)AWR|A9d!u6F zv1B(x|7#CEu)lyV)h@|Uftp$NY7hQHD;y%IG)O@r59d5X)W{Q$nf4%Vl1zMU?MaTdFDUeAU@d=blsY*Iv~MoeIg4|882FL^%( z4eds1J@`yk}b;OycOBrGxB%dN@@@{87iBos(OC>w+8JynZ)4Ie1@hseEtF29GC1q& z-R7@{;h>m=Dk8tdyr=#f1622Yl{0w}2i~79;Q2-j-bYW<)M6(z_ebk`Fh-kIMu(AG z`^Y){?qSOh66Hyv%<(y6>zTRU#)+Zy#(cE>FE=of1V2)4VI=WX5+N&2jJ@;hQIr{P z2LYmw**RpjqvVBMKzYM9mAU?P{)@!HM-EJn9-M9V;r7TsL!yX^P&&W5mZU zVpKs;a)>`>8I~ck(6-uMkq0fQQlD6gyLvwfu8(=Ql9pyoNH?hoqNs#wK1MW?o2C!n z!ruoXb1knPe2e=~M|ekhO~?l~u}nw(AdR7^1vwzJV;dE{a>$+M@#pag3WCf}^2a88 zZ&g2o`^2}!!PgRep(b~!ds-k{9j3pE)YsEn(T~gZAmkneie!+qjuYtY-52|#nSAsw z^lw}?X_u?pmGCLV!FpBgxfXI&B7Ndrjb!R6maTN^3( zOIBF?#U0*$ac7CxP0{x)9=eLPn<{2LSO{3nva11C^7^c1{ZHia+#;kivG+Ig?H`}o zbNcK0FaM9e_P?J0=>PHKyZ)cr{|~SIrx*XN#s7ct+J@(rRtkFX!5j1+K1*IgC5BcR zStOGpDelE1t%14+x*IkXtGCWJ}9)b3c14E*)%TGX?}#B8INV*HLQ^ zOiuQ+v#w6|h-f^)+hQSiQWVRUMr}~CN#&c$+hUb(3n={S%qFGt^k9osf64hh4uo4P z^1JWn-WI2c59n;@TFh`)FlGL6HWp>`>G&sq^(a^nJlab0RTvcaY2(@ZG28vL+yA&< zPN0CnV3p@nf}jxL2PPTKiQ)(e9`i7CzIR(OH=jX@A^sR7@I*{hQ~sem!b$Jh<-ey% zmIF^8Leh|4Ka_rtO2UoMrW(kSxHZ}(jSmGWQg4qn9ih>BOe!e;`A{m8ScOigtH7lf zmd^nG_62@ch`jQ?RD9dfLm0Ir8+L4BEhJaeZ;%{0mFtWg*?U9ClabT{?;Gq%EF4Y2 zGs2-WFJZ0Aqgo)=2X1Tp7)qpeF@NkBN)!@(wZDl6NMe_pfINm0OGFT|;{4Mkh23+Y zX!^uKd#r?#++M~$w1krUO^P^Rvo}QJp-p_9;BAk}!LMI+RIHplSIZvtd2(FXS9+Im z&9GNI?O4 zWhj#n7n?JCo)Q(D^^2|12AbdVm{hoDDo^&c*c8tPcwr$^VvWmz6M394e+#CfJiyMD;BAP5jtk@guixD7+n`4B-~0H=zy zAL2($1((@a_SWG{k0!8r&E_b6V>hooAvMzZGU8v=p_miXD?NZCY%trAOj}3)<^-2MbGB%A{;tU5xWDBXOwll*AX( z9}V5M1oHhYQQq`99)jtHG^v;OkLV0nuF}g~x?oqqs?CK&CJlBra&&BNn$fBV;_L)$ zuL{diB_s@>ajQ5)En0e)l7;T6?ZaGbL2-z&Q6ovBCiNX%VG=Y`eTeUOv^q^{#9KxZ zS!JXxa@XLZaP9z2NimcBR4{eWbThmY*0V|_AG)K;Z})Wle>X7rD) z2%HDzrKC;Y&&kWTzvnp)1Xcyp;)s%~NN%|Gla=9X zpE=rhA9-S=tw0worHS?h&3I(xo^0No1qMY4>|IVozkEwRJO6C4a5u443P!kqp-XP9 z{#A4O`}xmfiBtXXN&xmn{{?fDtRhb2w;&;@Rc1rCYssQ2$pU8iocx11nvg$Kc;bl& z(FMBmN6N@79LMdEpm!YLr=Jio#S|Ss=!FkIv=zp{|D|q8{dfH>L(V#rk7=encqAXb zWGjZjkNjv$jloZyie8ueBRF=rICD4{Z*Msq(x7PSF%q;C;?M@+pSvplChoRRWXK)l z_}BhtWxdQFg|xiPxAP_77J$B2XURoC0iP^evQlyjNai`qEfez#qm7IdS3>l^On%6pC8 z$pf)|rUno4#{A0VLW6yRbxLl*MTM=$Xo4Bg_WnUffV?Z*yx>&v@VDN!R)H;361O0h%eaQ@RH^vd#)U?8{gy1ZhFqn*{PwPa zNKKGn7Ly$hJN7aif7YdSJ=V5mYEE0HecTmXh2Pa(i*#Gg{=mt6SANrdLg1(Ka|0c@ ztNg?n0nT*(ra3sM#_si}WG`5+@%1Ecvts4dOZ{BOF;Il@uAIMz4A)PGWb>~d&E>Bj zzU8kUc2%-huMzqbVkai#`Dd)^6k6WjWjwTRk7I9yuC6tfPbDVAF+ZA%b}7r}^5@Kx z8$|61Bqrj4g0-_zAwc~BKREXQnEIB8x4n#;zfFDw`-pSyXGfOVoymuKFXelyt?Ies zdnN4on(>+<06Qz?zh z%)PnXY(Cwv))!h(lI%+PA+Ikz%MMiBvRBq!0a)7nFFg1P9dgMmf=G=T?wp zp}3J=0^{`|#*qCNn@Ixt2Bn6BD;xv5l`A2G3K@4Xfqb0;q1@~#=IN|S6`Lq-1(xbA-d@yub_M#dT>K{<`gr|O24Yj7ow9bGpiT&(ZqwuJ^g zuxMSsIMk>ZKpC@ZnT*5;J|NW;DdU9yxjlYy=yE^9fCYumf`=wHRKW74s_m8(Tkf}B z!q80tXt7;Fl;51aY7VxN8DsZd$iWU*WbMrjWEd_!H9@AQQokBb#GHkz9_uJz){mC) zon#j)g1m-~WNw{}r&#Si(}0o-Z=*w-;GFSgDW-3bP0fTJ@O0IuDja7i4&f%~8$|G_ zMj>ylqeXy;N>|g1#lQ;Io|Qldur7OBKmO5fZdl}u3aN_re}Ot#lbT*(Y9-7 zOg_DJwaf-_zKztmDl61rp$9~i!xRN+NUK_S2Dc}~lvuReRPGlio3LmJk+ zOwot}f){(7sh%nU$@+-aa(t>Nle?Ny8kgUyQw|~3Us`_3at&zm7h+1shczKKhU!EV zcq{AgSm75OYQ)rg-sc^Lf?qqw5Tr4rH6<$9P^JIg1awX!2tfT29J3IeilrENzg6u zvWPcfO}v>NEg?^y^RLF;4;UK4clZt~oL|6X51 zB0!lNyH*NKoe)3avo>{wt3|G#|y-X<*KejT4cntJ43#j(9Us4d5%BCa_?|0hbFPQvi>WUWOG8-c{MP)uM8C& zIJjJk6gkMWa`J)pICw&6Vw(`$D~M>>{LCBcg2HNRU}i&1>2%{h%bMwBV4SkC()g9{ zwDnGB%ps%r*~?_ZC~F_pOh0olUBGaT2tFKPjd#jZ>GAtXi*ECd9XZOU9bBcRpQ=W5 zl&f>%KJtl&D6{O5DG7%t&PPg0Eg6U4p9Yy)*9zBYhJ|9t>(tZD)ueH`8He_H;eZW! zWY;$p!c+C~$S#E+?yFaokzG3aJ2%}OL#VRgKeSl{FI&2F1D~*N@(*f0gU;W8+S{gt z@soz2-C)lvsy`20efmhs1k0Y@$okQXPkSB+8lrCIpD$v<7olivTn$uqV~frPvn2=M zn+Seb+!nRQA2*$`Z-q{MGQbyZeq$K-lw>|eeLypiLgKQ&R5x|6P`3wfROg_7=vTDt z2Fw1biPb|4+YwTrVu&wHQPu=$SlUsbP8rYD@4$JrcrVy2GzlQ5)JUv-M_?ItLH2k)=T#O$vt9PhxuPpxKN z;w@CKM@a7Unb>Kav3-xFmREC@$#bPk33Pa5!>kj6v^+1bj%wv2d;q51N-=VQyK7ErNOgU-O9TA-P0f^m*2CN%H zGAj-#In2e|8N7y+Sv;6Fzh6CYQp8I%h_HBtygfC%AHo5vMEdB)`s&91?%A}SZ#fgD zYy<1;bRdq!ue=NM%vw+Xh`u0JF(_Iw_$zD;VyHF0U92F!6;>enb3UM^8~ex)ava1# zS0kAXa;_+*PNyMjfF~%rIV(l?Mq#@V^Kh!czCB5t0N>x!U~@Ej41_A6>4SvGM8yA zGUlod$NEC-=5(R{Ad9ts*k4D@$0%R-lg6O2)x_hAlxd2NClM3eQHbZ^qfg+xgQUo= zNJYd97*=R|wC#37#fm;%#D z6&^ba#7EzP<@jZVu0`(o!pz^hx^?|kyg4o{=~BX;jcD%o^>SxF@z(CcS9;{rY?bSU z?V!7v-TAU-7&j~oqZSgSJ>88j)G7_d*g~^l9wcsXc^ZAr+pK57n%9;Wlrwldjeh5C z2Q!-2(EgOZQRxO^AFB#7kE2=D$Ic=faGPpN?UuBDXqWC;y=_d}#my3&SAVwK)>g)s zMZ4Eh%}dD?nos&B?plsCAh<{bEkyXvGOQuLKUjeLi4)J2hd z5_Mz9f{3JRfZtq#T9kv}h!GFf!=0e?5+<(Pg40<|$@kU+^VgvE_F525`Yh3(H5xZ_?}OK9y;e2R=hEq0qCPCY-@rvCr@2PHG=lJBCBjG=9%|I zCmV0=UTt{Jj@WmG^Piff9EyH9DcySmqusgMFV97nXAED!lPs_1n)mY2p9CMXGnfhu zPC!}DT?x99VDrw(wTQ2;_SJkFq`e34tBnlN;~bk-Yu@L7b}jU3pZy! zQxQ%{uC;xx-f9;p^uiYIQ{%P0KS4(^IrO$^Hl#0SXw%<*`#a?1V`>7n)m`Xb#ZHFl zJMx_0k)e3U`kV2f{dgE~(MTR8cg6|jn;KHPvRSf_WBv8NiK75WqDOzo3sY(rY7V+B zH~}oG{FpfOq_G^|K!hv!dkI}Cf(&Y|NCa`YzLMh84+@=Ug~DEBXR@D?3KRE*Uny*P zmy{n851urpAqAvx&%^HPS2yyIJG>R#WJ}b zT74kV+Sx{E;tCaubFi>8dg|f-DpQb|=%^AKO|dkH`yf~sTb6i%53Q>!y8k7Rquv3o zV?=%XFwvO)39!X0kSIYM<4@Pa(rb9?!E<`~kYL(`M_+g-dN~#X=2z!0I0!#iqX*lS z;Hiqom}^C&U5+*NeZcLM4@mzu2|qe$SlN^N&b#B%JF)sDjSGLP+QRL}AMfP{Zqo9XZ)zV2mff(4 z=6D!kHKqSx>3Dn}m(u5Zez@?CJ0~AzOKP#>t%=%uVI znq;lf+Fo2tJ~F1M+1xM(`$^Kz41zSR=W%O2WzBT`$WkPtC>^kCGn(-aF<9&NSA?1* zJbfL%@l!Pqx5y85Auv`-RJM)gTIf`S>`&N81Npy6DMi^)_Adcioot@qxT11ztEnXI zKNF}vN#*P5l3JQ;A~^JIV8ecq9fmf@I_s=d?rZ&^s_01&n|u66`~0=2 z{KRQ0S=)0uuaDd@Gph6yG`%Lguc4(m?{Nk`}z0g&w^|L!1S1KB}m?63JKpNN+Zti$FV4A~*vYAX4frm6icX$ce$ zVeIF8UcW}uN2sn#-%=}{!q&C>&oUQZM~~wOni1}f-vZGV`}pzt##NH_4s$5XMn>Xo zmW4)`1YMe$mflxk6eBy^wTYqxDS=kYY@s2+ST-qZswBGRQ!8pDx`&DIF&&?{^AX4E z?3)F^jXy$QXr@@(Gx;m~@)~pbE2n7CTBana8uj?^tb?UuB8r_Bz99#@iQB)+m#=Z? zFyT%qyBV$aL{Uh4FZahwhfroPWR87#EvlUvYINAB&_W6|sE_`=)N1KrwG@TCj<*g} za$>98P)MFeQ+5QBYvE`+vOnpUbsgv_-J0N7Fp^}UgE$t>w%oio-h*IyR|QO7$SNN{ z{Tu(f9T}Af61)wa!=1dl>Zm|$?#072O!Mxq(6wAtPTGEY`SFF@?aVMymQtcpg~y&! zqUEu540DBCFy)S!H5Vg#-*(<7>1CU$&#CwLgi0;`#$pI24caHClf6pP2)1Kuaf8$O z1a|M9ARm^L*HDB;vba(L$gn@KcrEdG-1ke(_|p?mLPO%M|#L$#sn+oNwCSAdB} zcKY1u$dh;0Z9OjN#J}^rKGgoluC8hl06U6im%Db(w{_oml*esVz;6C|Ki9m^=%O)n zytr_48{5CxH)Q#=p4ft4cV{=(r74fr>LxPj3U05b5(pnyvcOFK6{9b1D>;@g_o zZ*KotnB}c^W_9+#^Q6>?W238m(mQVLQ|H$u`K!CHac_@OUD+nFvNpUN#BJjsfB$WD zwEs+^a6xR&8Z(Pq{n0sjEjGFQxuOcH*iRw5M2}w*)4X-#lrib??pFZf@#2JKJP*q{ zn;-9&nx2PucdlTS$L)`fkV|7ZpARHZUC!}>9nYwqCC9dCxt_j0O^ib-uJnS1+Wq|* zxa9uPpp7}v`hm_yV$`PMYI2gzBw=v-(Cl(&Mfz{XL9bj;<9oRRh z9&B3s2+mNcEVK}>e8xFGWWQ}4KWPs4Ii}fosUktECbOj<>K5J03ou{BrgVf1m}hBp zy5tbzKi++mRTA@S6JLK`@myOKTd%s5j7IbGoB30AV({AK;f7Mu!TN>9V(?wo*x=c< z^U3#bCt~APLK3CD`P<#s{)iIl9B4!uLyNjUDS3sXAH2H#H!Ug1`#+PBLOlFD|2yEw%l*Ie zl3aZMrXRTk{saFRpXBDO|KTVPO(67l*isW&=x-AU{H=ljFTm{l3yF*8zoVAGH&@)$ z$~UYS{~fYq`Tq@BQgZ#*;WC^C>e_S`P|$(Z~pW?#}j*TYqHt@UC*)Q46TTgr|pBvjYxI- z`GaLG!ix{`H&u7Go{%3igWVJ8Y7+tALfko$oTr{Lnt{^LcrIZf&?m8{XB?{QP|Utw zoB*l5$^J9Skh=4ZppwrHKib1eg1pnOzFSVDp0ls*IEOKSh1&zb+`-BjoGX+BX>)_I z?4yLMV)Xj@q{3iPI6&1sVgzm>In7)ZUf=RdWxDP}lCf)!`W zTVws=r!0XYBX^YpqSUCb)xEL8I)^FF>v53htICY*LYmoO#9G#8T<(NuE*U}wg*@3o z%o$zywqfjW>@NXeJ^hvMXu$ATmW-{kCAvN_m)4Oc!dRMSiMf)kvT^0X=w$s3PJcD0 z4NEuuipD4Z80xGjYWn4$Odjb1O>;r*er{(|lpxay2C+O%3}1S=;1wC!r{?HhZ9f>{ z?zlHs_}}5oLj2a3(%@I-17{kp!iYnq*649vt2$U$fq-@1ce{N*@t=Ob0V7#}#cTbx~A=_62jn7mtsOdmL#wDOv`< zs10bD&F#ch{hAIxVoRDHX=Em?d_r{}K38<&YQ$8kl4hrJ-p8C)(8M6nFP-&J=_7N` zxYUdnYyRvA)>wOkM}f6(df=z%$r{Z6mazA%jxjEIF;2TqOxf3#6fvHKvowtDyV*&Q zR}g9pN_k_@PK9j)_c!kFAjLkJUY7?s!qj5Nkn^Be#tHdUGs?=}WfO|;I6&H4sQ0Ox z0&q^lMuku-n^KQXSKfMOK7DL%uunjIL;5qcL_puqL ztE3J^NhzP8=-8IC`W|U_hsXxIN7#MXb_wK+1NIL=r#~K{Zkw_uj<^F}6bTsQ;nm?2iSa59==81B`c-><7-q7mws}9tD83xZEP3;HR zE37b)vI&vQN!X6eA1WUxOcaE%g)iwlY(zPa`+e?nJ;72_FfR4F*A6cq!`T?BeN~|b zyd8NLM$7#3HOqENYIFsWQK0|8-ps-~&+hLRhXM7UyN% z2Cw0}rwf~?6E0FAU9U|CMRDqk^nx=Ibpd7l4r^Mymj_hnWnOV$ zPa*#xBa%%w_|7HeN;kipG4In97;ar8n&b!1@VbbrDypo2%{P0#chSA*qk&LLQ_$0e zZr}1S0xKm}dq=S@3u9*1NEBASBV(&0S|NP)y)JC(laWvlLS*HL%((7^#SNsDXzPVO zO^%f$LHj~|h(;6q2TME;1{#jw42_zOX0%|QJbhhW-8Hmc!XED2C|K4C89b$ih}^ij z*#m<8gx2%N>YF-KUaaj8XDAv@0+;mEmS1~v3Gb>T-BBmvgas`M;az1jwFT2?ekw95 z6bGwOex8TE;kev~kxJ|Q>`1H4p7)l>ATP;VtX2)aYb0$FGKobymX%y-289c6aqze_ z5ldwdxE832>W140|5Z`<{2n|&!>bUY=_B~cRAL^%6sxG-aL_FD(~j}dIpu}F1TA9s zem1GeO%U9v`69pY6fLhfP8+=A7l`po6_zB|H03?L9u;GkMPoPkyFiC)*BeL5DkcZ) zWUm#WSxm_w4FtJ3_|BBb9v%rb*gMV(n0Q)Z-ZO9N&oJ_O{1Y|Aoo?XG=fHHVzzens zj87aVPrtbvN|`T1T=B}#B`81mGG1XJiznYB1^D8!l*%4WwP$THV3>3{9o?GL9(>^#b>-b@-o`(ShnFVYiRoe7q z;v##bA{vBt2nD>vyYqwF`uflZrHyhEUW3fzOS;c+GOl14h9@hTClB_(mTLjpA()^8 z88;>OuHS(PGtTcsvI6I7-n&rKJdK(?9ztUiUB*Ky{-pS2=NYSrnrZ{-rSG4341)@GSLXiq>+?vEm$sD@8%4)%sJ6Lh*V<1C~n2yugNU&t!2ZK&VR(7;0 z_!8+P-KQQG)irAHeSla&g&sy6zh(rN58UoI^d|u{6F<(kkA5x}Pb}O1U-=~1ncvE$ zCD@&W%zfYjF{L57y_NXto;Q9d$2p^=iYZ-+H2&1e>nz~1mX5;{NkNJPWv=_#zO+Xq znLP$Qi`25pHU`cG*NUfqRVL%GcUDIHV1M_HMVfO_8AJUOm}RO7CJVeLO$Zg+)4S=h z)21)ALDvtJ8xEN$vPdiPlUN@jV?kqpmLh+9Vp{uTj~N0L=cyi=dokVy9NVvNkS~p| z_Vw=TB63^}AiUidiWL1Z+&5|_vG4_kKu(aeF>sX!x)+HAAu9<8O|ApKc#ovcyC~kv zzHTagoR=sAPf?U5WC>h1H1ucxaG){AR)l_!6jwiBTsl{zSS`_XREh^bev-WV+)==b zx{J|64?p`sGp|XOIq5c<`D!S&m`Ws;88vr_C5?ACT;-tTPgbqylkL{O4Exwn*wH$WFp*69TK?q(d76Bu+@)yRK=@ceQ3Yy;A;l~{KcbjOgW~2ix){S< zY9P~dC7Fr`WiurOh`n;Aj^B0kxs*ub;x6ZAP3mb{JR+)y?$?RlDq0J2)or&Gk%@45 zeYd@S6eOx{NFzHne3QP$Wo7RAu%>cgYhStmlQSSB6I@}1w%k@~N)%RP1{3Aph8x{q z#`HnCHu6iZ`>r*CZG@`2if>?-XrEEM-6gni@FOBo+BGnP=L(fw(uuXEUNsMl3WRE= zK4x`IY7I?AUV$#V&B zAV?Z@+lUYK;j8NFtzB8jA$ng%KXkO$+_OJ z^Inf2F3{-bHe72ST+_n|FWE0e!=ImFpdedF*$itgX{2*{XF-*g*uL~rME6uMOdu{4 zp?-Fnd7c0(#<2$`lh>-QnfU43ZYE(06c!r?|57bZnAR7TS`k^@+Pl{32t%$=OuR%v z=A?2wCVuG3&_xevpO1nwqcR#Ah9Iy&091gh+iDcCY0}XYD4^f$L`Ih~_cs&vdsow7 z!b+pM__p=UOU{+=L!+L*zYYh%0x#I;8nE4%5@LS_v)-cW-*p>6|LS;bBMmgn{#?uD z@p<~g$vUS;XLOH{(>f+ggL$eWJ&XtCTxhewbEZ`7 zQH3I3jLxQy>1 z=PEQ2WhFbxS97z&uejZ~cL)m}-1{St3Smq|vw_%pCG16Rp~Sku>3D>=Xb6>zd#9DF zVOi|rQto^+uEEf^E$M8o6T^}mb`~aE>`XP)oA%l9&!2W=g~dN&Uyx78whM0ACDJ-} z(9*pEezjld$684(s=t@lJ0VuREfpwT|H>|872};Z(AOg}b80ew;Z*$c6^y=`S08Uo z@m$^J3Xd|xWQgpbXW4{$Nj$Be!rPE>uKBA4kNn4`jhhsx#i-mc=NyFuNmTQvfoMj3fCMS9+}|qo&TJdQEYolTb1n^{b4c8bK7IF zSWj;XF98(nQW`0bfc@a`e-v+p#KCWIcECZZnva1 z_a{*Onl@Fy?aA|UHKFlpB#=^&xl^PyFU7W2b;Ix)P@r1-ZJ7Sz0gF)`=%(~x^Fspn zw=Un!&F$lF`udhgs~8n1HewI@bUP)pDw>TvK6~c#klHmQo3;r+X?QS( zkuA8lo3~g3{tNZNAXk@F0GjT#T+*`Suqu#~Jh&q(INsBF4Nl2;-qK*$ja78XS1qc+ zg4wP@ZDLIZLaWbOC;40f8AMPC9@ji*s-DHfz+xUdC|QO>WlO-p60y2JHnDGVw>VEw zISe{xZrL>ohxhP6Y5CMjqO)vVaGJ^%peQd9iB+e!oG-=N?znLjZQV zxUuQ1P<5>MM9qsU>me0~Ti9 zhA$0HZQ^vFm5O+AQ14kBn+E-e8*!XTPmW=_%0?!5ttAuNL-B5e--E)hr?W_A z3Ho}B`|JG2uEQM?u}1Rr`*ldS%TDi{lNpzi%Uat2u6y8@A8p4XYsYHlPIcB}L9Rja{o1)``7r)$@xE> z&&>btQ0{Nr^Zy>o{XGSIw|MGhLa;(`u_kJS(SuqtArL`caTrL6sbQqx>>zMlazM~! zSlDG4c++JVgdx`Z+UHCN9MDb(9yKHz7b_?(6M_K*k<9cSdBOz(SEHu|-Dg6eLy%E{ z5WYbWfR})%zCm~bNy!@tD{1i3;7wlkTs%L%`e0Jr=;;4eDC}FIPrKbD>}>bb@q6t} z72p_@dq5!_>>CQ53SrCbyO(`X-*IbqN8X~){pAz)@#tAV*ZJ4Y+V#`-x!Z=^D267h zAy@?&Gxfa|_rui00$W|a4xiw}4Tpq8$R#N2=D}}T6{rkNrZOz+l}ac9dLaRFp^B#A zlhJZW(Y8JhnLB)f{EBHmHs@j?arL%Rf5&Qgm*L8hbSTr;dnZ8*Xd5W^mq zKAK;qg^2@tnwi1)zQjZkBnz@=tu4n`>^r~VH!wA{n1TYbAjpA3Xp2P&iTgj$Tk3;i z)PJJUkSfR*9Dx?Epy@u^WOyd23&R3oq^}rr5tv$JDn&^z&0hzYAj#cg?ga9Lb-`?j zLJRI^HtQnA>R(Gbz>}^PQTw;>_0j}!7V&XLyyXp}>dUU#@uzEtdA1RS?~&%SID9Z6 zDFy1%rf=3E+o#l9dh`bYEbzxs5UqTAmx*KiRYf$JrIpNH(Sml6sKt4YFXxVP1 z+=G?QVH0JNhhvc!Ar`eI`YS3*#M!G#nx--!2xwED@WHv;{w(T(y2=_X?kI>7!JXS& zjv;?t)f4;J6t7orfqR}8i}0>jJ0i`EYXC^;?O;sn>X1s81x3@3WPN5`g9oX7hb2<7 zFeI@vl*AUB><`M9@IY&V=?w?Zv=pgUY;#`U+Zf>KBKDHp6Ymejn;uQe>}`k;noM?vhB)qZ{RW{&OD`Whk>j98Gyn_- zr>75OP7ut$TGKIUk{4})-Pop=W1pXtZ@JM|*Ol>PopDpGw&)nw!^8a`P~Z`a%3aVg zV+Y4eeDhItc}yT|+lp@}1M(;2l*VzZx<3a(s$dV934H2zs0+&AlaKnOm(u!9&R@4G z$zzhN8ptUvF}AXI6Cdc(>{}Dx4%&elE3D1A?!m~8Ve}Dfh{zr!`=^0@wnqc>1p}Vy z!_h_%axrVW;P?Vc!!>r@hggWV>IX^g>6_@xatU;?AV$ugFKm$`P;FR=Zfjg|Q+=oN zrG>m8P9f4dvuRW6!h7yts!(f19`rW-rz_CMNU$cd z*g_e*jVw=J@?*!$5AlToyn)C9_cM8H3~kV?t_e598%ApKGJ97jr_5W;!FC0J z;hc1ktDgb|Kfbehe^48As ztVdy8T!Y|K8dB~r4m7*hhLR0jM%p^Dc* zUJZ>^e(sPAGatqtE&;b#{-2k6I; z3J{Ofy}8MqJBh1o&Is=0bd%4-mKXtj=SK%VAMAlwTtx^8mtJYRUNsnU%VG=Of?(h% zrFe>LRtYLzdA==<808``!!p@wZrFZdbvQ+O=c;g)cf>>VNgy1>y|7cG#A{F02V84Z zsic907w5`g`282CNKfZ(OD#uFJ7GTP{pqJJVgx)rkC18@k7bjV@y2EV!be!PO_h-j zL|z^38SEw!ai^6py&rwm8WJLHHB_eD$HjqL!e?1rxd>JWg@x6?-^xoG+mV8Z17h0) zYOr)x5yB*|gNIq+EqGkmh>!kByX-T4{6PQs~vQ3q{cjE~DM}#JjRM@`zyaR7hYlRq_u=HvOpgGAi<|Ntz_LlVa=P zaF~QVYFurvkt0k5-it+}^h`zLML-8`9@#t~(`Dmaq_89>m}zXMFfoM6Q9V@K{ABEi zpj{bo2Sb*(K<8T#-pp_w7O>$b`LK3TapA@%JzytsKs2$-d|a-5ke`!r;|rV?>9S7t z`vLj9lPX5(K`uk_#r-Y<${ngaQ5>tnF9UB4T9e<4**lg^7- zQx~_EEWL$_c?FrbuYjhc5WazNkVQz(Z<(sezvwY*PIIXevC8^Z%{}$xms-5{1IZz% z=(oKCZ(thz6aLl0LA_)-D|A>Lz5F)CMbcn_SkTA^WbzqOE+gvT^@vc043dsdl*nO{ z9^U}Tmx(c3z&q5?F{PqoM@wwP-Ystb74dXDS#b9cwzK#7@hLoJ-Nm#Ip{D|Gv5r@i z#cAjWxith?GRh%-$Lk|qly%#t zrcpX>8=Rlp_)xLymankz_GVGb<>aG5)7|8KN%gHa4ydd@QTLE4vAAuOXxoc}&sa15qT)u(dDY zhGkeYjX&VOgdQVD6T6N;-`2_O266o`kLvA9{T#+(My8#fcdqnniqO?rd5|6+X3--8 zn@$J&*aA2`Vnhu42}|yQUvU15=MS8h4S%*p6M+&@Te}wFqsOtuWs`Sukttbp#U7Iol&H&}ukl zj?*U15nhjC$yjGD>Y`SWXh zAU$ffa$~<0JV_gv1hvm6sH8?0D16*NWODa~C(P|q=+@8He61V{$ynn+zw^=O6mi*eOMYx(IN)tHy1zXdQTQC()9+$22xq zM6C&B)4w)#&K|h8--YzWT=PLq$C1&#z2fc!F*W?boLIPj5aSSrRXxo7711P!_!V>cjD*f|GczOQ$93VCq5?l~z+52WPGzMOf zdl`f>ICs-%8H6wyXk!Ti4wZ+Uo$a6P-2ch^;AR8uKtj;~b;c@*T97yXq<+Y0UBVjb z<~$1qngYTD7-8yU;!TZcQWswQq@~pFHqnDY#SEu?#lkj zfY%a=2I>N~yr^G{4eV;TOETIu=a2vRHpo)KC@{JK{}f30a2v83ap3%#w)qe}HC6>= z0k*vyQK*X+R_MREJRWFx+@X3PpaQ#WS|3jjzC1J~m|}gV?|{J%jz9WT+sFgaEjlJfl1fj$bs}D_XAuzN2=xas9#HJ7lD+0 z{bycabWne|;Zz#U9C1T9O9ubSmEGyQ*&`~Q3=b2W$4p>eLm_vQO^k=ik86}hwu5ir zNwX>LS06P5vu?A&+90QA{N&#e?9<2CYA$j4+A_(-!b_V|Xhp~Ci9!)izY9pxa%G4S zK|$f4$kg-J`cbI%%0I54EwUChfGr~#mQ>kM1~|~tQ~2`iIHpAPC3a(Ms-64pn6r`m ziA&dK4UfRoZ$u%>E_~B z$k1K_@lh01%FGRAJ~C}Gl12q)MSV5(oWn937P!t$YP!yp2FYOn*UBjyffu8;xNn0< z%7i1qG$oZbss_=zphmOJTmA{dcww0qKA)U#8SsfPlx7m#te$b~3;o2EHV*r! zRr1{exke6DXI5Me=oPy*KRG$J)D;J4z*MvgzdRLILNAYFkAf&n4Tpcea=ogz+GlXO z64uM+kUrBqeu_hUVxobg0t&{*6D(`mU?Q1$6mvhaD70kV`^K}=yy8L|3Pno``9=K8u^Jd6Lp5lkrALuk`K6Kl7T_%#Fx?%U0WY?6QG~II&cb&+GnMT3} zd}FWNwH+z>{O|$S;INEGQ^up%Yr3P-3a_BMpZGw_!DKRizaU4_nk5Z$LldD|ic2zo zt(mEjE4(r{}fU2~7~TavkJcazI`Q`-g;DQvV_yJ87wV;1h2M*WQ6*{Fk4~i!loX@fFgTy0&^r5+tzl8M> z=hk^BH1UU%kr+`~5jUb0eH$QA9B^oFU zhQ-&9R?g{XD$GNwO!gI3H|1h={l%8;APS8~z4MB7MO*O{-jGYp&L~m}{F1nR-xEG_ ze5$6}Zh;$(@tT7<?a)zcm@pV9&N$mcpkGnAn;Rc07TbNQ@TR`j_-~vK9#fw7T9y z3(M}8eY>UTqJ2V~ zh_iF__K$59Kd7-$3mkN_^t_7%H`yk_Qv3jj%~O&EjQBB900Om=(MfZWXfXTkrl6mb zsWMK`Q^3NtgbG?@w=e~vP)pbbA_sWo<)PU&B4J(Ut^{b3~cwp9x><>%Yt@!Mc z^0$oa>P{5(Hv{l$qSRsiYJRr1Tlu|?mX!DBqMn8~Gi|Z`U-VRuO06?@I3R>e3LSLVi;hZ2t zgd2`Kc7?9Bo2dtDxyF=;?L?bs2ST^0i9bowMzEL6ZS1GldPo%+OqTb&H(a#56b6UN z{dkp2H8LgewkJ74}s77l~HYAklBpswMxeugT#ZWUQ@U6ZC!v|<>AAY}^1D|7*od7nk zS?t$+01mn>)5}R%xN!KNUON)5i@OqX)xi@^| zEWi{odLJo1t5}NPY4iogeex{G>=YDt9QoRYCnokS-&q1x&KWg1SBZ4L32$Lrr?DPY z#L-my5$3hm2M77rwbK0;Qa^~eI5Xgh`m=)jEHE24=l~r`%3Ts+c-hHl{h4d`SM~nT z{FsfJOHinPPtTcGasNSRs5rILmUu&U4Klv+q#l}Q#f zj}Wuz9wvJX@g-GMcYdE(;m262bix94jOJ9^qX<3uM7|GG4Yr@#)G5+M<_FjKjhow@ zDMS_TnUNm9`gT1HO;G3@Qv;bfBCRrY%)p#+i?DZh;l;mo1TT8~co~ne$mw3ODkIrR zqK#n?%>78n=u2ZKjVRqD_lyqmg~C>kGwpwP?B;TAO=OiGue=o*8f~hNDlKoo7y}?G zD0AsyfR9t(fJ3TmRtyG3nyqVbv$xK`>|CFELk}DSC;__9K{r#vB5L{Q54SNs`&CK^P)tNDkj{ zgkUM3_-Xk-6ycejl8$FHzQlu1IA)Mp4`4FKOWR7;)1_Gy#P|g;nPOcf=JVIL6DwpW|JHvz@E`g}Fk?Vg=uR&|85b!AM zyzHF+;BhX{OehTge*~jM|3??W{kQV;eG+}N3PA=sUw#Mr)N6lt1(Z!$D-eRl-YY-~n>|wQQ5KXmfkPx&uf5fhTZUJxs zIRBNyf_{q9VL`HSzpLXQp=g1!_Ww=j4nF)T-_2Tmy1}%ng9iB#ikC~uJ6vafzH2LO z$3a|aRjoEe4>xrDz?!q_axQ)g29N8lBcGc0Gu^>e)6q^wbl-7FTFfz<%ZI@dJVq`< z0R?QwR&E~Q31_|ULsduF?RyLpV^uxE2jR_$~_}yVICBY(3l*#-Lc3xsLO~;$>$I z#ocSKeOu%+jEaNtp%C=0h#9)`ujn%(;5W9eMm3WYN^$HK3=UJ=n`7y_vMj=FF7OEq zp{YQmHyx(4@va4G$rd$j=m@Mtd{AU=H@_LTjt`8)XNsGQL&F{b-*9!yX?%zm^>L)+ zk6$$Pahv_#vQe4{$NrJq#dVb7`%4jZRmKt*kbA-Fky6Vw#h6THmV3XkQafPXFq z?+3hAviq0ahZMn_2q1+&%k8n?2$`11+uM8H4RgIj+^z8IdDLWsE`l3}31G*eya& zOdANiQ~+z#U^HGRj6kX|@P&8wPf}~`BpXJQYEi-NkwkypQt@>Pl*nlqD?AAUedOjJCr(S~Z0hRd%T z^|iStgs*9gC}%Z>ZW$!fSz;WshoxsN33CN{x&f{Py)9ld4~dh#v)GoGJUoar^}P%? z*0KG3GTFqcj85MSwMWtHS^?kc4tE7zXzwVFY-0G2@3$x|fTL!}3ec^c8SaUyn^p9uiSLsZwu1(m zVE-g*mivUFf5;%R-!h(Z?XjT%_aRD-BGSY&JLRJx4gS$BzgE+S_*=>k6+|dgl$5Z8 zRy59G!=_lHTR&bR&FU$<1Y~E?uzfn^S)d*=B$jS>taP68}JOZ_K5Y=eO*q!{2 zjFV>(Nd}Sjd`}$7TK9KNOM7^va@^*CnUx|qN56=(C}%^+romlLXO9j#)=8mfuQxG} zQi6C}IjA0YVLNBQt^$Y5~ zyeN|f&cAm3=4!oBom{T28Ai`qK7eg^yv0m96d5O}+a#9svgkNdw99X1Yb?th8` zH_!i^E&ZQW;ct@jy(*weL*s#_|_3*RpR;-Y%1qlN1m)xj`HYSRb1HAI!faAu{?1_qXpy4$evl~=%Gq_g)wNt<7?Wz zo12o26#VSs?8~=QKi(J5=}}Gl@1&@}%T1Fl`{j3sJ}I~HCduaZmPBGhpkcX8e0}Jt zjGM^`^6Q>+l3s)k1LLGAJ}K(=u)fneHXeJghv};S)PhtJlJD+97PfKPr~Vo*mfZ&Z zThi8hS6*QnVH5LiaT-BWpVw||ZDD;v9e(+FJv=)6uAWBJRTk_SOk9KpVzcjKReIMF z$`;+nOTX?$o1r}QnPwPzttnS7U?UsFrg0z$y+)BtUx#V%d zC>-Yl)bkt=hP|lCciQU8zUZo*9t4>lGpf1Z6>PBkBSC*2<~6Iw0q@J(6Ce@pZqe{dT>Zqcl}ckZV*D4_+?~m&3t8LA7L9};A=9# zn(e`nc{Qw!Rnwm4RNKT?%}j_^l?`ML$FB#n>;^!+QGsMp6)Zs7%y+}^>)umJIMWM) z*Ue)5+my0LN>`Z!;KC`>50J&2pF+Pxf3wlDDsJjUPF}7W=Qfo?BvwCX5>%#6r2qQ{ zuc)m{0vf=wJ-c&Sj}YJyh6wSMnq&AI12j4-16cUEKbcj*DuyrbRdPvWD1u$pl>Zas zR=5{mRRUIwK?ksVR(-#$IgRSL7z19Ulr`n6hxuk9B<_p|ORmUN4Zd2zGExvuhgW&j z@8H5O;W{#_^GBtp5({>#L>?gLOKnx<#D)Cv|W4a2l3KaJ`nyO`1-<`Kp)du}WPKkdhD-%wtivsHWi3-&HV&BKj$ zQHIx=QI`AOXFhytPJX}}w}nNKkGzqM1^SQFdI$sJJx21)2>23{;=QZENz>$?+K5Vp zo&B*q8j`@sa(2N5XbSuRJpQf>)0CQoqcuBSLmKJ}`(O8Y(7kSEzA<0(hzNBkB#6jn zI9V%sDXx5!0@a5rutnIv5l@7B7DuG6Kk!vY=_Ob0wZECE3{*mCrA&&qj)7|p8XrV3 z8S*v&nZLPVvi6Ww&VDlGU*xN9ysv94JReU00(pT1OrvYq`c1;`skbIcBHxW?;uO`Z z@JO@O){BifCRb&czx@Tv{ABsC3ZO*%DGgUB?DSow1&j2AYY-W%@Op z4GMmA#~OOY62l^G$M1}~VdizvH6!3CgORP|n03UdG%Uj-X%iIc1En}hqJ*ekwVBnQ zWv~E648ougi<8=Q4|0~Fo9g7Dsrp0b*yARAN!8Z0%@U@bqQTKhtYV4ibe}r)$Bg{f zB52DYd1W(EHHsAM#{GPrjz1Q0XhzG;S+F^R2da(5V)mK)*Ng^EJs1!m(9*(sRr+~# zepf|rammtZpCE+9NAzvWbhp}|cd972G2jB)?vZgaX(fMVSqDoyOrFCiBa{GivS|d9 zEXfw)8QqqyayDa}rKC?$)kS}tytKlbYRK)FVs^z(IZ4!aNVb!ZXoEEV9hqoTJPXFH(l7x%*4!B$J;6E4CD|8uxVlq$&k;#o;BWD+4nzvjXwtp z7pw)Z`O1<@wsS9^j9t!!eN2&w*GZU6ccd-nr6(@!S!`d+fL|dv*%GGy^^tV<*5~_G zS?In1%|sUd?AIzXIOJf{nmKMw$;)`Q!3jP@DS#if$OtEVs=5}QT}($lB*5T~@l>S| z@9eG)lh4!jMja)IPT;%4IpU;1eWnZ0J#LEcL_gBIZT0p6JCnzZor%OQv0%Cqqk4ws znt%QXLc?V1>KTACf_DN9UBz!oqD?gWQE-f0*6|sIO`AL+|AxdPv{964Gz+}sqb|YV zR|(Z$%7+89lUmxf;Q+*nXw+lLAmhk8#2XQ`oEb#HT3mj_4db*JieZJLa$G_nc2BmN z>O3m(TJZz0KsucMv#Ky&NXSvCweu~Mo*97Ir-!vUO%pLVEQ79Z-f_a!p`pTJo9wtT zKgx^t^U0BLYcrW6rFXj6(@fa2|@don>Sf3RAZ4&?5H94=IRmW-BfD40D0TscE$P|~ zP|+3CtPRsD5_+(-pkr*bOjlGt$uRZ@H2mK7CtNI6rmVu}$i}Ti)njA_L={Y}aN;SV zxI;^E|EfiR584Wu@wVtW_i*Gc2=4l@>vm4R>L%LuekjtFflm*X;~L6sD}nIVC-SFf z*h~Nq!C%0E3-I3tj*XZ7Us4M22NwKk>)v%xfWPUde|1prW&eLLR*e7jntz`FLB{si z&Hq1h;`;$kf1Hk>dl_hc5U?2^4kRH1{dY_~C{7j{1@r<-h6HMmfmZm#ZDD>TBLcC@ zLQ8=2Bsz-Xf?VaGSwY>h(Bl938B!h^1H_8ShzpYV^NOJS`QsAB= zr{S-}Z`esk8Eco&eEjiJu!MgAc1Dk+ioE+-OWjZpK%$?TUPer7wBmN?Mu|wg4a>qQ@YU3SF1ugN2=_i1^N1- zJPWfyx=H;3c*ZH{{a?mKLcc%0whsYpUy0hmzu=sO14+1%)qka)n9K>Uw?vr<8EW9e zp3OFy@_p5F66`DQxcjBlHetIoPKdKW)j)W-qTMCy-f=*ccAI`oKDS_raxKpY2|5{> zy4jGEyi$zvaO2lD_ge0?cXaSSWgkBs*T^rWPv-Rn>xNAjScpC3)Bu+pM$H^D9d0|g zh3?_F!>i&O`TkSkVGAOW@ko{nykJX!9W(jFa}0#00?i063;L)6jR(#EQdfay2d)c( zefSi^#=NvX=x~QtBOeThh+kLAx{JgRKJtkHOckpgq0)dfjVHrrk{n_FaX!p52DcLb z8EvHUF85wGC4g=+7vo*wn`sKR?(d*0>JSR|$*z|gaMdji*8sMEf-@xxpOzU7N2#4V z11%1UY>xI?7*nhLB?*2`p@Q6#2Xtnd@$QsYp@jHAc7m5s*`%97W*SU{4JnUkhm1G- z)z0dyoy9d4qLCD8PA|g##&%4*7HLwhrmA3tDu(e=BF_|U{Ji`~r-K?{M$i^A?h{a* zE0Go#j0OjSgDGEMvij%p?2Tiz(UhaK!)%^lhR4-eyJ0BnmA*4)dVwwE zavL9MFhXIVPnjMy!FdUuE-;2nSo3qS$+Yy$Z>n2Av>=hVql=;C>;BS}+?@VN$fET2z2qv%Pi`~0dFSXcQmCqkt$B~;;0W=^4W zS%F<%>Ze*Cv_PKlKY5#?2Yw|b*E>BJPvskU50mEQ7sNjaHe%blGyzv9cX%Q*TT`Cn4!=)+B9M^Zw z&ZtgG2_;*l%I1AZ`30;kbh=AiqB_+iRaD?0js5zNTX;D<{Yc`-&SG)#`FFM(yPyDJ zVoYdJ4uIu;vCeghlZ1M#($YDwP}%3~JFk*1^me4zdgThD;byp#WMOs%?D;i$AJ+hh zIv072e}1m$a<9_vP^`8H_3UU(v1(D*&+Lg^<=pXom#TSEQV`Hv1gH_sw(&FHWNAvh zw+$(X{u3sWrZgwV0ue{@q1PBTAfSD5Rc3At71rm+7utt6JGczc$$z; z48>jydB>TZso7C*ee223O1mbVdpKj2W==e{Ci#B2LWfz-1U-_|;XONOQ#)F#t^oVD zIEvhlZ4ZDX1h6uVwcqdP7>9X3oMQ7kE+h}oBWqA+jY<}_Hn=SGyh%h^>iRlx zaR&uHgdFC{H&ScAC+(nx_HY#aUI!INmV(iCv>gFGH)p-k)$hW@3j{XE@NbeCkc=c@ zKZfeUQ4iz{qITu;(BaZ-4zC0c)mv9zZ1B1^4DWhw-IW`%_0f-XVk5!eFaCQs~m!X`NUR&hG`P^o?p$ZFKaJautT|8J@#$L=^hUxyCHx zrsKPP$+Zr8u{OHm>U%%#TM+uqS1rW(zOGsi{0>WFM9We#ua2JY{qlLI8}gDHwgzgv z=}g8|W>%`>1~jb&%?i|0qdWOLnlWd9Fjd*+;L?6w^^SuXn&N}BB5^0eVWCKx-!u_) zbzM5l!v@KTGkiK#QD#Q76zojw<)2FCfE8txIN>6Rr2I16r@z5FR_Xb~ta_R@GhB@x zzJiqXNy23b(ZMR6HA_go$lN&EtJtig*D;74a3ejbxM5hyF|ZB}w8F2)i3Y6IIJD+a zWuPz+X@Xy5#0Rpkjc7a{3KI;4Fo3Jcb>ER!kwweASdv zBjyr=ZsrL48&7#$7ywmfr~TmSo{x_#Bt>xW*wE8QTd+M@nY1to<kri5`* z(F3q7XZxj{!6$5;`qG(yo4>g&ZftKV?3YPCZYr6Le^25n&kjZZHqg$ymO!>>scP!V zlqOby*F%0}&>AiCCpy#pAoDi#JIm6*``M~}n4FuRoi~0^tUdNc!FQ-6k=E>KJ$`_0 z3;!Cx_1M|W{LoUeI2L63gE7G+wLlM%OdQOKEi~NC7)Bc+6sisUIF%!oonG&IrtN$3 z^zieG)Jn==IWJ)eI*@ZJmo4Sy(fdm)6rHc+x@&ZOzFoWI!pc17%FY~xfD}N@~u4638~d7)BAMMN9cfk;{_Q4_0{sz z94oGh-kAe2uZcznJFNf){>liDvo*k&RG@MA;?_FGg34E3Smw4xH}p3@;(p57^c)!z zJJsM7HJ_1bH{5FVxv0eyxij_oI^jtp4SoKE3kH>t5-{Ua&~|@4Y;6ucF^7^^MSN@v zV&rn>h1susg*0YlEF>p7X{w#^j3U?P3qzq|G9O{8m~5cOo-@M|dEeC0DNMisLPZsv z!hS5&Mx7aIOt;cp_*%a|b1ljt1mfw9?LsgI$3(IyTX0B|IQ7y6XXhFJd-`2!Rllik z3Sc|07x*<9Z%Q!u9#GAh0d-%ICsT(JfIXWmV%jZgmSko&wG_jc#D7jMnw5_nr>E7J z&U+#A5QIBvR3D9^(s#HsbQIREP(=LNT4igdv6Tr^?gMyza>th-5bh6$!jH~o7|enQ zjiV=L*`#+U9xRj!w#sch%Wd{%j^VAjjy+i81|rbaO>l+N;$buIAQ64qPGf$c5Y;iVUCCpskzrgM4l)NHiw^ zZMs`2703@@Xn2bpLJtY2AY4N zNX%+{D{6yR7V*r`)Ccx&JKl3;wu}w99syBKIFW_GquN}3I#A_@d=^gYVjr~~FZr`9 z+C;5Bs8WUvcU+{*)%-AJtWd{lSMDgT?M`;K;Nqd&?G&tVZX&Kll}9Pj&pwD(Uv~|o zr8J3*H=@ZRf9og&|E?71m0aZe#A&IKo7xPPlvJs*HXLAjFI&f0Hm_yZUtF?v`v6pq zjs5VL#x^#8d6z_b+}%1C5Sq&7$RJlODlT|HtaSBT3Nx%A?lZU@4B}W>NbCxx%aRY& zS6VV+dk0rlL0l3n$2>NV8x@ml_9d-C7%NhrzVG7$j(-*72G?=E7(R=R7+r!i7 zH{o!H(ka14_(F0%k>Xk#rwbL zD(ZiV=f6^D-v827|K0=Yk*C7}$#y{#g9033_?q6mw}~|b?Ejo<%E`sS#rxmw?5yvU zR2&92$kY*r6cp$LV+;D}2qT64-}Ekye-1!pXXW^Nr?C?Z*?Th9U+RZ8M;I;;D?T|P zW zi~mR;@SSJ{#VTxI-7<~IWrYmid5K9}jeK|b%jJG-$7ksn zdUa~BZ}h9o+6oNZ=7mn}ZehvN#CJ_#Ev96Ou9ke{pw;&I8N}qeB}YKU2&zTpyJ=@f z9ENQqe#XBa^CzEE##5~52c^-Q7V=speV%=HF3*+b$*a1u#b2-^)0)onM)7X8VA2R= zgn_6$isQnf0p#6`;4X6blK9wFYe}}d%4Yk|H&QD&o(gn?5pa=1XmN*ZdY=P z*9Y{EY=!`c_rgp(&iKtsikz|BbfokatE;|uQOyCMkfldBdnDTSGZ)nA+CMQ`a)OLt z%}+t4q_rg#0sO3URO6-sUP(tr7R*U_bSV7lC$piodS}WxN!5{5q_ThXs7hiVA_+~- zuVX_SE!8a}t);3|-#&@r#`x;PU%+4Z`I`VTWEzjrT>hcD5hmtl* zvahlP$!sB<-OBWF^?V>FnK<?Yu!XUOmU7{7LKVw(k!llLq`qQEAt&J%p27a72EF>OxI1HM-(&E^bW9H%yiYjh znBcJ}EpQexf(aPKIWADZ!`O!;5)_EhX&o5x%v*HNCuQR8ewTrTUaD=ZM=nS%L(@xy z>aS@_j@gIaFkdPK0DQD0do9Kmhf_~!GAIpG;v~A-dUY2CQRCgHns;et#NOY&qHpPg z&5y!|pC|R~H|QfX5u8>YJh|_uTh#RjXjC*aI~>gMp=73slft?ISx{htiX#DT8ibvm zHa;3M|I_n*_Ss5!#tfwCGWP3Nv=M&KlVD>WX!pcpZE#8=8bb5`S5u!YSIw$-7~oC z&(VuqdgT@USZPQM=lt`A`e-oFhgUBMsS<+aEi?dZ=Jk#b7#%{>*|&q^brWk3U1*3v zdmdoWP5{OZlZrpQ-??1%=;8nt=v#5*3ACBz*Zb7GfE|6dD z1K~yQ#IYTDIoW=+o55!;P_EaJuZ-o*sOj6YwNk%A+~KJ>fVEPtbiP?J1e3@W7LkrZ zBrn(dmNcUUYl%&VX$Jn- zkZ|WAaVLq?Sd;w$*?dhWQ;CFu#y!B1;TwdtXc1rfNEuYWTS+LkJ1MPPo)KV1rrIV* zJ45@6nMLwi9sMeEn|TL)ctq#Y_?9n1l7AO(=IBNcm{9i#8z1p^!sBtdLet9hWxCl# zlOE0@^sy?!=lNS@?8@_K8B(uBr7M$y2v-+M*--qDke&33Pqk1Rzh=yX(=7Z(ZdF=U zt&!K|z>C}qukU)WgXsA8Qixp{eJS|UVpz%+4Ex}3B1|vq_I~NKz`pvu9uQGZKk2ET z>0T=u19=}N2>mr9cfqe0oa%*s9aZryeW^U=p2ApmtRwi-%`>Xh`?Emcs_2+_tpBBh*Z^$*IEL6+0spht z{Xfd}dl~$T_4&86WoHHTT%zED#>3vr?;o2{6a5~E7~*?57J3HHd%Jw?w zud=NaO3MS{N`+B+*P`?sQDcUsr^38nuWo{CVP@?PH+SrBo-xe~$2nL)`c zh+==v`o?>|=dUK4{awKFx5-I1j;IN}^Rnt#CNE{Q2u%@qKNS3+g-JPlc}8b_I9uXuv$tSs#1$e z|FmShP82l2Vk^*Ix{n1Ef%Nm;@w0kb_e(*~zWVt3v~<%H1{5k@0lv#vgg(C9aI`dSidR2~xf*NJ99DOj@|}?o3i2^?2|Lw1=Hob_=kFoN8?>8CtE} z(F#)(Qk(*MHVafe0B4ye1W#h9D-fNB`Mx{oB<8V1GLstQkHivLIpuTZt835Ejk5*q z&pb*G;h%&3utHG95iCYCz}CVF_ht7{xQV`05T!C2zNyL8q_aP}2Jm|7beaEd8Ckq{ z|C(ES*xN^TwuoXn9q?(AE+`W%fP`4oa*OC#^#jU>QlBiVdSFRe`0&sZW`4yD?~+Bo zgsmmgog-(Fv&^|AV=UrLM4+GJGBND=-qQca)>j6_wP5Sw?(PyaxVr^+5AN>n);I+B z#@*drg1Zw4?(Qyu@W`1tGv~g0|8-I9+C>%BYkli8Q^5?2OVGX|S}SF~t|10%qYov^ zG1X=k{@&s6eIK;iNo)G#9q7AuQ%cH;^n{-UA#DXiAYzaW^X&dvIvpI(k@Vi}z}|zH zBZ*X2a&R83MtV~h{0y<4Y>OqRXD5Sava?U9FyzJ)mSn%_|nKw2bU^4M&Sh1G=+;YOh zMUtcB>R2=hGK9~AqnHB$LJ2$^&tt6LiTFl(sQW9SGYO!M1oQzW+jcSyze9Xy&Aec; zNWrB6@$0lY=h18W)&N>(=s-~4CA^jbry2;4nRDo`T1kj5#l}?Q92#)LM9)n=7?GAYQo z?)g3-Y4*<*u?KifNji2hbT`sbh=^9y@P6JDJH`xeDqJ2i54r?m<_eZ z5WJR_hnCU+Z+pEc7$*Za#A0JfiqIb@mKocUK6<+D6Ke-|ky^1HYwUvaSC8fKPcJE6 zbCGM->YM3w`&FZQTWP6i$r>$@mS@Q?b2x3ZI$}DMv1ART0r6I2ZOHSywqo>VRB=Wx zbBF|OVDs#KE}J^%&E2%|*phxz{WmLLBl(680=m`!LwpC)p1Zqso^+ipFq_{Rlrw6J zGRVJ7p*au+h}yCdS|=CLC_`LNq#Gf|n-wO#v~!ulw?6#SIFxK*bG>?zd3nE__;{#tN*$I85o5Gt3%i!$_2z4@-1$RIWDDn_BnL zyXq?ijQDUl4y0qPq$?ouiZs;)*H>KO9vu~hZ(^}7R=KdH6dj$6l)9ZRM}Fes?A^P5 z)E7&^JhVW72#Xbgi-@yh#I9>JSl%b7h-(bd;)wh1Ibv`aWyUaSTRVUGp6U15Q+X1H zvkZ-{UI|=fHhR#CsS@k`XEj8j3g{+ey2qdizyj^JF-$q`Vzc7nVd>CBZ^4T)p7V=s z`~*#`CzEW+>rWkih&nTQc!!pdf*^`CmSjJ_>+c8AB~!oL*%=>ZvRt#^oyBi?Ittjj zpJB?gdW{YyHQP8O47bkIXu*CyubAw)GqEl2P+7``rJSS?hA1RHT2!TgWG~*HAfYCqY4r`%sb%{>JtGx71 zT~0aF&3%S;QT&<$QXyONaE`y0N#7>{0}#=A<0v?1OH|xf)UY*M_jd?mITS`9=`1FY zs$r(YL}_N;z##si$x#?f&p>P~!+hKJP#Zf8YVaxDk89b&n$kVHCVpmsNrfY6I5GQk z>gadxC28-uOBri^Sws;wkfG`y95Vgzq)6?PQw50igxXhy1C}?SJpD!$hSxFz(Ezd& zCIuwRhqr-ewQN2-42e2GBbb#fCu|H@J5JalGL=#$9S=)QIjxbD{IOZR?2BYKwgrN@ z#nbQhcm%3IB7u_a%KbwAGB-Yb>Ua;^eg&N}7Zg!FLIoGWiB5q^kOMRPWo;wR&kCf<1=3&yTxh;Y8@|n}# zQ*OEOrxy)f`sgI8?}aQBv8U}xM;QdY=QbPoi{5=+ZMFui5ze_o>O40EHh^Y7m*C=c zOJU;_(0IV(FFeQe+SpH#n+WdPYrq%Po;_bYGLXOnnG`YOPDvZ66ASfv>?WPccCv;0 z2Bpra7?|>AG3ZzPv?f?g!-yPpyS`fuGQ)B)Z%p*!U)cGSi2Q!I@|S+kZF(> z2QDjP{82a=4ym;RuU%+U%mHDi0lw$^z6E|G)kp5;(F4R~=I#8+bek#^*9Q@yhs)M7 zV-to$Jg|~Gj`};SP2RTlYQ-RJBwX}NJZ zQk28@Idj}esCf!jpzsdkTe9>4&kw5sm?*JaGPQ1ReJu?45NO73soZrk34I zi`e)>1}#4xt>APiyvr&ECA0gggdd8mKR;o{*3dlZCerRN?VPQDDgeuMhq_@lYxJ?gLSN45n}!jd*$8o}}rBIbdntL8*L@LNkwwKCUSb z5pKqdymJfZ$?2z6lr=|d)%CbY`&+P&Ty(^|)*h!Vq{JD4&j27Sx7AV<(wao4t6C9B zC-Hiu_cEWcd9LO6%hoUJw&|X^2V@I261EL! z4#^!aAnTVnJ>@zuF*Cs?Qm%IT=SPVw<57B>RQi}Jv%(-SB5J@vS7}PJ096wP&~aow zEEd6}UdtyQLlf|-vb3qi*u6q>&`FSS9(>PxRnb&{&q=jevvCIB52Ta!_UzZeduQqo zh)x()$wXg-3OZ3s_5=Qw9azy^)=;=;Hhz+}brvh4w<$$~@Q1f!!`5?-LTr?hJyM`? zir_?5cfkaVD-reV#B!&uEt+v=9ns_T0p7tgTnzi*Oo8C4sHp6J1>65cmT~^~cE`f} zcb=9u9Lv8G@c+Y#{aNP!p&0vLarXau_JU<7d>X)rl97|VyU{SV8=!tyTw0Bi_G_?W`|kC@$rYeU}C zA3*_HOWr5Sm)5O|+x^q?!##Zb0G+KO-E`kMe0eSGgE&|DZ2_u54RT$*?$AV9hmldl zr6?^2xe}&fgd~YWDnj!l@Y)JyMa?G?5g$_%Lz0-$EACl#0bazkV9JJO#6j8TF&Y4N zVok+TrJ(z)mp2723&5Iz3t_^pOb7~-dM}e@1{Ui`i8<*(E({+LMs9m{hvoQIp@#wih_e;zlJTmuc~@y#N;=gdX)DC6z2Q-Tal| zjh|P~9EO{cN0#Ib>X)z4v*vj2pU(a5eXES`Sf78(-YOKDwp(R)qsq=vPimzO;wU^C z50`y6pTuNX;G2K>_K@yOXpS-2<&!E@5ibg*9;&TuCmx_Ec83Z{nU{w?REpsgzIPM# za~>gdSWK9C7NB8fe0B@>%YojRM5e(NDO@1{`{WWTryAcEe(e{1kh*{(|@C2i+9UXIxr8#?u(R9M$ zs_0TrGYR`Gb`gR5RnfFR*qEeB73CXyC%85H;?R7mF0!R2mW@~O+ILtrb}V~#1mVc& z(5@y3qtx-@nn0pYGY};H*my`ch*k5tF zptSa!Ej|Yd&aRIne%LK{d{3N23ejU$fsPQjHBTzR6P=|v%0CQ z8Put`-KlC(Z_+ce^YHhj^27B0=?aHi)vE8m?aMM1@f${%66?bRYHTsVLMd^09-kqh zdO7o*X2Fhh(n>7-mgV=bT#uJJT=w8&mMd&lHr^RJaV;${w^g!k`ryxAdTB(sB&jMmF?ZZ{TzK=6&0SkjlG+r9+V#di)*W z*;2LTyaMA#_A?;SA|fyRYm~AcTy3F`$NQq@7)PItJ;!k0;nitlKb9r2$#m$lQE;lp z)l#*n_=^6?Zp2PRk_+8|uX%W0!1l#ccv)l$*C!#{>-uoA;*6I}?QMFyd$!o2Z%#Ku zK|Gk|mFb5V^EjxwZL01~z7d(TtSR3B>}RCL?AwW-2>cwO>|B* zo}a_0;6XS4VEr3`^eK3idsgxyqnnUb7=wGCZ*#i8sXmI~mE#D6Tv~R1RW&<0;8nmF zG`0=JDvC(v^b2A$iY$TD(RkWkJhxGuO7hPeXA4IZ#39)smc2W3?SfEh9JQ;Jwcb1G zu_phLh&F>O?nP6wIPKRO+&+_2EtgM&AFjb|N*}>tQ}9y_`(KY>`nIHqmFCE2*kl^U zT^qP%M{ALTrC#pxZpH)DQrOmM&k&hB{8C`6At4=7nk zcun!h4{5g+(UKNX91`1%ruh#C^gh@e(VzFHWR~Bv%tj@eo3<4<3)n{{Ba2*9N$~i< z`GMx(S$b?Lp{>dfIq!_u0vLUfH8`Ihrn^x-W~h)SOV61Utw7S7;j9fo&gJ^8V24~6 z!mQ!lsSE|6r}2%#z-Hx3^o9omf%eY-RTQelnV%et5nA6_}qp z)uRybVp2(upwaCp*7u8vFBmUWhcAVcoZ-Efg6A@bLZ|%p#wx!rz~Y!AVqCoovJOIh zLkcx4{gp<^p<(pM{cFOo#S$YD#_nP6rMSEhac8kH2g0c8I>4XQ*TOKo)dy1~Wh(~P z2qQbEFW2^anzH1sI5-u5HV-dBtg?vBf^s}!momy>t(KdACXOHv_mJz5Ga}ftq#iF! z&5z<~t)Z+Te#K>3fMu7)m}Z*%1v<8dd7UqJ6CWD&27`vBm@lkZ1?D@k8QKnkBCQKf zSxAXX@4*=CYjfwS)klX6Fq*ySIvswKzE|_}Qhvd>E9vrALsInH=*x&kG6)~vy$xDT z`{{jGgOp}_OsgPV4;zUh4ENgUy+D^(xd>We%53EIS>Lfuz{V+oirsD{{nVA&0C>!) z%RypQm6fEDWkp5p=zQ4eZ~BOR*(@B*yF}VNtp%5#gSn%tA`QQh?ySm=pp2+{Ho7#i zLy^;x9&$&A10Uh}01<8C#Rbr#hK)W3B@2|qmw>T{cH|8>%4XZf3f zW@Y*ZJAKepI^I~qQeT>thxu>AG#|F^}m{QrbCTjDIwzx|Ue zEZjio1Oyu3&qM?qpi}|^5fCW}!TwK77dh}H0bvw@_3y4JW^N8(t_l(c@Yf0$0`M{s zf#k#KPx>dOODYLL@#AydDj0fLRT4r=RTAQUH_qQier9GiR<8eE>{&lv&InvqK@v$s zBEtq}Vf{Bv{qg(=IcfLDsDG()1ex#fL>3`rXt4B$u2ux+$KY;~`=G1If!%)Q zp{#S^b6#0;XYEXj3Diz(uLpo9OX#fr9!?irvb$Z4c70;E920_eQQ%W+7VBJgTt2B0$3 z(FJk4ez!BjS(Y=n-t{2}02cs#=+k#fs7Ho0{}Z)_C?_wbZIuV<388=T*TwJQ^=rRg z?14H3vdTCBg5yybm?;4RndQOU;`uJSB*z}iD5lj0y<(8#k#ld>VJgoE|0w8oI*1D> z079}{h{d0+WXqm$`p&Kg7A;NI8ejg4r(tr>$msY<)5)}0bGE(|NAF2m7-EAqO&k>@ zo-{7}1v))byb+gVBG`GwV7%D%WwllYFK%RKRf`G0mhVupDjte-1TP)kRIVT?&V;@S ze-DW0tM-!>Ze_)uuI!PUZxD4#1y<_TC0ZwCU{UWXKbw!2b6~o|bW7y3?q!Bjg#QYt z`=`&PXz5>R??BP2KkqJjvC!WvRmv$!%?RF{pA92743*`m(>ppLI>}f|MtV#d7DKz~ z9Ji(c?4k*i?ZbWzPl{NRR>QZ&Qq&q}rS>F8M@lzr5>`a?OTonE@7(=1P}Q8wUGRpw z=Bg0rAvVoolFG<^CRoc)r3k=~MZqv+LYA`l2J86+Zs0IcvwW-gSINcTRYRM=(wj$K z@9Q8U4}R*^ic*ru5}^rSIQ;A-Jk-2j(9G%<;M+Q8N4dBZVfvVKyLKtdk3`8c6D|c# z-5A0uG|14^3?$6t&?Lf8n(2+HQ;zz8x`1Rchon0CR0S~S%)DnU$sg9dqa8w)#3?6E zNC(yvTalu6-CvBqOavT4i!e^2_J@1nhzL6PCbYqpR25w-BhsfBrGeB(uBv{_uUjI-lXwQT z2|WQiqf)?)u`}%~^m+Yovjh1I-`Yl_O@dl9n1drm+hD6qCOF|NcA+L=2Hj?5FhI9LN|D)Kt)$eiuf!L(wiRLxEG8wO9?*{Tnf zQlt;nLb`6b0M{tD*1)}{?73&od9y?@7=<6p{_l1k!pw$E4;LKPJ80 z;l91+uXu{sA8{u0e&kA6)uFSZ5$l|VPuC@JeHB5X5~8@NQ*kAoqGfM1sff3V%&Xq_qvqcn zT@k4YdL}A?*1_*;74$r$aJgyaqK(taJeq9<=88^{rlXABb8u($yOkVdT06+}Y?(Dh zQSUAY@ObNoyg?{gtD6+poy;%+W<_;}zb~6>Eh@yEO1|M*|FZRjIR&S#l*#BPu%l0eb?Yx`$n>PS9Ou*f zajTcGiw>jmQdG>0<*h#g-s(8!AU7|+Iu_$sLxy5)mhG6%Z9EY7h*Eml!?tf z$d*aYuuCp1U9>EX=f^m^1UY-l<~X1Vsq%9 zs1*aT2OwQkIdGN43mA2WDq|E`eZj$AW?XPc4Bk*{e}@~cY4jfp@+SUhpF<|Y6C&7I zY-#1=a&K+-Y}Oj`ncYncUSt?#R!&yd|0>?tfL|zSv4BgLNW>pB_w^1DcMH=M5~B=|@Cz~=(2^G!=_9}4 zFR|LkZ>pkF0oHNH?Z`OK1oW8&QRvHB{KY_cVHv3e`%zU4nr4!*4zBHy_Wi!_!R$-dPvuB&SfZ(K8J25d~LHGb{ICsO2Hp*hM$ zYW&_W6B6{>^C?Y%@3#B(rQyBkR|R-cH0vAk`XOWEBLM$=?m%!IY;t;?>P1_Tg$kuf z36%`Q4t@Fi4dVG7o{{;{0ff3CT#R7*o66&MDSOswj-P!~P%c7nQo``>y*+tFpK@9#W=jTMoEiAd=Rr}Q1ZW-(1R+kG znQ9LLZ`I#OgR5IVJ@!PNM>kRo9|rL~QKK^raR9XDX5!!Er*60z^)0T>MzJT7wjOAph zRRidV(CPI{S%h<0;jxz(z%3_jHObaStG!plMIvhtpF1i1r1%-to9*K@cyI?U#A)|o z#QIDRVLeOr*69P{tJ-ikL^}Daq2Z;8qK(F7oK|~dvoZOZ#YdqS?DsL~*ErnI=GE$F zY{E{qiKiG5E-!{rfyFxu%C~$;zd}c7T>*;Ko)4yFRo*w6j1Jzo=Qx8h>y1QT)eAsm zML?p8EvUv)J~#G6rZXx!A?my3Z*x1subc!7HOhN0$|(tO0X=S|-UAmiAUxW@8jh_; zbBx**AB@kq2?6#YKKP%sN8WjR^GLacV!|e+8CoMO^YegADBuS?5gG7P%4^?!IRR;Q z(zkB)zwCqMG{1P7i{{2*;ElO|+n|v5Os6smb|QB2nq|K%g1;p?$xU$l4EbH=mWU|5 z)4laF=va|vsJOWt4{He)4Mf{@<4B;zD2V9+82K=Hrj_;3+27~pKM>k!J)G) zVNXJYlvRszH?T%v(jn($YtTk8);$9(6vdrQTxb(|Rr|_Pun+`=Z@fpN=&=BN(vloE zlCY4J{Sub_!8b=Ezob^UEF&74eVv4B=Y)j=j57)9iN}3O%$+h|%&&L@c7u%|-8R3g ztys@5#Z(oHQEh5E?sQA>x^)WP4t|4ItIB6vOHsS_ZT*Hk*6=k~pA{Z^A2B_G+@tbx zDpOACRcoUxeQ@j`YNWS9+8Tx&QV+*6+~^tdGY0IJ&d6H?O>cdb%6F)?eonR z;7zAZ#Q1x!P_$}@(z%LA0{&_G3k|VVvWV|`4x+?rW{>Xz_jDVqs`(9U$&yK25cF+M zXt`n~X~(UdmY^9B$koDOap5VJz zhue~2r}grR{`px64hNYX_zK(n?HzvmG3L6md4~8M5ybUokM%lH;J3^}ipfJ#R`Vq(DYtWMUw{G71-PBNh!gELj-2C0Q6n8yS=Xc&&tj z1!RGy!vYd2qmX=lzp*Y02NNd;(7y-eBkzrz5f+@~qosrtl@hS7 zDPvE@iO~H^eS&zTas!zJ#$ecSb^{APH*7FltC63a{$Zgd8 zLo7Z=z_R;dk7Ua|sKsCpN0`9RbHjJ?-l^8()M{Xhl6@>{!?IJ#bo;9^)e{t^#|K_# z=1Z2ax(>d)ohR;}?htf0Qe8RO4g-9QgR2X54|vA+jmZU{72n{^iG;EMx&9fH(|7!njroz`G z@Y3!aNJMu%8ckbDI_jhh!uJi1_cn$;mdJ#5PY{1n=i;`1QKlHa3=W?p~wKzLVD0f1>7)P zu_b2H3@&zD&m1D=Relx(ZW2e!pc{jJ_pAbF2U_m@EBkEB*r~IB zZA3m*#vH}%9BoFymRV+J8@t8yu-|r&X1WG@`8L#hyH>eW)AG-ZPpPu(L|+@? za=4KoplL~bh?RD})KKCHUXwwigjW_X&vr?PAqgc~l^SOxYyhyI*jCX*WD5`(bfNjk zGX-rkJ1f1BSe#C$hF<*Llw}(Sjc~d-4RYaR2C^sMQEWnQg%D1l<9il`#8GvMhQ@a| zr^J3fp{iWJn;Q3qkeDV7YzW925!6y#)dmrqOGJfnreMH8U)cJHh00bq zwHQkBQP%fgl>=BRS+0*?P}>M~PG(TZmGUx1(Z*G}Dt(zct>fnNggxq_SI5}oX#^*L zkbwYlt4Ga?q?%7H2#1kZWL{^e?01tmomp67zcRs2q8yCYDtXLs^n_|<4i<7@ckX4o zX~vydtPPmsc%Asu#4=hmJ@m*Z2ba<}t$F4jF;5o*-T|v=jBw#2pU=_Q^i!hLA;rjZ z!{^f2(qw&@GLX0v<^23cra9t^5{ib`kj5y@R9U_aNZB}-UzRZ@w#Y<5QRZQc-lSOT zyT%bEqC~}6=e*=Sti_y9v(Tg3obMhQfsf#CUMiP<Zq#-law8QnEG!it%>-vw zHBS^1U>ho7KcLF_|R_OVasnF>BISA>ToNmxXZx zo2=s6JqV${in>ybJq%{7hzIQ3dmM9O^~1~;lN2uv(~?LOM=^*WG@u!z9kR+91|9MV z?G^xEDZUWP-6@jt=&Md~*@zl~KGAvFgRQS7_v>LZSqQknUu8n&Vx#z&)|WXkm|I65 zVR#6?;#k_9I*Vc!IkFE%#@Kqh=1}sj$y+{^e#-ZU*&j$$;LV_?2MwCqif^e??!MCf zGD(2eFIhG1Ac;v4#x@v9FjwKdOp4N$jxrBm@GxsO9qE)kmhS&HU!`dK!iB0#_=U}R zJ>?*S`!LJNe9jYPJtcHYU!71ayM@AGsrWD?B=)6UbjF)=yMSI_kWJ=W+1q@pm))|s z7*A7<#NcB*w2TNZKY&A;I>5i6e(ylIG2?p(iV32eORq_UgMovAy6GA~gXR3QNAO zTDArE)Qw^1=}7Rl7Gy#OEY{c(*aUXO6UzEbA0FF4vjK7dPGqnBCp)qgpZJ2Eo+t9* zlLO~wT+vHIl;6KWHG}V+|I9!P>_4%je~5Tyj{oM*u(1D+h?)OOTFmw@=j}hF#q7ZA zm5;iE;O`%Ej`c6UPRrVN6z)XCzlSUFism416baS0r#gR;g59|Xr+n@2gpBq zho%Pm$;vC{4c{*G!HCnZ5Y^K=ot&x{N@}K@Uz^RzacX$*Cwz|hB&dvWe_RNa_P+6* zR*S+&w!cQ9L}8%@3c5xtmcVDJpbBQ=`$w>_Af{V_ejB)+f-F^7>^)V?6U^rEQcnML zNsqj;#qPw_+c6ONdDZ^e`uEecC1CKNWd7G{OF|*Gq9s{=gmSqtDZW-}p6v#i&2Tk# zYO)mHZ!Wpmk{0!<+t%&t$3-4#I5H9G(TeSB%cp(b&y&17 z2X;r^BlcOV<#(gb$LeiWuN}z!yRjuk$i;X%Ka$=0aW3!To)tnfG1IkX=9!%5)%SH29GC;BsWHIXrFo zZgl(tTSzBWJ5hO(^O%K4K+BwtI3_i?23<^gAT#%bu!Jbi?_ zDKA25ul(_{W>TADQ=9Ln_e}PB;3v^8qizOXi;hM1cUAb_rPkLaLo;6IZ*Mf^ssnA+ z91|->JR0;?qqY{6x)j%5O+-kcD;Ca`SVV@GBjDgI`QYsIN~7STUB=gy3S1Y=|f zjM1c+5*Fwvt}C?^SpkAX{Z1r9k2U~`)m11#Z8sz_HxC*Cp0zrAdM0V>YLClTM8J4* zSM&jQlc?&a{j9}c%cdxTAKMHm`i`|f1v-bO% zbeG~Go(EP-d6Rz0eEM!qV%$HsSWRbJJury;zsB8VtLFo0{s*#7Of3BCZCn< zQv1n>Xlp%XtQ#}4X5w-i2K>U>2J!^x6*xVNE)Z;Y#c9Iky}{u>nXnp$i^1N0Gu5v- zNPe2#x#G#iYw0wbp0-v;>nJ*D9!m+p_6nCCFjaepY6onWS@J(@^&~8;#&N$iQ}xqQ zXgBz!!KxbGI2yS9lIoe{2Bam=`K9~c{@x!gHH<-{5x^L={nn%)Tmf^#&L2kwf^9S8 zBQ5{c42PGmz<)R9Q& zd6hW3kqE#5uum?U+nKSr^-&{tP^q$}mkv4&$k3C?i-~NCIDs5^tlxhk%w0;31w z)3xr3+F8m_7}ogsTOtOBvR?8r!QW}B;q`hYu8~$tEL+6@nCk;FURaS~Y=7)bv7F`-4)bf5u)NT&GpYSl+H$e@I>3_mM4i_J zSns8(_e4BWmqx`T_t@EgC1o7~RkJM;egLpA_g4&}BkcR-d{BsDJ^kRZVrUpBG3CCm zDjEfh_Jb4Z<`Is8E|j^8D4#nvLaSFXH(|y*{$5=Ca@{yEeAQ?TLETEMYt+@5@@tL0 z`qD5tv|c_{Aw=gegBqfYJGx)7&^-|YNn)55@LcNby-x{aY7jiH&7+uVVmEh8J&&aUeQ7< z^ntQNk9k9MzP!lDGl2!YN?qFwj;^CHE?FV$Gmgp55Kc8;6tD0^KjGfYTulGz$Yfy$ zDs@BtoitAMzfHOT>-|I@~2(qN2*Q=)oys<&G3v}Xz4(s78iSFrm zidg#l`zDqS{^?7fs0L-;(#$$%yno+^J-OZ6+YQ2qK@ zPr55vnaAcj-amHOoT{k)=S3Ymm#neEPnt6kqQqEFf?DK@?MRQ`rnh&UGw`yhHvXVe zwrhJI`4&?EvgMJ4W7|hO@{WC&QBZaY?9wj|iLn-KoNE3#Bgio7kcw75Fen)TvLFev z1LottUM;$i-;|4|5jBN8cjE*rMpuVizvVPotqVx1^`<8v(OR}3kcffq86lva47qXTh zj~S5#d|6C`W&I@HD!*$Ml!(XaG~9ppW{_2w!gHu{^cG+%oEc|qREw)(y-=7WB7nw( zd)4Y2g_S?4gFU<@7^jPM2XQZbsZ zPe*S-SK@2tPIRKcG0gFXY#y|)IuCpYKj5Fy07}h;Qg{na7F}nW3Jz@(cHGd|?L({G zw5yxTrr2DSO!J*X-xHF}u#OX`*bJTc)Hjk3G8v$zt#N(%vKgGFqG=`(_vPO(fT-b*E%DJ)gm`~6n-bW-Vg7VB{K!jGW(HTEpfqRZ z12}erVoQdu(qnT|09zVlwN?+?j?pP@1Xew#?*y&{QihESj5Rr{Pkm%1eopdGbO>M2 zvYtw^xW36VYE`U^deg3Bn2T+2^5{lu9#jp*%fIx-yB=dzrvjzTIP{}_6vA)wlqLTC*@abvjf048E;hd0p?l0 zz`HtfhpiVu{3>p?$(TWQ9>IA2`o`6v@= z9BJCO2M7!BK)xADH!y;jV9EKjtph4RG#T9SP$;OXsF}~;SWximL%91^>T~1fX_Q#L z6-n;F(3@*bc87|}Bnhk3lXFjNE)aZbnh22ZCZM@PUCaR#?d>|%WXJkoe?6mP7uTxD zjP@I)OlbHz`lED&)5BQxRd8;=kX~E~)Q+Bx4#>r0Mqc{kl`zxF{*wZw_CDYi*L?fS zmc+WR^Nwrp*AK@hiVKwmy$6oZkA*3TOd)su!;3`wSSP>_l%aPtP)KHRdm0K%yiI0E zW<&~?N1vo~`MFuoar9is2u)P}z}o>0h_ezxpcv0*37W7(O3$bN|rb{2y(3EbRXa7y_?W zz_5X#v1ote!my7sY0E||x;)x{upuWC^M|}gs0{rtYzY66y7V!0SONKml3;-#ZZ_EG z^haQSG%yz4Bh4dafS!C&tJ1LZ=tQ|@jft^2NsK|DfRn)a!l(I7T8qH1f1;EgT7L!a zS~%(oCEUp*On1mSc3LnPAZ^U)y;3&h&Dc z zOr;3b0o+)CB^U8Bg6a@gT7m4@X(;brA@BF5S=jSQ6|ij%O!85$$D<+&4)NX(D(q|x zMDTJolF{-rzEl(Pk5vgHpITVgp(Crv3zxYn0W9C+LTy*!ETV|t4IBJZ$rjiK$P$g< zA)v5F=Z^ehul!TXz_9sGzx??}7r7=UjPr{`G=cU2_;zNnl^hx$HQrro5zmu$@R*Ev zWHG+-K9TI6lucLu2h3%F$xS#luNOAQ#?$YY#?dU3g`8DN0hhJClzmSIUvC<}0gOtC zni?&Vn5)7c74$KWR5_B-@>&G}?=LIu!(PQH;cQKok6W{69hyG_j(eGCx$0P**3Y2j z@cD-T?%J)u#$T3ey5o4>ny+YHb@IU+9#$ITWY7ArR*O^-w8XO;Ll)KxiI#yh zq5=$}7fv$`pAV9Cna{SNFdkPj0#K(3XxcIMAY()@p6wca21-yP=F3i%<>TukesOJZ zw2m=nxIYVfbJtHbXl29e`Fi$+_L{sgw|-IqbX0$$3{(B4ZJ4ZI2?Qf)W-&Oe0r)Jq zasOroKdfuxv0a&l%@L9C`i2AqzpVqImQ;s%iiY-7M>GlOc2NvGdY z0f{Dfn1@cBnzB? zw_YkoksNbx3glAMkUvf>>(go4r_~I9UQqpR1%p?V9GE>R3ce;AyNXfV!b^b32wDQO zBhC-peVr^|y)qwz$)M()2dbKzh_&WY%#fvW=z7Jk4FsiZ##D`9I@B(hrBx650voxY z*My0VmzU_w`gF`QYg~Ji?1lII2E-HHqU=x;Tinz7mIO6d;6!djvHG$(`B@X!75j5r zZp6-{O4I=zIWog$jW6bCjTzvzGJ$;6H-7|D1!jEQ>lA*FgI(Q8^RZgmPKIIWhvwk8 zE3grhCy;3_S^h#EQc>-7f(PWeY;GDyUH5q*7dl{o#I<+^?M`2bF$PYmO1I5f$?yCMh zJGHS?`jpfb<$OMfEJ-vUr_Oz;@(6L&&igiH1vaflh?cq8Hg(MKCs+$ais&Q)<(m`u zV26`)G|XX#E=Q@#dUn@^w}vv&$y&j~_mbpM(Z zL*I}VlTk5lS)eSu500LFz<)fW!tM?1teoA&R?Ok;T&|hPS4yIc(Y{os7n?J~1;a(B z6Q=F1>1(&p%)uHclW9~OEI`b<&qPjKf;$!AG+#r+38Z6XS?{ydu~~I4XzEr=J@kbr<*UaSn=gj;kVEeyDCddB* zY(PUe2yCEeIr<;U@GrQQS&rV4S&nfI{~x%v)VHzS~mZ z>uuy^ZRDTHuIX%B$;Mx=UR*W)ashCJE|!((YhRLBz>^lS<$8H3>0f}J5rIJA9fKB9 z%{YTFWe=f8f1nHR!r2)Dc*K~H7`EZsL{j@YqxitT5eMCdmHNc0f5d&RE+Kvw% z1sscXx;&!82SbADbH|vWNsw3i#%A%%X>N<-F6`6U5f}u$$ zb#}WahOpz|I@f~}SB9@gySS@^g|NoTcZ0=53GxUWLBi)u)UhcrfK=f86AXp6@PW8KvMjOhJg4lyYdPygkjhtdvh6kqf3{pnx7=FePKk)~qIi@Bi-HZw~v*O)GcXhZEEpE=sELSeJ zU*2iN#SQzR@)k{=0Bcvm^O>WJ@$tN)NpDrc@=h&rFYcn?#_C2XZzl>Kf^u3-t#c~R zorG4KNyvfShrzoTIYQvUA@^+~<)j&}yd7s)r0)sOClTafro4c8=BH zBv}?LQ`<^-!tP{9IX!)-7UZJmaC@A%S9jda+z=K+J!Jz9z+2eKG2O^Fmw%+R zUk@mPIG$DDD^`-E#}C78WkYsejh+9XLrBPC68HAxXk6z zjLLy;hnRz;x~j4|l^I;s_WbBI-5=&)OI3!m3nt&ZQ=sOE^WzXvx@fEJn|&DFByz3J zS%ZpBQTJ#t3#to{L5?VWq$nC@$X2vcZP}2GT z_!}}Z@#S$(lSnA20tnYv4fotSe&$J-QsjP8Maha-tK-`8 zyT1M8`&ho4Cb))D@Sq&=_8X2x7DEffx{UK*%Pe-5|AfK)PhP_RYnjFMe|i?~zn58v zUD#wGQ9Dvz@NNaW#iR@82f=?@7B+TP9#GE~4l7vK7qX1Q0B&0T==iw*rRLY30PFa` z@(sEJ*aDn8X0UvNMiDIEK%MS4Q37wbl5l;%BeSBiL=N_5wF`?xiuB+=}MKKUBf!1FZa@crO$L+Y2FOg%P6j0R%TqLa>WT$=k)#}Yz^Q44k} zy_)B*uOIo(1^EerPfJR-Ue+M1tKxVMA?D`BZjUu>JC=0e?XKnmJ`G&tHC-(W8l5_& z55_GMoQdJjJ(z<>W;;J`Zg1y)K5`7hexS=WDwa77Lc(_eB7rzpW@cwzesX5&*HJ3e zG+Nx|aY~DPkD-a5RKmd7fUdCB1cUbyMSWG?GbC8C`THEtt^2FV?6JvhO>59?t?V(& zUjI?^NqpVh1TVIVwZV%mLe${|$k1%HLDvF!Z#EQEf37^gE1qlG-y~5j$3v5p7h_7- zTqV5Nj=&<3y81Ay>?$1qm8Xu}X^`*NAK(_|n=t72AN1Z5gfS(Rf_sX?ksf&t;*}g%e1U{-4cA=tb6n5< z*c0l(!&FdR+!7rrI0bTw-L&(k(qAA)MY8z=*wC&*nSS-hGgHf>107*k`Fo49IuVDt ztO{R=3>0vkd>G56U|He1gMAV5Bq^#{VflIOos+)I-%=r=%X}`Y_Jfi>@JV?JZ=Ng$ zV)FCCUe_P^7cJE1CJMw9$q4W)rBob_{FuHcff6=gICR&UY^UmgftCA(wr@%zGu!@B zUvqu%+MYL^8~W_P?B>0Az3dbhxTreH08k{10AQBmO@jfH75}wLc z#+F{DDD_-M38HaZvF!c;9HVVVNZtgxOCybFlDek!gm9I;Wn+AVG?{X}Wa9&7;PRxE zx|~s0>|H6#+CY#^LR1}rQp`}OxpTk@Cy#mGVY;Ok;#U%wPp~tspWj_njZ5_TC)lVR zMTTLd{MhP_T`~#uv|V}(!bXmn{@kNJ$auU<`!WK!&_Ir_Xz?p#7iAxcdhgoJpj&a~ zl>$6a%cYF?^zK=zO>SxL@M?ZAA9zCRa8!OmHlkCo-evnTW$CKWqF{;K-#^x$V&2)= zmV_0Y&Rq#o&V_kj_0@O>PSP=q>S8#O|Ko9eV=uki>tYxi(`I#Rlw?ys_6GqyPSp<= z9X~bpUx(wmfbPEhecv$azDyQ5=O`Z6FX7EM1`xqcb_!*rin^$FKI0%+7XhmhYJ5^f zCEX8kOd9L6C!SF!gg+cOR1ikAWu7F-tecOac7KC~lTPAD**Mp}pR0$n`!OR1m@~HB zK(LhKDgR)&MR&rBugRC5rDnZ!zXWAU!(Y+)v+7UDPhKIc328jW=u2-?-)0r0>tmG| z#Xvbnft`i7u7F>%Hv1zQs0~;mk_!R^8Vp4e1%FR8{Umu|gPfIoM|-mu zdJ5$o_SsVkY-Tf|lhhTf_>kjPnZ2T0%tSpgSrW_nYrNN}UKgYxt!@L~(u8N&zR{hh zVM2jk#``@wVlB~~xn=z|s&sAC!Os#e_Q(f89QX#M{Wj_S_f6;D%5x6h|5&}aIsSW4 z_Wu$Q{vrRUB7sGOf!cVikl;Jc77hcbf)Wo2Gw*^r8!UXSIU2^ZQR_TIZ8Zw(1tc1GRQmr9V!UtJsv4o zY5rF;(PH-=4}s&~p{uOy-~fn!)Q_NqcZ}G7^99+!hcsy8F@T~$UT`)8&mS`zm?eY=D$>Cd0QqE6fh}!3f8PW_#^oPqL0r0c*g$q-a6%jO-wAET z+<|^0TS^*VqY7x7Ip*%qCN1EC-bXRwM&CUSo4k3?CI`Ktd&((J(d{2!}om zhG)Jb8u&;d{f=yL#3|>AxF;uER5bb6UMy0%yKa=!<=XsC_x3QMxqAIKzDyNs!&k1uWw=q6h( z09Gyr)-F%egm&fUb^V-diTB>?ru0qRB2)ocATUf$TU5w~<*nEP(3Zd7*V^gieyIv4L&@W=rf<9WA zJ4!XBJ*2IeE@Eo`hg^!h#`-J)_(>~}+tsG&$pOIg3G%My*;;AWbxH3{?`EkodbEUOOIY;`%w|Kx58w%nLj3Z7&HWgmSc7`yDU3XVH$$OC^#op);W}4Z)bnl+4BY`8;=S>XdVOcEB`wId5e( zZTjq*>*HX(1N=xkl$m8i2?rrugTQ(%MFisV^7mhkqj-TxKN$J7MoQN zoZkan5oe2N3wU-RRk3JTmLpn@0(((=ioM4bh@=F%?E-y@dF|K)_U)N zZ3?J$^<`?~Q(n38K@Ey^OF90T3TFtmE;?i5OsZlFi*rVO75oNT!hG4K@&>fv8tiyB29)5cFT5~mA z_m;;xkJHtDbh4JD{oNi+jEceFEEs<%-jwf-Xo}mfJxGhUxW+*`-S$I+5+T(WNGnKc z8Xy6yo7#9qCCU1xCh7ULD|ul*Q(3GIEl&0h$5^0plfjndqX4aCSjdysJ*Np z(4jCV8S0QV<3ozB2g<^Vd%xcNp$VW`-N~e~amoNctKxk=5P{x)9^bPG+5)4nfjg8{ z#S7{WztABzAOM>kQz|VFA5PmFd+Nf)3;N3?YT1dBs%BBn5ifcRvW-_zBL>4+^GC^o zMRW-SxW=zv>W#mKz7jU-_l*3eq~CJv;aH~azl2Ia5kz01fM?tg;xss_C#VCRXfsqx zr?A=IDc1ew;^3H{H5&ErOVV3C0p_4KC`nVr!Zw933b*99yty`7EK~)HU3{RTp2C+~ z7U{JeSn{O_(&k&1vX$#{gsZOB_J`Dcp|5Y=gd`#dsfkzYR@qR9iLvS{2T{`nFm++q z^%1Zb%MnhP!#HvlW`uGBCJM3_@V&At~02JAR(I$*5 z1>nUD!1r`ut5y>`@7qV77=A)_T}(0j$XW|&`^g)7$Y^2>Wm1fiHVUW80Ihem4AcCK z5XK#=+#s#GX+bd+0%v6*smoTxJ@J@RrzrCrL|hMNPEr)%*J~Y`F-C3gGLZDLIffaJ zU?oUMfw)tfOmq)X)frwv4s0W)-MG+NR0r9yA)DQgb?lmAx6H2Sg$me_)GfK zD%d!rR5fQ6Vnmm~+jE)lj`znAjKF;+c4LNF`OWX46Da1H<6^*n_L(uO#gAgXBwo!8 zE4DIhKo(1Sb>%yR0u3Gu-UC}mHIp#Jx<2Yi+{%d}!h91*n?uQh82Eva7#lPJf;kw4{v;!Bo-BzZZN?ffz1G5R9e#b^!oAy7Gf39a}oR@jApXwHx< zp_m@A$g4|^%+}=viq)pMD8`=*e>}EDlbT@hW2#=My<2b_!cgPWejoK5i|NR8aOYvN zZmG<g8|NSA-}!&jYP~{S0!%3v1xaW1*^9bvPm>N zQP-2#KRf#UDA0m9I)%L2c)-F$#?$B3dDQ#aaN&nxmrG=&-nrx#kRyXUhydidR=pE= zQ%LIE*_HC*m)!VDoWxbfHR&8Ez5IFR-N)(5rUGbe z`R@450_6U9wv^{9qjrwp-LU9MRLP}0H2PCPM<;HHm)?yw#wzBflanPPgnYFklV)=t zrXZExwQo!M?T`;%!Po~NzOhl2dLB7vRZJ+E9Nl^RqLv)CKRi>8?1mA0d=p|CD?I$G z%yxBi0U@Ykq6qN((>bv5aQ{bm#q(dDRi3}J)2c{p{{k8P$GZwH&Hrl;|9`!!e-8Oy z-c_D|dso-{@W?=Y7T_O5-|%?;W*dQOXThDvQXd`~NSK-d5A>@K+%JGBN4q3EE$ZL! z^f3VcrZjP|{8y$0FWaBc=KEPZiNEll04Q*(M&dh0W>Cl+-d_k$a8JO?@ndQ8*I-#1scde&OW{YwQBiruy|nlO%+#f`x=o!NVM9NVXq@yT<{ zcQg}^urFh^xuw8de*u~NSoS#~r7eGWpx>4lv)k{BM%{x?rHoWhT|_?>s&pO8fXP&G+%~kK1#hA`VNs;&5($)jq84 zHtBNWPRGmU=@@$tPuoY$HU&f1jv&WoP1aUeY6E*p7d01tJa>xZpn50ZC? z8YN4pBjR4Bi9#WJ_WPOphZt2#%zPQc)S#}%mg#DW#J1SAj7oH6(y#>c zMy?7YL19g_)ZcN-HwDWnD7d1N+V{Cocg=-SP@_kRW7<-ligQ-=ftX@hFO77QJF2Ub z4Xp*HD(jl}DfE;X<@Ya2s8OTPa{NDW;iZ%MiVyqu)#Ol2g9lZsanV&J z$-|yshSc6Tg%-zs)aQI(Spq#IZ!}a8e;f>Ty4LP4RGcFiaIO+Ul>rDR;c7CFv2(He zvZ{ZeaU1Bm-T+q-sV>_3`qKK5wLOgK?Q6ELhI#hyR-_s`lhd>|T}0@qj2W#nNOq`n zM3_yj>zj3dd(k0O^xjHIf2ST!@1p5%(4e!w%=@Hm~h>GvTSQypF|O&bx5s zu)$_wT;!cPy>dKpn0zf&{Tg1PdsCu{8G=ZrKn;v)9T(|==!k3fQxq)^{Vjq-Kz1o* zuiHqA7_pDd36oeSq}Z0FwKl2D^uEkXZCvH>j#tKh_Hlm~CT|zTFb*;d@F~w4W?=_6 zS6tjQC^G6TKX?pDTx=lMdbf;-mHcke3IPlF znr_1h*EW@*{;5>kY|-a#RAuqu8^MSnXTGF!t6_P2IrSdtcg0Do{Hhl{DWz@MYL5xf zJr`@8;qdhxz4py)hf^ABu3RTaun!SK7nS*EWhm$(06S(=Afhk;@&MBy6)rGL3oRzb z*TTq57vdt^;t9M|;YO>+C**L>?* z)o>MQc3o(?^~CKJ56w+E95x{}rbDVf=YNhXdi z3h5xLu+AT>A_w{62?sYCFghPvfJzulq4`K1gvwz_WSR*j2OFgDjs#(}hd!9TOodvH zBE(&sw08W`nNR6?LNyLUu4uvEvsEuP`HJ_vdA2kwN|me)8>s#Bdv)&**B}T9QZGEK zi+697u}DKogUyBBA#2g=`woOUs_jZfo&MaPm)ytlf5&`hEE~lC6&7`RxahH0MpyB_?*S_s3OaxpPyvYN%wFShnv@R?b=Z6R!%-zo7AWyxKUY z&_a)xAinjyL643PJ^zuT2=M+RM`2_CFQv#IhSy)yV{j(Qzi^mrynol3|EVv*Sj+$4 z`tn~Ou>a<0g3G)=#VUB9y`l{u2lZ~^k%I7b06Z;tTX;=gAO}YP0xUZ#3nvH2+Y$Wy zvo?Sj)anS}Yf;ew5aL3ESGFKWM*z;>o7YT7z+ZCFKX!RAqeKE3>MtM|7*PV+4h7JG zoZ-o^K#@KGe4KwiBR@YGv%I;3rJEHQ`@gVXn?3*(;Jnm)Prxn&dq&aGCJt&HopIr_6MTX^ggm>~u-g65F2dIn$EMbQAPXFRddvLn|M(y>vC6~l=ka)K z>3h#02>c%Y_R((Om**qo8`ob{PA=DwGVC*kmBwQ3#_u57wa8N(T+{j(Ac^8sxRR2t zY0vRfi@UqFr#LY(}B#=nrCMSWnnaMOo|c2lh=SO|=cmP0qCZ`SNg8 zbZxk|r^DkX$MB)UR70a5XB2jf)>XNFLD;X|K&q@}j@#zPcXIB#!%2jd)=l*U;zHpe zl<12J7#5lE97`s`=9d(~ttP{E!ZyiZ{#V8q;&90Vs<~QJDoHO>(AL79{j2UTORef9 zFeK#bK~=xeN;R=`GW1i5$v5X+B)2^P()zCOQ2nlz#Qv$iW|p^&COA_?rjn_JH+4Z8 zm}=CD-t=|7)K%6A9MbO9ElMf$@eCIJe5qE!VXGV8 z@lC(?kA9t#`$U#3qY_8uz#O)t2m4s?1d6(lLV2cJ{>K3KHOAa7 z2~jy>;8Oj}$pFuTx^~32&Y*B7VHNy(gcJ|9Hj8O+SWtpA{kb4ka zL))kw9QQ%tz>WqXBo(WP?7$c|^Re(gQsR2pDx~dK>joy;Ds;PwOvl0hQ4@NtoS=OF?%6!vK2c`~nF*D&H zdC1W)_a>FT>r~#5c-FADZHO23(B#_?J7+6?rK!A8l}5`n%3wsDTXY+Go~iZbk7@6Y&BrS#RUmWGz-I${U+_H z*zFxh;iAgKY)3xtzvAiq(rpLvf%zGXkkf2q@bjrLukiJ>aYKKm9!cAaafIJj2)j3D|}Pk+5SG^qcvf9y4macrK>utX+o zUTL8`Q=^3TbfIJTif@Ene8}%vE}7qnM23}MppjFU(;OP&FoLk?_S=BmO;9BP_qia_ zoL*(8(%o+&J;J)Aejq(Hrv+CCfJK7SG%^!fv~%Vw3RSYOD`8+hnHUN&)VkFW9$pjqQjf?Cy91 z=!imP={AxmZ3!H0)wMcZ$#RN(Xb#W{ejOAplPw%v1}J#PEtXmpe##rgrezb&$kRI` zn0ZGeIo(Pt1r@VDd^e6jbu_RZqiHYbGHtdQirm~vdj!Iq)ELlnQqvGkK9z{8a9JzM zm<^*WsHQGmr~;ypWwo@U!)l^vmMul_A$DMg`Irz`M)PXLG?+HE3H-35PFDE1P;WO$ zbP~q&pk8Z!#U!Vd^c|bNoPkOGtMRgjIQF;ZC1bTFXq9D&Hy;X|uO6=V6mu4FL@v2% zez{z+26h{Ut8|0*4(#7Irkl#9%NF3MzcsGW*=>m3xG@3e`sFu;`^!{t_>|05Qum`9 zsDx`5K4~tHB*Jrh-s(YxbT7Nn0}?qr-EQwyC{c+w29JB*()~{b8`W%WS?5t+Tkh7C zs#7VB=q}A3b`Z(!m>5iTrF@p4(FpD~g+eZ)D$IvrIL!5pLx;8}$H~RrV2V_~$w*p; zNDc`F?Op(*VUH2;8sx-ik6Vk3@>}?yE!}qEMb1 zZI&*y>M?NzKN^91a;3(#L*J=T0DO+MzR4jCjCvU9%RA zKfZ3Z^{jGS%qUz*92PF_`kx6}w)qEt`CNdgarF#*uxYOKeM-reNW}6Fyazjt!ntgF@=Vi<;wI5f=pZ0wQU*k_AFV-{oVHY$$ zBJ#gyrQnOPzR=@>^q&D_AXWo39#H!e;13~|2#S@X1^xxljDYjcIF$_yZ~sT-z@D5( z$O3|b!52%mr^Wm0P5&{wva|h_yhH<9p=Cq{#-uT;ysu-Kw)cP^u}_3_(LS?IRzPD% zv|xUEF;3JlyCT2qH;uQ%1fc3*lYaNUs-!4pMt6C)a{;ZNo!H>&|9p4TkH-j_g925E z%Qw@hSQu7^MXSqsrnFf~x8JA`DexB=}>Ity~ z0@0QF``-lJHh$ghlwK~W%)X;d{gUG*Iy@#`-_8Qn(-pp4(vfClLVbTV75-x=oODt) z)O~JftJSS2y-B<4K~s@bNJ;38HbfAC+^#h&H({qGY)b{9Ddg0(HB%YIywpi!$FPL$ zDrMBX6?A_EI{xvAM3I!Cy~z1y*K9}zXn$tcbvtQz@V*jR?Xcy+ss0#^XT@0|GRk&# z#p}-api+mrZIUd!w`N>8zaJe?|6WoOPL!C`AHFl(GMqfQ*GzW}V<3fF^C>!|v)VBE zxBmOnyHvB`D&AQZmJZ!OY}$mo%_o*Aqd;WZumm~HJh6J7y0S+f#YO7Laf;koV2_dg z*GX0#N^IF!`@Fb5u2|Jk*fr6Q(LJemq&V(kB6=^J{uv7uway{M^iD||8W@ zAr*bQPB|I8ⅈhY!-i4u*9t$CjBas!!OvlBymB3x~!Qy_l5+7I-cES<(WAiV$=0K zLB5-THS)^t!yNmp5cqESpqzwv@-gK6^KoI$h99=gw#MV9CyHSGixAKe9DaeIi>OC{ zoQ%5YL|O@mU%3z?)pde@P#Tu%FpWxG+~8Fisk-XXr#vrd-C6m3D*7(En|yNx z!PMWo4OpOIczg<2r*Ar9E1(N_u(%RfHKfcj23tHQQq6xOI3MtcUA6gaJ{(d8w=I;{ z@Pi9kLpwlyfUlCsBcvgMh-$;eXq9@1aN85B1%Et}t7U<-S43+tt!S&}z&9|0*eF@` zPWEX*Qqw_?gz)DG`<3}$zTa7*0eEpv*8f55U5QKZMumzkj#4f>m@Lt(Pfw> zTvt}4{{!%6GEOX{`6|mZOT-a?AjQJa-K#v_9t&@q?vcTCh$+$wn+D?t1tiUTTxvd! z79X4w&9FNpz_-&lMC?7L*GpC&@p{+ZgK0RtU+3PqlDk2D3iC}v);eVT6l05x-p0zJ zf(d|(-2A;Ct_8o8!%OyfTitJHOvuVReK< zB-oI_#ge=pr?rF&HWd;GGnd-?g&B#Zl~U%)fm+`Vsn=FXQvWo{xWvRrbwuWbM|+4H zU*OWIXXlTOQE>ScuUsFeFz>l%;5F^0F0Y018IZRj^ zG(_nLZG3q#v!A}WKm2_Htx8LqH&c1uNmvLQN75>O06IVK32E^xJ9OAUG~AdDifNi0 z_lp2VnaLNzIrooVwp60bW7G!yW=vv*dNB^k1x2DNU6V~VZi&6X-VVfWAMw4E#EqU|#^ChA&!um!H| zt81G_^FeQ{Qo=ChC2oP-yyGMQ=%>LB4 zct_FHjdxrvN4}(%JdpVLX~1nF-n8k=Rc0u|s-DU2YleE9NWx<_25z6rE#)421HrI~ z+E*q^;#CW(djp@b@=AxUdEE&WQ+4fnN%?p_c_)I0%lWnwV58n?;{tnjmhzkt z?wKibSK^d=S1bdw4GK@tX?5_&x^@p5oEg?!iF+oMCH%qBjuJu+eU~$)v_1bSf>Tr{ z=15A1kv+cz9fkbOPFX$D_m2=t+n@(BlacU7a|j;<3)CE4!`U6g4#O^n#S?p@PiG2y=oaU`c zQF^S0DbYJ#cnS{aNkWYa^ItSX$q>BoKHK3UpGEs75qW>($FN8Lnl111!Fo(r!oH#a zp?}=LU{$a0O$l^|g6{$O0c4MgF9?K#%D6u>mXphV2_V&|!SKrBq;+&Oe-*$*LKN6i z^kTNGZOv}GH&-BEx$KP@3r{kZ|4`@zz3OP9Pr02kaXmEHO8zS=XZH)CY?VtLEY;=W zfaj@T)Cxogc7yj8>Tb1lcZ_!rYD1H9LrbM_nzvBxqCDh6I_$cnwxrlJ+90q90d|c+ z#R=m4Sa`jCxzhMDd6;E+yPQ6#b5CuM zb4ZMIentA7faP?T8Eko$dsP}GvYpM4OPT>$LWt#x!~DSO}ndrbN! zkC_z8OfB~NL?V2b`FrvQ1vxSNk|cXv6sL-ao?(f4Od~v8?fhWHWEX}p+>`hgKnDasArD`b& za7c_#1}%tONw!^g6Louk`|-p@7W*P^r)4gNaJe{L9N*e02+~71c)q}pfn}zz*}ro~ z%8ow@G7B`F!GXjYLX>xr0$KikHnapDc;^&{;X;`Ekcb~b-aKG0$wY|)F#~3Qn#Ji} zNqvm>g2x&=@&wK+>GT%wel(!r@anQ%yb!Lnk~}mXlL=2RvSTQjzBi-O{N|m zrW2}$9EV&|Pt~1n9}$(Vw#*mPHxU({ZHcrJ;!Cc{$z>uMg|8C;oVLX*0P`##{YWkr zqe(y-=D7orEzFP9XrGtR#?A`fb-{{UJ_sopMmPK^$2r;l30`Ao=VbeLUCQ@N8;PyP2$mi>PMpWpqvR{s|OgB_eB%}Ia-$NI<30%FF(=Ku?SF|qLJL7XZ0wBWzZ zu<#i`-?8y=LB&}3WS|#p{69qJ_n^a$@k%BlE_*#BhBu>V_d41U*r3Us)jl2m+BDDdR=FM%@XcPhRrBzKES8h$D{ zD*HeGDIQKPc98E+5|+Qfa8#|}&n4c5&jJEVkR|_CAG3oGyMiaf2HmvctNx`zU;%w@ z!^U*g-i8wm{j91Xy7q2Zw=)eVYEA;ho z?*3Q_eJYo)J>-7elhs+(ddv=^Z_O2)k;wA-`I$fwZ3B{qrbcGdS;2EmKZ4z3)$SXs zcKC43sBq0LI>3aD5(_$CF``G#E8GzT9=(`LZfp;yc;G&%$!==ZsQ4?6c>9p+utfu@ z2yktdkP~1a>&YK64)Hms1B{%EDcv zU)@?NHXT6C)(b2pl3yU4c}gXpkirJy1qEJrv!_wFiwoyVb&%tO0_^n*=A%j}1dc&e zrN$OUx&b+f4l9e6ACrpo_dd+3ajgZ<(n+K($}ot|5Y(w?QQX0LfA&4mt|1kYMA#DC z%Uo7#w#u*}u_P&FlI+^g(v+7ynmUnvHvQtQ+9-#ApOmVg-@sPl_<_NIs%ah=&L>PU zj|tL@i^^WE(CaCnb*bm$`7&jWw0x^S$Y5^G2ow5E6aarZq6*(fIdiQK0R;(bjV|!o zMCyijG#u0 zss(x8G#r!$!yZTGg^4qt(&Pi&$HAg_SB|}qG|)UmtPqK-j0g?b#+#txGi=U)z__Qs zY)9x~`0V^WnnJ|!Xy9s=x|(Ck{Q6iPR@?J@Z+3^8L<~ma17C8<@c2 zk06tfERI)8Rdi#FokC&J7CVM3-t?OHax@O&F=*Se+x0lK-#w7l=uQG@nC(dr6;hb7 zI(+<#T?{-4r90S$bf>O?lQHkTYddw9I7+{((s${Pa^&clGSv$*xr&&|mZ(=dRI`(@ zH({3p$13j#G4noTP^itj=9ZtwH3c?v9(7`9VvHp$OEOK_8#e>TG#YC>zlZF2NuhXTWQT&AekEZguJGw9%=j ztj$YDagt^&Zy}ZR=d4m}@=juR^Y!c0oMbaCAPF0v%~Rc#0>Tdx)Ar*Mxh6~m>hSx% z4KZgrD!u8#&gZMOM8vYvat%tINK8+vwD?-xOzHLlhtTAp3Zk3Hliq%FveBT}lUB9!8~XE22xx@q2w*Jycte z4N`6OYuZ&02FmWYg`n3mXAe)$$>G8ES&~M)c{B{u3uH|nFiHFv;jk*Z)BJHUXO@)?)Nf6xvZ z-@AYJR^`0`?RQABbu_b)aic;nxu7aZduTEGY?OIG3p{N&5k3w&r)tyD3cktYfX)68 zXO5Pr(C=8qV8!cj;B4q>^iU*HZkE-Haz;u}5A?)^uOisMfuF9TlSRJTd8=F*q6h`h zm`E!H7pbhJ-7LOLU6K#k`|i707q5YDpKHt@ZTur@0w

9N5z1C=`Dbt$_Xh7-z)}@T>P3SA~_bR8VR%qQ*c8(H{Py$ucnTc(hOXG z$ZHA3DuwveB?>nH2sBrL&#RiTFlXG7HU8V-FOE{UwH8j{Riy+jvWL8-uSCfaN6h5S zoHLV*hdT`ey(QuoQA9_22;+FeI`@&tQRN*;gOvyPbKVHS(WpWva74vkSjYCxuCT?~JMx_3$(AHHo4qeI*_+7?vvO&5B`hk=2QizX99u{s zKs7#GqbQlp43pWJ(?twpX+Os>nOT%MMHn^<&@&~Wlulu>sDGCZC7D+IUQ&qyQg>w0 zj0;~GE}J>Q&td$gb~VV0EkmBj?p)A^5#(!$3m{+cjecU>jFKhbT9{raPe%M;i{VC9rduEimSl^WDsU;B(Ov}h9oMLpaLdA&871D^S z;!?X@o8K!czz+Hmjd?k5W6z3f5n#_@*2Lot?%|Ovu6~ZWkoQvm6q6kVh==ZUJAE1M z;{pyU*zryfR}(m+RJgMx-la^KqfN8@aDs)T_kSslJrhGskB8g*cu@LlXyTY@qLSd- zs^!@%dwNX-k{vlKmd3zn_LrT7gek!$w(%^0mR{|m8EVE9fkZ+Ts{rZOp_UC(_H-&i z-W_#HVg3M?JX8sg%(As%{K1~Q*q2PEZc1Q&f97JCsyGG&enL>Pp0o-*sJ#0`j7|4+X|`;(BG-B4F3#BaDp5rl zS+%3sE5H^KpswU+NHFojSJ`SzokZ5E=j!>M+nS!{M3|c>47%%9UO|Cnni7N7y*Uzi z6w`!*Oka(O(rH2+9LSOhOZII&5@FTFVm8vIE;yR*p~RG{~PK3Z>)0?6HyArI?z7yF9Y^JjZcKHq*S1?+j4A+gBNB z^FO-WqO7skmTFTSfWqyx7ZYC>n${C0 zB=U1$4LF}?Z)5cZ7emm>C3yZghxj^TS9wN$`I1z)@0R7vww2OsIXe^=d2Y4A4&Cuh zE^QOll=#YOvgdP)A;;OzbZlVkl5w`i&8u?v%1(`W`xC7G>e-6Mm?o$FL-LXq&_L$2 zLvG0w0Xyp|3?UgZ9lLNUhXL-EKt#56{ko-#lFRE?Bza%M{4-iuV?`wS-kk=@amPms z>3NORkQqjvWFi=(i=+1_zvnmM&TVVM-1Odkw|kFuH@=o{?WPDPTxD6$?E36CzfK^# zwqmCq4mTD0BaVP?Q0$RkTB19V2PpTwC7*hcHES|_IaNCyDlY*NR~959}J7p5wG{PQa+l7^KLTIyi3H$)7{r0-&MJT2kgS;Wvtq?bT|UTb>$N z@OsqPpZm}Wedoyc0PL$AV%M74P^L3WVIbLdPeT9{A$u!!>d#cbdwf}A1}^lO4VyCb zhj=C_jgv)ESK^om?=R%*0%2ViklW|Svu3;t85xCk)lY_!_un~v)*j-#X0wa)g4a(z z52H0EkO`&5^((<>ypHDTb<62Bv%yQhQ-N$;sQmhc?6B42!OQCoe{v<8{6o2{17YdX zmh%RnBWvkQJz_JM?D2tg9Jra0q@Q1YXSGms4#`T}M{l76k;Qr_jolh0~`+td7 z{^~;hOT5DHfBK|9hx|`*^xxfqDu{RlA3qs|oDw8BjQ_Wu8RR*F&k7p<>!E=XEFK7M z6dw)r3!V%gq%?w0pIk@D0xBN?Hz0ojtD)IBSpTS@xkvH;XrJ-e|DYoNch~WU^g{=f zi(ltL>7J^+BrU6afTqPw&&Z*h)|-a)MsTsox=p~A{_y%jMW>o(zp`mpClVdF^ObSL z*Y}sSOs#%@j-@?HHXMs!Od-prTp5;?PUS=8lItSXmrAy|=ujDrYLvUD3QfpE){TW&U42$;_|k za30W8?TzKO)=QUF{rw#~$Dp}$Yif|Y^{q@TqczX~AhyUGYAVO&r*e0HMU|#6AD*VK zGf|eY;D%&6p6BJ}KkY0o6wp=ynHq|Wx*JVC60l6u|M|eu*W)JvqL>9fp=```4mpr^ zckHs#1PVh3*k3e;b8?juCZBTRto3sRnIBu@-&JBeIlxer$2(luUT zEbv)hmcyj5nIGLJF?JPy+ef7bx>&B!97 zXGF3V2yWktUCDQw3TlK)FhPytd90nhdfM3GI|GYqZNmN#Mxu+T<94w#)3=Z|Y33&x zc5(f{B>%nNDCp2E4G>ZcPHj$G$W#62^S}fAxSCG(wB&)}Mnp5#HVpNtIgiv2QMhA% zPt#@PH%FaQD{xyXDbk>t@V*yFjiHA~A+Jx-au+N7k6&C!qg)t%G?t}CS=|b{5=vq1 z3$ubb6cU;l3W4X>Og24B2$g(oBon!W1vw_~4 z#&}Ugd+i3qQFa1O8JyNkr8V@q_!3{`9oNNGLl_@Em(@SOnuy(uyXLRNB}lQ+x|jdB z4ttTsPB`_7X}fw;e#Y16cj6AHCR`Y!Xk~wg!=4t}!MU@m!(!!8hI8McbfN4yyU6rS z7u(@m$LDk&_3Y9jg?iZoUMkIRW;{SD=V=RUx7)TziR{zK3`TDJGlsr~O7=M-$liNM z(;CK85aJRA^{?(Z=9uqzo}c|i`%6Xai{z_2F)nJ^A4Wt%xqBhBe2`Y4=W_#6 zN+yU^vXqrXw1EKG9oxmYNhF0F!*l~5@-B`pM!ZYCK51W*$X%$BN= zOUE6j48N*EbD*nDPOaX_Eez4-{*aHKAD@6*Zax(=os2q^o$gPgz1G0XtqGpf1snm0 z_#SX_5Tp-`u;ToRrUhI}-eE1(!owRyT#yXC3n*arI|CwF<*wq19YcJkHMW}5mmNH8 zZE@-~)u^fOV_(-^`**;VH4jJ2J9#hTF-!NFwaZF$onj~g#-$dU5 ztEuuOD1a_fAH$ifJL$L;UyfcH^(GWHN-E&xV1EZ|RF?JoUw@x2k?-)a*A;d|yc!FX zP|0Ur|B+X}4IC=xsqBzpU0>22=PEc%4UQac+SHl>pKDWY8uyTzWw*Pyvc zV9)i-6Abz(3=>gZU$Yxl_MDhyjvA-t-_)6?XVA zW;isjrrZcS0FfSln|^%sc@Nlf-_i^>Z_*AWTC^a&{VP0 zTWa~dZh(@#T+22Lf0Z!+Y@~gfsxAyeAp)L#s4#KGVSiR}g>9v>w_d?6T|ut9&Xy0< zWpk-6$&{YOGn?(#OQ>?yk(vETdxzp7E^zwB*eqgNbL=9*5j22DaQa}X%@UMP-c(9Y zB*7lb#2b;SC>2QktVn;p=E^C-z~h{lWr1a-Yf&w5P+YSrs7p3Dw3IAeH*Dw;?Lni7%s z-47CRwU>Nb*R7sqdpsH2$$TV=9aAz(=XgmKT`*YSRojoA>vkxN+k_=}!gcbu-_WTb ze{txL2N5&yCq^WZc{c0KVz6v*w*r6F1(ACmg_0h(^%O2{mfaAk{Y)rRNbapJ{c&s{ zx$X*;y+xQ63C>`ELZhtw8mf`0zH(o7Vd~s{D?HATViZ?b**?juAk`Kk6UOg zd_QwzE4=&V>7Xs2il75W0j%MY9=y0AQqd(MH(j zUuBKlKguaqW|n`@4Q%XxYLve-xom8I0tZ0vv9pWx5ze_F#T@Bh;dW(Q4& z!2TNq{;vtK|LBnae&+wEa{dul{nKz}|2si}Ifn;m-ujGlq5~RZ$Ny^%3>h51MXRO8 z1Q#_tf0%M~KtgJ?&U@7xL|mLVfHwX3$%**L_>~NgmLR2!^99uvZFNprUTV?NnUzoG zPg`~q%WpIhPdi_kz7j(M9ZF;r%9E}h#%3=ho^B6I({HYB$&{liRv{cJw7&&yMc#+SzfabYrJMWH}(bd3a#=_k}Y;g+Qwr zgaS`QIKwa#AAeXtX)is8wE1eM|Fqe8V`F>lcyHzV+se~3bEHsTMerz?suGdTEK7B1 z(M(KM7wC}DY*}Y2nXYF!-YsXt`wolkjGtHNSIIC+NmV3Nj|pk;L!OidVA_lU&T4ka zSX~;E)z4N~eH5|%cNGQG@!~wteZbqFT3bEE1W%*a{!BQ8@K23$_JMpV(KO%=2aY!> zEI(}9nQj{PfQsyVj9P+VmQa>mrMQVT)H3r2@F-KoCk-6)OIo_H2>t0eCFrkzH!A+j z5$;Gv33hbop0HXp{RnLLzd8YvjLr|>NOVg1B=hQ~V;tg^eFJ=Cs)xq}euvHs2DN5j zIIiZ49O$`!$RB0T9%R*PwR0HUG8oNk$O;GkR5ZQhaluy7H0=xNKD;x?pPclrz;?O> z>K-S}R&Fb-RY$B&+4&rmPqV3xOE6kY(W^E@hFyvFCaK&U1*%W*jXI1Takrt>BAX-j zt&-$q5-9>JiJH7p=!h6CXoe{`(iuum^#Ua2jgy)finyaq@n?&BOcXs#U3d7vlbwOg zNcuIbZl4((L%CNHF$-!-qnhJ}f(l`P{pD1@5ZPA(gmrsRuBAdpmh&QZZH)`z+CJAF zXlkjlE@aMbw|uN)6t^qlm}nr9ul8cXFf!P>jDg#650KAD4Fd^Gadf$Ta8cMWiAxV` za=8RHL$~xdpVh{xzr!K_J|@B=Whs)w2tsf36b>&y2q52&39_ir%`to5ncGqT96jcP zIZcAcMJ6hU2@IsO?ro|K%+3S=L{yVBy5(JwloKgn=_HSuUDoB8Y_7N}UzDCatLzqp zCLYs58*d}&G?WWRMz;+WHZ|u(3CcvBsmet^7`hmDFvp9WLxo1whaX3+W~n1L;m2`S zC++Dnmz1tVu--XXc4#~FjE(95ON|WB=+&r?XBs_%j`%~BQFqa~9-;ac4 zFCE-rvBuYlrtizYPpfaQLW9PXPZH2z7eknkLR!SNaHz_bTzBi-&Xv9b$})Tl8mjlS z%D%S!-ZDVtPh{OPmo<^S=1O6VgAb)$$l2z_8NX_wLtF%M3F)JZ|2 zpnqrHwV~_!V3K#y!ybJ@D}8G`9fjL#>ec1@#^HOW7-zJNzCEgbQl?<5UG#9P0!6*L zhvGGhht4_S5Bnmd4&e9;{Y80eK0$H9x#IaR#PY9h^q?Lh7b|b|8)wUWvnyQnhysp+ zvVGCQz8QVk;eq zPSho(0_;X{?aM^SpZnrIR7Env2pxg#{2)p^}xpd_agBh z*8|%hbyG6D%89RjfXn)?6^4U{g_ZN4zkd$4zpox3BKV`H{R0~c zr6nc+zX-uEqGnoR;$u0Sf3HrgU`Z4+`#(2>a{Xv9p}-RRzwGrtwZ~%!{>{4!d%~&F z>NZ#f+nnlzQAPf-If0T&@S;CuYq$R#F9Q1t!N9u$sb7gVZg5}wH~75YL6Ze>|GsK? z9=&DO@*cO|-Au^ztDK2Sbre>PZtJ!>P9G4_F&d+dt*SS(%Dq=XcbNImleK`Ro6-P^|!YBvjRk zC**!`cY#yc6=obnQ&x-;mW?6pi9uP+&Gv<~r8#Bkg1TW>HQ@3p>SR=woka z{agjPfn{~5$FS{soVD}Eu?*`O5}9M@eS4X1H)N?W7Mq>#VROVGYkDpE8iU^$y_8fe zwheDeKl@JbBfy*2nHw58;sPbC8cS@D=9e-BYD$u#lsBlHIJ(RFv3{CM`mq%$sJX;n zv)pJc*=;IU0A;=31VV05= zx46IpD3g_+W>SB#7YfSdTj!{1Ls9V-E4yvhWvUc;5BtiDjzbiqngAJt%QJ_{GJOXS z6+5?iIqGQlTRjKm94FFTbQn^#7lKRFn@7}shhG4wYhuRAh~}7O((*)j3$ug69>%Cil7Ly=%?coj z-<8s>8uV$8Hb zLxDv#WL1W@JJ>BAFBxV_`SpzrO+zs6<>To?8GhC_JFDmy zzS-%5i^UD`Ycf#cFl#$3zQ%cYkQ*s3FzA#mzUHcBh&@SazCQOtTS2KM@pBk|&pJzG zu0Z;iuRY(t=CbuCKqrFZ zVSSv<0jGz)6sBQYL!X-Kl~LGNlL+MkK-T)%7dEas48#Gfqctd%>N{HTYK8jI)rP$) zye^KWvy2;fPsvF8wG!&lfLcc39iltS{+C3RieEI!%cU<}P`{0$!tlR%Ir<@gPzrJb zyqlO(hI#Us(x~r7+*AQsors{TLXtC4P_vgfe6OPcyC4KBN7Js zGXu<^SjJlz0yGwFUy*cKtJ*6e#iewbgIPDbx(D)+bywl`Haw_QtR{I;t5)df?Hume83 zg46EDu;tSllyG#7@-uEUN|vD6jcez-NPp!P#sEm8%%f-Cdmf7ng&TaR>2neSeTS>RmtBs36F68ndH%B-Wr8k^M9Lw z1l75otJe*Gbh?NBt!u?f_b<}ks!T-N(pNd4VBQJ9UM)3Rn)S7l&v)IAmjL>- zXtHZ~dD^;(Za?#DwjeOe|H**1T~p@WLrCn*Mfe2|jXA$B$=0d}=YH1as<6%?|E=CN zM}eE?lh@Pl;-5#?qD-eVCy55yGi}VF6)x|aKhpl%o-)5emqpp0N}w;tYph9EFpk0d zM4Xiabj@@k?$#R*8102a-YzGNgsfEvnarNiYT97O2>F3a5D|Sf$Ou$TY`(R*%gM0* z-kE5NZ^OuI8}xJL3lgu=FpoJdwfHy5A*B^AxRu4QzA(BR(`ZgNZ1hJxph-hAZzz6r zYU#&DFl%oGNugAr5scasR32tR@#zs60ymL?lT<4p2;=IA6wFe|eREpd=s>QQ-T6il zKYuMoUuM}pUJMI@(;FgqUF@XHblInr%qtR0f)7SqP&GfMWe)TtKkQ`I^2;}-7U~m6 zeXX5SaN^b%jFtTHpyH{lbZu5{7tG>a$$`5@CTSW|ez+w}L$zayABj?B&F~96Z~6k_^q-&>pP@by_pI$Iy&0XH^EIkC?f&sy02yU6#b&rHQM&2v$e768*LhXpl-T6}%6xf3^Eu0Fb9lmboV zj|5(*BrwmDl_tWMlBu;j>&h2!kb`FTd^W~pTFGHKZ#0p>;A|!bYCTZ<)Z!=tsk_wG zt@a^qIe$L9kdr{2EM7h%7<_d%)ub@mwAgLkoNmX05CNB*O?6&;{;n2$OugygLZ;rb zn#jBwZJgrnlVJX=)_k;08m;Pn2;AQ*rSp!i3LJ~EmK}Zn!F2(?|7|vE(sC18VXVOI zP)9gL+~MjpF@2|b5pg8*TQl<9@|`OXB;1!B*0t=h9@Lk-jeJtS)3eMU_QdyQJ-~k$^DlrME{2Rmi*AC^ zk|gNdL2DFuXSPO`U?*v1X$2-M^}(wu^?RsUmE`iW(L6Fpy0tpjhl~3}wg%$yD^Vdb zX4p*$@=_(J=-K zY}Jj)!05t79COiZ$W5u)t~bsXR>Cb4H`Mt?VnsV5=tw!J-)dpb&7|**Y-!6kSGp-c zVhU7-0_?tS_rA3JpwxsRuz6$6FvgM-Pxz z-9M}?WFI^1@V-y>y=6aAJLK%!gn#KmFB=O}oLhIt+c_kjjdSS5=f1vDKo8jCtmt0J zs7YUN#w={DcV}k9f25=j;kNUHd${NP0ei1!kHAj`{PJ1wL7RFr4eNZUz?P%Cize{` z)kf6VL02}QnOHG^YcF-f2cg^P31&?1HO?krh(3GMS#GrR7k)PvmBy`)>ENW?Z4DBT z9B+LwcAM{D!%2YV%hr?U!pAI_ZKn<9}K3MF_Bam#;>f!&^a z{{6=Now!&9L=1|B(~tL{9B$&#SE{l0aP}QD&&t0q1jD7K0RtBd`83`LO%VBxH)@xgkd6GAm z8ka_p&@3=}h0l+C!DK*uM66Lc}E#RQaUp*4*SM zK97Es=MZD*E=3$b2}7@OTMgKe?%uI0-&MeOYnCu6L9@8RmSb2*e2X!G)QuV>*1)E6 z>{Yq&7{cY|yxA$fu%;K^t%c+b988Cz*i8J@Fm94WH2IsGm1O4V&1)Y5#&1-|XbUBR_O4&A!Z3Kz<<~B}=qr|cWJK&qe zBu2?W6|bd0^;P~x4+ta{+^ailEg(df4wxR|a<%hoyt77oSj;LIEbZRO_bB)Egtpo+4I6#-KDA8Kim^NohI*z<#v zg!-ii1mz>aD_X!n<-tkOhws6n59eLM;&82hx-THgM_g1~&VLuLtQ`N9AO}~4{}q1t z--_42EH!_Dr%z!4%ut+MAnqq(dJvs4$-l_cUQfgT5CDyyr`cTvP3SWo+h2Z!KgBHA z2FmrH<`EYdIL!poHYO2GTxZ0EV&ejRDWd!U&Bn$0=e&R#s3YsJ#);N>UOhz;*2^XF z4IZ$(p7;G7$COyS>S{x1frRtO22*He?k9@Ix<&Vd-nhnX7w*YeF@N3>k^U~ti}WbM zVp^mwAirO)Gmn<1olO5`8HV|%bM}scx>`C^>O(BV&D;2u@GY^&G;iMk@87rg7sp3A ziMYv4-Vc{Iz}0rGd&!FEWJ85<=B~{3!LE>mbm7t)?h$EtFF6VuM7E1bo3P4|as;Z+ z+ql52l;_#wdX?G@$G2=*$p~dc5>ZFzmN)L-cVE%Gu|-=RVq)ViFE6!&lsG#bc2@gM zXxbwku)53{KXA3`4LTgHcMzNb1%Li@I86AAe@a210JPrugkzSv#zL*FtHt})5t2CX z*gXZ# zEL5<2{EOpgl2n_innM3`ba+V2vK^Mx7pPXWBD6)kk5hpr@K%vf?*$n`RysFxQx zoR?7+ynDn1yqv59vYS`CaSD@?3JyanZpks$4}&u684}l|1hMzt)wPdbC9A=Hat%HG zczmoulq(1&z}=|qp(XeouYzd%L}ph*C)Zti4p@N)T&>_Jv={xDex3L!n?(V)sIbII zhV8x6nz(-G4EtTs>EuVQ-Cp*G?O%7Ti$9LoxUeR42iv(lnuw`1=2yJ&y+#%B+>qYugC zega=rKcS8^kMN5pq)4cV2*%~2TxmmXH1G#|XFo94RKYh_-%SeO~s1EF0F_Y@)btw}%epY%{%n={RhNC>2 z6i5o&E0}|So#9JAz$UW^p6V<5;VJvAJON`i68%L zKCY@QlPU}-1F_%Lse=SK4f7Xb@2t4tlB}Z8O22>Jp%mmKL(avbRc19W1pM0d+6xkA zPg=>Fv0~@`dU`X?%hyQyRo;{#iVd6|*_CA=orLOnb8%)Wa%Y-R*nzP@bNaBLtpuF8 z;I2WE<443`VjD|>($w12!Nc&?M&dR2L_!ETiW?xD>?n&X_{P)G6(j~ed0NtM(>O-c ztro{_>$B7@M=VoPslL|WXo0xz$l4^GW{JyXECEmZ1$;iLb(pPI=A$()_fT|ny&y2q z_$0~pC5M(K>^4to96_0=^&v%ag8@iZb17?9{!M0wmoLPX1;dC$g4sj+xNZ7_{weft ze_>0R4Ch~Jd*k*7$NaVA3Js)Qc&wzJc14qMe}7Z^JTx{SvvQenZ# zWQ$lUj5WW?IFz)t#_lYS2Zk(y`7?#I6ckFu6Z>;C`Zb#GDkKnMG5hfez8wLbEKAOx zELvYRJ~s+{RSHSTYeDXWU|3$Qf^V)m*uHDLQ`{xkZ)BWzDc>_eIQGZ|T{+vH5_sES z85@vZhFSJ=&$ujB{gmp?);T)GH@D)L#CMLu9z{E^bwZZOYOkN*gYc zJ~bgJ@O(3C3FbNa8_g0MB>DodoV-8>5_xPZ3Ow&c&U|T~vF7!T<09!qflm6(*?#DO zyJm-SGy_de`Za7xcwa;iiG9ugYzWCv!As-E>n2Rn>oV2w(q_1*bSukru@)VN0vZb^ zrGk7-2KIxMid1Wi31^Q)gm(K&$NGKW@tn1+OUUvD33wFF)X@MSOFjs^n?UM`8Vr03 z^VA!{h}U%Tewmg05V8vNk;F8HUfaPIQ^*hg5!qbTkIpQ@{N|!ieW4~J%Hy#NEPKEO z9b*da8b&igU?s9dP-Z8OnU6@vEf$DheG2YW&6PwGWp>TF&E#Bp+#N`vJ(OWJ~E2L-Q`Iz*peC%Ao)=N}|R8!(h za&>w)7OBMiPC2IuSkTK>pG~*-`OwmuTGl0%wv5x&=O{#0^e7ACQc$3cz@|FMh5F^& zcdLO%z0&RjDLjTK;xiYIB{h{8KJgd~7(u*;eB%zJbr_8k$u#!k_vD()%fv>rhal46n`_XgQxZs{i0 z)j2ZnEE3VB6J+zky1pTbauqO##*tYHp$eZJdhRiuyD?VlJuCl8@=_NdrZ|8+Mh&W^ zv}46aa!j3N>OmnHT?BOqrA&VeD98y5_QWn~!DaUHbC|B^g8sfj*T%YIc6=z`HdR?r zm^2FQB;B%LnHNQc1uLXL+=8te-$FJk=XWn{Eim}v0sl!cOAw)(%3|gQG5>45&yJi! z6^-jp93^PE3sIBQ-?>BP^2_qWH;+N(aqN2Z^rY1YPXz_et#bVx==e{N16+aqSIPGOCOQ7P`F|uixc*Lq{MW%B7sz-Y%)%hE zB>{jP3jey{@MPvxhA01*2t_X@?v z{jbuG`=8P;b{G6_y9my<;>MPQeuNZ(R@eV2;;d^6&BJh)WJVpD2Gb7u{Suc%qgwZi zh2yXX2Dy2xPF&g7mxA)mKVW2Y1FlU1)g{X6=^4~_UPx3V+*~vuC%b6!(!m&GZv@>r zx*N4t^TpnB)Abg}@bah7#mCOy=f;xz2YreU z%{v7aXcZac;-;INiDgXV-$+P|o5&a07)C8%tiBwb zj@^vqL^(;C{s{EwK-z5Fc4Hlc?Z~-2t4&)mxUj#(bI$OZI1QMr;eX-%{&IV9wQ%uH zZ~{o$tB^3kbe#Yg*lVItH`HnK_Z3ed;z`(5uwGzS`P%ZsrZ>4-P_Aa801i_V>zk8j zys#)X1!CM2MMunD+B#9FC>iL;uP;ogvwmb_clUXvacNS%X?;T~q&Gb+^vh}^tl3CF z3Yfs=mInvRiUggDKgHrh=!I!`aALQw?j^G8@+!R!4$&c*q`6n=j%n(rl@$hw8cp#whSee92Dpf?TX3*+qS`rHZ&Ia7|7`K zI!SX<1j{ZkfdHQomvGIou%hb+VPI@8}r9;2kF- zk#*i{(6>y8tSkM^MeLjZGs1f|f`$V#YotaoOXiP^spAYUgo{%`_%D3) z&nW7MMRx4&4?2)#P7VCRcuVF?&$--8F(Fl9rE3yQY%94X7WbAn=hbG~vn{Gi1Q&Xr zw$4G*NB|QIEW`KIxk^-f?vQPyHk zU!5o66^%W~`~ApVovou}!RldoF~zQFwl=;Yz9Opw{l1i*x2NCKaU^vQ?+bS78C;yj zg!&j`xr*~KcZ~`kz~@Tolk$#bHhtN2+E!(S$9+fi?0nJPn14|RhR`Opgx;wo#?3t@ z;sF5z2)mN3J8f4>^SF~U>#*oM^U)qw4$;KXmax}uihvO(hoN-&-YTc0)oeMKW=zb^8Qz0D|nL+>clM7K1>=*DaV|{@r z!4v1MOijy=?SXLUB+T zAuX76#~MI$_^7`-QSc56K@r_n;wA%Fz(B!aV@^6V*6d@nj4p=>tV8V>F?{L?n*qw- z>2_;D7g<(&k2gcq=8?Kq2CTscoQuc6mgMV1ZY(G*8gwkbQx$3Q_Qb#7hu9%Kq%&IA z-qA0qbLof3M$O7wJ&`n?SWlk+VYpTP$(ld@RqBHw-a>z9PXFh!YMJMf zhL`Nr%GocS)^oJog6OF3%T}@z?|I?6O4Db;?u9afM+z@Dau@7lA$wT z*m{Ad^dyu$Rn4(YhztnVK9py;(14F%3P9hu@HkpE3=LTT z8&zMbi!U#=pzB8m!A{#l(|=C@T5o7)gOWBxuSth0TD>HI?bW??SfTZ1>C|+^Qu~PP zdw$~@MXW_@CA_syjpw}0_$x6w2t&73iDi%RGbtGkyo>0$Gb9kYfwaG)pW8^)4vr&q zF#FC7>iqGhc;tBdE=MyLtz9wsp%0;V)vEQjaAqO7>rg2c)o*$_;klKM6yDE`b+zBR z;#t@azd)4;?KV!bCs{G(L;*lM!T*Hv#a3S%@avNFOT%szVt@Mb<+3QQREWtZ)z9#4 z2(U?>&b6t2j;g?1>F;wPoI8@BrW?qjZ6rHKoQf2P*&UEkt8Y5lu^F{ms*2ON!!@Fy z{zb`ek;!$sSD`gC?StS7sFZl^APi0Y(S&d9nZl2dAZ;u$I|h*j#{ji>8~_bj_t zXJxu?5bpE%ZMQB+emB^jOQw7;Ukfx+{f)g?PuJzU>b^+$wDZ^p>F1B!_(!JqNO?UuA*|J3|f+I*P-mZtk;T zop53|_d;5cI@KU_LjWe|1SOhmFeaFpCusIe>$ZP>yD*KqCF02~lG7JvkFi4Cy-18BR${-;&=U-2z&E|60! z1{P=<8vp={xB=WCZ!Eyyln1bNS}6q&vpEh2kSqyuFajXJaI-P3D7nxJrn2wj`R}NI^yY(LEqp> zQGrSQ6MuAbm*=4p8u6472}MK8x4c?6))esr-kP;qPmj_cS>K*v8UF|+bY5SNz`Win zY&g*Tht`L!@Fp@(Xq-ZQfAt>ewp}>KNAaEAtJ)Xs&%QzT4~tzXT>>x9((mmuwO?;; zUQi!@3SF)KzFI6sPVEx(1HIWXD!pRb-B6b~y}C`Q<`fFCxc8lZ zG?=%zY8BLcGgVvlzW$Si_XQ$fd}Ub7dF$WtDj}8XseY4f1f$xn+SP3mnZT&F;~XP9 z^B;{m@lF0h4aCz!%Z43v$^-j~U9YL`Zh+5eRLX6d}% zSsvfHV5o|v(%KOr(a4<3_sW_*hde*LZp|FfD&J^{H1V~|&azcJ zruJ7ZsO3E=0O;0(F=|^_hl{KtriLkw#0IaPCRP(6iF&xl#Qo}}g?eBaq`9w!RE#Y~ zjYdLmkg$&~*dVwqdz@7ARc=NKr^lX9lY8CW)BHD{t?Hwss1+ESe2(T&UnD!AAm+2b za7@p2Sgu!Ru^|;-Q^VkIy^Cq0`i(c}x8BAvzrxSwG9ble2oI7^X;<Z=*?@5nZ`joNW)%hr$B)1rdkQt)Z6hov|JHYDdLA2 z?3|0-O+d0eky#-a@oG&b9CC+ipB9X1;h3G>*S4I1yfa6s=LyGGqiFqV`h@_NSE_p2 zFb;KE90%B3Dcg)XQ>5PpU5}GskP^XhE3?8OD0B-=@x3NqXG*t}B?2)86O}QGjox|$ z7B_hOc+Kp(X(0Ld%d$DI(Z*DEK~j=iO^ zys>FO{nsE4d#`tqKYP+$^vq2+lQIuJ(;uuJLA5k~?Xd_u!mfc|owWM*AZn%k)dU zpY2d+dAd~1ag&7*K0xA>A0$HKG&b#QFCYL3rk9GY)qg?-JaMXb9=)OHXe!|(L zD&@nhtW7yxFYdFahM%25CyGQCfN|I{vRWlG&s#SLtOcxNoCfnh-!R3%Hcv8(i+v}I9z zH1TRkml__;;Z?U+ICP|Lhw=AuuYzE&`L`hjh zdIDWT2GN{-7ymRIW5g_oe$bIXPXF>FZv8tHmd`tPk;aC|dYE0vJ!Np9Es463N9xJOjKb>+cR@}K8vF?qhhcMd#f?; z+T6XIol6d^6W3bU^LoaE=l0rxoqO%syY{ovI%l@`ce4;S^*At++XrEUSeucO-M#uB z)6Wl}XyMNRt6@%UD5pL;Eb(6W7kX-Ow7?C;1TKr92sm*(R}XW;J_R_6k7PcqI;dGF zGd!olZwfR7GNNiF4(;44)D!RH8pa3pw|+SWSTiQ-o6r)fgnO>()NgwMS9z}Ne9cCR z;xhyS8YIi`c0v$h&t77k`!ez1_kO;4*r(Q;6JfQ$-BCocJ0zB8)TxNKAUpife1aNk zH72A%!(Nvy-?u;sKsJM!kw zj%UhDoZL_TxC`q3%^%vpv*$EuF@})hVMMqeS1(<8)#4&raQKizv^TwB%`cEw(SL*V zInfR2Vp0ct#rwDA+^{?+#ZmVot2WTNOj0}ltbjp^h;gG``p(yGvHbb8t8qj}Kx zC=UuC_jyyGPt7Z+FSa~NTGey+Ez$v4Rh!ojee*KqynMC0-HiIap6=L#2Ofnl(wvdU zC2qQ$f}dhpFr6o$JE9ghm%Y|2>eC#BwKiryc0;~N`vh6o2t?7AyqaZ}7^`id+!7>n z;Wh+$bub*M_dRFluW#Z3oHZRkFol5~6nk0YLPlP;%j*u-LCnDOaJod|7Bjp&Nia}zBi$|~Vvp?{!qt}=A$@cM9_a{WtvYy$P8gIBuO2Xf#^0oHqBpRic0-V?kWgFf@oR;LMfuJh-8GK|A1ozS0i>8}y@B8x{B66FOL_1DgQ4P4ss{I(Jm z21Hvb*8awgMRPgo`6=I*Lt={aPJYsGv9r+C6CQFmmk^c*ec>dSK3r&h&l#3{!R4O! zql|WLMk<=`a7riYm4qGi1%p%o81Ks7^US47Km7&OeZ_8M2p?qjF=Uqr@z>c)f**~= zT-dLv9}>u^9juy4;sjc^R#9d(sEiQ}hRY`L*Qi^B;>?M}_O+=B{@(^ncnwF?RXYbu zs-^r>g?=prPDl4S&<&I>s#-)QJ9sLkrMC^>XEsVwicRL%U^Q#COEP^i1x5sot@-t8 z4*b*~)m9yfaJIfVlrcYIg1?7Vmmv3u$mov}OY|uSyd)ZmBf>DQs!~_O%uyy#Ru(90 zTEoi@FXcGLDOy9Sf8Mr*Y8RciRI5xjSfL3~de4&>$Pp$0dLC zPii9n{8AB3O6JsMVcBKL2Q&?{qQq(UXwEAwon!7evfYkIAA}Ln7aY$oc&gZ>fHe5f znvGrS%o|q^t;rg2c^$bqe&-_&u1r@uuL{xocLs9&Ru)4S-b-}(%~C7bIPQIAU%);J zsV1qILU1+sv>x8Nj6M8oJff{jO0E~Rb9$B_q#*MivnQLa0QDo1F|gUeWEg*qMXUj{ zD3iYx!9qAxqxS2s517D<4^jDyl_E;yiuPP>l`sK8kG}<*tBL>j9EJIbXESvmy)Yt;4ZwH6BP!F)ZJk zYu9vys)`bjcNazDFHkckDHgDki0_&B`r5^dpCWu!F(NsH7|`TYG~S1$kJgrZ*NDq} z>3tY8LHqXG;2`xXbEITu=Ika4t}>aU@kekk(LSddr=?-T+FqGotGQoNB!E29-$Y)a zw5oi3AYddztp4@=jdkVWO2pwHxcSfQCtc70qTW`yC@l*f#K=kkn8-s^9W`+=4Y_AH zC$W$f+wmN5IkCc}+G~fWj?4BA*+ML=j_{+kr6F1-rfy0W(T1qTj)l}!>yDi|Jai;? z+nKwUi5o(ZKHM9^o-po#MBqp<+5f%I0z)bOGseU7Zv-{C`Ts9T%72>w;9cAQxB36q z&HtnM|JMfSPlDs0Q5+sn&p8SSwY;Mr1YTUbkg^K?BYluFHceccz#GZgodYi){_*9?5{oSpIf;(ng2S4^RR(vGlz^VV4&ArVnf zprHs?pM4@+zF)4TUcq&H3zIn5b$*CNRDwHubI(*)T5<8@Ep_#S+|JeU#_Wc|8;_OwiES~EL_z2!%Ji*Uneg{%1Jw26Z0tjG zubDVnlsbCef@72!8_3-g+M- zs94C#Zc@i4*1?y3^TS1}rQK&=p`BG@u3P;}yBg$HMWH?aZq5fqA;oGSkG>&aN4Err zq?QwKhPT=mY-|Bj99qS_SAByLp}DtrBWyl-=zTp@my+^wyoM2(<^i8g4Oja-hpeA^6)o|KmgqpgDB+JX?F}SdHcK%fIoPr!+Q9jD5g= zV5s4P;{8=EThH3FZVC@7?H?(+9d5npUeLHuQdlP<=sg%(zucll_NSmBIJqH$I)-bZ zzJK4j*7ru*M4ER>1-*6`5R$~oL0Tfd<22g$Zbgs<#cCu2g9q`qsG`fZfa52$QR8Ev zT~M^5brWad)ScF`)J-EhWX6hM2v}++AC=+t9Qb6cHD2$XR8R2a*}=(6i&Mj9o`Tk`)jd^=#O&&hsk-}6+p;3Tq-z~8rEx9-H3P3&L#zN=J# z%fV_kxDPk=EuHQ0(3T=Hw*W1)$Rh-JWyKO?h8aC_Zem7@6o`SZPl1F%$bAz(71l?I zx2U+fHI==6Y;b%_<|gtkfwyZqKlHQUJl}*`g(j-io;O<+y;_EOwA5+&ykwCC`^Pfz z!0*+T-qWS59`m`+3j_#Z%n|go+*X#^s`#{FY218S0d1)W=Qdo?GM2r>e%FNWBH?g|SaGJJXV-|KPH)4$!_FRsS9X7` zlBJ+*(aO{~dvUP;nUB?e%O_qi>zNC}9c#@8QzCBDKN26H-Lg+krOh_^NXlSok>J#F z<}#N46*&r?i+=oCRK^&A`eLy#XUXz?xCWYQ@Z7W3h~x`{q;qm@?}9T>?KTV+oRw*; z*-uQCD-bEqmcekPATQI7JIqd24ayUf&c?2UGSuqz!y)YwX_o2fka9K7w`vI2#!ly` z#q%+U3BK(z)8b>G=9kxgOEAWAZ`L*#N;K{YY~JrKh6NZ-J8Hp==EDxP7JZBfY$$qj zSv=QY@H7%)=3G@@=Ar;X6OXHMEp)TtA}Vyfx`1KoiqsRIX|X$EotHhtqy6?mqAwG(EP`{HtmG=Z6-7U_Nq!O!il6bb*=;r?jLjP0 zk>09G!q!@pkBO2F9~MF9XI10ehi@1EKWx2KSQ`wtt&0?QcP;Mj#ic-j;_g!1-BR3L zg1fuB6nCe%ySqc_N&BzwU*A4^-*J&V$py(A8FS3>F6hY69JC}-8Pr1l9I0d`Q^t5Dw~8CMD8tFDP^!y(Run1nn|{Lvwf`-fU+>*O758^T@s64Ts8 z1?+pS^?@eQ=nz(q-IUq9eGs|=D`vgZ1N`>}UkJ<-7Q?&`TWsJu(1>oy-~r0Ct9VX! zSh1ggD&Vs4ItkAadIC1m0LaEJO{@x!>5I1W@&uyH4aO(RkkV@bE|=HW%Ensg!IMGp z=?F+q(+P5lN6aTd=nGBtqmAix#hHXKA6agp%}@u(ZC^9y$BPc*!?;u&qrV$b!_S!G|?fXT~)9a2X(RMH8aU$@+fHiRCHE}M<#kVrO z5w6ABic7V#9}&sDTTwA2y% z;+7y~Sbc9%rlW=G)Y>ArP2;Lsp-ZLk^N7oF$){PG5E|>KHyM+E^iaSMUYw0cv_i<# zsl!NVXgXlGUCut{1Gus3(PKT&`8TS(0ZPJ9Mh`uolQqWUnH7~3GIE+3?H|70t`A*-3ll?iG$v#*8gn7bgGE_|B_VY-~!XFUr$wQKwVHmJ~} znxIlwi{ASK9io$MPEknJJ97vka}H*_a5R?)84Bim0(K!@ zpoeFmf~1vyxJR{^Sr$w#5&+36VXTsxz!RtmxEE08hzJsXwiS4bL)mZGROE{_ufjCI zf7v_D#O%u*u)#0l%0_ay1w7f7eAmui&PDY>2t2xU`*Bd+3+zcF!^HVV+Oe_zFHDaE zq=f#Z-u@qH+y6+OziC^ACvse%Zwxvtu&)55mUw`F;C3N|g=Ecyh2$49NPl(%e-#r4 z7b}Rh;`)&V0%W;MUy;)RwXVsL{=_d(fw5QQ0)HqfiY<7|z+YG7;^3?axx6?y9IXE= zAO2;EbFczMRM7riG!{y(WJe0ilCaFU@~3Ew=5NuMO`OilqpT9jSlzddOrtqQY&~te z@VBS1d2iky1;>|I-FZ-0#%aDD0^YRuEWEyqmUnw0_ziUKeaymAavprT`YJuuLn{gj z*{j8oPvhtNr;o?QeIziI(|eoICZCE z^mhZ=fkZ_FP*tN0PTqVO#K_f`XclXCSGCQ^=BCadb3BEZxHx;$+$LJfO2N*PV;bX# z*CHx<=f@_b*gU}IqRAq# zc>~98W{-u)%CV4h9-&g?j*eo*qsG=hX9>BWsz})8$S|m-;4eHZ?l(Xx!B30Dh;<)@ z?uMX~)N<;ESHvu}IBP}gBp9TZRTmXDYBbIvEs7sPhd*Hn-|uctwc8PXbSsEl-3@Sd z;QQU`a!>?itfhsTI3#=%P%RKvdbQ>gElQWo8bWrRY54w;Y2YKtR4NI*lngJno&dIO z!I05`AYvgA8#nm@z-?bEEVzZyMZkM<^RrLvK99}qVNv&KVf`tdmD<48VBSS{Jyf7s{XJolvt^r`9LOlS69S2=tq!!NNJ;H`k-IeWDZ)$(u2 zJC{gEF-t=wC1{#vmii&Jn81_NB5}glPlZU_m{@pPtf>UQ=x7^BalfNc`eDIxK-~Z$#o;9zl`%C@;1$W(xwA>Wia2yIJyZ7 zGiqxN$`2VP>oabU=yi3s9Lc~EUZ#~-drpTGzST~$uiC-|xDk4kE(FCnxQQ{MnL!Pk zNj_P?2df`l;L)D$BW^_6NjfJ#rlh~quwqiWxnP;M5ds{Q^ubTe3~VTwowsPm$`D+= z^!r5GT?ae>oZBs~Kk)s{YZAuSy3C1B(<7~J$)Sil%xBGHB{l0Iunxe$WKl`Ms^OGf z)Pzov*93+r2_7C$sr^O0GT#SWIiErouXYXA0*2k0Ch^)lqU$SNmXJK?1=I3=Ae30NaDq`&eRBdO>O(u=8A`tcC_D?$e6e^WyTe zT~JyXEWmYIQ3sMll~mYX;NVl+!$sS64wkZ)B{5A zK_BXTXnt>OVTnuVW}Ukhl>-J}Mv=LrxjtUfU(u<|l2vEC6&_XDoyAp}hkLd6Z8|2M z06@Id{^=km-8+fx4sF9|$TK#BPT{T!IizQLTD_PnIfKctV%Lud5 z|KTiooDy$L3|V_u=Cgan}wPl^`L=%YK9hhCop*K~x!IX?&p|5?;~wx`;FuTk6Y#SAIZlxBELO0vO0wQxVfuWs z*r-tvvAv`9WPuq>T{b6QbtbCVZJ^i8cwNS7jTPtW5+d0elT}V+fYgGqDZ`KXR827^ zw_q$VBfoN}X0|eR#FB8}b;c>!fP1iZW}?YY%}=^@rr5v==+IuZt^lX>hSNU`+wym z|MTSk(<=GTSp2tD0-A}r;~+YL=`%SgC<6JX@uYe5nVj7l>7N15&dSZg0xV0VWQ73D zVFA~Vp#GOX=8BK&M^bR!|8%76xPENjaiyq7MEb{6;o{-qWdpJVQeY=^e*6er4WN)n z5D~$};b8lJ4Q94KgP9tzt}Pow(TvpfSY0Btr3Fgp8u?vhU68io5raGrYHC-I=W!PC zyGuGOQH4(R=lBwP77}*ndLgJbh1sWoqdQ}dVr!H82`e%~EOahLU%vZmdj{zLQLBHi z4cpZ0lEYWpbX%2*`UwI5>J;G-WA5;VJ?bg)@^}$Y1ju^#LI>2(swn{YeSSlByyA2O z0lPEROuG1e5Pqv=+#$*o9+C#2-lU8aF;7klb_Fg+>rAmAB$&T>w+Unkt|j?9%v zx`JS1SFDoM#Y4<S*sHq&I>2U=x8iy?b)2oQ_j_;J0JJ zUo4;Acb&a-t^kyBDK@e6(w4hsIHrgf8u4=aI-ajj=+drxU*qXZX=`KC5N#jO)Gnej z3$hhaNUO+&bGHK^YBgh}K~12&hhL_iE(9>q+rC^9_y^q#QUQiXR#!Mzr^vLc?I^?y zC&EBUNk*j4x!|q1Vu3FHFj^dlWHzihP7geHC3haf8vv?{C@H;Lg}bKt!}v}#g2E!! zrJ?DRNt(jKTAs<7A?~|`9$Q@V%WkR_O^;uR!W!*)6zRplBU5Q>A}Eyey`QZjzFoSu z-Z_P~B-iy1o-fd$jQR!1Ycg*|$#*37r;z=&h(By1Fxdt>@GlQTFZ&Yp*&ELXa5ZGJ zFoDX)w*XK4DCRI~Xi9qRNWA2k@!sWNL%g2#+JS>3@R-R|-1{*eTdJk~mg8odl1s_? z(#81w4x~;YO?teZM8=W1kK#8h5rcZO>39Z`dOgQ|o83!1pWf^m)(0hOIBGT}m+TA+ zR4K*FK9gN!fy0KdA?m{)%uO?qMbf>oXgF|MmjPto3t$rZj4h?ofUNGzj>@%nii$S< zQ^Yp?NLu2LDK1wZkiJ%EDrwmyrY>TYPt**8HDzvnst$S+oq|^1Zu%wKz$Bcx$e8El zeL&79Go=VC6@5jo^$5{?`6K#_84pcs z!4%+Ge3Vu|#9Wl~#&1m(U9?AMPu?ShOr>vAAUX?8mC_{e3car<$b42{Ed&iUIBurn z)2HQ|i0mtiI@cE-4PSaT)SzPtS6=jGgV>quA{vLbhEM`lX;Eo=1@yjg18al4%fON6 zu;RyfbCz>qn{ShbB_y=|yLNuDkl=Lp7B>KB-sIZ1wu6++7kx*-F@Z!{Sw`|@-gj+d z+!Dmta71aiaiUT$by}^8^C!=O#GahMGOwn|C|G4}s+Vo1!i&r?kzO*aB3R=p`^`Rq zQi=`OU)5ji&nuKlApK32C59`UbvLE?)k>%&Xm7e2MAbGj`|ao%=_6J~zEJ$coJ|JU z^e23QQqO2s^D!JcWDQqI&Fgnkv@m3n(kxLw~CeQ(DQoXQiocpWfmAn45mtgASOJ7k;z#yvc=inc~-=s^vG@{!xA|W zi9sEId%Tc%6Y^w;Uxg1zHvBlLfi%YeJ0_kPx?2c!BXrtYYRk)RLL-8A2#GkoUoXm3tZqccwd6fwdz z+4d3As3res95+E~Vq@tiXis2pCZuccbElO|6sLuacA;2oV)XtQXJGu(2+FU??G)Wv zO`;5TZdu&Q>BJPt^4o13DmSLBV5~QC7@83+!9Zmgg^q~s+1skOtnj>2pUJ|=wu#1K zvh}#z%S7&oO|_^9%^f%o%Z5!Ap!dXPGEuD_nLne@$6qzb^=A4a0Zu`uOo@{>FK^={ z3s7E!Pm`pf0zDCxaW8u6YU3XC_?W5txu4fuqZ@_bteGtFQvx|yh`gks7k(g-Su{)B zyrRu0ZNTy;fTH22*fm{N4YWK$V~RMgq8|RAf@Kg1Kj4y-``~y_Hi#En0XbZbYLf5z zz&HQYgw~(X!_T4+8013FCN#{(%}Sg5O|Gw6Wj+YeF%dohzr=tqF4q(?K!EHnso)Fl z#2!P#u-1vwPFSHJ$zh3jB=OsESW;I*u~H$kpS4KnotmF!`%k-PL6Xo&Z-5RQA>Y+2 zBQ$w?)wgCmt%<$z5*TD4AfZ!g3cK<*EoIM&+fUMDg(;o>_(><#o5=XB^s22EO!J0J z#60{9JyM^yc;T#DBh+uUyrsfjoH2$7?@`UYEAmF)Ge}mZT)6 zI3oZ7;umKsebOTk>KAVqRV?XKa3CsW+{S*u zbEnDIc=I(^^e`@5PhKlwmf4hdje_cuX4A>er=NO=%aI;(*7yUcS+a}cHl|b&rd#je za7maO4Q5KJD>$`AlhOH#yFFw5n-%2+^>C#{^h3L>DY~PE05}|y8S<(RzaX$8TEt!Q z_Y-~=cBKtMdrGP0v_!kvRs=}+?jLH&xzaC#Pc{XIcck~W+f?gIx(R=$cL7%17kDf@ zFk-E8BQ>mV=Vy!f-LpOc8BB;-feJ zcX$n{0s89YDgboCjYrSI@v!1`-FG=0+43WQ zUv>*iJF}QVVZ0&9p4j~PgIDFn^%vUCN0YT&KL)wf2GJ~{2&k?F>~;UVc?aVq7L z9P;XRRwxVDxY4`Mspc#jb)BS793Kq(tA)7B?Q=AAf&p=^Es+IZ#Q0Zs#M!b%2$Z*U z3X^CCFaxOb&61ih1Ce9Ps0|vORD}(XSu7c1URb4!Qp~jjwuY(R=JfM#<*P4~B1T-? zPG_SOIaWr9bZ4q`=j6gcb++o7z`d?hCgr7zqtS7?JT77slpfI&qR6 zTXp5msXYdwq&e1X)OfFw`H&-|3`94lI(#enFkr}8P|B(!JQmzAY(3K<=@c#_?q79Saw1{3(mGHw6tMtIY7Q0XHF0_1k^^ z#4i$f+RMIDyr%6!iZozrBj3 zAO+_R0sRzcMvJ42gazjYW~EYM{{e{o?OMY8^YHalN_r6Kj2XzCMk$_PFNOob!3I>E zq{E0OB?R`RQU2}w$L07htnA-59EdOcKL_zKABT{-PSru;(C&}g2bX4tk57*ScVzLF zF?!u{G0?wx6jO^+Jv*_qFpJc+W+_i@J##GaBNu+D7&40J(^Z=y(V8S-$IMdt_?)+6awB>pNum{;GT1y<3&89P|XVzwO`k z#jrdwrv#1s&T=(*_kAILcg=`Iv@~A)Q~J-EV8pl~qSqC&?6)?|LjPCmcVq~zMf&-S zjVa9XX;rEXWH?QajUDcX#KmowjU1IkpXjyRiqI=pB zY(*satEJ=Z{O+x8TeiIcsFOj73}~cj>+p`Df^jSv$y|tBF_$Z@8AObiI>aGTZ-6W* zr~Rt=lq2e`YNAM5HFCu}$2pl8@8IFr>w z+gRM$!aPk4|1w~RLg*Z+5Bz1B=Yxd$P*@`Ea8KNB9d@pGm7DGDU>lo#*&K#a!Lb+D zvSJRr{J!Lx7dfxE(;S)j`}=ANSUadCB~*>?kWMbrYVf&X-lE8rAreyaL{2e7K6@Vx zdlQ`*(UPkvjlH5#Kgnt95#ZBbIr1D#Y>#Iz;!QHN1MS9(eHu-4pRVYl*=X8YlxwH3 z$Rt{cgAOLEYO!D?Nmv`9ml=)l;d3+?2l%hIK7Apvnd`f3&A|zR4rhDrM#;6(E#JU+ zDvZ%}OQc@&U|2J-bHZrwczl_95?j(+;blnu9^+^B&H-o+ZLaD)d_dF(S_BL660jn1 zber+j1|c-e=FQ?lxcyRM<9@EivH+#*kuRv8K5CX6ehiZY>K{TVd2|)S4lRcR38n!NdhK8&}Jh45PjCwhmEUC`74>c8xtS?_`OJD3F zWs9_TRu*~81n3_VcGp5m^~e^oD%c8z`B3FzlBh$mdrxE|4xDxoCA{*quD@7k(BB=) zR#K1YR1hXUf0=f#vO?Xrj}m-zD9VSEl+Aa>=A>IpZ+UE;vjw=&tcz{Ftjrox%@*Z* z>6v@?QqM99@XV6BG**@1zpuY!7>>mbBHcQCOhtF;xqGdA!^{M$a+6p6lNlC95X8z{n%k%M$mP%me zcQ~`>-OVut$`U%0vz<}WBA^P%`r#Kk1LRn$F$dYraHGI3|CES+m$BZXIhBc;OiCv<`h_OUausgn{yyt|5 zj0RDWfI_YbN1S~`bNcq&&aa!=zGhB}-ORKWULwEfy{}Seh9XP#!f!SudK1V zDS0_C=6|V@Lvh_&uDfA=cgS@)i#Y7+8rMG^TK0`mqX^9kmk7Jv*6zMd zpMCxq!guwMJlQIitR<%lZ*!TlP*%fkV|bJL0iBzcuD#4B>PH+a>wVW^fsZ=G)L5h; ze)>%h7~pQ<0eP?D%R%XM%nJXZxRts&78@Rd>9Dvb(tbbFlKQDESsjO!1L zMmPijL|vGGCj-$*An6XD^g_jsb%6)=Yg1rr1;F*#22vq`@9gTw-inR6iCyoVw*CwW z$61KM-`&PAhmg9<4CtB*F>qjdA#@xLwwcx)$ssq`|ZfY{d^tCnGRIGt2GH zEbhAmZ*}Pr4cp-xC{y^gK0}MbXY)TD`%xi0Bp_uZ-ZeJQSgi4*6V_H(I9m!?1>ddMp{nKwhWs28J?O4El zY)!SU%j-CJE0`OexbsVNdvad~9zyT3-{YRWQ?fS(Zi{$%_6qZIJeFK1>%A?e?5 z->_Par?d*8@P&7Bia5m6?r^C3fBJBrVfY6gR51#$e3? zv4cX#+-OZ?FSVF`Jm`c3TrDZvg+! z<{Co{<@gC0niAKlEJVYOSN{Z+sfM*DUk?VkTX*`X?rhX=$mcHMQ)zLD^_#>HJqI8_ zpDB{k8btkdyBD(ixgjmP_nNjkjB~*AmxUj>qVq()6Xz2$sFVpeX-q&dyt!E7c^dXf zbUGh+*42?bB$a3JJFA2%1u>_>`18)}NuE~u_@xmh4c#T}T2TH_JiueVmAN&Dcs$v2 zX54u?OJUE|o)kH$QNaH&wm2pay%O+H=BsLw=C0ilzLs zBE}H-OQianm*Zsr?*SMG`#%OS$kS%~&jA<*+aKHb--?#)-!O;&ThM~QwzXB17}Wm} z!v7omX2>}Elr%YtGR8!F17h9kyh^YW}nP>NT1h} zwnd%Fv_^5=h*r7}WqKEnn6`Ix9fE^w&_D{q?o{9L_SJ(WVd!CHbXXc5H-;?I+k)R)B_S03g3%fEk^v~=HY#)g(WTt~(52u&bSVj6rH|QQ z?cmdezhBT3$Bv5qWBLeCx$JV%w8mbP zkbXhh7>4DG?Kf#l5l=Tk8jJiq5;J4~^;3^$Fw(t?h)`5kJ+^ObAb)#%7Yq9DlT z2?}UYzcLD3cym(-b?nxBqf!zxfz|^gOZD!Ag5`?49l*S_=&Wj^o9t>qCQ{~lQONoz zH5|WtUc9yi=?PaX$w%p7o=*A>bU7lX%W4IyEVvX}vRC;1Y_jV-XjHKaP<6jcYB;xP zb78pXsG5n`!N94Fy-P%jb8jHZ0Ib2^9qrqWM8_&po6sXex+p?@Ol~B&Yik3{^DJTQ z*9Bee+5lJ-Gx%Vs9>+#}z6$c+Y0i;Mse~Ja7|PqxnfB4J-*^`p9%SwMr7ud8@bNe> z^w#25QaGX^nJh1LCy}8|vLf}nh6g@=Ie)s6gkj4KlgesO1s<5SaydQE9=RE6GAu6R zBS^bylW?D;D)H$7+i!ANUGqZnIgWm26jpZS0_;%D==hXh zEc?*7zd;Koexju3kS}~%nu2%b`K^(At4<8$-Mqd;BWV?EF!PqzxqJdV#cFhAd4J;@ zGrzjuLiMR?$NyNo@$qm+au=;oK_fFGr_y+Dw1-k*SNe4KF1^Bi&qGO=+#qT zfNx%Zr67{;NqZF~=;s4_BdIYIwr5r%5!4uk_rNrJnf$MyxS(;>5Rl4SGtLO=d)C^PG*hn-6+&BBx`$B9IGB) zRthFoHre*-`$d!@s6Hy;9DV_DeaqJBi1Di?qwgF>BHjCd+c3@z(u7ek2SOSzMz>u3 zS>iP^f03XtEAo_YCe{_6tDZxql<=v&GPv1qbeCT2OtxYO#>zaxZRaI9nyWnVH6XOmQ z$L-cx85WyGY^^;Fkli+mWv1-CfOVj=7J|1NgvG$L)mb;p+C!e7JvyQ9bSi}zV?kYb zdmDIiLDa5`S70KM-`z{9tj_jX**jU}V8@oA-*TS;g4>zFSSFz@ecqOGZa+R!!v(pHi*y&n#6wwC@T*u+kf}a?EfCepkVu-;fwws&g8#`?|=NGvnonb zplB`S-}-O-iEz{}$clCf-s-vZLP zfGGAcP;~w`#N|7@-S$2}o`6*-(>Jg?ipmNqiHp39rjSpqt~^5jh-5SGOlD2Xkc(>M z>Gk-=`Q^Qgvq3w{_gw0q8)$(~%tF6O3wdQ}Kj~~!W4%GVF)3NXEW)&C(G1o}wOG2V z6@J+OIzmm2ZvLth_;q~u#Bo#zu*PI6i=vFW@1;)46KXP1$7$aX>KXubLz|C8{cIqt zD9Xbj6PXHdiGYU~0v}gJqCt9_CauC{BS1Z+c*;D++srJM?2XkN3O332HUtXI?~VQO zmvXf(FDaCb!z%hH&Lm-GkAwvaQ*yFV{g8>o=+udhbjXoE()}iuX&3=m;lw@eW9Kt2 zwkDeQi<*`oE!57N?I(^ZYFi&3 zceHbrOvUW>!{j%lN%^z%Fl_qOTuU&~78cksjr{)JL5Z9%r6RE8SXGlO0%qoq(1gxx#6pXf+><{f^_wfI9R)P- z%bPDtNCxLy7fKvcg9WodT&!Nm9U56GsL$hbS(>C=89`H&DT4XKEQz%@uR?;5&Vp}N zT$e@QoQ&Se5i~Y3D^i0pnXso-L18RKri6ixLAbE)WfnU>)q4SpPOVc3+-$gk22Vpr z!ObszS64b$(;B=idE?GX?Ngg~{jnM`2!_pca*dZ)ZFXV|bnKZ}KhKY2og`OxDS{3h zSKF!7+3r|PP0U48#R=qS9$`aL;i+D(KPe9hf0ec`X8KSF$UW}gk2lpj?zF07n`qF* z8@+b}9Ur57XrbAEw*{Z5{Tz(8TgLhsT#aRO73eK~ti4;jYxZ`tG_X*;Onqx_D=0nJ zZy8zlYXs)yx?(PzUR~lYqdRO)(^gES<7K)rs?+m~M(8m07jD_?vjzOl_ihR#YvJco zGL~2MGadCd0MHccd;P1$S84jZpR~}tI64KwLlZVgQ8GVph5HKnbf!}m=!S_V`qt5{ ziSLDbTrCE6j>kId^a62}>LT2}=6wc?2k#-&=f;Z9vK4OKMa6fdbUw9+Naoy1$l6u$qmj z_-g=*DVC-KhnbgT7KV~vBADBD3Byy^f%FTYe);LQiO~jC9V+syzQ|5aMX>pK#&r8%GWk9QcM4vBe9rN;6^GaU7o^N z4Y1J6ERsQL6Qb#&or{KJEPkD{zX{Ox)eS)7PMBu6_kyF}QO*XVhEHBHW&rQNqcDBb ztZm#ttW`F)8`{^sAEhZyT%Pus^We^LjyysayiYGkRm(uo9ntG=74Xt3;PacM)vM63 ztI#`MpBXF%+UTF>S~)2wie1vruPOJt14bz)3Mz|VKvZtk_>N*KQ@I&zDiYh z)fN%6L%-ipwUM; z7y0Kz@(g$7kx!km%#OZNJ=Rw(X3DO%DcY_+I(1LBqp3#M*>>)%!eW>t9AS-4t@Wa% zee4#N#XTS`TRkA%TgctYS9X(C>hlXsax(q4)8n4w$JgxEm!?xd1TY)BotT8v;^g(` zB(CrU&{uP(>^%I2ra?MmgVH7oTSRJ~v+7t*%%!=^*$m9z&FU69S-WWUv%ro)_+0#r z>ubLmnd;sxbjO<9s#OQ*8&%<09mW1?mLuuf-{wJq?_1my-I4so$Doc@yakdU)sj++ zYU0gVoy#~y%&qkI`&vErv;t1HhLL&lvZ$;%Kb`r9A+{~6tf)-Cw-c-ZI2~r8qil`y z9d+9&yzf3=oEH!wx22*?2d7M1Tx0f;?YOG88=pL1yJaYUb692Ncg|zZMScH;^OoK> z^;e+c{^frD$5i^)B_(M2_^%D<{{s!j@ju+qf5}x25JKip;m_PI6)BMOkm_%N4@Gm< zG6V&9b4?;NB{*>EfQkU&A4Lqh+yovKP?G_%52;9iG=#?E-a{(9&i@7` zIe6H)Ie@Wk)VM%l7zS7%Y$5eO=MBwtyTsxMkQ^L5f9N$BzyMKNS_lwN^q_{C4(QWP zjetnX{KeGvo3lA72j?F>O9hmxrCtIMZCqa4f+`j#-4~w_3+2|6Tz`DKvJ*r^*(8}n z0d>L+&PZrj%xVa|e4u^tkyG=LzI(Z*O|u@3qf*zJv&`iT^+klEL|H&WmDGY!Su7AX zy&?~4$s4W^K1sOZyJ7F-cTEy!ntKUGFZ4D{*xcV6`%|#Uq&;Ovp=%nI8Ul#^lN(F` ze@-+K<(+{f!UKH^;7!-M6MCq0T|_jO%I?Rx?NfVbUpUcie2y}3N4&2UC}Ned7f2rT z=n|C>A~?f>wE4A2#eHHx3>oO8K5KG+m*}F2uARSCl_|i_-9NRuKNwOzfA-OkqX)4% zcIigKmbP--&UWN?>?$f+I{@zcDfZV`r8ufU)|pqH#6{8bHWqAC+Jtz}Nkv?-)-~nz zIO(uaxnLhYx)J3{YP$ALN}g=#7m*l0;`KS6DypTumJ_%2ulkW?PSuoSrUafIZB5|D zpGeR&Gzv%ZU%z^@{4gimk`I3eUD6ZX-n(Uk%FBNrowr)}rC=Y{8w2v<8jjiIPx^V> zXca@ZGzW#PxkEj^Ym{B*$pyWb5C-{MRWpM%rNU9xK-Vs7Z)UO^+w6Ea=dvToo2gKJ zEpaxzO8w~19^fDgR%;mI|3T|VUNy~9jU1UBdI9QDi234=&x~=OC$dlng|oK!8OuBv zk{@9Cjm349w1Oam7O?>3KWj$EtJ_~>lY=_5cZd_obsv3I`T5-RnoAC@CCTt*ni)d3 zcJDiPx)X%uen8q(1QTbj>*g{ZbChk3z(lzxP)@5=q8Q1e(rmCb@rT+YOj1H8xJO;l z@ju30&im5U;_5uX3j|}pK~D6C5~I%a#}|G65>u6$0t?&x!Pg9Aoe{AvP+Ev(f6rFN z&&LZt5s3S!7|!PON+e4jM-55(>9Ao0>#nE^e-kfpJY%ddruWEG72BUL2H%x-5S2I3}-AF-Jj-Ee{D?$M-$*= zGUk6lk-grYm-g`B9p>^%PfSVgn6bJ4*fecI%- z$%71vJ4&??&|$L7{{mKT%DGUj-mdk5Qgnbjz$w6lktHAmEI^Q*p6$Fh9F2l3Kl>QbzirzCty{S_j|e?)PaLih;E$x#S-wAXHYVtX;6*E*V$IW2@yau7TgNjJdek8dY)4{d{7rP{ykKn~p zIdQhZ;hk+URVRW6?-QtJKlne9^(H19i9%5z^I?fkd%AitmM|qbrv?G6x*Vlt%PoYc zqMdc)7A;?bp$*HgW}$+B+FoR?#$&de4Q#E|dGE7HA)PZ(eiU#qB|R#jENz)bhhi)s zc(xM3NsQB@wF!z(%%YgHjtsfI&Q1-rdOmwp|oewi{8^r~at zJ0-ZK4|}FJ+qJ%T>2c*2+}3^wR?mDAP3d zV3&olN_XbbGJ^%b6d#WjQDRoF2rZlwV^o?Oh12#4-?reY=8#!jnQun8VSS1M7@&o8 z`x5(tcC&3ILwm7xHbT1x4wfU>BJzHe?I^Oay0Th$V`;H<*m!f&0p<0L&7b(K@aSk; zqY)yn0`fOTj9fFZrIguL2!E=$Wzq#0p~k&_kfdOZf8ZGl+B_$6yS5aZAie8%XB^V! z*wmaz!g(Hb9Wo#1pz3b7I(W1Mr1rvn(w{j@v`%22JN&pv)-_KvlJzIn9Vp`*lDf zsgidjezE@w+0!sn@0mvPK%bVvP&AqQr%%whZoO#P5H+odw5>K7tSJ>W0IMn=ua2CN zUlM8)Vov#bXQc{bc@<)akh4O_Q8t!uQpKY4%63?FSrtjfwaqvz^8_|O3ZkLo(NfdB z^i-pmF01bLE&O9dS*Vh>1aDGfQ+RcsoHy<#O{k|VO`a;l85q`C@pqO%!vaF%SaH=5 z^d%m5cG7u(au9EngB)2X;M>QN-dNfKzRA%=RmJO3@@bEvPImvP>U}P|&{N~8oZ|qc z8Vm~Qq|1KPein)_Vd-V=ZFBvbL#a%gkQAkxq3DRJl4ePdhgqrfgTq}Ob#fuFigmoaf_UN7Cx7P2`3R*eL znBrYNp%WZXQS4y#=c#D{*~)vX@sFr+Cnn!=F_hF6V!%EkI!P|Jr^aW%4goMfKlY znV6lev#G7K6G)`|UmG}1;PnawHZZZBniQDOMg6yWW9^TeVgUy-by4Fd`_RB5akB9I z_eD7WQ`GI$1yV_ze=0L8iwNS9WE8yY>56LgURo}%g7{XU1DG;E2J$z!S z-R-G4z5r*M&#rC)?4ctzJh>|;9SFNxdkSScibl^(1c=6j%>_m3U+9Vak*w9V!x6NP&^8{2^zlxy5mtM;H~qYr#m;0wF_#nG>6FPf`pSvMYzlUln-u6f0_^ zN16__#RKn84}`w`L9{QcBpc#!8GtURq>vM3MxYkM zU?cZ%4R-g0U&DDsF@>73Z0uD+J4%J%Y`!=FO3Vx7Pfd|$KBUg^7DllF4=6n(2eOGC z0s|hNkSOuFUy48UrY3TfY5|u_M?jY&Q!gX+vSK$K+P2>2l&_?I zuV~ZQ)^`m6zlZSNjeV4eb4yzHV7_T`me}{ZTX^KzOCUN=EHC#M^KJO0NmsVVU3vT0 z-r!aOi%SV$RK%7f-n>nIhUqA|KK9;45>_MSN?Q_>uxw#Ro~FMG<=c`>zE+sV&sDg6 z{b~A7DJ;BBG?epMQ*G1`Mb7<6WOdd6I2Q?72d>;~+3KC|FR&g@FRxd%0TucK@I@ho zVD|*1m&!|sSZRYU9X0to^)Uu64%h%gNtH>-+HZ-G9|Ia&N*Tz-@cr30q}KS2rk|lb zCB}rUw&3S_?)%Z#)EGmP&;$tTem0v0q zrXE(DC};5F=^7xA*`sVF^wGBoFqdm22j~2mF~Zxt^{}@AM-0?XACi@(*svbIX`_y9 ze4@L^IpD&7nkZ2sFj18HE!~d2!qrSIesa~MYI3!y4mY`YWbx3GFWuaV{&tBRGLEyF zJ=`(_{=7QdZ02uQ0s*<`PQr?Vg1$+!ylcO*4G!ar?0O?9RDP#o+ z<<}Q+hL&b<C2pg8@8B2MVi$18*N&bAD@WAdu{Zi7hXX21DXd71=KQv_V%!fm@gg+{2b;4R-4`A-a)1FIiNV9P!42*! z)zRk9I|UYR*(5XK^6-#Q9A*9NQm4eB%)vD)3}4YKr^tzfVMru4GQCIP#kWB$=Zq{{ zKVGiP=-Ev>s0e)3oEm)1nl5)vs_w1{zg%sb$~p9g5a$ac6RLfPI!iqjVZFLt$1WKR zBcKNKE_@+*Y;k1aPk^rKL{fqcbI&;qT({3&a9<^+Qr-5l!q?$1qwtCo5twx*k1e8C zEXPC=BQ3djGMB}%rFCMNosJK!Nvhf-?)4_4Xb1W8-19XlRX=}RoIK{3j`|+t*1H$| ze}uhdR2*&7H5w$iySoqW1WRyt*93QW0s{nhADrM0!QBb&F2UX1C1;ZRdG7c9zH`<( zKNwbbUsH3<{OGQ#y=(8@M${2Km(oPpu`1Ki3XHXRc+)*;=apB@vht&&;$c9&=Iryg zdZ6YD&*yjvIqgtx+h*}Z(FhiG%$qw~*amDd1vi5ab=BTW)}zBA0QIiZ4C@c^RF079 zc$v{c&)ScwsVy0tkm_p_t7d|o$E_p@~hIRdo(7 zzPl5ot|W%dq*1q=lr2oe4j12VLB|l@RluDe46sJbUF)MuG@=GsZp5tmm{QqICbx=+ zGp0J%bM$>^JFj^^eBb-lySRjCYn-^B?;)mtv!$Qez<1VeIY6|?I~is*QjpXUExi+l zhFkdDs*85q4iO{yym8%6R0+G&y!?F+JAoaNEdK{vOypT3a-EFaPIz=U;yy(sg*u-5 zw_h)~pl?yD%Vy@82h`BHoxpdz zS^sw$!2E9-@Lzg4*FWr?|1HP-&-3}O&ck^q9T|u<82rK#M)!ZG1Nb0Y5qfwia6SO) zmZQf5MJv(6gV4h0NdHmK`X?0t&!u+n(_l4@htYj+0$;Efp~v~dHK&E-<^olU&;$PF z25_SEVhK$jaG^N4c@iMVnL&yFIRVKDo@=cJ>vVDR{fBox6b&|FYroe#fMs;XmL_AJ z6wE@2*Nug6f^!=7`hmF!dhyjV!PeH}OtUm6g57SQ3eOL(G7!X}_u(B92V1c@Tu4-| zh3xL%7oln~<06I>iZSYH=8hh5Bg2;1v?aA-x`e)W@KC(%yQ<76#5vy(%6hGSad^6> z(kQ4f=l}}6KB%_c%eE2PzxfcfJiguNzYsDWA}7jJ8F-QJRL7Mw3-}wZ8QCo8+q3$I z`MmhH2xJ%-CWW6Shpacb=WEqFkO#^$qn?9EJ26DEgV1yZ`mDkF4_KNqYQTo#lb3iXXvXZd|yH7Bk#FRrvJ(G{SOj2&E z5b;n}GMvTIofXV|as0-(hZ+~6fo1RLTwWl^xS>+t1%4oZ8}~rIA6JbfGkID>gB~_7 ze958C1}*eui`E)sQK|lzHvz+gI*{~alQ;pWju~u_OlYD~x^OPz=&pZ6$nx_Wx6lZ zZrr6CZZq#yGvU&lTu;>bAFrvP=!GYs2hY_*1PE-^jq65-d@&6tm0m7TTQ zeWHE&J{IXzjCmqF^~7=ul`HE9G~z3no*voA0k)u<2@Y{gMHzpPhr63R9^0?+SGT=d zb1wx;Fvx$+0ypD3en~)^Ksi|yDz6&o*Rj8Ckj!vZSO zg{Z-(5Em#Tv1s#eVhw-d%@Gn*Ts0+BvFa1|C@YC$ub56;OyH7p^o+TrV>Mv< zX&_%|A>He`LwA-S-+ zG+4BDb6cc$9q-_e*+eeTd?Nj=f2=j6jvvCB7u>8uqc)3a-GQZ7Vi^*Q>IYjQu%MrJ;ayJX62 z)A7$p%h$+kB@Y@q-aolJY@T`gKbqzw3kLDD@{&j_9r#p8$b03MAm^LZ7<-#ny27K* zig_BAuoI47L)HTCs&OBF{7$)vKfiA`Vc_o$DNK#W6~IiG7H$Z7=E&rc1SCt&zAtn@ zJ!ahBBlbsrh-Zk3>sg5({M@{*iDrMzk+EJl;Zbtaa33M&{Zr#oaJjN zK_Q(#2K}r8>-&PB@ozlFmr< zk$E4}_%%;ag(e$G($v|~LsNm)wnNjeEBa5I0lUWyEB%3!P^^LWP9bNnTuqQ}Jk%BW z{xVl_RpPV2cTyMDRt>&QNTHD}b8(Ie-!nC6_=$})`D527SX*Ddd5MtcqtWZwG?hla0pNTq zzHw*nZ@wk)KW4FP9RJhw_-lIh2gL&CTYrsfRlxuMv^4&Yyz76nuKwR?*ME%D{*Sba z`yWEJkPygCiJly^C{IrcYEYuL1AT7?eEQRcDTM$jDbW)m{Fj8y$phL{W55Q5E73E8 zQ>(u$EEWZN?!P@4@Z{g0#4AKynZ8k7nZXYV>0d028!Q}hsm*|m_&u+pV4PV%?~8y?w^iEgEz9F@533Glsg0 zS@fpDJPmCB$)=XZr}1F}Z>v}H_NMpjhO-*+>pXdHPr|q)F=8T7qu>(oa(eoClPN2s zgi?{Y&1|gCIyO(?y=K-|rC82Ws1|F7?~aRuCYupzOxqEBOopUOjz_G-r@5N6PF~g6lJ#r zDygAHu0{nnm)pNtUCmPTl>6Cx^X2<>z9ne|6ds=Gm7MdhSP+mmfIakd%@H z$_me}R0JyXJJfL~SuDy@&)kbLm;xK-%vQHPf6CL7#tdgdM@92}4@5>rk?$DWI(x6l zboRg;ayW3wwuU2`At0DVN>_0_nR9c#I*FY1|cMW0-iDnJ7SOWV*^>aBvvqFqntWr1Y#sl$AY$It3Y! z<*(v6BQqw0H-dNApN2IaP^Q4jt4^{vq0&gxt;qtaoOl1An<;1h{`&NNO2k2a%Y)jw zxfsl^V&jcZbNA`mFeyVmx0S^0PI9_@EzKR@F9KlIKdvsI+xIax@un=B2@Fe|4)D!z zYiCSk3sc21+d$6U>E7=)-e0w)4UZ@aea=aYe|aaJ^91x~`9>xb+Y_EMXuzmKKxA9> za{9-#NPl`mieizAl+|PMY2=&8k&nD(bcU!;aGscqtf%GZjLgusR6=a|CA}#PD7j(A zJ3i4}bLI)EZ;o^FGAq0H<;Jf1$A_h4b~!s6+{q&781F<|W%x3_D*~tbr|cikTAAF# zyhTy69s(@Rp5nn7XZUhBPT~WR@EduCaof**PcTdfn2&HuPRnAyqU)cZx_W_^k2xi} zXG>;i?IE9To(ZW=`(Z)dY7GA)ZOF#X%JpxB%=3TDSpR=X8}j^7bN*ZMHtR8vgYI=0 zNI{Q!40eBffv6gbbs1nFu>V_Tvvd8A3N+aMhX#NPTGnGA0jnThZjtbU6m%K>xdTJ; z@HPhNGt@bt{Pj2b%X{GEW#a`6iUC-mIKlpsUgHda|23KoJ~KYfK>w$wjtfGW0B-@b z$H3QUHo>rq10sB8fQRDYW(UzegE#202fk|l%n;j1yU%cgiS*x&Iu|P+H)sPDKn{|6 z2Cw+*eE$8JffEY^paH-`vGQ<$cxb>^AY0r#s+{#;o|&Xa*%xg zU;&ym05S-Ue>^y=XaGux5FE+u0PMd$MhP2~Fd!Lr0RJB^BV3Rj2LLQEfWe3a>R|_v zfqrm+osQ5s01_Z-P5?D%6%OpU8N>njXYza(=);R_kg9}2}6Di%y|AA$8R37B{! znyT?s$WU4Mvo@Fm%*a|hgGt3hiMWII0QN!ZRsau{KciDC8FeQ;- ziK}3VSNj6dFk^u0-Jpd4Ptro*%iV?d5N?8hl{QMY4TzGBrEa zP&v3jc5+`5S%NGAvA5qZr0DOd=S!PWQ2c8 z{WvIOG#K1Mj7j4?-i>15jpz8UenU7(-*I986_wPY(WqE@wGt>(my#Lu0@ecN+1lN0 zspqiIns9adhQvq-3dHDv6?9;`ALdk$r?D)3lPs-03Ub%=_Semb+SyM(cW#JZct+&; z_!{3m>ocW%nqwZ%Dd3wvL~|iVjCn6+x3d7Bu1gvoO*zz_+?|L5bl|*xq=}-1d#}Jn zD$3Xt1VcGDLv(19M9emn1BK>Z8vgyJ$p`1a~hfuBxG5jmtIq=OM}=CAZL z%(V*lqpIslQRjSep5^1?#f2K>F-naN6SSLb(L@rxf0V@AsogWGN7^)e#LD^c&HU(b z2CIM;fmst;bII$HH+Od@X4(pip0f+^cj`f z7BNk}WLp+re3^M-hNnlZAEvXw)-}Fgen6`~;R$fs-DJkjRW%VK?&0$Hz8k4!J}$5df=*A~ z1ob)56!0#-Gd@(Jq9PLYOFm|)NIyDS{tlhTmz?Kq+z;vTs3~<}!|15=hS;LT_NnkN z^TSP9Qa|pc07b%J%iauVAA=U08j|7RCY1MiA!Lk&-!DW&HYq<%N&TuEg56mtq99Ss zk6~ru!1A&0#MqP*pH*4a>32={UcmRXRjUwL>I2%~d+0Xje~Q~_CYWt22tsBQ?m)Ll z3fC23v4_^NpkYV4hND-k&&e`#d`{z%%=}!84_V%Q!Sab+akmG*lq@K!JdI=j)^%^^ zX9KWdMfWqgoimx|8j3GAs*8>pQ`H&mvJ!{D3A>a9WtktGJBm*mw%L`!6!8-I{1+y6 z18kr+zXs;^7G&(aIBf9VcZ#3y&C6bdo!!}lX2b9j$YReToy{|3@%MWdMd9FK+1v(6 zvKHh$RZPRjSW1}1#fMHfOpvsm6FaNu2Jp96!8~KraZ~)`RMeV^C>EBL_@$aW!;z$94Cgj2VHr~6!$ZJG zC^B1H+JRJ7O$F7)4zu9Rw!3^@+Kk@Q(c^lJp`BJKlI3| zx==B&T|B##K-N_Ne$gd$X1_FJ{-yys<(r78V0X)Nv+k>_qhlSxx|NgC*`;FeNcy|0 zv>M%hOfPP-BjnH8+dHOWktH!1DdG}^Gi)DKQ6qRh<{NzT`Nlqry)4BE)B9qE8!`Q%V8NQCEHh~R#TVbA{^gFXY*c@gxK|}#o7m-UF6^~2A0FLB*bsKc-(2noXl@H-~ z*FNB9bub+kg4p`1BNV07eS$wYpv|pCy{siQDV)^DpiL;0^2-~#b zs(h!BM#D0kVksl39^$sF-R?3x#NqyNBr%)Np8A^upGaXt<1eiloEU?{G|SlJcW)v` z9f<<`vkMYwriTSqvEQ;xfz6LUrSU`Y$=P~P@V@{h(Ixdt`Wtm5SS zJhsi16FREpO{Eo@0fT|m=XTJBO;8ut9Uj11UIu}*Ffl3#m5c?S?$lbn`d7>9q1@x;uwlD9TKf)$S4p=Ydl@G;+e zyFMU5xjsoSbFZ;Pb!-+WBhapQ2zJxr;u>UCn(*XVwp$Ebf5;f`bZ`y0Z4zVk%I+eM zu(0p7wCEn^Hvb~nwe0Eq1M@J-wXvMwqE?(D1STGDAckfl*nEB(?Yq=Zr?3Vcmes|j zo?qTQAFguVly)q6a)He{RS&NQh))uBrljE=aIxnQM^m&_?|t%muVea1y0-f&$m~t5 z-;_?o{p3*5N)|?Q7XrGcP_{+c4t%hD3GvF)&LIHEC5@wx-_=@R{Z&xE0HU_8q@BpKb|eAbNcE%x9)O(khkT~M z4D4=ed*Kf@R0|0g}c< zhi!T-0>OCcFU|n02zpLs+Fkg|-aSJO)|B|>a3`kV)x8%|kfkud5}47Am3PI71HQvk zE;+LH+=TJyDr}4$b}X7$yriJd^yQ8cz-{fn;`xb?c=-A4aD>w@K2uSv<+iawCwUMB zxK5U5BS~3*K`FSA6XhkY(D+#YkaJ0`I&k3LyZ`czFi0#!F?HP39cAXBW4V$5%dx0? zTV+7>9c7X^gFI!q0#M^LL}3;u!){y8pV&i;PP|;oM0~hE5b1d_1hMl6d)+Wi<=n&< zS{^u+@b`N46?U&u6l_=?;y6+88Z8>GKNzBOrqGShV3L%F$Q7c>!voOqF~<@z7QUy^ z>=7z|t2~CW7qlSyX^H&kF32es_vQ&2OX9OWB}~3gO-iuDF$4}=V%wY&T^n?l7k>fn zJGk=S>u<}UH|{W>%>>}PxkdKgHPt(9l=BdBwe|TsmKAsF4iTGtEP+nwVpNDW-w}Be zP31xGjO6n@Bge7Xg268KL?b?uHGa31vUw!PdsZ;3iB3B4kp_L`zgNS2A``J zRw>uBteG)M_cPJI>dBnAYpP?oOf{OLP{BgZ&b%F}Q3DHbR=Gnl6c)y@&0+I4Jx210 zA6_7`Gt@_L4=#t?R-SIG%CWNh&e|g?vE^y=s640mTldBa@SMcT(|u`&scar8CFZ#= zIpN%mLO?Hap;4gWq4y#I<|TI!fpxj?MACqRAuh`5nd1 zIa=+!mjDJ*c!7w+ezwC+bx^XH?K;XnHAQx=+do?6)2%e&KbL!LVs1K&@vnNU`p~u4 z*h*tJcGJwWA*zv|gfhyZGo$&CutKaOtaDJYW6rswW49w?ofQX7rMwY4s!y=h5l-r2 zt3BZEEX;i?tzc% zSSNhd2>P%>sag4WkL*BJ3Ua%}$=Or-Ja(lKY8Awgtp?L*nXOB5c7)-B(@XASuYt|h zb!C-MQRP?pffk>5uEY0MLI+Q%FK0G{e};NvHC)FJ)h2}~qt)@F{wk{fiSN<6SUy%n znzkVK5aaQ?X;%!I2rqwaQv8p)g2k{2mHn7?N^;@*3Kw>oTk*Mlx^&c?TRxGCznsS1 z!VU!A1$6#~QrCN)2X&({Vt!!#!=n3B+k;Ju|Hb6F{v%9550{*$aZen8F9X4q91GTtPM8DG{xQK4 zjs;ide`tECu>i5Z^*lJrA1s~)L=y-21oBR!#{KglU@-nqe7-;P4PedZp=hE3^!5qO zSyZ|t-CamHD3=L2!aI3Z2vX=w6Ir2H?)(VgI=(WxcATs_Y6yjR`ZqIo(_IYwkqGKC zUmw;8X5f04=9NT2A}Cu(;6>)tOnbA;a!U3Uj9X^ zaubBTv#T3=T6hd^W;RXKDWJD5_|?0%AyRkkm9fCM&gsKm#y9OXA1&Vp1#9;yoscVi zq2)wH#_Y0rH>UN8-4&3Tg*W|rgI;=tys+(Qp z?5i&w7(fMjYi{H>c}3_g4@qFPmCO13~S}8C} zo1mi!@V|0n4%*`3y4>eJnU3?Axl%U=0(bk})+H9T60eWQ!u;4oEvu{b#{eH*DvUZfu>0@%f z$vYrnmgRWD+p7-Rd$PivWChuqyq!>#6WkIem7R^Se)S8#1*VCo1CxuGe zLa{sUykejt;p7nOP4~_k5hZA+^j8(3x&XqD!r`li)4nJDJb%fgs$e?brIJ0?bNOTm zr-b6}8m>U&!KLvh25$BRW-8Gv;3?m=*NB-8UgqSM$GK)M$GPnyPZXTPa6*h8)P6e< zX*$X?)^2W(j7sj8JtJi_zl5AK72BSPB({|lMyrWsoccn_Nh#r1b_%v8Sw);zycrdq z-y`FWmi)n7>UXF08#GkeL2mBP4PF}_S&K8O-9cL)QXd8JqLKzTpYjb04W-%1=uQo^eR({Hf%v!vl?b9mPQD4sy6i9ye zP7oKKgrls4hYv?DwYqPb!|ORTF>i^DLJ%irPTBS-Y1#$daulNp=~xo2Me8;mNU1l- z@B1vyh^wLv;k6+#uzQkJ2;UN=>c6k}qbdz><_v*Cw@vw9V^weJzF*ZIGmzaRcWVYxOJ9Bd%cVJVO9V^_o9<2?5f&kC4hJzq zh4G1azuXYDs#;U_+6;VSs?C&V*ijmd_DHMMvGuoUq{^F5bc%jYt7loaqCwK}dL5Dv z+6PWJJ^V@m8J0^AcMs-U?+%%XEUoOh@WM)>(Vu4dtwU~nl^GXuw8BFN`UI*Tm#Ii5 zSLxO+w9b1bZ7myGJ$fhX0;q-xQhlOx2*hs+vV=&eTn-jvJ3br@Wkn8uY!PmtZBJJ? z6=*m(yP|BI9J@hhUnJkh1O9GeB6^T&Z`x2}#rg@axtgeEx0Oa|DpSZ?S~*lEMVF4{ zPvK#M3-5$E_o_h6fPZTZOtqMxv=RFH|t;H9O+7z$92g&eoaGH9t6WtbjwfIy=zZ7_X?|`S0p(OOJ3p`tL%7ukC0was2gt!rWGk@~L$K5q`8d*!-#uCJ4sUnu@qU|+*)2nL@v86 zA1r-8cc8{}G(PLFytf+`1r2hqaK1JX;F5lM7V%LmKdJH(0^bs=gw ziuT<3ATwibX&r?lV3w|w4S?<2u6H)f)TKt#XEkfwzVJdNaozZ2CdBJWplp(N#k|D( z9UvPBADbpZp4q|;)E&Fu=MjQYLlv>Dlt zY04H~n-3k&O=SGjH_P3$VmPHw&+fM%y%)|7-qQG;<5D9vurctY1x9v?jxQ9M=rXp0nc zxr*W?6vm2-er6Ke|A4{hXQxomhhG5UH;bLVsOx0@n7F`8`7oU@^u)aJ$57|h2q^Gh%kQ|2wAPXf`9x~qjE_WgS zjK7^c3*1Xkpi7pvimKizXVxg&AE=36>%Ad_h?u(V#*?Hc556-w%rNSKy>fd;CV<@I zQaf`Ij7FGbFk(aQnF@HzB0X;iCrhF7aJg7iif_c4{X&>&`7vo+H66ETY%X%l4V8#{ z6bin@C#U}41Y?DHC1Y-;{UFVGp_B~PL9w;K3K-Cm7Ub}r-KNv}>Y0j+?&QLQ3Yu^t za6_ZMC05l1*n|Xmxn}?Mr8B;Jcaf5(xU(gI=KWB>xDSl3ehlu{xCNJS!?;fOk*ycK ztlRUm&@(~TZC=`t_8%c}?_2m&i*9soo1wL_j#q8ZHS&by7}JW<#Oi=eu;F{Fw*oS{ zqClnU1gN7qKwjO_!(NG??@gOVPEU0Jv_k?d<~iF+I%cT=OD&i4ut5Ss z=XJYKCq={$cI6BquaCQA9flKKLE{KIRw^eqXh+pPJV|Lf1mAt0Gu`@=JIp*a-+uDk z8xfFt@_N>hmz^jVU4LcHTfu;v;j5x+=CCRSohQ5-1bvvPJb+0W z^R+`;Q5L8e-ligsRWl1H=(;TQJ>&JvhKo)3fT^io-L3X{G!S8_y>AFi4Q z$4Bf;(+_LQYSuU}BgRzgF}d3tn)nuj=7yK{U}iF z*BUNj4JuPU-Bo?*+vvZ?xW#C3f4PXF6i7t9H@IAB-6~Vsx{|LFsh`=bPNXZXcs-1& z!KW&pnVQP}x<(Xt*s#jsyf*a&CF(Sku55e8VR9ahgbuPdlHy4h%?I=&uD1PTfB7YK}h%qq%jw3Q(_ujxvKl)C}FGWtpDDE*tdi!UT z{47=gH1KRnR6MM+CZMUmt6=?7hy&;rD4g(mc3a@9TWNyWwju%9?BwOQJIy^&XS4H? zt9fEfOww32!HW1TRGqbh9)XX|zp~?s-muwHNHcVPA*yA_O_Ddz;B85EH+(x&fB1HrG}X3L(27 z?sXspDJpHl-!hV&^}pyc2L}(we}QW*w*MBFx(HmX|CEp5s_Y-C5y(y)@c&aj{(bxZ zSw4c<+W$qmiF3d}u<&;PDM&vD0A_1rlQDxHzXJ&VrOPO9_Aw#8d}> z0~3^Z>i`s>mJ;xF&pL20xRU<_gXCfZDJA1$HM*Aq8sI@J_27W)oII=`zIt##ojNd7 z?NJZ-SCWbu=dXzR-gSX(*9?c zJnXz6m=17Itak7!i4O2C!Q?7Eg#S{bzy&U76nvSs1AKX56dVN1r$PZmb^ye{QUOyP zfEHv>^cWaO=j7o7rHp}5?#5B@%i`ESUzZAGF$SOk`OE>}kU0L8yy9X9b<2ZU?@eO> zQb_P``j@ALHV)n#PowcT03R0lU!`?69$pR*$`-h+X9Km(0RW(p8*l+19tS`Gw+Q~V zS=wp(W3zNYsufk-==bxT`YT-giV@);n4(3MPUMDR!~FP6PCcA#k~C7eT6svq`HNXl zUYSZ*r4Z=tC%v`q?t%y-2Iu&+0s>RgNoZsn3ndgP%akQNiVk6hja0J~k_j~?Ph}5j ziwyU(E&Hlw$2-B$*6rpxstO&@_7)6|XZ!XybQ%M=-_HN|AfgIytcS;EeN@tchEwTr zr6}vT32gB|tX_2y><&s57!W2MuR_f>NbGT(IcB*%^TX14!pr-1csp`4bFXrgkT|_b z%mVM>**31i5OGi2Mp$0hV%`Q|6s=5%X(suBbap*4b8JYGWk}f41r7WHRnA)yg~=>} zboPO^tfTG*{7JN}hoK38O8O-Set>N}+Opi~`ekW=8bX*2~XzBQ=cv3zT2DHqo zE0%*)DyarMCSQg##orjH?~{%xgf)k}yvgy|WvK{YtQHVrDIlEKfiR&$PD?v_0!bQz#c8UW?% zL_FIE(3thZ64VcqeJp8pb-T-)uJ&fp1cmFmLRx2ag}+3lck~V|2dgDmr>?0byph+V z#5VXD)y+zwR|o%03^&NJNu_TD9Wqz-Zx~&vS_+Op4F{Am*#l`6u|$?^`3mv&W$)1T z5a>lJW1Dw=+2%8I*sHX-v@(7_5BXW5De4rQecx+D{VmiW?>Sbxq&;*>34Icc?wr=; z4Xonm6@Q`#e8ZT%xyeTF@9!5$8^Y+PY2A&`rV^s9y^GI`HtHBOv1E7MiT!@4<`QJH zrSg1jG|jp5mJF0PWe@w%2$%rVVL=&Wm^IgV3>>nquA~(>92Hp}XGRSpyiSRFb}}e4 zo)!iyX;4ecklG{p)Z{AP2$ry~=g${C8Z1xc+QUqBH1jojYXto6{IW|*egK5&I$PYCT8kHVbaab}j~*%K zr@TjK_D3OX=JVzJT4hOA-;iJP^LSeQMRadqf~s8OodkS|OToZ%@&OWa0> zqvX3!@Wcf$1sMyqiBl8ltX5g>E66FWK14jbi z?4NHE(rT4u?CpqDc`o_ewD>LOfHWW*wc%np&2uG^q+3z?B! zR}Zq0g~gp3cXr`N`Fx|V`GYb;;{zNf-090z2g4cc;nc%13g@x?hBNok)s5$s*;5v< z{-rKxj-(e3R55aKNoY~Q_}S(vDzER0vLrjMy!p&Jj8&KkN&ORM9@G)Ne-_q2QyO!Vbe?4Gs9E z1YJ>AoCs9^x!!s#!EX80usP>H+Xc!>##N^7ANUe=A9+`PhSjiE5`pD>KQ-aYl^JsS z^JvpU#qz2GxpSZ5%){6H&I3P46fOLt79m%LJ7QpcO^?L0?YAE@uMwJaZ64~41I}KC zgXO{ogXJ+nqwc2FB0IJsdrg6(5*{e2u7vE8%{(S3A);pLIhQ0{B-eta4t1rI2@Tf!U6T%V|x#c+D&lKzvaB_9$#veCjlsK101Ui}e7=DF| z>7ac?E5^@*M=E+M;QXXO%6JC!mLV6|7f9j*21TLm4p8M8H&+ey?u%_)$L~+?bUI{3 zRmO*pyj$`Y8jUd5o z@aizKb}~jvr+;7bs*Vuy^@5lL{3fAz8t24= zx+f=_UDG=T}d3yF2pTd6AiOA+Swu7xN*7~L+HOzaf=UA1cdBt12 z$9$dnA^JYxxyKz~-nuGW71r&LYLMq`GsI{6uDI0xGqNCFPB94vu*LPKnVN(^q%v{Z zabZQ)L}+4LPT}u20b9FiJ zwy13)EOO;}mqTaU1w2?>%sC23VR^D0f7yx8k@d-P*4J6u-atpsR0-`4)E*k^hk!*8 zfN@3`6M1J$2)k{m+@AgpbZiD~jLj1LzFMo~Yt$3&=(4qeOmlyW8?x?0;CNod&_x=# zWPEM$VoI$;ViMk9@Jg4dP?^IiL@ccw73xbxzsh`vj$ShV2vADC&f+0)cz0cY5evjRm&lhTy~)^w$uYtbosCW zKR168KI7DnqpP~4G&a+wiGdZX#(HwqTrbDTqTkxa|tiGv6u z@o395qpSlvaNHnN*P%?Hb~Lp{;IZriqiuG87CX7#Jp4xeREI$*IF0wlSgj{CPHWMK zf)Z#X0o<$3$gA#7B^L74x7)l9oYHWun?lrN%eUmn^2k$=UHRJob2K}KYwM@{_jBbc zpY7K>y)#r1QS&&zy&_8a&J>T42fb|GtFi=>@M-D2LcW%ctH#C;D}V)qkHeWitopv0 z2w1#UQ|Wwk)sgO;`ErT*+t;9SHbj;02x|9A+XNV|`1vJJT*Dkwl%a}e?umF%9dHmH z-Q#`3T*GR^D4R-HWrOQ;8*XPF`RONoJ4SZ&kJ*=CMHV^Teha4jy93}6D_(*?vWc9= zcCN$C(+n>Mo@9FE0AZbz+(Yjz-ivyKu4_d{isH}p%b~BScJ1bxK?fwzQ|rGWno*J7 z5KKY6XpHE1|0>0^^YHx-<_5MV`d^9{*#C~Y{;PPw1(u$7eb2}X$-xQwv<1$tF}DGK zU6H_u7Wh=s78o(x48o)Nd$hj=U<3hnz#LYQZGc!pjxZSh;`r;DH2&vUMDow^zphC- zJO8YK;^Jfl`Nsh;A^#(3n<*Q!-GuIU{tCE(mDp6p^8WlgqTJ_DGsG9QIb{Nm->u*TI_AT4>mA!1??69&w$f1ulX)tPSd+>f&{ zAH>Gd-Vb*e^UjToxTvSV)$}Rz7MjUd(_hiHd$!X~80wh3b-BcmS4e}B zAFrcX44d~@ukUZkA}k=Z(`iCc(EkRR>O!g%iV}W<5a?6>Oyq#yKwKjOnUJ~+6Cv|x zvV%+gs8>lT9AP9#5?@}U!y?*$q5y&MPRZQXUSBx#z16#KjzGb8E^s)oIJ?4C-OG#K zMsX~gia)qJC)l(o%Xcth{C%)UIQ-+S1#qH|FO1{w1b>*n%UrIiX~C8-*oGU>|CBH^ z&9tyvSKW-Ox3jZ3?I+Ng0VCv|6rgsfJgk#6xPjYjrG6fS_d7s8fK20JoE)aiY~bbb zeD6MCChKhKJ_3kKM~iBWT`H!p6pHX<@iU*P5pva<6KOniECWws;b30ov`5)Km#r8C z(PIP5u>1huW&CTt$Rm|S--P%%-#l}AKLAEELFxF4%*<Xxcz zKLMrA6bEf=ta0P7ee73c#jp5Tldz*CjimA4Lwg*9-@-#|iDE7Xa_p~!&d~1sM*|0L z8BU-E`cBCZ6~8%v81o`0-9~M`+ma_Zx6|4VRSQP5Ur}aV``9Ro@gZfYZt@IVmsM9- zKfSwpa3%p>wki7x4o@fvZ;bfx)6I~9GW~vj9Zl0~r(=pq8xWY3Ex$Zr$RJO$nlzaW z?mtDy8_}gmlJhDNWqD6Hg{twke@}H7-=IF6P~xQWjh@f1ohg;9trMCqkw|oDQDx>B zXLLAC;zKxj1V$#@n)8K<^Ir3&?Sg;87ERg5wihDcrGhdsv!~j8xP<{{614E-nBSOK zmaaUPyr7YH$M07pzLiXIyRJiIZ=%dDVkwk(@!1m-?HCxmm5VgFOSy5bIbWQ8=V(Z- z?dN$~&GF#vAQ!azt{+lfeeniYf#3XNJOoh|OGS8cFrWm4)feVW57| zx8WJ5>Z@^=nJM0vi}!b}5d!|ee+}X(2OG2S!twtVC!$iT`&B+-#+J^A_r(*2j=l4(AZ!Tcg57T9bgJl7Ue90G?e z0|G{Z`)i)Lq1=gFd|#IQjcZ`C+5OBH4s^=`7arqwG72WnUeom>I?E==X+l0g=*Y5A*FYZUHj4}zwZ}qdgh zknn8$(l>6~rx4Y#iDazkqkany5s|`qK%2+3#NUr%p_z2(}#IKmn`m<7st2aI*nY@`?WWASrS2z zNFZ&~vFB5=hF+a5Lfb!I{leRY^?Gp_+T97HtKd@q{*w)rTJ}Y5D&XADg6|UszL8!4 zohJ8QFj6^=vieG6jh7T>YO``}eTqfwX86otkxB8O9X*pSf-5&6_a;1)IVB6%9gXbo zN?{QSfynxY@`F%ieUVIuhtL3L2FK(K*UHjVf&tU@p5GSuNwYU$+j4<=HRJO zThXw6)P4RS5XVxy#jH0HB3is&$@#pUiFf_&s{&b{)|yD@{IH29lmR`h-}7TZ#&?w# zT$zzt4{JTz;m=XW`3HJ{N?F+&_I!5jHNS<>W7zx~!LLy5)9WGn(bq}K?Mk{?WBdcB zB{f>xg7h*A;rA_++B_<$jP_lqq4zy{;5GB@lR8*`&Z|jnfc5-^E{pUeCCaV>vb)~#6H{;X=N{YdVL3JjWD(KVY~xa zC;a0>5_d*^kE+D;;OT2Xa!DWAKUeoQ*!G(G5aX8-??z51N1L#R@TD+=xRw3DSV4(q z4WV#PTQ`Vvy;@t2Xd^t~p$yAJKwZFQluGPqoGr=tM%NXa{=BL{s*891k-25n2ZJg3730_`KM+x0n(4LsN2s_=5I zYZO0ju?{P!4tmw??7C$<`#)QzLFp)@!uYP^@c&Lb3|c{~9r*W2uzA@gf-})@CVA78 zc@VMk#DsfUCQ|pcQ4k70P0B)ct)SAb`={d`IMnwk4`wQ0$-nLb_ChI()(#I1kLDA` zhJt0h8*RD~3v)VhV0<4tJEZ&uNZH1g@HYY`Ps>3;eRV`75`8q|)A>NIqEnYDLW(A4 z7vk_MsTgfeGbRsWpW{bDQMYXntH9S9;ZNRT=9g37pVK`aIq@&%UBX07Eg;cx8Ca_7 z6=i_SD_KC6tO$q+sW#Ty8$+Zq!DD&(Zn*s6Q_^vi_PRyDe)E|y$_k7!9t@4?Ii z$K;L5htY88Xv+AgkdypKO#gJ6fDxlGgwCvq&l`66qzr@IZCX)KavfQK#`F}Kgsz@t zX8P%y>BpE-KIBlD&R9|t3h|@^@H|wef?+Lbzw4*71! zle;n1vzktFACySb@mUO1OZ1Oq2m4In#O*6=#xctf+oasHs*Do)knWAAr{~(FY`&z| z{T$Aww8%(z%xzB4_C~e5tDkPYKJ!buhb?ORcBS*E;-l!U~Cht|Q zOS>Y9U=Id7S2MW$K2%98-+UMY&kqO8JV{^SQX|XefXXxxZL2xyDp=RzHt|E%okvoy zm#nzmrRO>7MJ=!?VN|1?o#!F8B z&9kpCf!CXztje$YuJO_wBg#xG-kk2fXn0KAgKwR!D3fAe3X#eZp~z5cbk~D^QKSiS zGC=zP5oF&)4ebnE+(d6M?(m4QTn-JClE%$-_4y3}MCR;HmXIEm(NR8}v51;E9sB5gD3C z4RW-N15_1l9WeRrYi*hg@UR?ynJ4T!&lF%1Kf(KNzQ2S1m`C~i=UDLHmcuDy$N*@u ze=9$-a&vJ1=g^St-}(R_hlZ^G92T;({&VWb&iW5{RPR5XKl0xHNt*v3w>I_Idyc`DyRwb0e@nUA_BHu!bU5X$|i``hJGs|V%Yw=*yJol57a<#`Bm$x?Rkdail8B+<4q6v^13I; zSb<&}-)CUp`#NU-9I|H3`F26UGseg$xB^s`E`rzvL7Gncb`mFX7O)bZnw%c619}=3 zw8{KO`qNK<22u?zND`lY`39kIO9b7`>1i5f1;@Bu5rvj%=U8hg*rtLDt;@M zQxDg%FNJ>A>xvI7lsq4KG9yM`0vN!~M=_egfK^&neY~zd?Y|n7SJ=u+7q;v`C79Q518O7ey@FuF< z%wfFDrtAMQba5JC3ck>gd_^}fP`=&q4tT>(+M%L`_O?$KNu-S_w{&{P4m?5pe#?;VN!38%#| z?QCwn2Ae$HhV2jS58}4%3X^9FA*uV~g-n_I?5M1Evz7NVgDImmr1e1fVrWoOSI7-! zwQkbZI*?BQ`g++g8tFc`^Ni($EGM9rBzWnNEL%S_{^4|+Kko{5dv>?YAy0~MR{U0; zvY5$1*lwRlOlS)zZdYZ2&O^GHLM?wWT_Kv{Agt;8nA|9;6)Y)o##(RAd`TiU;crPu z+zr*^hu8S!}2A#Z;t;P zlGdkX`r63nb~r2iGxwBq5`UFKkq|oJ)b&6jXc*da8`S||LH+xRqmWJz#%%SCT^k30 zSZ>1KijYZw`iz={+)6U!fPb37!BuOy*804uGx+aVk63rD#}v1UL3fQOt9`K`6cy;Gn>}A-rI_ALF^07`4~Z$z zXP(dWf&M}_KTFiF`a(d}_aqeuPpqkh7PCNC_%?MfV71V_f~ez1^8;@yli%%rahCP| zv-k(pmy@E9r=TNlrZKR7DhNV~Nb;*grZwqp?gQw7ROF;1y)TSWz`L>E=SS^Jx31YA zu)s#oNzcn2pNx7HHNTjhgRBdeDPsII5g=QU#~LrU`f9P0FkA;gbFrF~P~7B3N5xz3 z5jWRZU_Vv*3zrqSo8&-gIrH6^<&0iXnT$7?bZFD|SFm_uAEs zMo!J~?6Z0$h1rSP8)1CiT+WI9y-M8gb9tyEfc^fY~%J}0zf7_!sR+Kr*QN4PI9 zLA|Qm_5=RQzVK09b9B6fio0uuy|TM13I36AZ=uITPm<4fJ}OO#1(d#n94;h{>^YA_ zw)pn^9oN8iI0oeCJL2u>mV>w_MBvHB3xJz$Vkd0lLmCvSP?45b0atoy%Bfj=DVXN! z4hiMYq=#Ae!wx#C?*E>0_`g#IUmT%aC9iV}3NLU*=8$`MN*-a# zFMQhijzr4P_SN@WS-P7#mG4BC17V^-`3bcZxtSzz;6q)l3ZZ+7P)yhBflq5GSAoE+ zi7_UZELySKiLhRv{PLq;u;fR#U{2hvlE0A%OD{D$PG@~Q@42@h$p3D_e^#XAK4cc= zFbjo}vd%9ky0nlzjP@ZY%!wqEt>&J=7GL|Z1rfEf-=f8~>Dyd<1Avt+S63r-gQ#uA zIh;rm+3IdVfcT>PeAkE~x+uqg!d(1dX0#hyTu8o5#?lPLZQxkJck)72xXD3T=LZKD zsTk_{THDL}b^q0#3x+~na^Rfb!AJeH;o2t5kqufobv>9!$#+4b`SHG$$pCJ>6X3c! z_L(&!LE-3fUlaHR%i?SUab(xoR0uyia7WJ4r`9YcULyR{q*HUD-3C)ozR2HajVeN6 zAUBQ8r)B-;5uSlVx9StZg$tFwVz&*U+WL)vN6JE%|1JkE#E%1S?Gxo|8J(DzU4@ee zo7eQ_Ue3xOX!(nA-hN2ZR=fVF;T2>eR{B1a&l{3dQ;~ z?}5Ix!@0&+(tGsY{jNQJop+%PK?x1%gDd6M!n2KvhS#J*%nd1wJeD@N3k(F^^BBm` zB^Rc2sMM3Tmt1iO_EVcde(f+&B~%$@5FYo7Bhc8fGisqZXvwm_znUS`IX>A@cm&An zoE<<4SYq>uE?YuV!gVme1kG@m%edBDsLo~R4OQAdPtAG3!{o8Uov%%K_IG}$I?q^7 zS4I#S9qF?xr&d)#p&nW99o5j`TZUE>F-HeM5azFl<~PJQhoK-8KhRM&cfJ&dc5a?@ zky6g^Ug}?Ux=oT1H}rvto#KR!1&-wBg93vpQ~-W4+djtr;dDVe+J8c2FyIoKD|Y`` z6F$;rK@#aFZ~O~%6sc%OHn=~x(<2nxkh0Ugz!0Lfx$!qZ60G-x`^#A1@JG1BdKI>h zub0d`&^coKGZD2Sk1hTzq@V-PwL^b9R(RApDx;5G&(5I3rivn1AM}68#jy^Y+2O& zV1=pGi!{G)&-_M)qhp1q-CSMI3r~uKxvqms5CN)w?uPwy zng;<~lkT^3w0o6s$O=a+uP> z&7I_|*f91&&8;~IxW#4aj;K>9RqN((=4{;9fP~zt`Kg06DrsY4fz2%Jcn5Ks1s|ms zbF?@Ea`yDlW=v=HjBq}((k-=H0EK4HQO0Ny1giF?+b)hYf+ilI+mLiZ%9<;%M;;=E zkF0Phhl?vnICPLT9(NoQ3dV_+jPqx(Fe$ukN3v%|1vN-6#*}tzOxe(Q~8bc?`L6N z^DOKc+c;O|aDigj=Ii@evyP~;DL12Z4hgslTO}Lj>jd9tZJAyWLbkRw0Tk@IfrUHV zUU=dvbvOXM3DyMnVj!DtUyZB4#Hd5s$Jnr?ZRzd!Gb=>j9I`}q^)~t!qT65VH*+3wQ%Kj8XkN> zNC&KY!X&WTwFDVSC^)g+9L~Tlnd1r=oxl&Lr7EvfaKcLf+w|t`B;*gQddf=-L-qB3 z1w?UH=grsJ;gM+@$NO`fur2Xs^txfJuck$8 z*S07mPPY9LkhpvMBtEMQXQT#Xttv zkRD5K)_>p%9EmLlUojBHOoTZIvMuv?|7u4|db*L7zw*w03gz%k*=S?LaHHXrPdCMy zwf^ZOXv1~_W}w^4BdYA($3rjn2S@fbv|jjfuiB;~5KS)yx1>6rIZ%A=3+u0=uixL3 zNobHz&pN}z($zGdM<>I9^cDBsSF-04a+}UfKRI{kS4$Rr@oXHNnFK)Q0$g_}7boP% zD8IkXRPc=@*iy2ihTOOe{!-UVnk{0~7r{U;BSAsEf9zg`%H`4NxZbhJ+@niz8!8-; zKzHGA1SUc0b{xe^fFaoBQIDc;Nm=O$c&`c&Z9Okn!AW@uaG#*ceAZ~8h1At&Xm*3t zjS|MdJA z{QV6n*Ot!a55^W9^WP{>R!-*sXhX5H{jX5pM>hK>+lBaRkD4+D0bu{oFRp?B(5Apq z0;vCp5raVj=u%jq09YyFkN~0-ODMo!@-Ox?2MX{9`*{Ne*n-CX>%#D-I|~cze{=W`MC=t5FihHbQuw=Yn{$SJ&N z0M-wwr9Z05nP>p<1V0+=4s8sJ#;4hL%cgX$wh-lZnFzahxVTH9h9 z1dbt+u)-4g*Y8W>&Pxjh8Z}Z$)Wfx3PaEcxIN?8|N@GMAyjE)^zIn& z<8@^3G=>##t;)V=Txk`#Y=0jg`2u)W>;Rq$yzd znIL3py@Z@fa{>QbTxK0>0Y@;xbd8W)-rP+}RP?kTLymo8z@X z+~JPYuor_(TmJb6}F$Qlb*mUJn%OKCny8k(x0rS;q{E? z_FPI$r~q);hZIHnVa)p0FqZ0^y$T(x~)Sh;*%cG6VY{#S-u_PL$)_9teh)>^Qti#xq*XQiD#7d_bIep z>??Mx54@qdB3QSKj`A1jxC6qjl3D2(lO)5{)AwV;vU`+h0ddADh%W@hw5B>KAZw-7 zgB8Cxi&)D8Paub%WiQ#se3}MV9sU)_H~*Ib1vaNk2hVr?q16W|i zYG86O0N2NG|Ei2wyXIU*h%0O z_qm^eD^!L7fflFKx`A)i5j;MlGbBf+FLJ5SBV0JCc+_oP7%R!Vq{Q;qp2&^&&bQo{qP7`K+3`2`3 zvWj&fMWvF7)l78nRs7Mqy|XxzwS}ncrF~EO{o5*1!0JHfLDX7+Tb|nu+;)n1iNG=&?_o8<=Evx?h@z`@F>X&pPreO3!(IOL4lr3} z3KbCM5>%1 zAsIt;HGzpeoeOj^uS<_lPDkNE3xwOE(Si22$E^>D8g~6d9KuNPzSCd{n91F@5=9u9oo{rl}+Y_p-GD)))HiUypV5Ex?{Wqg#6;I9n zV7E{!ve>VR4WQf(9PkvaBV}?Et0wlZddKO4WN?r&HQA&<11a;$uY^8)6?{Yj9T5CI z`9B#BmY%0w1PKw4T)p54$7WmzW7gqLXh? zd_Ni+#TH6-eN#{L$S`sIrFbXf=!HJX0IGj^a$+A`QX3fQjn#gR88ouzRB4k7N9dBI zTNmfj+;FRM?9vQjquRtoAwI|c!!;-oZF)M&&;x#Fc(#M}QHt(}F%` zsBP?8ChgH4`IURdtsQuyt&j48C34s-!bTv1m*)Jr&MP|epsaAz{Z+>9!F7F~C)<5~ zySCce!#g=n8}pj@tWRr7y*A;B4=2c$@BXyaqV2@uaC^^7BdZt=FP=*BjOj@YlK2I4 z4g?3~2N{s5*J=)*4*$AGt0KJJbSG{ren7O020bH#?fnOBdI}BZd8GfyJnoMeGq8So zH+mfHBQwkMfiqfGAw}79dwFkEK{MC!U29L~_ByLZlZ}ey;8=^Cu;^BXTFTm{BwHwKN1k@DCKV&mIOsRqMv|KjpI6F>fRK>G>{{#hBx5ZXnt9^ zt*7r@a@$pc-`5a}&?Llre&{B6&mun#rGdIhX-x6A)ErPW!U3b0$5$FaBq=(02 zRtE5Zl#T#SHgI;ZvI;1p&ai8df5oqQfW;&XJx$Hvnx%e0B7t)1a8>HF<660$Y^ zBWNro}f#-*Bz@NRcMFUs45fnFYbGMOK#OwDN}MST~3xRwAw z%TpbPBwL>?l#-$FSltGJ0pWY*huFM+?H~=@hszY&$xw`YHviU~Af1KLQ)D=D{*y=) z4u6iX|EIVUU?V$xf*Wkc%Z;adJBPsSHAB$MAH3pXcz4F!U?EF09_x#;ypOHf#fK=+U`A zX&IPrv$%~-YA(1~k>5kEUoisS-cRHJ(8eiScp&H~%sQuOj5h-Y5*L#D|~XiJMtm2Y3Kg%tr(8}o$Fj(%4e()^whyjEe! zQje0758zs+4x>DVp~5P}S6wJuZLz__nxz;5ya--$OqXEeFqIl;BXF^S5)Ce=XG#7()$%z zD1pu>2)T)%)Ts0}RT51+}S1S@kJ4MS7mG1)<`;+dg{k03ujFylm|82b&+r z?IIoL)D9tFz19*!B?&3;Ea$XNO0K|8q}Zx3$|TA=A0CbIWjqEgYLxnk2IvKagKgeM zvF7o#vUS<7PLm7U5HHN`)|P>BjSpdYkDimKhKb<70jLmFx~}33fr5{Kcbh&NY)jAsDW4&@b*|>A!Cbr) zxjhd3LeP`M`dkVC;IOwQ`_pmah`0ig)`FQ6-N|8tB&)yqn~B{&e7YZU=ULP%>)Dh! zdybtl3suZbBa{eZb1m7Uqk)2eF=KWu@IQ^ zq;WdpMUw5zGR_5!q(YD3Elx+^al%PO7q`-}S?tf*L=z9$#tH2LSwm?B%!ZA2X&qUx zHntQFRqa_Qo9KX$ zy0I@Br1y2Gg$U$A3d%Kz%tv|)V6>(i0l{YF;+aq==rzO;zq)Bf@c8$x)W_P zX2+;&9m~vC#LDYX<<#WhC1KO4N z#-6_wL??gs1%CbE(tN<9W2uW%UDg+f>H@n*LdcgI!V*{47)7c`1W4dN-f?7Lv?LX< z;uaYOEf??e8$3xceg$d51=C9%Us?9D0I)IcS0+Zl^+3=iP4_Zi)1DGzp*0oBZ1XIF zzv}QB@$lI3jQ2<0NAYyJe!wtQ7+u?9J{fw=lt2YmQm=rqImsR35Rve-$>OG9m`z}G z^)yCm&#k(SVhZ09f_Hu3A1-?gApbI@<^DQW{VABRv9SKPjKlFiOlkH%00R{%bt`FK;aNzcK$W`ZPONiWd)n`-7j$P6?p< zP~S451c;}!QUTBsgv3cxRww~{3CthWA$aPK5{&^BK*+R<3 zWmA^-n{{-F)loDyXH~?Q!SbW4b-KcoCQodKZ{f-8t?X|siI@0^9pHP$+Y|7*3X2`@ zUK~52czCOE6O_=|j;Oh3W zN+y54BW$Zrh|<4Ary^32FFFWu-;&k&6pQY?NJz9)T%m0+FK?uuDEqd=yVPcjODk%Z zVaIgjWl@luvuP*QTyp|+IDI({7!#VDwp{BL+vx^TnmT-(dH-e@B!Fc2Ep|RvL~Ub| zYFqcIJ&Jkh&A>_5a3SYn7O6GmtpCI=nh&rs0l026tZAPAedFz@SHXwwt5yi{*j(QV z3iO`}F!6`V3&~u_T^E&V4r z=%g76#A6Kim#j;Vt*lz7zOaO@K^j4av@uauxmV{w^eSW0a{?)4!sI99pWACh?d8x~ zuv`hwzZbI8i@oP6%+TDU$OA1z& zO{=@r$kmW}a2N-c!?C?oaCXZ9IMw9=2ltW#2xGwwkR3bjghEar;9>iy68xY=7M&{R z!5k)*Z6r@kieF>|o;Ts#>#2so(~(W^O)7s3MO6Bqnh9%!-de0CQROdk+2xI&d*4He zQ+y$sT8jtz$9^tE-s^r_z`)&1BfP_IQV8*62qT)a;sW2P+0wSy@B5DJjcjJK*06dI z(`HfL{mxv$RLyqrIe*4#yn;7{1=7eD@dp`CruIIzYE#)7tub*~yr~k2LBp|_ut7dnV_7f6***+Og>efGfxyPr`QFP7G4|dM+ zOoel0OggCSv3|3nH^UiisIo+z5`OYsXLOkTo%G7^<*}z z=Rzs#oWdb&?S=+^AbDKxrSCk}*@zd9JioD{UT=I&kR zmw!gz{&FRULMGfaFnnleKS<0EbUd?RmrFma3o>E3DYw?cjn18Il4-#G z*`TNA!Esdd+ZpI_m4n=S_;#Zp&G+-WlfR z^jKW10ipJ+PPb}G<%%o0VZnfVsX!XPnf%{bh1A?xBRZgCs|}ABZv(h;kTqch*)2B9 zKwLAu+nCr+F?BN!}{$&~lVw-rAT%2#@IT_3={^{2PjUWzt13HUD|trlHS<0I9by;Nt#a^?TRB!Y3=3YosO{1G(-m^5?Bgaddu(~ZMLMc)6Om+IVs&< z@tHdVz^wr^rv2C@eHSe2bWxu9$PL;j;MAen6JT}mhta(BqZ5l!v_L6?LdUzw(=R*e zIV0pxKPrAH^^3aXzNHZ~V6F0$Xk<*y1<;khF=ciBZ13Dk_2}@BZ1jTichSv%$YS#b8r?!$q{Kjut>($`WesknHAOJ zEb;os%BbhxCTJx64W!mb)hkbLGil@ zgLN@#5-BrJIhpERq;kEPd_l>Y$ozaDb~uoR4NVLV4=F5*zBACTgn88z76X|z3TdG! zVtr>PUcm>iqNFJ&+b090a0-#6m>*jdVdD^^ zLT#6+)v^mXpnu`Ru6;oig*p8hJ)tvl=Tc@9mkhV2MzPJpK`T*5U(;7@~(>BKA%~tIe7e54l&(WEUa}p%|j} z{`GQK*4c}{EHF>i>S79%EesD}I3mjW@)k0GK~YCr%WIz*X1;KYdS;e}MH+;+quXZB z%F3Y6J*_fun3(4~xBmbJ@I8{_NM&eaUubxGryyjUFBT%CCWfr3hh+&J7jQRol;Ng& zfNmg988kRS_A#81vZDJ|H>ehoU}bhX(YouyxvtT5Z@wiGlqApR11uPCa_+dv)|#CN z;|VUYB+;LOy1!ROtfL>0u7y*G^R*Xg{aQusgE?Jltm&TPwA}^5aWRHRFRDe#ht6FI znBjBtC!gN!NS!ee=F17}Lz9Z7bPXnqcg06t5AzCz_pm*tclBKh7*$-;E0MW0P4i2z z@B0YzS{LxuUO6OwWkQ$zZ8{XlOZP+?F$XW8sja>$BEzs!m;(Zqh29=RT+#*9$_CCbaR<>L}Pl~&j8Atg&AF381W{M6id zXmK$;Ox=vniTGP@%_cbo$c?AE#=0iWRm?tf_lDw5MjXGuPg|1^3(-Qq&!i{p6KhT1|n91sxvEpXfbI(hGp>t}pWwyD<;1Jeej@1==l%I&6U4m@-8|3>-jq^4q3@rAIW(rnsvWK-<~ zPex@g9upMca*-X5Sfvx&c^#39M%MCD-mjL%?IAC}rYe883qRk1^EKhJwGAQhmet0m zSC@RjmdK~ihdmzQodkijl}UlTDfkHcvQNzuW=ra7**@_pt1~*I^H=3a zCy{o$XU1XPx#Zg~q0QChGDr) zXP9-LTi);^E@B4x+&(Ck+_dpYXjqKELqJj(FSqMGr z@?J+an3;DmRU}vnCtj*tTIO!~$;|chR3Y?dku3(n&|TFnFLu%!+mS3b%fMR8$4C*!53avafAKxZqNB22xvIA3K*EpRQHQ?Ka> z_k||stuup?Bu+@8L9^?TIdxJX+w@K(`x205>Z85x5gQX_BE3)2zPX*%SaFB^dNVxW z`MiK>2C2jgtgVy|gtyvPPvSZFO!i~DxR84t*^EHKcDuPg#Rs=#-olKcL72Z4*dH%l z{@a)!TVlmidXvQnk?s^?f+n9|9!Gu{wXHGLl1}!G+*SMb`;2J;QMnejnwp$ludmH8 z+L8#jf^2=xb={}SnTgQ{BjuE>L-8go3L~GzfbsB)5n@pHD$Z*Lht+$u*23bi9uv)r zS7z?kI?Y%;T{dOcBAoOV7s2Hz!0zX|#R-o#!SYPRb@Tq5qvy09TVu>vSiY;{Mwfu{ z9zC$leM8y(F1Ynk;#h2R?AIWn<3eKO`y0JaR>i!SQqh>ilve?!uBV@zMNr_RWG5Nt z1ftWVp#1Nv1+Q|>MO@gDwg=3$8%o9Iz9znbXBw3C*abMs5zBmdmcN$j0qabZS59Um z)LtCE57q83aGQ2XRbSRyik;pJ(Az-8Srw1t#bmaPUhEXEcHl6&5=tNZc0q1wXc=QxS(d{|LDH3Juy{pWo8mqox^Ro; zp2(zvc5aI^Ra&1%lhypr18lEP&E}wwAKHPc%#{yl^omqr?4;`dqNc-eWBlOX{Das0 z6=AasqS?vi^7U9=3t17BZf`fN+0}O>jUUr=`NvxzNiSxb-Nh8Kfv4UWlm$V(Rx- zsJr4A`M-r1@xT6*{}f)B{^N$p{vVIb54H)%zX~oK|7b6;|H~cozig9_;>Z86P5wU> zmw)If|7(l+r!*oV`cZLVN~z}o(EhQtyrV<^#L4p4EbOza!-pMs`^86{1bQSz3I+Pp z>9UjmdI0Vr$zZA7a-b@4+wFyi`6DuoGsO?%3!YG0XdM-Dc6{HPJT3#Iyq(K;NQXd7 zTWsBj=ailWCB|k#2XTap(EbtpW7!*y zH7M9^Zi;@?CO_E|o;JlK!^Jh${3J!{7wHlh=otWh4-L=o1fG+h!j(wfeBM@n6(UD^ z0en$R{t3Ynw&d#=)Ax{N*C(Kb9|ROa)1 zA%4YtKm?bklXYwsQsGvhy0w!cZ?ST^R0uEvzhIwis(p}j%mp1PAnw|kNdnEzS}3>J zU6h4ExzPznnGVEG1_FF)Z;G{>ka7TG8HhV`DhSTT6QeIiz83g{#PsA;+uWhx_F`Lz z6&X;3I=~CTnDPjj?-J1GgMG^tj)>p|FF_xUln8;_8sZ3n($&ruV+)T;aE4%xpME|c zq4&mMU-zJ3N%Ga-M`5SMNf%YmlJP@!5`UZDLIQ!J7yrqSOO2`*zP{f)w4$Qd6bO=> z0h+83uN2LiB>P@3O(jQ!&Uedp6J&licxu`QC!HCoR_acX+R!Xr!G`+cM;PRmJYR#e zbW-C=Qn<&JR2!%qX~=^*RLV#n3~6q%MS}_c#8_Z<`D!-Ad0Wp#0}q{8h5vkfz9HB$ z(YLa(d2+>rM8WFUCwqdH<^ZM&3M{nM_4*YG7u!Q2A2<1_-y4izkNDsa`5yJR7fR8j z@fV)f4jwb82l=d88g-0`;gaF3rfDJ(szDk=y^3GG_?wyCNRy!~JReqA^;}F`AREb{ zIk3o1B(6$SxyA`4iLaVrvZA>(_`-Huz#r2x2p>Y-I*#uq}pAqadw=uNB&>ij3RUypfeq_-=` zb;C?HlJFM|LC$Nx`$2)BkXo=1Q#mkMUdUM35gLQ9M!OoZxPvrPW^J6GpPrAy=kxjX z;eybGIN`5CbzITX^rqh!&I7lc9;ke^fZHOsTjIRPe5VW<-LG920h8nXn=6K&0Z+bl z_5(cM&lNh)!EGTJm+mjMgBwn_5j{ZaBUfxdTdgGdPm5GHNLHF7vdx1Y;G~GVeJLTo zW0hEEbe!O9ynb7AXbRYH)Y;*z=-D~vJoW97fBojhwDzark>T|l&{JK06wR>CeZVMT zCw4d1mN2VY3S(lRlI<4qRy7c78iSB*;#g}{yuRONyh{sab*)EB%z@)av$=-=WQ@dY zl@2(;dI%Y+vcm(yfXN&0kj!Jd&P0zu)riqSSQ>)Xq4w0l}^7Zl`Ium0`6TXeF_+~V4x4|0B@OGOK5 z>5mEcMpJ^xna9~_OFW#Wt(P4-7twe6@`K;He46|1|hvy@Vn*=8yS;)w{7f#b!6^U8M!Wr`y`9u zLN{gG>ILJLa_UE6B~}Vi>}3ipdzq=mP%{JM-%!kH3|wrFcl>LbXsUkTM@P3%c;K2d zITf=fAbKzv0;P>}xL{tcHx+^mWAJwySn<~ln0pZ-y{Zfc7Zb7LF*unE@a?lmP{p$N zUfa8H;PEcCvS&?7{8ofL5Aj*usYfU$dQr5Y?dl>4G{0>*js8gGp$Q5*GvPp4RS)eb zt-{?v>3RGfjE;7@&1W@2Y>t)LU$2`x@G#RFEncJ)4TQ~}*UI01Mn*G96;^|u2@v0S zy2V!X@1OOLWu*DB0dv&H%d~)9XB>uU{HgN$wRnUR5vFzgeP3Nnb|Nc*pQ||v7o`~^ z`BFPyN-^l0(m@zp*sre~g%ewZbbUL;IROEW%>`_^h4G4fBplY{QrnFasDB-{x8*(`LE2c)(ZxPMt=Ehna!i~lSE4i@T23E!uUlZ?R6xC06NA*rP)uk4$!*?T-)gEI6YBhD=yVYOLoH!s;rNL+}!zaU+ZVhedV46e-k)FuUcZ;eU4mMhv`8z; z9K8y6|ICGYxykCP-GB6x{$3iDZD7Bl@B3~a*>Q1wM#ROdAefGRJK$KXk zSvb3C@ESAyW^pUXStxg5VDMUg;}G(&{!)GgJlW&Fa|3!BJa^n(?iG(T3bwcU(DfIt z&E6$cl3T4!BGhFS8yUnq+I?|-xgnIU-47tAEB!xwU3Way-~SIGME0f|*<9|nGP1KN zTcuF4B@wxlJ+jHDj3UXZ$O>86BO-h6kyR?m?|r%V^7-oV`{y?9`}KZ3&ug96dEWQ? z9F|L}n%WAe_PSqHhRxiHx1;vWz5 z*P0(5DtViGQ}#0Emx}ZGGWCIWuF+w6_Ab12$pjw{A6{#!T{j+P(JQ1M{`7Y}ey^ND z!mfN7iSDf@WuVcKT0v=KX;dSv-i(T=*^V;tK;J*tRP$^r_#HT=UZe7SI8+wch!tkt z&`#p<#MwgE!%;n<-~F=3-JE2-zq;2axornEhS`M``e_Cqfwf-zr~=#n+(wjNIrXHd zIiht`q-ZJ`cuB-WJ-UH zm&#jh`-RQBCCI(b>7sp-cSmj6tjxiPCw}lnmw)A-9L+xErs|lSvsMaK z+M#}h9q6ws@BY;N{nUdl3#gWSuqM=6ZCfT=l=XX^<)&95rKwu`_u-e@S1FC1;BDDm z;$!gXhF<;C#ERz$7+MImw{8^CtUv_GRQU$80(lT#SGIxE)O1(R+AYL1L|!b@X_Fb& zj)6U&U64m)?^MzneEV>zLTQjtl3j!CJIO7#i+UkwuZ4H4cN}U9`B>B+ax>(d3=flJ zadX%rdG+9}60P@@r%jO;9!AYLSHm8g6p?g)moMV|W{~Kh7NDbcBK&(i{ZGo1_rUqt zV;Vm7(j98r?r1@CG%G8Qj=Om{icR7};BUq|z=r!T1xcxSBGUz)C_fv0yXckfx>cRv z`sIgO83^s%^Zq>4aq<42w-OJogviVQ(N&be^ zakbh}YFp%!lHS{WTTdN3l$k93S-GsM`Qcr*>p!BDyv#p_jbwOojeD4npn`2WJzMT; zW{P=cc5bH`Nd?s^y`m2Aj%Z`ZV@HgGE;Y`@!u^3`i`W;h)y9q3YLCsxgxzihnI2>3 zzf3pu`qg-f#%;ksg$6}AmAuC2MYgYzS)vJ*Rez3c{LGQeHSt062lkPoUK6&;54*(c$Qv7x_DCs=LC(dv*hVq();fwW7`(bP{DTo%2#`ZZL1~y zu^`nC%}2j~LNy>xW$aaDzq{d{!D|b3jJD|GVtYsGiry)p&3e$L{o?0@6Q?4nN#R#+ z_Vd6=A>)x<+}Y)MlYKAe1GDs~7tJIXFXUUZmt>#MnV9@~snz4L#vb#T~jXi)qb zXh)mKhaUe*LerG=a(10sgVR8#4n=&R6o?9B@`$&knPFiB7*xBu;!jes7>~7}OhpX4kC}9+#sR_`E zFtkOrOI^%_*Nt@j4X*3Nm*E8}sa7{vgE}buM7Z=#Y+FykUeez5UnLE`sdfLMXzl6^ z*{N(|^h~B?b*743&hziv4>zh>x+9LkXnJgEx1PIr>HOq@%iS~koNTbk50Or#xT9Ch zXfErn!7^jB&@xnK|AmUyoCH2KHRQzuxxeGmWkOuvx+wMSRrTFG;SkeZ<$+oww^2`t z7%qW|n$hHO1(CwEEwekDNM!!UG183ChR-juBhmU)Mpr};IO3YV-elb+9sh2WcIqs8);;y_??g?;^6BKOM>M%2J^F=4DKk$80?Pp zT1N?6?bZfFm0G^(t7YMuC^1w`H6Jg0ULPxU?Y@BI;7RQyi(pVg>4RZ?-B9XM4)c!J za@Q%)A@8pmoq&W;lL!#aPQwid*(}WXfJZHj6d;|8cB&4VA@ljOi1gHXOFK)SJo>nw zs%&YLBl_;IVtRciWAs|Zr(N^2WaCu7>sWlZ<~0#(&g3Q6N;Yfc%`>&N72}`_((6jN zl`AL0ab19l!0FwXDP6~QHrsjQkJ8`Qsh|4IqE%G&7$(+U(&i>O$>bXe2)wX-k_VAt z8@(0wT;5v8-;Kju$7Y66j6-W6%%>#5|GL1PO`_*X4dnMb&r>N@J^m!H0(*5_fuz!k zJeScqf8pxWAchyY0?YT`30;8EiST*Ft+P9{jaUggmR40(B=qq+rS|7$`LdJU%1fKS zGiHq*-^k?8V%<*T6hNvsbta1mxBHLpXv3_pX^8VeCaf6cx_ubwo+iTkF$Zz>Acp7C4>1VDSsONkoR3N3H)~Hg$n;ob_OuFvuO}je7xgTwO4O~ zbv0}T3iF?w=YG2$t0bW{e>1vqs#u)BF|fuk741%ZlKlewHSaKVu&Gx|nQP#@6`f38 zy(S6aPuc5Miv^A(+mXF45vi+;>ut*5N8)6SaX(g{XX?L*nD*8;dg?tz&!r&xfLu!+ zwV`(fT=n*PHH&SP*{16+`M9T$_4Kmm7qgqoEoZ#UuZ?InFKk{M&(WNr*X7gg;eUOM zG8~Gw_l;LhVzfdA4}(M~5xrU8&o}S5aJb7oazJf`jWx{*pmgwHZvG1XH-Tlhm@1 z-Cp5I6H7Z4EcM2rH{G>nVpy3fON?Ws>xV&=2zoB1KqjsUB*N6yGyYV?sG$i-G-(@b zXjJb~cr)Zow_%ZQk9~}^o`kDifSzaWn9*to!;_&-7qVQ&TgIU({E_#9=wu(bzpnOy zkHI|jqD1`GfJ45-vwzNKEE!+>KrEeU0TAX(YjWi;;}tR>v{Wl1^urH5py93=1;^bj zgV9?WBg|n!Ogzco2OdleYfkEYHGZwZAs5!m&S)V<{Nni2SbrW)?yG+<=AT;m66oaf zyXW|EmuRAu{5MABm(jiF+P~L~4c}U|nG06?^R!0}u~>3@?VKLf&p(aPr9)RfyjTCC zwxEA4O7RVi(WtDU;#wn#+~3l6a!nV~gliMKWoY3s+IDsxHV-n$nm~7CF5{cYRPZGR zG5sbkgi;qRWQcSl`a$p7=6YmL(nTsEX)(4_{DCbo5{sg1f{bUnZBFqk>))vE5}2_P z2S4pyNL76=bBgBp%%CZQ`fa|+b>%hf(JS2(NJ2h|W_uT{mk@;ik5wZX8#k>V3Kxux zLKe`=uHuDdrXwL=Ljq2CYjz9J<%T!$F9_WfzLj}AeP;kXU94#m+t|&Q+YPVhS(@dL z22*al?9IKc^x&^<|C{aXS%`Y`;xW_8)#tE54bg@>Z%v-wM@KX6_U^FHx}QFodAsoV zZMZFgf=Ktr2B_INA;TUU>UCcM%PK!Rc5a?t^m5b_`6_#vQnv8Eb#~2Z3z<8*M5RO& z*E@-r*DuWR7+Lao_~m*v|H{s;M+qeIzGqaR3IX2XRH59Z4!M8v%c&CTbfZ-tmFZ>ab`7(c@v7^C%F*Qt^E3X-+V3Vv(2X!n9T(Ys%xpgF-y zE1%HX5syddw2u)|a5Qggm{51zmnd5KrX5!Zd5~H)_(gx<(US#Dak88APle!XUnc}C z25-+Y-tRnZOf9&sULqwC_hI?TWBo+grI3$vl&G+)dD-)3PffmyEWMcL<7ezh*KtkZsuC->&m+HLuj zU%|9C9d$u8TY}lBV|FL}xyxX>@x`uk1!~VDDIe&4el=mn)6?3c+)EO&Em7U|nQBUe zUsNY$wyvR$f+6G`Sd!~xl#b`A2zh?5xd2cR8Pz>Wu^K@iqVn;gVLds+i)PNI-o?4( zEb;N^ZDXc1{}k7nE2w92?EMQDzKxUl)=7JiCHK)BTMibceQS61nfP7$O+$Bcz-MFi zo>8fHGUN0v#d0Zp`coh_)wjxcK3YWm={G9dd*#2yL}t$gQ5I<{`I2y&MWP0f(DrAS zo9{fh9K$#8l02#P!FGn}UAIcn+1MG@4*Jixk!Yq`uWR=9z0(a2DO~jGPtMGj-Q})J zEDCQX53V7)(6 z$*$&ruB9&GjQ?6KrwDyTy3DUOf!2&q785dkqh`;io>d~`tyMTsQ9OBBfAAKEip(_P zB_I6~-T8}wvF}^RzFU@e{n)TeiJK-&rTJvAc6Iz1oM7R_&EJg;ITQLr%I3%sx_HKfxhcUwp~9QsRAT`h!Kkp0g!YMAog|YPb1hp($G$ z11Y?g zI@im8<;7X5XGJjsZ%t$Vf*u!tJ^ev8cfu^yP#o=|(P!ja@Kg7CX$@jad?aQdtp6tD zeaL`Y;7qSle~q){D=mxT_O<++Ip*86TTtL_lAk|Z3)0roKgHFFU&@;tZ&)YzLyJhJ z3uAm)VC%B*Fi)PzrExfTUf06+R&1%jMcsAs`Wd8Oe#>Lk7pHvlE=fGmm(F@vY$LPn zBBN7}KHX$LxJ}~+>-pW>$1ZNH@wHy$(!3gi)@ydx0-4BrcD9Y*D6)61uv6?40>plX zt_aojsoB*Z)@S#GzMpG(H$i91h@-fM<-&)^zL5;W@}V>WqEi7=<}!EqEFGVPAY1P| zUQaqD)1%&TktMpyWuhm*@?81qj?~+)#y2C-^JuyVRgpFl_R~o%$4^AsOWckn5Qchh zkPmeH%#583eE20qiae*Fwc$1ov8*B-v7IJbeGKh612L^U+xIYLj_)Q>x4w!^ji+S& z$wbiWcS)D7b;!1X4Ss6G7;D>%!dgU}zY)ZIA!y1qEG`_gZF)YD6lkDQsonsSm92Kt zOJFc1J|=k)tjY5m<|#RI4Vl37_<^&b3%~h~@%rYnfCheusFoi*<{}}XmR=Jq(>3|b z2iMexg!)rw--85~AR8HoUa3&CI}46E@4}YQ@Y_;G5PHK1RY=bc4H%SN+R?r*M})u$kIoPvqZcQ0KpTM^!|niRL} zJWE1r!DX(ua#AVN!bFTz`_&7dVBu>FT3d@kuAf?OKDA)JCnQqhexJPF8T8o3@!9aY z_zARt+4{y^j}uv)5-WD?3-yqgp@CiRYNYAn#l-8E<>_jQj=$Fm9syrbzjm!9<7Vt& zs9{Z$YvvCfP;I&i@fh&Z^htpnOF`pCo0qY1FMDiS7C{fo@^*$Mdpg)ne+9jJ%u!S^ z<#KB+XRU(%ytLJPY&PY6Z(8I+iv;EC&kHEU1 zQ_NXLzwTX?d2{M4(LH@)Fe>A?(MaRBuD501KYX1{CM39YJgAx{!PJm$lnsdKfO9 zI}a;0dYo*V+sJ<7R}ufDwNQNMxN7?=X^M#I80GM!Os?m=+`g8^mjl0c{+7=CEfjG4 z!)Q3WfNfo>==&%cS%ZnQ?6x;=jkLQJSTcP@qs`EY1P{E6-Q*BeAwuD^s}es_#XN(L z(dgEv1UogRF2f3p`u<^9ilWQ7>kl#?nbuR0@YO=goTNu-wE z?w~t5Rxb$;GgoTfd&*bn`{U-et)AifdGglfbKkywUyfj63OTbqK5@PJCbDu%OM7Mg zS=E)l1m#{HZ(KS(LIcE+Zm+_h4<$i+!t<9WWSFWbd8LY#!@Y@*wXRZMMLNe&{|eta zlfocjz#Ld`L9kXm&IQ=#EA1R;uyerQbu$u#{`LH7c8Hkkg=F(Pk`lvJvlTS$k_!t( zPfl)y0l#Ro);B0T%ze^lZFaG;uSfTCmOLV(Uh2^=;q}@1k{VG6ROc6^Z|&+Ap(04; zwXXUHT9Mj?(4iB=AwxV-CI+@DQr-CgwYunhX2BP!L33}p-0fjiMc?wBftxOZC>-~ z{Oo1TH`(k7qteL90=>8g+U=?wm{G@qw$Q1|ZV$02hGt)VoQ zG2ti3Vb#F#>~refM)ZZ5AkH(6Owqqb+}hDO&Eir7(F0P!W;Z@{R_F;JrONEh@Up)pLkxMgvr{lHE#& z_a9ZWdn-ATus|~1oMbBNgbPY@w(Af0Yo8iu(j%&47(0~Jb_@vfiBu+_-Lkz}^3K|g+gPu`+?^yAr|UoYS8 zOt~$&KDPlLm7xB}ExntfZ>Ff{(DKb=TQ;J(DX9I!0cB(kR3uoO6$O3_5f@8vUgH8D>2jPxp8U`O92@si zXrY@hp`y!wAD zKYp69xEwFN^Lu_XvBiS?r%~Kv0VT4&5P$zwRz`h`ogg%(7eD`wQRs^8~VD7ZyBi>`l@v8aGA|i`_@O~)9sVb{i^R5UYWY0H%Vu4>V)J%->lf+)MdI8 zp8AS<_dnE&E`BW5T?K28470wQt-UuXbeSuf-~0I)!B3^XJ2z8X!LF5+q=x4H-{zE0 zwtHHwxS6fwEzRgTc6&>q#h9dJG|p&@jt>_5N5-pK33|K;XE@J9flj3!?w6D@QIP$^ zn(Pewd_#2mOyS}U5xlx|7^u2^bP44wnoBewc^;0<}JD;t1u19*^ zwanQzYDs>T%}giQod4QEPt3mYPwf`RyVoLVJ@L8cN&^gLzL?u~Aj>C>OSKYA8O$Cv zH$4Hak3Zg^EV$^Y+fJlmZR~!LqQoG{C_eB@dI>W7*dSg1FToh-0Ec|jgxT;9 zJ&vJy^;T!DoP+^;NYiaajd*rb{t)2|oi&dx=!n(3vpWr;T=XB)i_muR0jneoI-F9$ zZ7)pBxdcu|(SsN%uRe1*)^AMyNGVw~S+#kZGKR3NW;&6~b#Yl(a(QTbLa*>iH_Pcw zNYlwH+dg&;&?_Uyu0S~);_C-Q3r~m?aHX~GIGAUH?tgd_5CyWzVR(mxBJ;+wl^yTR zR*sd6T%76q@oudVdFB|}MD6q1eLw52QssGTrMXI9+pSBXZvrd7j>s>8*iy5TYX^s4DCKv9L4pU3(=}`KJ;ldn}9KgB*Z6bIb&_96kYzIh+-Qm75$R> zVx-ObWN8xVM!`DK;JdqQFh&_l*hKF;X=4s@^4e?t3H<%r4-`8#8on$?rV$dcEYNO`g+ZOd|YoO{|BZJs!P|} z>}aFAYDV0Jp3D_J7abcJD|%FUjb4(JGxOv-vZRa{wk4?Dm$=^;IFCA~Wh6Gwy=OK#&%L*{%imOrJb~dPSd_Ebb<8*^aLQV7I7CNl z?$wW=4}I;v!&0i{1ZPEI-@S=J9|Q=}Wk!!T-YZEU5LmjSm{IVswIoZe*)mScKd0Kp zu={(YdFPocTlP`&l7{Z>cG zOX1e7Up&ej{JA5m_Qq?wk!r!TG{ywZ5sbdIc`XcNUS%zd3UpEv_h~clln{A-tNgX2 z%PH!Zuw124P3Z7=Ckb?sed@8`ywCJovKK@NOtoD*aaHIZ507aux=pSx^5cp{C-|1j zV5HSzMbBLcxUcF{badUjhYSf#**-s;5=347uYD;=2{GDAf4xgoVL~??->t`d*KxeY z;f3&vOC!!qW0xvOrN?Ik+SJeY*hwu%b8`mTQKuw_t4nykU)oHQVj<^E_V#$BPU92t z_bT}Pw^Yq*H3@SbYIoVW^KQLz_%^?B#cZ_2$@JMUPgkGViQT^hEVrSBd(RgV0y7oJ zN|-+3m8&R4LQ=%pQ`i?X|8T)N0v;pIdJg*?AwoQ+OHwpujGLGWiG+h;P!tGzgHBeFyxa*)$1Xu!&iaEG&?{30k#QR!$+0Gr@0F`iNm5b*z0)=@wRSzU; zWNZRLh=IjGNE8GNML<9fckJxU6C!R2v&Q7Hak0U~kYFURWj7Lq07C#!Fc=Jsgo=ZZ z;;@);0YO4>RE)0x6M;DH*eNy`Tmp=cfZzoXa0D2Ng8q*{LW+$rRZJx}NkV4<9~}b( zzSmOV;Xpx{gRv}>fWvbcZvhu_2=LQONPs0ENDvARmH_$_q?kAu2JB{*#KQ~hK(>Zt zPKdV`J{3cGhMRrgItc9bP;qf!0RIvww%vEfJ_aGk-nM54CIK*rBfuzed9g z<4_?nz*i*n;u2uU0Vsq73XIqXMTn3{plM=Z0>DL~AUI6e%W;_gaXm&3bKut*$o?zB z`zAr6z`z;IAQ%z>MoM4-CG0o>KEhZA1(@Ox2yrABg$J>>x0*!EI9v>e0edwb!#^&^ zIFpwMf)r24k31`oz~Ts4wvtNj1UPtjYBJp$pjL51Td0ADuK~Muqu&az)Q@`3E9p-aEwUeeU25tYdk9P z`VR2gv&bqJ3lT(Oe|UgK%$v`93V~QX6k`YkkcbBgF>gg9#W35}iQ;UDy&kXchydp1 zX>8aN-JoKqeS>DZ0FigOU63S(nxBgv1`O-aDB&<%3;`Sc$**xSCy3wPvp*Nde%SzW zV_yQV_Nd4!S3m=1m}dZ`2bqqIBPa|Ch5}DQ@8>$}9bwjlQAHsP8g4pZ$cMlH7vf?G z3EA7|FWwDX#PDdK`yzNWM`alA0s|P4+)p$b=>8et2g#mL6?vA05V$|JfcSr63<|-; z7!eWzkFfwTVy@~E#>X-Qu+`xRJ1US6=8m<5$ba31E=>3SbZzP>aQI zF$cWK5Ar+9Oav^`4#gbqdMI#HI27`40nGM{xFCg#1CS3H2@Vt?Y>~ohNLaro%zqlX zcZB)j`UjL8Fhm@I4UiUBwZeLqh2%>oCC3O-Jt`-Kk~qsu9V3liM* zP#6*nhrmJq5FkWC54jeO08k!A4LA%}Z;<;M0K@FhX2MYD-b4Ba5d};S68|jSfQ~e_ zy=j&K4hJwFcovR89e5Us^(^#%Jd2Zo0#haoc%|SULJ6-sftvJzn;SoL7=ZlnNZ?4E zhcP3-gir!+2he1!MxA7!{@;mkFE@Zf;G9iJ59H?=1~?SJc?dR83vg2o5{Ai8LL{(Q z2P!CDKUM$}jW7ff1pk)=(0uF{DK6;$A^<_*P_P)_GhB`&q%H_E#;^4cj>`-9k?V z{tzIbfM7!m7$vq01NX<5;k`P6F%L`v2owYec2ocmJUns20NY~%2Nwx2pqyYM2d5%| zmso@}{6|q(>yJFupp%;K1-P zV307NrUQN65dlIZ0&pm9-h)Ab;T;AK_!Hl#>?t5Z0v^M3`ZUn}hnEk$zn@4!aUv&U z28H(%2?oKou~_Q?6$4*W5PQ49AQAs@ANG1o8H59~C$L)iAAtm(c#;2P5T;$nAjX&( z1_1$o$2lA`G|W-9NW}jXTPUWR#>zkd`@?|5#ZLiP8RTJ~LNTrEA+taw4mFI}aR9G- zVa@VCD~>(05FvpHf<^$w0LApGz^$;;K2-crCy3myvdI5bS)2gQB>$8+HQgH= zFd@RlK~Ny)@HXGm0ASf$?O|&W6w_N`1b}7_SnUC^a;P=i6G*r*24u{z@L8M$rY$^d z4j|<5_S=^@F)Fe@?}(s|c8LfWur3sX9jy{b%p4jmPePCB^bQ#beyBG>VrNj~|2h>b zgUfvAzl2aRU2+I|Ofz@r?f@EGj6=m^(jPERAhZtB8QTgX zfU5P+q8Q^VieSLBTZd{Q6vzO)yHJ?52(X{^L4xA0#$!2hd4j4Am~wCbCGfw%KroXv zFcahETzrKFv*Q5E#&NBLP&0C=<+G_a{X>al?rtZg66F;s#T? zam@}+OagH7e#0no6c-FwR3Q=n2wn-u-up2eSWXFnr9*H4578mO+zW6zgh+`5F+O0P z#BxeF0UWe{3IM(DJ~F@%|7$S_UlC9vme02is9iMh+#$&31KD>!~0(J zU-)2{N&^sp|BDYL_CMaok|%h82jmG*OH(D734s^0{^NbD03O=^3M4e&5T#GJ>dDN2 zIoAL~T>&@hlmy&`3pgxsf>(J!oM4n;9881~+kc4*A9Gk4yw;;K7+HX`K92X%Fpe6#Z#vkzj z1XG$1tbt`u@Td;h6U>M(lpYZZf^`}W7gh$3>!=LyI((O+5FZh+KHjqiA;9bbIVpzE zGAuiSS9`#Y0GDF+CdB*!kl_b0iIu=BJt6_%MC|o-d#iGMqmHu&6sXmJo&I5uz0AZ$ z2aZ|61H%OJe&NFeq%1R_1?yL=HE|^P;4^{+yAZpf7S@Vh6eljhr@v7eDD|! zNFQLF^725!Liat5gMbylL->aP;Aq@Dvj14Ye`_63eNe#i^@xQq+!8*Jaa<7|7~pr@ z>JJ5lV~umT2;(I1$c{=7V#y^i>>s$`K!(AfM`t)-3y8xt7)KZ3fgNy6nB5{U#T3Ud z9aLbP5FXo6AsDU#(-Q*x`F_cP{kOWpv8)qbH5}iI5Tan1_8G`i45<_iI;0vagjan; z2$03Nc+X|@LSlzzr@e@VN{EBS0GjlOzW^EtlhC+G!ctOraEB-kE2x!1km^l>}&{zRH zhJOfPQkRbqC=}TFr-1uVpkk>fyut(O34?vV;sQL zn5u&B7Yz)RbVvu5+rsHMA^@n^>yCJ7fnW9Tr5;!&Ab_)w_I^nKz#q;+96g1Ha6nH1 zb*qaPaPvNkbT}1oLUUB?*Yk0hyv&c31Ff_{HMdg zNgyBqu_*>H9!DgAqy>m02rembEEXQ+0gH8@0#{-gL=L4ZHag%)41;^LnM47L+rz1X zW2o?B0Wt!+&H^Bf(+LAc1Q@Ere#CNGcxXo?h)_Vk1duVjgm4LLrvO;xPz#Hrx$sI4 z(OiHwO!>rdMh7(vCxq8~R0u;F?K@87P@TZ#3lj2A)&m7FNB^-1mIK3sJH&yZ0ET3* zz>4x6@)cG95A28lA!?6P!WP&4t)36&WDpXF3Se|ci!1U!#TABGuK^1TJg`Gl8=zpn zxB_GwW-#p7!iJN>K*_#)sgZ~B6f;d=vviL} z!p{jf@(u_4FnI^q6XR?gmvrE4oDdGiKZH;?XVX(3=D6@Uh)_U(df2fzCJztf5cdaA zDtl>*`cK;8BoJ`S&jbK9cSHiPo-eR80iJcBrxrhyg?JQ%z?~1zkT`k}58@C#2<#=X z??j+_>;cF51WPI6jd4_FPYotXvDFzzD&o}~A{Bup6jsfDn_ip@Ud>S%j2ax3M27(A z-hY>A)R8t9LvCUg$9OyklqKM&{Wf=xUpfdxtONoA1tb9;^{50Pz%TvBPFM;OKY&9N zBvuKb_&!kx{s(vv5+D)&nTO*4nO<>xBz`Q1_()(Vd+VINhkg#mf@LuAz>Y`|p~SK4 zoPPmBAfP}|{ztcr;hYXR9ZO~6fgK_z0brQN98du1^dFO9MexXuh!A2Vu@5@@(`s-e zuqeenyK;!gLmgtEa8ZfnD)9ghxJm#SCJLB=q&{dFaNH&y-O=lT&G9j`|38sox*Bnb ze>C%0@(GIyM`hwr9ilP`Q4)K<2>_3P?KN1~gv3eUa2=Jv%$-mn>~Bm!|8kHh*x3jI z6l6HiW*=#&_h~2`G|a;4P})Ea7)>IS1ZDxm{GYiQ$AIF29lahvMqndKM<9XS{r`T; zqqF6AmMf~#z2z(_Py0Ceyps7sB26b;O(f6FX2_{ZBE9}?^aHcyaTtv2_VSW+^f#p^ z50&p2I#`Qwsjh69?C!Kxvi#b;`&0LU`Gsu9-M{Z0cZboAqg>u*e;O)Yg@B)GuQ1KlY7@z$sv8d+xvJkdE!qzZ=V=l{(KbIu$adu&1t>+sLE^m=j40z z@9~r{&+WVB*EIgerK2Kz*E8^y>&>AYnER#Eh-+{ACtAd#O&ob0!q(Vt5@t7j}) z%)U{=IGaa3nq*U&M(>(OeBW>~L09c?Nq8BlC)a)~E;o~-qTv5x@@gn+sXEBt!fuGM zbGvM^AYl_}xeZR(YD_vr4bryimSSKK_wWz6`he%XmVlQk2R@laRM7VTjjF*8GlIJ>aR zdOgtedbaty!>aYyNZ7U?WTQpYbReAbsSna@o|12LGDM?iThsB+?-o-k$Eqs{kugQH zG7Y~6db|FBE7+fWET(*G-dy=5M`&7!Gu!N?!(grV?zCpSLpkkc_!8rd-nd1Izurzo zWAUsCo?#(E_Q?f~)oz~?Dx*fS(A`6S*MF2V*R|6q%x>M+xQt5NSmb>-Z{ScC^8-<8 z`*{m^T>Oz6rR4%Gt*fgOX*Z|#)r9v34yW_g9|>HL5k4d7g&v>k)>Ek7GSs>}6LEKX z`ia=O*377%%fyt`U-#&z^a=B(5|Os!oLMpR?_mh%(Qj72?M<0hoPQVmWSvIaumXQO zPG{Py-J0TM?)7o8ZOH3t&1_?Eb59I&Y?E_@9^5u+@HN;;2-eucJz`fB>lK?FSgcg~ zt1*6~(w^SIN;$DA!Eljl%PYNglqUr=w8NWN<$r&yMt`O>u$j8E>BWt~{`=lWBdE7)_TeRqy`V%6&N<~IlH^9od?G}ip@M#cD+ zBhm(XpB3BoWL^qv71Oufm1+ExlO=rjjJJ>DjHCMn!xmf0^(*=nvb|&oG|{h#w>dd8 zT$1W;7aq;e{YKfQJytTXqD!m$@KTtFa#s8oza)jWWMp^Le6rs+(O9pz`Fw}q*{Kh6 z!V)KL&#V}p?9fZ%)|2@4Ca5g>+ia%j>CkqUf^seiIS0`A4&#ci3HM#AI063|x3rF?*J=@s{WteZf$XuU)}r zIsHN5-0A}KF58x+q^$xT>zQwd6wcpFyjW!5`qpU0<gkm8c}u}(n)_=eV<1yL zO;pm%ohLtji}7%y(V~CG62|1)Fe=QYn4qtSl{B=*FWJ)Y1%p zk>jL1BvK%sM0lfa@|ug`Xjn<>xjWOEK`o20!W;)>vl-6v3F|tmm9!jtWi#|lWdl*E zsxNaG$@zNcr=?N-<#}%eJQ6FHS(Bp^e!W+HH7$*XFcxy#uBF^?7^Yo#mDdz`Rm9v> zf8B#D2qN)`n9I&wkg$u#>1k=qd{$mgQzU4@M)Q*XIuw08sZP=2`U8Zkl4kvw<=?bL z8z`^QeZ%h9iq_FPBAN}ybCxfllgR6u{@C|*Szawj%1amcCH&X2yVKIBpeHXiTP(%Y z>*F8~QEyOPzJQdL8E=HUi)F?m=zYILy{SssrcLf24&%t{(etEryOgNYUGHUrUU2lk z%xE30?VN$XWC&lRVRMcf6gHlT7*n+Z1kuJ_h-fk&;f+8@H2K}C^gBCfR@lVMhPW_u zO)%o-$kcel7b@vimV4!XOS^?+wHwkFv|Gd!j_>1aBf56Pa$PO8&p)eI5@9Uw9{i{( z`>1trH{f`h-GGR^JBMqE%;19UwcV#stK%r(sMMN?<7v4Zg)gs90iWQh$mv`T4;wPR z6Sm7niLzSM0|UM)ksh|_PiLYWZERIuhx!ru6}ho{J!mzRqSBHFt`==D|6WlvInJm! zGO)Hv(af3v$_)*g6SQ4Eo`?>qD54urAD{@-VlQuu3m{SH#_`q$C|z@?)CZ?-0pLz~8hYL^ZbQ!vshUIK(%&P3JbTlcJFyBsfxh{9M(W!js z_Y3s>?C}Xbol+W3tK#QmI#XdaW%PTmP(YBzF@0*%6#Tj1XSwt@kR>5 zD*+UMbwWOJU-_oyVI2O_%;&qtt05nAC843R_`=9L2=8znk7G3WOJ{RdZR=e%D@=t38wD#&3Qc2bvg0&+&Z<5$c-{Nb z$;OI;#b3qrmH12dY_p%0%|p&U&SbTM`iAarFJD91Y$?x1=bd5@yIb6HOpIM9|+K7V2I6Jy1ZO*KHuVK z*XgTg6tuFwlLo2s!qn$ZQMW`(ODm1uqbDp3N3kpu*vb;H39eI>zmH5i9a{HT<5?I5 z(>qf4%C*eYbH~aP>?%C2mUyQy=cxu%RXgptTb3;3cc1J#JyWdu!Rorh@)tV&qFq)- z$9Zn=rL`;(M%SyY=yb4Biiu3OMUrSklAkL3ujh@f%q7=CG)N^|i5wYf*r^S}kQ6la z>zAYEsc)x>kBBMKw@3{@6(?RADW%ue7ZE(ubQMpm91mA;)LCeqm9Ts`l`ckg?#)N? z$<9!n%nkOBsf~#pw;RD7x)!V?iT9^8YeDDbqh^B|UkI(U>!LHiF=SfA&P(~*Fe^0* zYSdcl47Vl+Ox(SZAx5chW_Rgvz)M0A@@{IYR3!`6bSk)zh64QqUVj_@mAeJH9uO-r3B4@Sk^iDZ{z_0s zC(fBLBaDvODy5o#@;K%BW1{h|AK#=VjI^NeiFGE-38RCbAgD0Q)AIJG_TipyED42b zjR9X|h0(bKGD4HbFSGEiHjB&aG>|<2Yf;BKKS&LuQ#41XfCMLx>$30#0pIC0kVQka zB7yH1l;so!Z6y0STtdUTOK3g(9+7Z&va?V=Iu_B{<#e17_$+u1jp!s$6j*5*LN%Y4 zhBQx5Ou){_K%3qfCK9;bd(>~{UYi2M1w+aoo6=mi+t>rwcMmqsZGz6@5*=UTud#W;sM!y zN-sYSr$qF?)*mZ%>*%DGH~z5*?w$kRWPKxDrmhGaKto)2?<+w#54*c~x3DEt{VHsvG&`3Lhj|y=5EBMPDqLw`VL~ zvoEfs=7>vj8*6`V8r2#1DXY|{pg$=#ziu?*IsvLEo zhe$74knx%DN{=4<^BvL9!5U+AQ32nwn+Zuws8piM1tr57^*eQU7AnsV(*A+)cnb>N zer-?k>F3b5MMu#1O%2J+7m(OrNFmb;hc5LAog*E!ThIOH8{PRZTPkIw^&T*wGmzfOV zVdF2NGgIVinMVY-#-fTZas=LV*Jlr$yKAe0uxUygr7VqB?4`>X)x6D~j`=WwhvnXp zG;=2tqjfL0bZAMlunVVlTEEF$yLe9e>8XwQ!rUDVN$H!+w$>!Z4SoTIGlbE>T0Uz0 zNob)loij3%P2S4z3J;^tmU71_9g}9r;T1MXLY=E5W~$nmzq(cfsCwi`6vvDOl7z;L zfN!uLYi$fpIq?7Iy zz~39!QE$$qL{z-xV&hWSecK*lcOq~pfKSQ;eNDk=BZPAU`cf!w)q<)V-4@3rJxHJ6 zTVtGY`CFs_Fa6AP73l?2F2V2LPLFm)Up0*QP2AaULE&3GFvsXv@^?wnlJ3U<6NwDn zkC~VE)20?LZ=biYDF5)oKl+sCG6m1GtpTPBl`BP}^o+%WwpaLn74%i=tb63|Us`j< zqIT?4!*$40O5wjV=om3{3GcUQ(IKC*zA7ixuc{;;UtNvz5cw=GbQ~rDa^w+9@fx>}$co1JcpQaPC$z&UUeSvAyT0PKlTJuR-%!U_lIaTu9fRg94T;;bnDn(!GHY5$n zef-4mrayXGXe??l6rDr0JRp>KgS-G-IVpFW^quROA04+=1}fuuSEz}|r6@Wtyk5v_ zi??oKOf9RwXtRbkxs&mhV(!Abg#f~16|}i!g$%~YR7q0x2vRv)2TS2|YHHnZluP_; zD-g^#azoV^Cx0>0=lzWSP zSCs!kBf*Z{!y>yJUs;+&ellJGz0aC+Agyyw8kx&-z56ad#80L{>fXe)T*k4?v6BR~ zoYV7XZ*>l@+rved;HbTTd>8LZPX(a7Qf_pw1 z{9`d8ls2Vn`=B=Hxitmdbu#V<6JKi+r|t+kD>9x4Jvw&9v*<*F6jgQRU+}_soppYM z{Ik?)&KpVY>ioZgOZ-B-wd#0c|0tTBZ_=*gvD|dy2B)PzqjM%>`;aT$VU!r8{&j2_ z1h#ni{$Yt9^ZR5uvJ z`jIvfVh09Eew_2tzBPVBsgkc~b;E>PaoY#231q9Bd%?$nsf=SP;qK*!GsU^ zYSrNDLk2KNnnQB9w!|mv=eit+cNE1>YKf)bP{^=Gwx`jI1*{wF(c1!7qt4Zcf%iAX zKKk&n*EZL8r^!Z*_3LS4KLes|{iSGlfh^BTTF>M{jDEEh^}|ZRhpW{2Gr^(ey1wX< z_~4-A({2lCwJzE7z|pk!oZX=p-d|p_`?0#yhH$VWuTu2WXf*s5U1HMc!$d30pz?^2 zw|cN%oLIwWs~P$2x!nf18R_^YcR*;cBI8Y4`P@K7hHkNkr&aRZi^U#H=E--V!1wuf z8;^ji(``4}mHTIw#n;k=`CS+vxYtKbMc>9) z)J@;+Yj>TS5e<`Yn~F)gDKk8y-7@Oy4lDQfp-u^JtD0UBiIE$X+yyIM-E3Q0OK33d ztl=9{gE-Bt2KM91$e(gx2go?-8{a6u*RbDERh$)ELf{Nhx`ZJ8E2H^^{WptB2QeFS zu$n%ikN?lbOSi{XyDzq#(WZf4y4`ruUGs}s#+v@6w&`KHsR!iN@2UH%8?Cuqh`vUb zRmATCihR@iydOn;N4DMaWGYuglVrT@z1Pww$T+^OW{>n^iL-UCOrQL#6{a?E~#Oxvo@l+%px*UoN;$ z@KN4Ys;9|aJqgz7#ve;?f;4v-ZL%Y3YXBWsImtJ4CTufZfnQqMZ<9%3^-`}`Nx;VBlyjq=vvh8tXsD7SbK4d0h6>u2xn+^Y z@^7}GbR^9%JyFNvney6nNSL+0_8j?jk8|m*BU>h<(5J~}=^p2<8JF#9Pp~y^<{GXh zv}|hT-v-r|7XE;eLQ`yS<-1`1p-7A_Wg4yO6OSApdh8iY#L|Ii5~B5j?mlK9lL2@S zdB)}^H&tXGs<~Y&t4J3vMMIBKYMZ^DelA=7ktNe;*^zp3n7K1B-t$@I?CY)_F2>f! z9eKB3y=PuFmZAN<94W}?`!-rFEF_vN`3QHw)ytFt2liHh!rr>DdAA2xwqz zV%P0#98F=CB7$7j4{M*~F4rf$wG`@IxWHWe>7@j%k%8s5#s+u6rYZ%xw3OypI+v}8 zp=eDfhjLr6lh;GtjWdz&oGfib%}%5?I_KQD13Ecu*7GN@dW#XUmG(r#)k^e1R-*75 zMXPT)%H4e|&ufSQX3r zKP8>Qp&RK##{miHQV=90q`SN0fCxx8M?$(wK|s1uN+~4-q(eozMffjp@cO&=`~08h zI%j8g-k5hjJ3G5~`MkL`6Kv$9y4J7M+_-DL7?4t=;84{!E|;zwOJEKl?lQ(PGuZg@ zaT@~fKV?8oZEuQ8n8({6zE6O zo~GBl#ilYrJ5{979T@(0rMt@}&NvEY=KIKW?`@1<^Fo$Cbd#?sFjZ*xbEP^h3A#^c z8L5ApFC^E;&-Yy1ap;1Z+U7ZF@zhkB$TM9p!@0GEUqKY-4Xv=0yLW@O@vQ@I4EKEh zbW!R9TCN*5OCBvI7Qq_;CCArF{_1hlumy+&ER?t)Gd)bE;PwXIMfIr{pbloTL5y}a z8V~`)I4?vsZQ3{fXBX)I?DN{UG~?23WD7tiymDoLoZI)xzzXVvYXc>SZ>|kEv@c&9 zAiwMnxJ!+#9r;N`RCCKfE$9&$g5*I#YqQ3?Hd|94ootHC3ZBR3j4R3F&~5PrMQZPC zc|LLGNMD;ew1KpA4v7}AN&Ha(qNNhFrar^;N#_#GFcy-XticFeh17P767n#GLNb2b z_>9|{OZcGFws&-;ywGTAjSluc5gEEHwO2O}-$99GuI;~^_3LwapG*}`PW{638$K&FH#rD2eHqeQUl7abcwo6p= zjC3Gb4=3XmVizm##-m*V?hMTLy#r#!lnexH#1=`w3;*rF1tKyJ)(~`3l@L>+{zZ)# zHU7mlE6Tie#I6#jC=(RyqE4O&7d*E2=pWM9-m`em1KRV55WD-JB61kXb>#0^1~`f- z^EQII`b6Z&YJmm?jW_DTa=Gbm`gu$q?DCNdW*KzFYmk`@GjrCZ+VUmp)HKDrM}&*@ zW$d;-=^qKCnts6mn$UIY?KHCzn#4&qlM8-IajavuaDtj8OPu-Z@D6)laD*x7g;Gpe zbcjb6mbvYH*#>*)@MV|K44{i+`_*AOaJaTtSS8(3mtzqhvPNM=tm7rl{ie5n4~I9h zTyzfq@(>aDpEQ|{UOHwg-g3uD=HtPF$gfcc%9;DYh&sXMQz#`TmK$y9?mAnmGq-$_+>6->Vf7)$k8 zI(Pj}W}XPs^78rNj==r zp)B67jh=m`$zDc$s;lK0m>^F-Cg>PAYLMN&UB)NT7mUbI(Tczr3ogv@rVgScZ_a7j zN1(*KeW&g|-Y0^}ZG9ht4U(9x(MZ}hgs1oe132?zgPz|Q&A4#p3eeUNB);3hsPUx{ z^FMlgL*%Z>iGDqdklo@0GzOvt<^hdRfqBFY{p$O8Mmae0zu!(o7c`e=Zqq$jA|z>A zTyrp(jb*Lnk=jtzZxz^pM1d!2zaJeuoNj0{crNzg<#Oz6(t%Nao?ihypTk3;Z7xZV zyNf0{AMCgx**&boQjgiat01fRkQw`t+AjFjbLA@i7mMF$9b`O>`*jS^N?5*qe+JDC z)Jg7Y_PI4!T7S2L-AHSN`&F<;(!P5qEr=0sFQS;}2W#Xj!q?N<4|Q<&cu8dy4d3HQ zsqJ!e$#zI`wZ;r#;`#`GyuT>C-t&1!5ojv%4#&hjegFQ1^(fY^AC|qTg=)4F9$AZS zC~v9rAwHnd!WDhXDHXr)|@t^;+ND=~XsU`QSFeSvTOh7ohk_2T4%fU=qh`ngasK-WL%_a!qMugQ~J$+n-Bv`|Nct2F=eiK(gyR(5Fz&Q!c*Iqpc zehRiP$#9Ml^g9+o$lHjGPkY!==Yc(u0S^KVzPa&E1XPNo&)fh>N`q{;g_+X>>v%ft zL7#f}=Dm zU&T@*kxnn6UkVD%Z0hm`88Y2v1|XYPiXiJ(yq*&;EQFBMsg4~@WwuD0B3yi}s;KJZUy z94c&uR7DK3kB}&TFvpHmMXk^e914w3*#ONecEGW`0EJ_IcuFmKE4$ho#V$`qpK+Vx zN|`YSQBacK7i%_!t-FlMv|6h)0zukst{SSl)nEaGe+0jd%Ki5P(maaEAfEH9fa;kjbP?a%caGpLjD91cvG(mB^LsDI__3iKo zlFYehiJ85X*D$NmXO~6uk~d91NL}s?yHmfF$1N4Ip2~K*yeW{78Gn-$Zffx)$mQLO zj!CIZ9%uZzILjz9KaOQGLNt9W@7@<3<5JYT&T+M`!v^PVPH=nVtgqXznqyQQ$tw1#NKfCHaK}5<4$BNbhT?fX z6>29j%+LpT_0+ed3mrFz{NAzhyI_hh5t*HnhYkwd|6rLRWm*XIt0GX}CM!a32l_D$ zXYymG2KtV2n;~r~cEp8C$>K;`AQ{9yrQ`ctKnuz2eMH)RaGZ<~ngB)9eQ0oE$l{$U zPA#stmKOARN$ZfLpBz!+;gdc?1GAQl+s|83Pqtr5ihnZv5!L;}Q{lm(P0}y0!JB1* z=!vrvhU!YJDTeRgPxjE<^qV$<*5(sqe&)z18{*C;;egb!d%Czo<&h{+nZx~lGTYo%YE@6v7|2;hz0>adi-j>3Md&NQ z{vQ@R2)#4NdV+*(uq@27%rYh)j5ss6eydm{H0{TJ|T$>FN&>=a?l&@fB zUa}v!dF2J-&Txu_w|?f`nL~c6z_0Ek_rd#B-<=a`8zoUSr<9W;CBBqx$^F6a)ap?f z%HfrpJc`Gv{tJpvv1~pn7YJYeNV~?ecA_L@6nz$B!l1EX=VNegXIJ{@tH=a&wb7k5 zjp0NTSTjF}h3fQ&J<51WHJSZAqaaM8$6JdVWm?PiE4Fvq&z!(H(Re>*bgSbS+xu_C z_PuVO$UIgy*PX%|I8;UW+Uo+o+Yo|_q>v~^G+o9goQu+MYixi|r#kG(0PFa#j==i* zFIfCQHR=cfnrf=V9Vy+Op-*a(OouxuYT*z#gEWIw4;=(gNXL+mYcvFBn(cX{*aJ9wBfJlp7K2X_l8bD9U!FvFT1( z;MkTHoA0d3U?r666c5y(A9<&o$`H8%M3%ImpVEzCz78bZQU=Q&VkjHli@JHYeV9@y zl82#H8PzdES+!apJ`QY%JKmEw>_)Bq_Ug&2uLM5As}fxDZV+8wDXZ>kIfa;wZsHG= z>g=Gk3@5Dqp2kkJgz!*OJq9*CV{`nt0lSdo2Xk*fi77g=kD;wh?&^%`61p#;1At#SlCs4=63z{fI$(8v*`GM4)e6*YnO17^+j zwWBE@p146l4GkuFmy_&dtzgWjTDmxF=(^UyIwMGZcG99JR!g7RxewWvWT z4pr{#k7!dJii@qn&01J>tp$o{tqMM8KmAMG#~K80#3vYvCHxGfFdS*x{}_l`^2#lg zOd~e3_`5fbMoTosQ(SYg&SEM=K_YN*x4U>CcENT%`@B?Ns)~FNCgZDHWl8meRAsEr zD{V$n-wLFogr2KPL5j$n=V@xuxdh0zvuXWO8^+Owv+ErcTnkt_-1*W7&<@oiU%n|| zL980ED?!tVCwQj^WgH?>&8Br@4M1SVTV~i`PDm*QRt3eyE@Eye z_aUF46K52#tBKlt!G0Zklund+;%zdr@iKP9^;WdjOdg=TToOZU7r`}3zDxuf$qlxa?^^BCf8_1!khhZ zOB1tS4@tV;COQ;;p>nBnBwT%RE!X~y=Hy!Yhic4Ul=V7t##zD3`D`1mP8k}2sffhf z*Fzmn37|t^bd`io@e2%Of@B#kUIH3=%Wtm=kUmD}6`Yywm+K$0qE{UK*b)|k27lWT zsH0XjrGg^71xkds_~FV!NCXk*R^|xcdIeP-w04e9+Gq%zG6rLbJFrQmE}S2kXfN#% zCZ(b;3-msYH}7A)ua3zupDINZc!&5;VT1)#uZqCSqd+XsJ4C0;Bg7}94^<53$=X); z{juE&+K5A3HFu!_Nnd}+O)gjdR%?=JrX1}^sx^6(Lp7L@^4vfcs3n`AmT)e`_Rko< zjmIq%daoQAk82U%kjD_|#@!<<7_180kvHFbJ*9v{|FMe9tr7Uxhl-Kppge`;S%hKWMIH33P z_)mFZi_ko;g6$+cVQ2=uhtjTY_#F!&R>-^+0lK}J!DEQvg@>Cufi(Xzi}z5#&XWcb z=PADyb#7uv%lkxY9!q9*^Y9m1aPKpxy5BRllYQbW=*+)+Vn};%O}7p*(i;pOaX~$N za~!QGaO8Vz3hW`IP;6@>BPA4ajw`Ae#5u$$ka+9!N|KRw+ge=0~}6U$Oxls?Pk)0t0o46 z9xpl1jyvovo$ducPb@@52C=1)V`~&!*>J1ow*(Att7%OTyHy#ghCBUwMl)S;_7JGnfjuosHlX4IpaNOI6cF^g7CcBES#dSjIVM{`}GT>M+8`2+ej}4Wsql z*U0TU7=CkBT{=8|b1Y6;s*K8AxEk|o)vuAEIOew=#zpB0B!NN>5R*Wk6vtj8gL)g9 z`6g7gh*r^5wIVvt5c)A~3alp)v&*%=rrNTwWBv*jGFH)n+IVT6e|Kh|0^SuwKAd)W zZ4N1GI~qgf&2o)+OPT%w-N2Q_&t>_oDz!XYXtsM%NN%^9xtNvWuhF4p*lx`<&HSFD7Ovnknn zXV$X0qH#toSe=#*QiUvr`)-0jVVEfb!4cBZZ_3GtDC@j1!Y9L?cF6?mb~gQ7#=c`l z!-fk2+Y*DqylR(!NBG*RGN~Zxb+$yCL+u;QX7%zUsDq#spkan>$7m#9lyiHHz}WUS z0nB{@p?dUHfjFPj`*xc07SEz<}6XVIN6i0~jR5(>G93U!?? z_7VEDNE4k)QU#f0`%rOE6nPs}Q&^_)1>WTkinBy1s=Y1M))H*gPGOmu=Lg!LbF5K{ zBMqfST0D(L#KwM^l4s$B+ohB{ORe*koF1@1$z@kg5(WQzgSaM6YLo(f#I9-rc3g{X z(wh>dv18rlEtL_b)X>JVhLUDfa zd8=XX?I zBU^nI@Oj*(Ww8=)LZbjk6@~OGaV>xtq6Y}3Ra@0($*TL{9J!+G7Crq1IIs{vEUUvm zGjuPHZ1=ovm9R{O=w{6d19x=Rz8Oo38tc^{}PsstaC<_ zPsK=?Q`)V`Jv!4$$ir4%+R(!E*2zP(TY9DA^Ex9GNNqH#hmpTuyM0-H-qBhsG@`3jY)`Cg36EiDFgB+@>5KdVu3Tz z;KWehAg6+Yyhdzw+O?MV1HRv&+6`Gc zs-sxNVy5vJCUKDXqGni+=pN?3u-@`B=2s^Uy2v&bg!X6e<;Nt_ZBF}Nv!mDN8!rtJ z6tAK7ibW}iK@gS+EP5tZj@yAGh=?ABQncsL0JKT1{l)vd+HE(^YSLro zW>1>Q^QOAuAE!+NTg?Xn_Danr1Z$oZQs#&+d&Xm99)oj>2-zBRdQ9dkhzzQpXR5G# zhgyIlk6Ti1<%Omvc&{LZO;oxUl*g#N8*~5SaXLmLr30ANHS)(Gd_7%1$@4%8^y5pX6w-NuJaQ+dhlUVw?e(~>j*1k9vsggjEqn)X1%&L&+f=`q)ke#BxtiV19~O_GxZ3X z3Hb&RV-}*d33)V#AuI6ptamx^TI8IzI(K;q_xt_6HL6dr_#xhl4s+SQfitvJ5F?GJ zs-cqjR(5Mt^9ziCZ5_2;2iD->h=kZc0UimP{BHBp;L(VFmw|n>A`m0j7)`!bk{?QG zxOKS}@CiJ0Sl5}=0oo8GY1e}jC$c`MmEng{8E*Ya3&2cBhkcKE{R;8j1`zXBis)oT zJtDy{Q{jl7Gjbef340p|HZK@C@NzzaV&1KXdR$h&Hq2c|bJI9z3ZhU6To+iuD-jg< z$p_Tp>_5bw&UDc>E&>pgV2bYtr6TLMgcX|t#k#3TFBItQpcwq~x_54(`vG-s(q)l+ zS)^PRFrZ)=d-U1&xyATr-{s=6BmB?hKrwq+tdy4?8M*9R){uTZeY~UEPCXvd{)W~Ww67H@aX-#+P?U5yRc zxbOI>g_@^n^8S+r-(Q`X9q4PcDh2e=tVB^m*>my7!-8a8h1wCIqDS#2LiP5*yw8_n1rigNm!8M-;dILOcbbX@_Dr!p&NMM;|o-z8DmFa zTJRRzobz8b5fg4caQm%2#4O^|8&G}^t={Yh#P!F+B@dkpNrfTE(tJx+aP5UdLc@lv zX|+gtaB1LxG1*f)6TUUYY%3PN=q#3FQh(qM)nG_uEi}5@3kZ}_tt5Wn!6^&< zz~f#Ps?4*3yVqCv;!)U1zWRf%@*JS3%yE5?!{9$E%ruuH{*7{s+kWznI$0e~y4h}B zo`1Dsp~(l#qdun8Gj7NAJ7cde3m?vEYp}MAL`coDscee%3n8}@R`=nDcL(@sm%o9> zpzU!*Zyr#|WUBDu5P9G2<2PEKx}*L+-ctPmMVx55$(Ok0DWWk{#)m~OP*X%-nvfgq zxa@A~Ih#W?nW10*$O5_^Nk%i1-z`8bXGJ?bnGCxAFz;B3K)eKd&;f7RHJk z+gVw7g-7m@(n~{2ns9ONs&}UBKr!w)Kqn~EhggmH{OinuW&qRF@9aAO;X8b5wYM`#^vB|Yf~V_2ngEZi;GCpgjH z7;WY>ZWY3;erprGFv#7g-U?MP3=V?D)c;_QufXungwatNo^ z8)D%{f)BbTODf*QC!lrXl2}mUJD!%> zwLh)`fe{vveL#90kBFQwi;d_MGobIpZx`%8T}-y15$pctwQ4fK6P>Xc$~PWstl5!Z zqi-|^^&T+Z&JZLDr}MI{ClVqE5ox=xRkandkV`jL;ow{V?Rin$r_ug3trjhcV2Ev1 z-`kOGQ6Kq}-lSRz_Ga(Kfdo>)FumPGQlVv)^q1!q6)@v#K5%k*+@i=Cd3c*wDnzuQMVc?-1!g`KyT^U0 zCL4O_R;KGGQ0TEJIFxx)e$ zFA0gtK4K{0#vvwix+zO$(8}i6_TOb@(R@jmL`93troWzMey*mrp7!P=M!)773J5J@ zl?6!Al!O4 z9w_w^tsO&VR&(`xSP&s8c|A^TIs)PIV!u^-`sYWrxwf3v8fKd;jchY&v?8_qyo4%= zM9|cx&a_I@X8alf{ojlH<8DNI+Q!NxJgwfg5zRUFBg(iz}>^+_ulf^b$H*-1hdUGdQ^5<_8O;iE5@^{%O zC$`w%Y4;{bKS{2>;w?5m#|+lBc*Ro;l^tPLV*= zY1FH!Cn?78a=Cl0DY&OqL3V`FZeui|I}{v-|BHUbK;>Z{vjhbNIaG5ak_a^absXu- z3ocwjWQLG-lCYeY@7U8&I8@1T^eLIxryGH$aQ}F_#mFwo#vp7%N~S|u-Uud1N42(2 z2u6fIL1eDtFmpS_UXQVfVRt#y>NYm<0lS~`i%Ak5)-)7pq-GvKhFA_ef~mtQD5(R` z7DCXHtH{lCEn_01cf9!e&feP;E?ngPqHsTF($^QZIgwr6o#>ypdyI1lY<2)`+N5-r zbwUp|B5|oyq%Xpjj~BVCxNM?;-a)p!(ieuh*aYrARV6#nfmnKSd>22yAM#^pxs!+n zwBxV+c%hFQ&t#_sgcvvUY$SGozo`Gr$-NYIhIH>-Ff#3Jo59(e=ri`^(?5SWAN*bS z;&5p0eBbh9yP`kA@wbAHfy8+SKJ~ZOLeh8N z>u2d%h#t00s~fDm&+~9x`7Tp^9MFxwi+e}3{e`%ka+eQwZBe9UaF`eH#mLh7&dZC# zqL0wuBJ#O)0!P{G$A@G!U3m?0dLiDr^kW& z+pUcS`cvNr=oc4;=*RC;FL{EmGX2@#Fa|?}ZxZ_f9NG}!f0{3;k1n$S0{Q-~DQSRT zzDoiM;P*PgACUhBUWZ=i@)Hoc&hrQGuwI`4Xg;q5gs(VlFa-b>jq5sG@S0{BXup;d z)&fbm-M#R zb+{oOxZrh8i_1)h*XOVKRDt@nqk;ez?3ElZTpq}5bX|wb^Iba(736~(=7Sr)<{rZY zxL~dY_~3%T--BE!;D?WtA1=oam*a;Uyyp7A1ZeT${o!)gNr*570dCDmn1J(e#{r(u>vp*Obz&$?fLr^z z9pD${riB7?1c57n=XDgkW>W&%;etZ&5yQa*B#63J00)sEkVxsu5FA67RNGf|IEDn_ z7!rhINKhCaDS$JE8+r+n8xRR!3j%zyR|+84EQFYs85S-T0tBw`-|GlLxUWYDfnx}u zG`&6##}EWaZE+2=NwOb8r2 zkZbS&b-1H&>_Fg{fxs~XP@7%rfnx>&#|i|F6^J1G`oAQVy)q~WHwXvGWd@zAb~r{L z06p<_{d&$JaI8S!Sb@N?0uh26hGPW+UwAH)Ze7U>!6PIL2Ma{_8e|YSSRlgiseps! zl3?gk@K5GaAs9~Ar*j;^KHu zfb){W0u161g2RoLOU2yFjaCT0gaJBf;Q;ze5=Q3%Bz6h50N=IxM^4qn+yjui9{L|O z*Vv%tlCySky;OT0L0YZ{##hzrab5c9?%+nt!Haoi@Om2lG6;|tJ6iy*3R!}|xBu}C z?y4Yc+Y(HUEW{1ulez3wXbBeL`llD%u^Y>hK#qZ{X#TCAABJNEzGwNL(Ol2NpV3^$ z@So9Kt--1s05{)RfjJ0-;FtG}2_9IWHJAcfh=&_SZw(d) zB6br&_%LovUXPszc6!~N2bO6K7Nz@lclhG)M>{Vp5J-V{NgYPu1h~Lv0~QebkIcWO z@Mct!05ki40tchB27_Ro?qD9`|LD28dSKbMU}9iy0CqQ9u!O{aB78GE_;_vt4#x|8 z5xa>nz|9zM3kDJX2g^MCm+A>&gSKFHPQciepMOSugEC1Vt<=9qzyrg#1;8w92WA!j zNANn>f2_luyHN}1x%Ts~Nd>4;uRM5T2j&N+;ifBG(TxXiMFKEC`%AcLHxQ$4 z2QVvv5d8jf6I@=HkK-j^0n%kkN3giqKj+~?zp;4j5d3Cyd6~mk-M`H6UZN5ND|ZC5 z(EVEve5>=vEFa7VNE8Uz<%8XJ0?Yrm|MlAar$0Ar^SVDb4CVxu<@}HS8{|pS!k6{G z9OJpZVyT?LO#fN&{vD4SK*J*r--_KJ7{2!WiMa698Uep?{AH0>05;+Z#G>69OvNJv zFaTbz|9=K^Gs!nLZcf8z72sQNlxGyae z!#Z8SBI5sax}Ht=Uf{+N_*UR@HsQCjzl;IY%~y)lT)|Re|5gOwf!-*BFOrvv;P<}2 z6al1#*1)yBo-qV8b6d;b=KZ-)Mi=jMV3ZlCbo|K9@=yw-HN zYyub5|1}Q)+XGAq0Gl7i-~omJcYqrtU%U6G`^|_>ue$T`!%{uKlK1}I9sWpg;~0FC zc6FNt2y!n`^_OD+IW?IlSol9S;aj{vN?^yHmoD-F#IBxT`TutRchH@@uDV06HymtU zU?yO#{lCM4KXLpqaT63Efbb#w?dLU)bG*Q;*8frTuj#v4f8f^&d^dV?J;HBkf38RP z4utnw$zLY?H?_Gw{T?tEehXgReN8q@_$6Hr}K|Lm!ki< zs{riTm(XYO0n_|9VmBeW1_Jz%>}qD=!Tj5YYrv=ZfGPj0=q5yPMgOdXJn-kfzZKo^ zE)zn9uAh7VaQSl6LI4(TzWUwB zCiOos`ZFT9UpJ@%7Msg#et7>EyZl!q>HotiL4Ycm=YM1t5xRQr_?J_1)|T!r|9`J; z!UOl}25y&L3DV;K>x#PM?7m_?CPe1p2bNf0Ff+0c!1OKe3ufg0H+10-LRZTv{2}P? z$P2@AkU2CjAk7heYUVr*j!3UhbQh zEJ6bOm!62i9u9#?U}#00OqZey%ADjNq3dTc{l6C#0T{jtC-Y_Jm%z}0dy^HHA*|hs zi~F(wQq@>-;Q}Ue{8@+qS0IUW1Wa$g4}>a6TpU(ihR9P)2 zK5ceINkPCgTS%Q&S%s3GhE2h4ZBl96#s%NrAtOcY#hfSP*VnZV=@7@SgKOu0tGA4w z#l_sk4;y{6`uVeOp}C{vddP|Dm%8QB5AkaTK3fifhSCbnCMThwAMeiE(`6KFq!b1! zw42Pm9o`k=LGgFNQtN#~TAX^#`(qrite_uF^J!}AIqjdbH9B|RJ3VP|nO(JY@Q@9q z-OmleH)!(sozTN_x@L{uFfaP`wZo18MYnLwLxR&jtzw!6lbuF$X?Z`d+c7kfR+A9H z^0^ve!{AN%^(Az%HshsgoYzFl&p38c>o@-kJ_kscfah=)*kLYo3jodz_{&=B&YV+bTJBRo-O3A7o} z@XF-erjf|F?q(BMA?_ct z*6$*p(YPS{IW8M4Ft5F55Q!RSajO~O8a8yopIFclk`9eKSoWQfyVFG_-|oq(du!=8 zZOu8S3Utw5hFQM;{Rz#kWzT43Ky4FT!)w0OX742eh#Gt++Oq zxy7snC^JWBQ7ch}v}X=Y?u8Kvb@1B!{cWXYkmLKK#jtH-KcXz!rCz`4P`}QKE%EP}L^R_w?sU+lw^|t--euJ>I zyF8BNoQSyECpwN${YcKm1IX_MH_da(?-=gP?Gzo)9fKveL5k016mR*NnlUt_`9+FK z#k3!>oV@V+cv6qa*%!T>R13896c+{xsqg)gc_7d9Kg~H&baOV zjRMM>U?_6r<*2;X zb?}UQV=u)rmdYak$Btwtff*vs0gfd>SG{`ZuLjB^@*~`%$D7!mxUJp>D+4E(;vLK< ztY_wD$!AB$cqe!vvYlm}zjE0s3Ezg#E?y|*G=NPbh(KcwbAK1F z2gf=?oD=I?)*VLM(Rv+w9TBp)r0+M7p>QXQMmsW0EO9y~ji6#IAh<0`zYI}e@TAYuh{8q(HevmBwH@=V0MlK1Vq^X$``-Isyy`F7s4 zldLZJoRPugDN`}D`O|(;G?G=&L1mbOVxW%Fc=H8km~If8cD<3Xb;axmx=xTuwDwb| ztk%xU?&v1`g{+{W`wL2g7}Rc9A6@Vwv*O+kC$FQw%JpoZk=%-nqjBXsR_}b$e4Az7 zrv|5X%~4A48S%NN*R4e-)SeU5_Srg@13#ie2-0I66j6H`)g#Gy*IIBn7+m+#8_fO7j3_sQ)D*g`^fAuXJX&A2 zrCw0ZV(>%nZKuDKfD9q6sD6?EcHd#P+1m71=)vQ1y(Wu;(q#4&t|rlG7iSCVjx!y; z_XX)(LT%rgt4etC8aUWGCX{6gyh^sC_ ztF1}7K!Ql$JQ?=a4kL>85tb+Tsmq4KJPBR)@rn(7k1IAZJ_!<}O&639nh>UxNZXEZ zY9gy5Ls`}$?I%P0NsLhO=klwX&=c&3OP+8GIzQsXPgn4bTUtyc=KAm4R(jmA6 z!((-LhGS&5!{}7voD@?f!tJADxUB1k9PJ;A;S2iIpM*UJG9~WbCiSzh`pMa4jl03w zl!vemturywxe!LJ`c9N4{uXM+^Ha@^jaG)U`VNwqb$AC~bWXatsp?t+k1CFM1!-kJ zM+litvN}_ZJGrT~aEmx|ceHl?-W)nNt;gSABCOu9IPMuLHgFI6ae;Up?XZy@sj!Q7k6}D;`Nkic$nip`o~qSIla})sOOA z1{(V5eiI|v>xAU_-sOxDkDLa3&AgF|CE3{%^?kNFiu&^54>Oa?^GkcERCwPrzxGLn zNj9rwwY`3$PUVKH`k{H@QztGr^#{jrIi}@dr0hYVGxs&$cf*FZEU-|zbP0BZ^HkZ8 zE=iE|t$L6+kx1CM3aZ@1l&~;y>de%5Lp@Yv&eE_wEB+q-%c?AY%S*HI$8VRD&1OPNJU#IRq|^VIBYNI^C#&(~qiDX58~mRW}) zro|Xrc@U<4#-l;*fmEuPDW{}%4$q1qpY|@aQ4jIa?_#&Fr zXQ30O)6}S{7s`hU(2@srOK#+!Ox;4;(;NN1zCXF|{S8ZjFNh#VwkcZE!}pDCwnP!BM`*0}Uu#^|)}-3sTU91~tslk{ zstME6!5U6P(|O2EsB&L|SzE=LRVtP8b>C!-zL`bBPo8N{l}Ke>Oo4U0XPXKy%B{?- z*(*SKGSUPWZCahO$;GtB(VpaMI`YtZC$j`cONXBMuH@fgiQn+tl8(6(mD}HNCq%Dm zg}K=3CH~eV9<$s;`9ggk!w=l#h55TSXfie7hjuk-Bl@nz_XJX+2f7V=XhEf+{X>MD`FZbJ=}9_ zR*e0D*~P?!ZMI`B)XZ*&w{(i5C}NgZeI;n@SMI;YA8$=5Jj~4Opp90>g8InY)WRw+j)=r1ovHb5Cwn85`V7HXyHN z8f?Z^(&a!zIBsQ+aZJ}A#2{IDGPY)L`)LprRF|7&oH&4sq5Ff3f)J*@cyOGlkkm(e z^o9VO#TJa5c6sz<4_b}QTZQN7QpscZrKnos%bw_rIRQU8&~cg&auy!bYT&KOtH`mK zqlF3*3=)xs@;?zV21^mXlCs)`;5TjyKI60rrkUj7yNDXzxKEHaz`(!di*8O%V59SV z7}`lf&@XlGOFzCYwmatqgVcR3hMF3r9MEe+oycMG^`*2Sd|fnq{RMfe-#pL0{KEg@ zg)Eg$EoZg&16?1--q9O5r$Y52^w$T&_tWV9$eBkev*`Bf1daZzNN-ScnifudEg9Ts zMA!T|(EWd)NX>K;&qoT~$=T6Ip&==7*j4=!jdCs&rV)NGMooe^Wa*hN zL6p<2d(Xn?prb-#pQic8gl75k-eL$K+hqiQc)p-!`H3tH2Q|lov-2S1KGr%oVq46J z37YF%pI*-NW8PqG%|W>?ADN;THD<7#550p&=4mHtG0{9^X64~W{us+>Jk{B#M265j zkBkBzdb#t)`Go}r-C2e_#-(2TnHEp3CkPD8gCJb`=t0Yh6lYMLdNpsI5*2IAqoQIG z*XeqQ@He&tj!lka!lu2Vv4Hewa53@bGE^kQ@+8(wa%D&194C`y_Qce>2V}oY?>6=l z>KU8qGBPUW1SUBYorP8V4^hKlDQ)SQ_<_FfmevN8Xr06jmyTi$X(JMl4s+R@L}>^# zVrkpsDdS~g?}V2|pmdtjTk|Gp##nKi%$nJK;d#<75|S~@KuPFjoc3U#X5n^>0+i~J z%A%1=-OrKdm7Ys(kLBLjrePE};sn-kP)Lvb4G>yb<5hxImHI%LL|KRV z>q#5Y$l3`?xPH3U1NHudQK~v8N?ziB@J1(njrK)#!e5G6d@RcUZH_mTcjRud>-sc>}@SS0xk}B&C zuibufo)d;nyLm`?wS?Zg3W9O=0se-35omKHZWCeP{u9jP&~J792PxYjYY*aR7RV_` z38>f72q;N1mvo64suL?fMAiz4ZfP-T>-aipIZ8AY}I#NA7C%!t1i;+DU}-%o3JuAWakS?A zVp_`%=>?<#5)G{x58LW)f)dUOCf9|5dX^eO4S*bnI*VIimFsCSp zt&Xm_xBRJ8|MMnROGTxYr)?kG1lv(*0+eG>vyS7Vt=L4$jLi?XuiqNS{F~M!53GO6 zF5Oy_9FqSYmIQBG-|9^7_f8Ng>v zqWv;#J8VURH#?7HFa{}W*`>~iiE-BsU z3@E}*fAe7{{$U+5GT!M!B(G7&3~_3NjxPbc>kt)Qbk%(`SHhL8q`U!Q`J!^Fjzqh+ z$V)Ih<)NvdvR`QRr&tO@SrWSEDAdUPP%86J?Kya~c-+e)tf1vrEh118N5y^}W8V33 zFg}fWqtJ93<-TO!a3#XT2Z8+0wTNNTnp0MfIA?}4@~c}T65d;#_TV_Ya{OfFiA>C> zBn|QTSZ3ciy!HTHcdijOr>K?0d@=s{t;dsy zZ`T>bHA=eZrDu=^tA?UW0Jej>v_1*p_;|E}qGaR73Njn*T7n#DswrY3&^)4L%(6~;dH3~T+x_P3f%Wkj} zJ(r{%Eh`|6!^Z1L#%`zrKp9R!5ur**DD8E4a1B31CDWXjIPZ3s?;R~be=I|gdK{F1H`1f2B({+_uAu<7VUJr>HD^Li zI_L9q)kV&=&aKW)r|MLB4q-YS=EMvPwaGQ>lBeO19vjE$7s|}PP};)@0ud!iP*hdc zbX_%^PLKrC_Xx-p>CR70FIOG*$j_Y;sMo-ClY#`=wq%ESj@#@Wf1AxNI~|UsDtq8^ z(7U0p$b+dH3`;Iv2BvJcvF^D*kjb(nfk7g=91go3sh%^24Y%1g*g9++VDnRHH8M-Z z>(v`o0*rV;TAAg<>zy|`iIW~_hJf3I4MK;&2~c@2KI1;KoGB%N8K<*ZKWNnO7Yy_Q z`~fmKueqgPc=UoIf0A;t?e-bNlH0F8v>jOTS9XAvz@86Dz@q7o!t^&iS3b{T(_OZR z9w9I0kAW(94Cw!87aHz}xByjwZ=0Xi>AA*v1IoIbKe|gAj#+sWcz#2%CNJlY?UEwq zNf(?fD?SOW?{h@x4MF)nx?37fZ?hYXAqUOd_usMqa$oV~e@?Ke)CeCz^n9x&Q0)2F z_qkH27Jk43cDYl`E?~M{`$i@`lF9Zg2o=;XP;m0Uf1)5lm;`bAs2GWHRUDq)_rk$v zn)!xj4m>*Y{C&^&b-nP+kT>~6?{|(ne@(9Feel)8Wck^*$=1E+jsU)(iJ;+Efrf8G z0i35A*1i%yenVV$znSm#>jUFyFYmnfoOyM&uB%vUeb*K*5*W$Id8^@VxK z8P+rp=SFpd1(-5T z0f(aiFeRHA5tZ>qFh-GNND)xfU$CUXzKk^Dy$}x3IvQ2~0k+Ep?%%H^+D%E79+v@b zr`xGz>SA18XEte=b_FrL9@&{ms#BWqGCNS1EZ70fCjr;mv#6b#n94k1o^rWxxx!m0722ganOd)DypO5% zd_k?Jx`{D*6e#f}9;VT|JycT}*+|v+iBH`Ai$7uSb^o~Iy}pwNc5mOdd(W-gcM~_R zy8EiWzw{pd$2V{pw!QZ1Yrp-)tG@<);5)5Bastnz(_=J@YCJ_l zWD&4rjv*dVF<5XHe3o|F?Evv?K14Pj-T8A}Y#ONJ#7j{EiEKh-HbpaCQw|kIyg--9 zeS<7SMpA>&uu?bjay(VE?ev!VS+#iGKAS~oXp_gaySY<+!mvuSph zjDpeiNpw6VvBY`n_b*u0e95I3j30mArJgcA@mTwXW1p&;(%iPO_jO8{lk+FI_k@GU z3AVSJjpA07@V2P z`2G=izw}snzT8`0o(wj5n}XAW8_IW-OT$Q|ZJ2i~8EKnNCfO!?riL$8Gqx4B_r(vr zU*OYr1D9}i&2XSlSd*McEeUg)f1GL&ArPb=;DQe4Z@}n<0qqUNoerSmfvS!oe>!$p z_0p9YN8B)uINj*FoB`PO#0XV58 zPbaXT{{ZlU)w_Ke=Vq6MIW7xxT=oKaxhf^YGR+OsG(Ru%l5|9RPs&TYWxbabnd)VX z$LNf;=!~xf87~1-eRh$lPOSrGf0*|cG3#u5lfg*0H`#RDq}3++b5fOnVh|(S&@Qfo zTH@+jPQz4QYax?fB?qErM6$WTm15Ky9SKyLNQ`WB0a|%|)U8uQvD}zthi?4Cm8)OB zx$XYCJ-v}1U3t|Hp1$sy$F_a%&a;m{in-1?$;9>AuYdN++pis>e^x{@VB9XC z+TnZ|v$cH|_4zFz0KmA>Y%Z&d=C!H_P87lMBDk^$jupWbMR2qTj#zMdYlg4zRE$-o zDHAI*70W8NDt9ZlR6gncVeQMDP4NZ&zTwkr-|z_`vVahy9;^OE@*-uCx=353FS4zc zS1YU4)!J%(wXG}BRpqEke^gdg4jEOsP;J$gCYDxjj%}{&sQjk-h<;!71GV2C{)GCB z{&>|B)q4`ZNO-FO2N_aTSU}7IDlLH3p=eNH0Wk}xw184-=DW&L3+1Y~uJXZ1qJ-Cm zl?J=Xv+0UJEkpf4b6{5BvcU6!BLUG7C=aX;ycgih1NQ_-;3vSie@cKUFdtajL#rD& zjfsJeVuG-N3H6EX@pv1VZ;ZMgF&?(4bZsdq4VOqf?FPLFfDah=qd?kCxgQ@Mrj-YA zuriQ#`y1=&<@J>20)BH#F+Sj>*dK_{D+3XFRluN66=04kdUl+`CM`fNL6Sw}doogG z4Yap6oH|;AYv`?Me={{yv!HD=fHqS@eQ>m;nis4|-;=2cvc5#CY8u<>d+JGZeMdd1 zrw+eL#5#ox#;_yiG>`=hpgp9(e%i@MK|XskkxB@r1K&^xNpxAto_AnQXo%X_^ zo2CNe2x(`=QSlza&1e>|;=qW4CobDQ<8+a{O&YM@IMV=ne>Vk2J0<(m12lhfBak`l zn@_g8z#d>)-f^Rs?Mzz$o2J=>E$MXC(6X4|sZBTym*F-zvBDM!A*EUhVPPn2$~P+CP8 zmuiVb=`bExf6e~2!?Zv!SW?QggA!AQ)ipFHfloC!Q+3pb>>lkkIZaifY8V;WIBGN% zZC)=3H_CfTJU%ac%ZRB-B${_SZoh8pH6!ESeC458{&@Y=n`TbgQeB?BfAG2${<(|hj*f*(-D+jSxUGv8 zK6>$wfLlF?kmvyUXmGO`w~}i#lhG3Z4ip>Va{dfh*)Z|4-R1-)!F;~}2mqw3DWtpT zX~Cg$3c^GNV;0E@Q8kguAqqQ^xnN(z|7*<5$oEedTNlqSS8_*ScdW@q- zd*}=&e+$lk6e<{@< zJLMEg2w0D(u0{vj2s+rPb`8lg2Kx>koVKP~GE;qlWRMFPB$KuwcB6taWHpO0eU{U8 zr>VfA!4mUfvIC)vq=`f}FCAvd<#ZxrtI*o?#nrS05w~araC2%TIGpNurB@sXAOBVDu6h$a1(K11Rfr z9djwyBeJZhng(XD-JtW-=IU?}*Fo|$s2n493t+I}5qototqW31RZW^!GHN8P->6~j ze;_=z9cxgRbi>Yp5vVt3`fU#cFk?geK@K@K7})40nf(!=&9sBb(|erfwfd6*UX4;=EKS6r_EMV*#h~(d( zwj-XN)8Slov2kh3f40l6(v!@pw1HI_e@)qIqbVC$mHS4g?DeA=xOXU&4lRU?S}Ex> zO^#!V7(w=lfcO zgJ(az_rlrV;m&nU!eu6yh{Da+%JSb>*j35u>{qFAS6cdDz>J+H4m=C$j zbS_lJD@MXG4J9HVMS|r%E9}^>f9LoLVMjINOGLm5(XA+?%xFUnlr33QQ1h}(znf{C z0pRpWW_UR%BL}j`X4`4Z1#bk_3U&$aFbtgsEv~+6EwAWq0Cukhsw@Ok>MW*;eIg&L zs04QEs%)s|3%nF~sEhJizU$@l`+olZ$-Xzg^E{sL@}F_-c`r4*{LN?nf3|4d2iqS1 zDzdXo#=F%Xw|q6xggOu$fb^FJntYR7@SBX%%{> zvIwwhMSxW+0<2OY&Um}Z7dYF0OwLC6Aqxbn*%C^u#c?z`bu$c@CnmM65L$B+4VNWR z7I-um$qoC~iV7l{8AGKSH*Roxh&e|f3O!5)I{CJjm-d}~{WpDIe{6Vp%5&Ghu}?U7 zZr3|~=N`Ws+y2hYI=B0!y_dg?J#-cngoLRu3vRB3&cZki8AVLTVZqeMwcPeaK5kck zA@!ga3I)qY&i9|S4LGdbeydO!DCGR6R#cNoxNrb_H8R9C#61R&=Elfllrgrk_K~j9 zZq?_q$%iz zDj`gcQR5v*)C_KAMM+i^O!MG5%`n^!yUm6T!{&6k-5N|Av1y#{Ry8ad#I2}qcLXUg zp$bQAwusJobe&Tbh2scu+ibdywiCRGJ7%$z|2X@CVr3|<*_`w7fi=a;L*74t_Sf*Vp8Bd41>0e+obx50FM}o?)QkR=84{UK%|g zqta-Trc#JdNO$|7%4>$u*yvT{>=8`r7bZtHa1W!zeMbNoKr{f_%f$_kV_G@5XQ z5@4~q;&^2Rl!-!FXlO7^Wyw8Y51;g+ zp-hKhrh$PYcs6ds8}JS+;%=Nv>qE;TZuj^F^u_{Zgf@Cay8=%zO#z`f;LA{ogr;I4 z%f5_~Ktu2lYW!07))4wUOq2}?)<-R8e^t~Hj8W5%wJ!r>gcB|*ZRu4mOA@-Mz;n>c zUGu3T78tSQ64Pd#ahzJBMnPY(R2QbSfMJ>hbClVnW~d637|KR4fUVRiRYY-LCO=Rj z_u~*A8uAVmKwNgM9jbZD0NB0OThMNtDbR1~n88>^Kv+`W6m`E)uhmy9M&9T3f0_nk zWn$5O+hxDJe*LraW-mIgZ|$5_D{lDoTaSOfO*rUy?wQZZbB)>LYm zdYhVABIATD=~0(vF)c3vCYP2mEhT@4KmXyv8(KGSntAQLhi~oMg;V$bV8o;u53HT} zT;FTL!IIL8F7G>X=&8QGXBO8#H)_PBzd!lGe`?C8*0c_E*8{+Z#t2!knlljCe_+JR!&VL@e&N zl}3@*;f_LOk2@m4QH2nX;;?@Plj?E8rc8-OQ6&c(RFJXEVPt*iG=mDfpG#L(M(r5E zEbm>5v0aRU;j$l8Vo-|gK4!$&xgzIK9c8>`J}Vv>cMh^;fXs&xAafe`@VI!I0ORB? zBJ1wyJG%3aeUEnS!L$GLD8~0Co{wI>Z~d(=UmYE@9g}-+ICTMO{tRK8an|;p6z=V-;53;6)j~}r^+GehhjILolan` zi4d&fCZrM$4v;uT8aoSJhSsAS(M}|wo!Vm$(mc`Z>Ep&p7zr46s$HEldQW2JnGfxi zL`>JN_?v@=>*xbEjBI}ZP9;^18Z~;~;n^40r$%vy54Ycum=Rcf3G@c=i_{1YAs@bi zX$}#P_5;|b6~S^5EEU0G5v=BW_QVoY>F!Ba0?-iv?L@b!m_uGeNjg-~8|E~JQGqJ3 zZ6MlVA)~9{{HVyd;yTVt@+4(ao3ugdkakEsk|NShDJS(vM*6*Vf*0%bvY^-O1{*#~w8TeRh!!9L z(BEipKN6rKSSW&d3x-CmBrdK$o67{jsO1XarNk3X5xE!gJ%tu(GTaRqiGs+hq7)Yp|0utc z&+$F{F<$K9Pw@ot5&kF~@w^qd<*7~YqiDyoz%8$`(1nNjNU63|2w?PCiAv|vD#bj0 zraWc#;Mjld#wME1PvdN+tw(K<^xw{I_*=b@Bf= za^!3YpE&zAQ2KU+xDWUXkQaZzWRqLqL^pZb=r;bweds>LopFmirH=~D*0{yM4;n}P z$NYIeACWzFkJl9zBrJMus?Dz32L(;-MH0&19|(U7XY7^!G#w&83zllt%suU)Lj}gx z$7ZIP#s*ERU^SRdNe52z&{2cq+)AeEA=Ms;`>9Xu`FFsd=HVffQ#`YEpoHjZk{ z`A_-D2LDcf&fnwb{Tyj1@md_lYjGH_rK@^bNd8Qh(^*hl3OY?;HVa{RHk*hqxC6hS z5ng|Q2-9tNrq?j7`^#bdnLgu8u>xvxkkd^p_>vtwpC=h}p$YyhT#pMv(PP3_gW z{rgwG)ArbGL+z?rbK$0^_{0OxPueh}{`!C3O=Q~@>&D&pTJMX%UB>s#;XVRvDMK}6 z2*Z~)O%pt|THJGyHpwF@rGe5~E#aw+rL<9=Y1(8@MrzSkYG0`TF0l`b)mB{)yP)c# zsvWgEYo$@qQA3(*Cu@_VlZMQX&L6T$S`u9{q^-82_U)<@(SOE1sdDUswL%cy9l!c=LJRpdyES$=W8u$B; z`mo_k``UaRKE4(#7qXz1X~RAiz4!G;?|m$K@AI;A)PutilGYOGu^EZ*nGqBK#;HZg zqCSAld+HhAW(SU=igF9@$}LPRFDQT0zD#-LOO7Ls_Z)c#U+!pj%yMx3x!sOJgnwAZ z!IJMCK^6+EV4=V;?NK2B$RhfVK(cmolm_*aGY9;J?FGxpD30s1z&=Y6IYWinahi*N zobH)Bu^myAQbDeOQH0!Ku$1cdu7wfTD_F1%MqMm%SF>Q7+rqSDig|`FyEK0bDCeU( zHOm>U0_jG~c)t$>oEcmzPSaWbyjDM9^Yyp+?f9zPpH5xz+q+)8_Q_>`+WFIu9(wZn ztxrF9?KMxg1n0!-mo6Ngy8}19^B~4|KG<!F2;fcW-pDTw)89tmHW#5 z$=aI66rZY{%3oNUkz13?`=j=}U3k>~y!{h9XMe%+nC{Qmb&8XAQ;sm;bei!-yKW|oQg&odSh-$)hCX{U zc6*rf0TJ!-*P4D8d&Xa@s^b^P(?c;fklkYZWIcILWy!vy*h-S%V>Bk1|Gjb^!}dQxO(3a2RT?q}pFTahzg++R^= z5nP=`RCR?2yE9W)`I2}6HY zS)QE8%p`Hdm?E_isLZ52FjorU_Uy@i%4EVI`TqMD)H zm?Wm_rcirmKjM-}UAGSby>kCrnCmK)t7kB$`F*~yhtX$vAQ;|E4IUa0ccrM_$taQf zG+1Ik3yjk&ud06wNI_PVc)Y$?f)gb>De;)pJd$f#dSLbQFHYHX;m9>_ufPqHZo6?y zY0iJe(c5o(cDAATDqalxEQT@7AE5Dy8y?MdpAKp6irkNhQEm#>>uNZoMYrDVw zj_K*e(}rDh>g=uOkHPO$hmGnPbr-f>GVA=SDIIU`Tg87zfsS3M4F7@A@n+o^YMgIO zH~8jAE<(y9L-bf_eMx=k_|grL9T9n~Z)|9qZ(68TzC>T-TNGL?uhCZ->wIfMJ(1sg z-toT^{C(MR&+)Qjk$l7(ts|0wM8tO@=s1)+Rpf2EK7qUC; zUM3{$8mJ>;m>E4{k&vLOX(6wW`pyW&!YU_MBoVehG4{Tdw;f%5<$KpHyl0s6$*ZpU z;ZvJ8?dn@4{G@ZvoICRmKHhisj*G_jp5>l6eCX9by!z`m5do6|39VoSNytt*nFU)4 zcEf*XMT)?=BG=|;MTW^|MTSX#hM;V%loNT&$dRpeAHqN=OBStQ6+aO4BK=`4JWx1b zfq4r+A!D{Hqgl&S3Nin#j9>wZ-Rg!_BAF%oGuZAh3a*|4U}irsS5NCe&V?zV>WtyW z3VEf{X57Z@Fn%SxBK8=k3{4hVafZw`R%(Aa<6ru}Z2z(=yw2NrJEy6N0H%!1E{l?+ z11yWW1gdSn#}-8--2L~Zj95~Y`sT%Pv>^%Sf|H^Lpman8^P))(l;G%yvZCM?E!!I z!Jq%6nXYgWFsmsD9JGl#LRgkUlCGc{=4X4;f=Z>f8;1_r4;|Vrn0wH2`qLNs6ZLrq zCrbzOr>M|lDe`z@dr`9T*O*@HduS8;A&Xjylh9q1llZE^^EkmJ4r}{+s{8(2ai*J1-Ix zqO4FY0aoZAWaRma7@@yEGqxh*uRN*&w@GVlT8GA)9%hYcEtFck%r%Ex6=lha7V^bX=f?-_KFZ<`B$flwBvvFS3{LrvK(Vzb~tTM znxW5h0iSWYFlu;|sfR|Oh~Zh9A=!ltwSo+_riM%8{uRT8%Sx9VK`kK}RtcDBSmbuv zSa@B~0h+BlrWMX^JWYKP8<$o=Ei`|2m45Q$xBLFH@$a`k_viBG12-FQSFQ8dC{?{;FrqPz$SCfWeLuNEy! ls_r3Tw$&{1@|b}%d})K^9d@< z8>ldEpwjP`xhfJy-pBde@a}X5ZBWV&K#J zvQIqHdl`9b`*ri~zP|Sbc z)v?>Fe~CzShB*y2cn6_?w+IEiMP6WU-0!0}5M=Z2WApBFF(+HU^?3^J zw!)12bUM~PiV-$C-gzJH@nPT0Aj=1&6CXSkBpZS|gSlWn$W!T1cx!?+-MWbYdniWs zPrHJV5>bvS#}r<%{P{}%LaYKZstg6`t=WVte{8}PHsQ+5z@P~)%<5O2yyl`TCi|Pr z#aL{zgS=t4Ic!8UBi)>6@OlW@WM>G`iLa@-$xM6#3Rf}bgn8cwCcalx%{BT3IyYC= zR3%uNpO5AFjiNBuy!8*4K0eFPx-{n%bLQNAUe_aC7p|K%aud0)ch6lTrp%dl&ut`S ze?Vix(ue+u3U zPbL<}M-ir~7oqD&XMu7^n`8PnGRze+jLS0r8uAvnY|-jR4`a71c2-!R8mNqS<7@Ur zrwn#{n@YI346>+@Ipm5sa4*YI1nrx>Sg9G*b}%fRzT&(EyY6u(Ji0T4UA7X78C&nD z`LDKNS~b+5N*7mZC5&V>N#VpYe-@tUUuBtWZXUGK((9v};wViK8-J|+$<{BnFm3G- zgam4xDughdi}PEK5jlUtq@>*$mu6)_%YNNU7I19clnIUjy|0B1_OnB>80lu2zq|)5&0dc_iJ{A59@65Ohi}N)x z*KR7coo6Yr*891*{IUJIvr&$~2C=pFBZgBJ-G(Soc@<$xf1dVm-R<2Hh-qko-NMQr}{crQKr^Tla+SabCP z3ce$jn?@I;k!aKjaDQ4F8FJr@wfD9Dv+q}Zx8dtv{9g8=5x4Z+E*!MGmhD^jLSJw1 zk2rkijf-wBu~B+;BSboc-yjis`U(;ZPjb zGh$35Bd=t*ym1G@fizpFnYK~B?_pzK%?7@LNrKwK*ne6Wdrx8PKeFV&2h4LsXJbE` zjmQ0g7x6(9MQ5;zKnxVcV3#RLEi8Ygn{cu{i#CS#BGv1r=GD-ywVbFrSTY$HzRbRD zs5hB-Y-Gd8#?b>y=1WRwI?wJ$A9V+BzUrbyp)vJyCmuP%J$z^Tn#Rc&yS}SVZoB-> zbIa*0-3*)2G>Zq{^wk`meq;1&GIqX{nbTkOYw~culy6hi>Q}OB_Gbi^L?eID$s0YU zk?k8tn)~4+%zZ_i?bC5E6dWKE9u?jb_*t+yC2-~J1uS`i2m3@NoM~p!mKYk8z&JgM za1S~KEaL0lg78H_Cn!$8FtI=`pnzp5=kpdp7E)@^On$KaWxl7x%&KAG?Tud}uJ<6- zw4@4F)XiPgF-vDANbO{>jZ}ZK#Pp8IgWvl!?o02RVuG;$-BZNdKhUViTO<#7*yxI* zV(*zxkdZ2jSX7aq$O0xpB@=IT$#)JL?;LhEG|)FXuq40zBf%1{aHdqsS#Dh$66Y=tF-{wUSU%Xry`} znxbZKhP28p%5uD%tddtL*PyHM)nto&jdHcR9d9SwxZ9=MQB&q zX_xvd^b7TE^au50^f&b^I<3~irBH~)xan9h*3N&_Z5S0+N(4dIsIUEqm1H@ts2)X8 zfg4%x91>Je%oJIsuNab4g+o}V)3IJ5r_*VrLm^5x4(&|?{t^M8v=Sj{T%mpRJBn2& z>5GGUvqAsKyjr;q zZX`7=b)3Jo3m-o|Aq(f<@B)7NX zEL)W%=ilA!po37@o!B2rDY7?oK79|_ZeNPI7F2JFc;FZG_P-pJsaku03xQrReD7L{ z7XtI5ie`VxC^ZyW2^g87` zFdX-T_Vsu3eJrR3ziaw-co@DREHROv9LvO($2KXqDB`N%mBI#PlXkOkvnEz~70zE( zQ|2wDi$YEmMNUr?EebhN(7^l|MRB{zYHEfILFRv&gz~a7CzAbxbUA;KF6ZyhU{^E# zgs4-lFVcv4oMl?DHxh~Lb#bE1ax7R7Q)B@baejPIyYZrSH9qMdx z18qwW)rHIZ&<8=xt(&9}E{U zOZI@F8ZWnaIHfu(g6^hLHDMWS0fiQ#CyxtIZzMmRV60%F4Vlf=`n zZd$(L)_X4Q_}QI(-^AzNG-lfL$=~>1-=FZhOA`|oj-CJQJNup!4z?axcIlH1RWEj| z*wr?Io9py0pD}g)kh41_eaxE4bGM9uVRC-}ANpV5Lk3Bj($O{`9S!@yQqKND?n^Hs zEpcE?Uv;?#R$#iE4!_N?R$x-d!B$|ZDiOcB0&}@2a^@OLQDLhv9S(yo!gM%nM*j*- zLlqswp&GBCR}^Bz``2K;V#Bt0@iI)&V9PMoSuX6FYP&(NP#ud!<%YBh0%(6)oGo^+ zaQcL_J;L2UDrUjZPjzl(0iEn=Qzn0bNHX3xPM`eBipyciY(XYv3-1)A3oh9z%R}ZC zb`o28*;IJ#TbJEmnqrF~wbGPc;Y)GwqsP0WDTA5zB`I7HO)2T{00U#ms7dSnqF^?% z;iIA!CU>yeh;f6Din-Br$s<>V9r%{MhyL>TuyAdB&zpVs;yd1Xdu-p|Ni~1&`+Umq z@eODD^xog#X{~)(nj!QULIPkX@}mlJBeQ9uF3pZzqrwZzm&@zQd4;`ZMP^&cD7^Tl zhmoz#e7lXw5Uhx_3AnoRf8FB>Hp2eYo{FkQCp|8$YBUOaM_~`=|G1|#VV;NjMqy9S zr>6oCw@(XCi_Fs&h1Z2QD%TO%w>WN9Z*x3gd&bf2IAQ+~m)KA(Ab)ATr)Uiq=*-L| z?nBWEW-0rDQ0#KqAXZpb#0twSR=77)masn}T5qrsElwuVpiY4CSoW$(F&nAeP}xz* zRaW@PR~&}@?9u;!HJHW!>K}>Gmz)m-%(JGOuBot~C~(|g;EyH_GY*+d_QFA`j=i1H zm)dS0mJ|S&ixyrCIDaju7*4Bl+L3Y?W1X(CNwyHT@Gir5)4@Q>3CzSrR}BO98WkS+ zmBX*!0n!R=H$ayQrM0zz0KyI#cy0x=_zFDN%-{qyc)V}8aB$Wyw|_kHMrpiq=9PWzc*HGt zj?tvvE4hZzTc)hsM*l-Y{zKs%;dNw3A#A=~e|pe?J%+~<@`XY?Z}1+?r-k@ueEaOL z*g2oiA0m;`v~!kwmMl-DU&AVp^LNgl9(K`XfbTlqCU3% zGB@6;AeCjVuV3%mPj{rpA80Y^xkT2G92aLo5T%aisRkg^|0+66=j75xo4f!E=CpNszFckf4cAN&Pg{Ilr4qOafy z*w=@RKZt$=b=d4kb8GYRrxY>|p?G#A0RyMJGh*bZK7D)en^)^@y5q=Cqk*W5MwDm+E0HOaWZH<{V8h6vr)4ti;xOC~ zpk3T$7K+oVytQI7$)cPXz&w8qS%EKDV6_A+#PWngEj5Mec_qhIy;gE(8erDwApG*F zh^>tbUJbL2Q5TQieDyPn=e`o1k5A(do_~4zj+++0_RZkipGN;4m1w!Q0hje9Jzs-! zC~NL?-NAXDp0*U{=Z9IYm@$8y<`L9oy5w4&ViHhSt6Qw+tmc0RU+6f!#*d%TBvuuV zUh~k^{16*g7jPR5#G#X&Y)1KcpF=UXd;snafd!;0_Lr}N5FO78yskh$lNT~{V}A{c z3>&#F!`s{+bmGH0oTdwk8B(EcvcA|{VXourbc@6~{T2Ks;V%6Py4UzObsy?J5&xz8 zN^(0427%*vLJ#-Q!~9Z!seFcVqzA|I8Okvqz;tlD1n9~OI#B|Wf($%NF+;$sF|o)| z5`A*ZOn%0ukf)u%l?|Wgp{;2eO@FG$r7;7=41E*rEs1GGlMIY^YUbDIw$?@GZEGnD zSq|1e9dQ;s6HVq1QfID=-@sC)t;&)mC2;G%prq|g_CRc41b#GuO-2T7Rgrb<_eA zuy%^k4c*W&-~s8zL$8P4-s`n7#vE?!$;58^jhY1~tjwZAj(2%1!IW1oxm-o;LOGFM zFa7wh`+Z7uYVx&S^{tPM=sxIboLQhm`)03D5ZjBr)+F^2kAva2f^XEU^_> zjRJH3_0;f-^Ozpph4kJTs}9pZN^B9QP4CziOSW3GRQDavk=KO7U;kq-r38rxi5n>I zOa7(Iw_@AffQlrx{%eWTN3zDP|8gT@44d(Z$tm-?xOL}#ZH=>EF@M*iK}PdX+*j>{ zSmF%STjQ2O<4viWhF*tkG~G?rAIh)ILP2|$Bj_zelkA0#N#1fa(_ZeF>0N{t+7~$% zdTn<~cUp3o`3s7evW6B%_T`NKwsCJEmo_^<#agQo&>Mg-9J>+Cm^p(?~k^y4#ksDkH33&b?nSWaUN=)p>H}6+i=Xz>s zC&@cF2s1y$%+3W3nXBrfnh^AVwq(vM?>w*h;xBl1kF|Psk9}BRy4PXw0;VhW-ZJ3b zn0R|{h>8)aM&c~PFZxU&AwuNQ*UuAq;PX6 z3#F>f9DHB&@_!fKPfwa`zzKhTts*UX?8nEWtw*9Sj}{YL(U*W46#wY5GTzDu*(qEKzasMjf+2?Jig>OF>v#hx%B9w?DGj(^ zQZ?|`4^UMI+kj>?p6cEUyrdnKReVy@#Rd2D$&)9!x_^@=&pvXJ%H1A?9Is}3K8iI+ z5+gmDhiKA^+<%l_B%1Uh_aCGe57knhldv6}$rmd-Ir$Tm``A3?o|&cG)07>az7)T5 z_a-SjK4Z+b39_(L*azb`4OBHcHr-QqbK;PuPa~ZwE2CBSA=nz>c>EV2IGw|pnsPx%0nIN;SaB0?>itNv74d9FWCwR zMG2Rv*kjo8Y#@6{&ZLPP|K+cLvUTeGteKu=)rbr|0PNrtrrGbnTNMn6jY%&iB8wvbA@~ulSB`}V?sQrcrF#I&t{@KG2MB4e*O)>4Jo2LlFb^F zYz80JTSP^?j*9Y(BtHA?Vn3G`(zn>H#(#LF`fRn?Z~+syr*d|pE^XA-1JTu^CIt#6 z9mp^FQ91v~uYdj3<#$=izt1oIX7}@Rn}D*+iZ*kn`AcBdk&J)FFtEi)vdI|lR5F`v zGUvZjcs@z|*mss#F>iJyB^yPH&Xvp?ktM;Bk49*+p%EhM!^+W! znl`s$n>A!zZtb@6ex@AlXNnwFrW|dJDMwqGaS|pAt(@v|0Hknm3kj$bp-pAT` z0ZaI*6njFgJ^eiE<>$z*hcau$bbqEJz|@~brv5B?6qa2%bu7hyuHv(*_Z+A6tf@Rx z^Bw2vt|_W(n0GPNHQs2^nT<(IbvbuTJF=_rM;s>HE^Z%3xDANeWF#2k8n{0p@+X+9K6(&%+P=+RY7yrQj6-bR z$<};h>tjF}^;nyMx+$yt=+5Y(MB%SryCDAu;Cm_uZbSnNH{EQ7BDOqX(EE)@Vkb|y zY>o+H4R@(*kj=!9Wd47+T7NOTjiT3o%YKS!>XL6=uQ>DJd3z|aHH(96%|fp+)ckq- zB_q}@Qr!>m>1Xfbvd_N3T}Rh1il2y@8MpmDLgX9a7&2fBqrZmX<1RFvJ+0r>6?^?@WMRt(8B>o8=>yb_%oL;~tf=?KjNQ5CZZ9*PWHGc+9YjP0kK_Mua z!OEh7?(l1T`et;+Chp=k+N7D56M5{-v(5xyQN2YRm09wDf#oE=(B zS5>t=rGg0iq7b)&gzb+%B=5G+(Nn&J1a7- z+f+6yud8HZ(_rUe=dp9yX?`};sQ3#btci;h%F2uyd1}p9B1wjTgvaGR4~{BD(2U! zryDwMIrC+I zi%Lb(tppr~yzF=-m7F^vtmybj^z~$@0gROBpszz<1K_lBw6YnPP8n|Xz{|*;I+ai$mVnzm|am?BwVyYcZ3%-U3F&EgjX#GB> z{Vo#S57;-P=7CMZa_@T%D42cres({n^gV+%FkQ-U8U zH$vB2Q6dF?&QV}Vs{${^v1lSUqcZ(znEb12SFcs)Oc+^vnJmzKt3C1Kr()Q5YY(U* zR<|C(EsBC(#)sVOelGVx(2f%rIbsxlZ>hG}zUGd7KcVPxGrSrvwsIB!c|2|qdDXnp z;ds<;IPzWbIrLIv)pgo(x$nbJdt#^SFw6WYX~B>9elTj6NfT9|40c%Zn0mVZph|@gDTb0uJwX1MTn|2< zv%+`5g+W{7Mo&}CxMt_G^c*9d+&fMMj5@&+YK#^hi1z6pinc2n@%P=)M;~yp5Rui5rOP`gaycBzWUbRQwcE!{Q*XSU1yY#BQp=FO9HWl`)VaLMhA!#%#(+0 zm7xq}spiX)=%66hA*HQx^H!yCe%>OYfbp20jcj0@e_ew@-xE&11l#Ngv|&IE`eq5%Hr45dl~nO_@>vnV2V%3(N4qDNO*_V$2ZlGkt+0rpR*;#U&^6%Qfu$}RKRJ~u`RRW-`Y`Mb9WVK|z4G~^9 zZ#h3aAZ%`FDP9r*yolQkEFL5Ddfc@bP|@hHJrywF9^!wE3nkp*S=-)5OkKk(Nc0~& zWH_~W;!pcvNVygAo)U2!>+<~7&hXZu=GyI>K*9d=cLeXgQfSlYyM>Q59#@J;M+%RB zKA8R`HUqi(DGng9rQkW*^qpbsn6S5Qd|&_BZ|*q%kQsw3@7X7oPJ#CvdmLoX4|TG? z{#leT8u>W{#Js{XC2=1Q4MKVF>~8#4Yfw3%kBKu`zXzr9 zO_e#ClSVoQ;70n7FG!HtTib9F-jJv1JL;f}{`l#0{j{;+bwHKWesMJ#15 z>Y5Gg0!<~=pXCXpndONf8>=)F=T$&-hyZxm$~w-RYF-{-j6W`z^ON$|gpLR#0=_Y& z%H&^^RpVv-7@=CL<7RBwqGr=;WbRb2mXrNXQdgzbYoWcgr^0$|@;;=Im6yZw^n=E( z%k+D@D=Ny(w#{mTX9=%GzT?{cXQ}7g!1*VCdeTU%nSPBau%p}B5JWwePyKm{X*LY1nVJ|0&*IScZfmY=xJX_$z~ePe`c$S_P&wU#s#5f0Xr;rg zS2|Q6uVTWIJe6eXDNCh*=6Aj_QuYgDNTxM%7Kpl1ElIfa>^BHs(3)&8O0B2@_g}RZ zz*y83q+&2mEB_TR1$no6Iios1+)i?*g|F&6|*u} z$2$o)DvOZgyLOyW_5=0{8FV2A?5@H|0N03*#lEv%lvA0Pg<+CiRqOKVskK9moc8sG zDEm1(CWn^Y>=xCwf!EjvyNA+C@g1JkpGrxR;ovye+R%~Ups?&H80DvxVhT^wu|3|t z@O@2%Hd{G_7OnycO`K>K{||?7w?=;|g)3sRpH*8EIhfLpQ`Rv+UPb z-w4>pp)SxX`I@{$>`oflO0EGu3pNS@?IsfiF}iI>^PY~-53l3~PgW=@qGV=Z(pYOK!dfOP^jzIE}}H zLXgg#@|Bp=ZIY;ok@gmTbH+~$=iC}qXlynkckw7DCTd`Y$p?z5L0Q(pWvHm9dXx#l zADXO*tWFe&xO&;L$~tqOH@EZp1?v$a8xX_ZV*J+p^%La`E5eS{YTS2tK>whq-O<`2 z`gy7KW^|@2CCt#|>iVLOp_kEH`sB%ZcGvM*f_sbGO!8SAOF(0UrE!*Rk z=xGNOCEQUQMu^u95X$}A2onf|JcS0MZ6?W54GQxYe1o3dyfF)WU3R98%5)UjxF&4_ zj?_%Qenpe6Jc{LBqq-~!AOQ)8erqaucZ*hxg9P|`51%I<_jdqmZ*vD~`E8B!T@J^ElW7~y!Ba%?J!rnhFs`Hi3zyJo_5o(^nyd9Yf*&h`$Vtt zK!ObZF_cG-S7>Lj?S41uizvKe`iJA7j5#@Xo*tFEJ-6)WjTrf; z#S14c#3a(9Q6Z6%joM9urxjccOEv}KW z4}TU(!W=a<~I|-Cy>ASa+J-Oq%GwLssir{QRDmGgd2G_A-wV zE!*L~4jbWBR%&{HgK?FO;>4TEZqJ!*t7^}n2a~Nf)0d4Kz>V%(L1pGi3m*%p&)sUY znZwliMYYbd`+$pC=<#)>d+SH~$n$S`QHab@*j#n;`pl_}$bjY@vebhXnJI^jqH<`p zNq!u@UVikai&mS&)ynJ>BS#Ix%=&Cp3M(WAXuBm$WSfkYM}lULobsecB~D*z=X!x> zDsDaitVZtufTBOR0K?KhcV=R+&KD;g8lNA9KAJFxEQ;w+^L5F+$+qc^4^P2l*(JVo zWh@AkOT7(mq@by5yei@ zZeA@IcI%PX)tk|n9b1lhznpp$-qm`x4{-}%Z?TyKFvL(aVJ=0(4Ms${A!-oglRkwB zmdl5I&2{`xpIZ-->ePv|_QUdS2GwruweC{ffm`g)fTM5lLM}IZAstzBO_23O0bqL4 za5#&n1yJz_Tn<`%l@1C+;VOWoAcxLylmJd5OPk8 zD3l-odfzniRkRtdSn}UryGq7*930$66Un3Uoj2Ayy9lx4b&BN*Hna@*x^#eXOg|5+ zkgW>FLT+vdHBrkhXBfhSdD)sQBsrdgx=URz`DUgC1ruZ%+RUMS;Uvt4Be{kt_&}yC z(U|;+@kC_a936q|X&s1R7Qu$BBhp*}vD3XZjnHv?I)P=F1zWq|{zpD7G$pHXh99S)>5Z-n0NB9){=5 zleLSzVq>;5$>N^=`p9Zb(goSuGU@S=ge3^`X8-ut0Urm};r zs$suiBt3ypf&Z2a4)<0H^@XvGi4Pwi{G1!2pmn1$_3%Dz^{S)4)gsr$McdlJ0S0s_ z@~nB5VGTK_bB7%xfjJQAq6o1 z*0n9sqU|71-E3o<<+NnCA-BEWr2c;OvFhsfh%nb9@q>Bgbx+B$HxioNWA@SQgW7Wf z?Arm_P=Mi)@Bx!MrZqlsM45B~9}D-hS6VEZdKk1dg~N##PO$6zDptK_+5 zgkiGoB~BCZ_Y(GSfr#L#s2-zHJ;@Xp>|sx70u>hKtV#F}?kN~9W*pJi>^kw_Cr0WJAU3rtc<{%G(e&-WY&qgDGgX1P%0kG1_`(CqR zi`|MmGpu!6Fmvs3ecAC8v-Gs%MnQ-x4hMOMzlY@aHD&E;=&>E?@k)VQb21r5?{JJX zc_DWUIfArKi)IaoBmqdNQsw`^l>e&K7H>6dZQ85QXHPU&OaWf=7#DMzft_sd5pq*F z^X1v%C}{3jO()R(x8s`2;c$tVzKuRf)1D#gOuAGhk|{5nacGaqY7fyO0b#08&p)N} z5QFnp^J3e>cOK@u@H4IZY4*9#$M^|Av^lm5{{}M!(~ z6w@g+Cd`gaA`3u!_1yc|<_WL^eS@7z-33)JsNK}c)<&n4$-t{jLSMsZ#h_r2FN32^ z#E7;;S8ZPbXF%9a_qMvlySWMYE6(7mlxCzyluvWx(Jj1vaUYFT49UC4o0gf1_2>y& zD07r41)PT{~X5VoO>Wm!g=Z3SH6e>0ez@ zz7$_$4Ol!Gro)>b5^g7!gu5Ss=gOC6K;)KMa;;NZN(tCC|IXoXM%{M12^0V@y-#-I zYd<3|`B~t7y3e$aaQHZGXwB^y?cAs`=pc8u@PCB#Po&@u$n5ySaNzl}zbCXHi0|}} zwS41iuSUQLgng4k#})Ko^RdN6gQPh47?UJ)1K9HkC@=iYPi2-O2UGGC`zoQtvxHC) zW8w%;BXiElptvcKi--eN<|ax!?M*L0zr0cpA|Q($(KzQCzmdSkmDByHJz2C6WFcK+ z@r`i-k%bxtrBNkP2^-6m(X{ch3wwAZwRPKNFq(weXu&N0Qi(u7Nt7p^gShm?d%L^sZ{ zr2QoM`>4mLh(<{|`g(QEOJee|Lt^?8Qu5SF@j;EAdV4*jh%|krI4ra|pEeip4aV%CX z+$t)U7*PwXx{ZNAeD@l za{py;M)Y3E68L>&KY>_-wmD1`8Avo;u$VOs`2=qqPJ^EmtIHkv;q4&Xi=>ZI8rgAl8dMh1h40WPdJdc}q(1#+AFBw{pzb}6+PryZq;gX73Js?F0L*N{H8M@&a zW7}twpQmr;ky3~5kZe+}dWNqU8zovfkY8UWXK_Y>aYn2RKRae((&>e=u0v1DjfrHZ z&<18rb47B(_$zh&r*Df5P#OAbHzIYB{sN!C61fv3{IlAXU`@!-Com7@f-s)4J(HXT z8yzLeCDt8k=achCSZ@8L1q1mb3guUEvd1k{cN8rUzCLYW5t>3F?=K#j1gI~?xN5sS z5O@9ng-zFuS;Tcbo8#`|K+#akSl40}9T=ux2NEm7LAY|Af;^>30EmBw6N#eKqoVYl z!qgzT{NOb+V;wWoaKD154l%V&A7&Pv1(tyw+KY{fJYc2LlTfhbbe#Ln(ixrJC1tqb z%UecSX^o1V9S>|yOT`W6oxpg(O&qa8w3V_g+Rnt!#J}Vhm=;dR3#`jok?==>6-y31 zt(lpb9`+4Yyhyil0V@;nyYZwz2DB)BVqv+VmHcsVvl|1*@F;(!7>vc|Cw9<}R#NO=8Stn&$bcPC+U zxQ7iN!j_tUE>iY^wP^}Wg#F%U^B!vLEv<9^fC-#|3hjB5bNhJd9x-F$`h;igRoFuS z@?2!HhR;>ydz0SR1XC=rW4jHh0o~M;rT&Hcw>UUrDM5b*RmkkKwiNOxJ5M=$J@6O2 zFk{%{>fJZGKER{2apu+A)zS*Q$<12LmV7HWdZTF^D#Vl2>DsCg|2c`!6VWXYPng%ml7lp@>vGt$v7L)&0PtdA`GNnaZ&4z@h_lWnQ%8muWorWBiDGS@GQ#SEcN;>hC zMlu0R-rK)FnCbCUe{^##^Gt4il+$`ZjD~I#qH!D@ANq8B>S7GVRgE%Yk*_+N=p2+^ ztUHf=FRpK?q%Q`O4W$cIW;PD=uY5yU`rSN)CP3J*XqFgTl~!r0$}r>NnP_t$`?`G9 zO-ExD-u1-?#oJvyYtO< z!+v=eiOKbaMvwG}dtwEme+Nn!Cl8KaE*!2y-y;dR?M@7VPxvR4Uy1YvLgrgcKj0Y{ zr8$Pa6YfTF@QUbWh_MKB%2Y@!yps=^lh#`r(XA=c%`jl)R2e+Dda+dfoCne=A5qag zvVU)EyxGAzeE;=Cpdd$>sVz?-SU{+&lG3kuw>E$1S!4u(PeA^??#IA3#v$hItaAmg z#%o*3bL2<-J0pSiDt=P||3;>Z2*8)J{V=@eATjEg7)73dMx-Ui-CW#Kq?h#l?=bhb zh=sQRD7NBr^ktqk{YU61%uHAOoVZ=sL{W=F31JtSPua%nUjZ_jS@U_&0cYl*TPZPm zcc~>B*u9N+*cY<^D7v7me}au+2)d`aDJx15F;bH!v(CdqSGiQ9v!IREWSS z6gIPSUt#1Y6ADNvAqc%|1q~XQy(6{!Y#@Uo=uXh&9S|=SCzoHQsTQ^T-tIoTr&654 zZE-#f!;PK~8y^$*p>)#G*xA{9>*nU2pQo;rT|p<>l3*b+=i9gg_}lnjaEE4+(?)Is zRE0#8zy&-Midbhe;mg7*Cx8Mkq24EK4cDFFWA#Z+%=S}6%3XTSRd}}NB~s?YZXoB$ zo-lJ>QLuhYy;diJ)vPfX|BjtWxSSMu}FMv&4-LCepl`{Oi*-e5({4{i;g?@-{J zp1`C2W0VAb-x>mckP`uJW09W2M<_)#&$jzgd!h^te)~nWmm16D2!KL=xr4O1+_)H* z%!pE@u{u;r@LJM#9$rUUI5F)Xt5ox;_Z{3G94iXlAc_Vy%$st?rIg%Ms`+$FqQ-bv zQ5nm;S>jA(Rh{*8y*qQg{cizsvQ#!Ei$8mhk(zco$M!2b<9>G^T&zN zj~87lWHt(ITu&kT`G6r+3n6Vbol+Kci4!cEs6k54=vWPN?sU0m+*JNx7SPhLT~u1L z7PExQ0r!81xp5+G4f9pR=pSQEL={!{$cfjhHgYo!O&ekVPM@Q`jru@@Q^ZJxzIrB# zU&EXo{dG6o&kx>E(KXVK9e-Ow$iylvnLzkO2!4KcXU$lMu>t^a?#@;=FI17}jdGaE zUZY-|YF7+t>e#S)7Ed0N9^T2O04pgQ*C|Ob4J&eXa|);>ttv(9!pJMn^iqzVpn6lL z4kD7vi4byi5$cV=7s;0p>w>{smIP@==?C}}1j@dIVLeIXm=JwNk-f~+Y%F~4?(m>* zt8tdwl?c$77y@iYo?;|kvOk?3!#TEl1zstZ_s}BXj{#Udmv%Q|c9FhZUBwnGzUZOd zgB)(GpZBwN6X>mziZg6%2`!a5E+Qi4U+J@f4Jno1S4$oCch_W30{GUewog&rt;iW} zya5t?Z}QGdIX_rkH*?uE89UwO2L&5|_vtjZI{L^8Ry%VK0mvocv5JdMqk>|y#F`kpu|96G{V~jx5FdUx9PJuYk)8jyT z+d^4u6tA-?Ct6m_!;p-<9VEF7HF-49c7t(mVk^1OQtF)%moOlJpHU8Vs zIiu6BEx@)yi*J{meG;71gT{EOPv3i(yOcA2x~+)b&4*_LT-n|LK*kwS6grQEH$*x} z(;0$5sKF!XPcTLt{AUrOFW;t_pnBaklQTt74XB&I;8LI#^!JBzusL4g9cHWZC-FM? zo!u`ExXq$PhDCU|ejl1b#jzitqq3Wt;740OI{>^0_KTqAi~kPPjvmZie6LCUJ)v>Y zn-G4~?G--d4Ei}?=CM<#JB)PDO*Xh2YPU@v13cfay$18FU9WQhfi_aBroGfI`fT_> zhgj^j$RpM~wVxMT(AlaJ=oHvWuS5vHpEpL_PVNNZjHP4`&U_cvrn!4I)XmKOOYRmb zI^Ywf6hxcHC&bZo?(+`LyzUlh-`q+HXmL2VCkR(m>=YE)|9ds}szq1>S+>oOkC8N= z>d*`|#~ey3K0vDy5AO2syT1|XS$R(2Ggi&}TPtU8RsvkP9>krU$``RE@k^;M)Dcm{ z`AR_sCmlmW@QLmGEojD7%dowa{ipg93V@W`wZa!Z%8@t!Kgz(&T)Kb0xWj%z&N1f1 zqIb%Cp**fyDt!5si~EmI9diifF$@ zHCnHEOxlIRwEPCRhP~PRYdWRwK>12M8y>q24Y@TqYo_j?U}TSWtL*L?IoKM0C$@6> za{{;{02~i72H$*>0?BU(ian@mzEt!KO4_Yb6%z%56~iE+^ul1f6+#t?nAc7~H(Grz z`ErbEMMMjGWgwrA>%aD<0JOuL?n(igyA%b~kXm3nZuyX2&;xw1bsW7-e}`BH?9h3u z{AL-~A&JmvpHxTHCd}XqyP@W<1DuT|Tj1uc#iMrZKmk0R7hy*vBhopc|3dnad}z72 zsv+Q#d3gKdv34MbA`9lCR!glZ{R@_mMRpyzk#Xu-l(^{{e45=&0A3m_T4PqYY_(n- z?Dbwkrut}UZW~KElVll;P8;K=A_W?vtEgKW2$-_~bval^<{+ypMR=3^SqsyhCgxRn zlbukFNJdQvk3W(ebrqG#k`Xiww~#@e@~7G*x>fD`CN635NRi5|4#_4i=VnS9^1X9cuqB zr5)EN_qiSYKmulBxFREpXs^Y?HlSVdSKE<&rz|I;Oqy&!N;w+1Cyne>MIfuZf(-P@ zCMp8EEKE&mLN4rK7O-`Kpy!NxHy-vxwOU}t=cm{ISS%$~0y?u`WiBwu$6%o|Fpnwe zwy*|t>Db|{jC{iOG3k_2lWP8j71Zi@L;G5ijuxh+tGqW#i;-1xaMahYD#0%ZRVlnA zdNljS^9-)qFjvcb^BzN^AB0th&tp-%@UMYRL`t&wIQlrEZZiv>!KED%y`2o-GPOX8 zhS-_^QC7d(1Bg;>l&#h$DJ5VEkg(}^FrFVX(2pmAUNuNO4}+D|#%~3JZM*?1w+(?$ z_Ha9_xIMq&8aHPcRu(yNbJ-Nn=g00BJQW}ckTNj88-Wrvv2?6om(!_RR~UgSP~Vq} zb(1uye5EZmR6J#5Dw>Lu;iII=-g^cVO|&glv`jf&09B!^6~C|bNd#Fg2C^(S<`tz2 zNN6+gb9s>~oYW^+837655GM;)PF}*~I6dZkCAr$K!B}+p9+f7GT$7qjK>d_K zszR_=I@RgN^-jY9v`sJYN)eKh0)faY`$+=Sr6qqyMRZ1UY zchtnS(&hYp*<#gUJ3B4Wkvb%Am_}Ds+{pA*0ObO6z;veG2p|E!pnK|)hweGJOlz8J zQ7-VSO;4$m>XEg3TeB_1V|-7Sg%{bvCUxM{2WP^>KG#KuQ)1oD3rm;`Zl zO!JtZn}MhNN`e_RRqJ9MAq%{%&^pTi!6knn>4IbD5DPSY1k#%ph1ub!0^DI%F-r;+ z!B#wOwMWc1VM!az-dS<>X962fTTIN6CJJExRG2bVsINN_Im2&GEV@5NoFUf#$}R(t z7X(QAKJ3z%$SYSz|CN@qBGisvvNtXp*ND~}JMLFPD-@9N(E_$eX?Qe$v-oBKqyls+ zGg%hnlNmAR5-rjf@C`tB*;gG7&`GLS9x7r-c7<8{*i)DOl3u)U25*CYE^HijepVh> z5AU8*+^7#|~C!@EOdn}z#hiRil2l226Weks*K2+X@N8gIK<@0T7iu9x{)Rt9MDA`(QEp1YA zG&k9u=kAQMzIA$YN!o#NIjV@rd{}y@idY$G9cV&!HQKmT@K#h%2|JZ*Y~jXf?JsDo zEfXnN>CVk>uFeuya0zDu*hiJ48(myjL-v~Mos2{z)UPdVpxs8Z8u5?V?lX^v?X5_8 zRic9i9No9V?Uj^TrEC?2kBaRJ>k8VkRAZ0kP_M1cZU08noSEC2mqFK@TUwmX<}w9? zja!yxa<#P86+|7UtKeLR&7wjNAL$GdPgN=I3nSqiPDh6n-?oPUqMIBy#_b^NXk&ru z8Vd}JoGz%_43DHK%Xn3*Ew$!0sb{QgwYQe%5y#?aaJYHWaWZfY&%sr9!n_st4)5xS z$KtA=pOZ~X&&HdVryU%1v{E{&4H7oNT&@dei~1bRGB#I{Y)@fNM&+jBT>admH)q#L zTijR&HpIh_L%c))qP7Tbl~&i6L5Hf=hOtY#D^Lu%Slp~L(&-}bT`aF7;S1Yy%Zp2^ zX(URW{&r5M9Gc+2>!K?1OdZHJAk)}e*qfc%6iFAPcb3=drKYW?|Ex37a~Iy;NLR4_ z;~A9T6y6gj;?D&7;!R#w2KS#d9W7$%jAmDmU^!f0Y_4kp6jkL}kUnCg{sw9na#<&& zX?1mJ23cnhwqUc!T-r;1jzj#n@NJihlE(o>27Rrx)t!Si!8c=9!u{vU`T61Bf?zJu zo%uw-CN@@4dCkj^X%##?8zqOwAzC9g*18*;__mg|m@CV5)G7Q&!jmSJ6r%yh|32Y7 zu!1q|))ieqX-ik}aH@z=X1>1e0=P?lE|eW)i=cw>F8*2#6{WLnA{jNaU zL*i>8s?Nt_{g9*}L@DZ?^+<~TzWF8iu~Es~)@~?1)gNEZ+}5dCU0GgA$3RDuB$1*# z@NkOjVc;=U84mUjnZ1-cO-+eHZ8BEocxkKwFmaM}JZcg_T`^u>aTHG7=52eFdCv?< zlf&UN9Wa0I!E$7AyVVJe88)6rA)L>K#ys^~uDr7{ot(X;((;|woi%2N8kOBtdykD~`uWg&VKr-|dG$Uto(S^A1# z07RRo>c0RKZACoT?{{j|?lZI`7nLIxv!&4n>f^*@q1{GiN{z8bE)!|h-(_b`(&Hz` zqeWy+GN#FOHPnPj)aY__Tm2d)w2=2S{y%4IM`#b`Y{hDm6=eYx=u0hFajNOZu8rw;+=^?UV+$w`y`jpb(}cX1;? zF$=@TGH}+#&A>MOrl}s4{%I2w*2{%m6{;s(a@MxL46ND z&nwz`hKG))F_FSll)WZSM!%6Z0V9v+e}KQVP4#AR+@G-MVY0v_S}V6N6MGjn&FPEW zED0B9ik`SO5B@>7Ugs$ z^)>l#fL*it3>4XqbvgE`GN;P~*_BB)Cdmlq_~QVN2yvkhu7D~7*2Qn7T8z;g0V{qr09~dZugD-+#5qI|(6I_*e)l1;j~bT;Zb{nGzA^t9Ry0XZ z=nljoh%*|OoB{lbp?yd1KaWGV&h(y*TD*24^t_CEjY@Bewe5!Y(SfwUY%1F4_@j(< z*f$}OAI?-S>cK|G?({8*Z~I$zfvC}V;CS05wB(tWc)~!B2uuNZ*V@V{V)Hzay~{`T zuyz!5FTSW@7^xxY;4oc+aDwTD-Zo3OBO`u&b#{qO`TO37@^kUjc96z&Mtk-mQI1{2 zK3qtGt3lavwYu7K-*!QDb0~7OX6?ELUI0O;gR9|ire*$m`L`jF&udR)pR`MQZ^>Z1 zprCsOCl8b8K3W49ji3Y~^o{=v*aArD>c6Cn&8&TqwSMgR26WC|Amk#GbV}St!CkYr zp7eY9^KPVEL&7Gljw$--{Hp%Xh*kWwz-=Ko@wlYLpV+~0V@Hvkg@q9tFns#25egtk zQ8iH@!Ad}Y#~+b19hqKt>t(jlNb`2_-1tn)4wlo1AWvP0^5i-phK3Ohe-jtyBjaxgWTp2r(ioxDq#k2YLR{AlP`J zqR}G2s;%gOTHx21|K<)HQhDAFf2=G3c4w{v?Z2;*4#W-ZKeI~RfgeQTcZTMmPo;k2 zY@1~tP4C?y=cjldLsDVLAM3GZ>kV343FhW^hhV^{0(9&M2s?H2&d6k`KB8H#4|90!$zMthK>iC9Wg0L1 z%U#!4(`{N4M=AzozXAeYq(Azq8I|`&TMa^-J(rj zDB*0VXQNiUWR1m=1PX}fY<Bb4!kMJe7*2!AOoYW@{BvYnq#4F>vhhOkq*;S9qQdbJ>q`^G=fCOk7D^WG9>-aF zqGo9nS#KbdA=^=(INR2YU7uKaJ5JO|(z}GO-}1Su2yl)Wu94=80eko()~p?-nlp!& zYhU}nRTPAtFY)Uf$MU~0cQhgL_>8p##MvU=?-1eIw^t5QwYcG-)cfzeMaS!H_Gx&;ZCXwKj@RA4;GdtcTiTDb5Xqyfw!KO4M!f73DO zJhFcCe0NqpT6}VN0xGl~S>2$%y)@9^PUxwsDl)cWtTcE@4|;@n1+#kU+>s;aS@Gk^ zdXR5y$#lWJ5$@*C;P%g+Cp0^;x%j95!iFWp z_3gX2&q1M|1eIhApS1;vNy&#$ejB5MQREq;lVMTRQ8o=I0vwkpIfnB$M;-TX?K6$Z zqTd?PN4&B3GtG<+H@^E9>Khz(7Tbr5O!gY?gczw`hWYg7vrYii7*;rT;j)F1E)#%q5@+@2y zQm9|}4OxG!)4vog%RQ{FGZ=94vMqTP-7wbDd&M$!RF5RGSB=0%cVlZYHwSeGREz0p z5U!SY-|KR*ExBRdDC(^du4c2tnYg)%wa2zpwkf~G0D1{PU0fCYXjU#qTfX`_>a%}~ zSQMhk}5-WtK#_U_;%rDAA*J3gj zv1^=82V7kK@u8;WUh23f^G2Q%ME)q~9gh~OOdy-+P3v-2{2QDxc+@XS)O*zDL%TWB z@58$};?zbY!PpxY;HOIsi3wK(q^O2H`=@F`{09biXha3t#Mao!+0n$n=0B31p(QjY zI};%z;eR9+b|xma|LJBVWJLLIKQAvKgRF_InX@?|Cp&Wj5fXU{e-a%##=pk@*W~;k zHI|e-5ISB6VHzPv3j^zvx@|g2U{3ZFh7x*gbWV1*e;R*up!xa#M}aK`NuHh@NH|3y znJyZHlZ`Va&YS_I4?>;HSk|F$BfmXemTr6QHi9{8UX?Emi$cESI7(}=!3^%lJDRG(quq^kgpnWRP>ypVR!5f1$sTXmSt-89ww3HXcEh5&bFMVew zxiTX1e|&FlJ^(u}KVO?B)4VJXlanknye(-7pg~B&rj1|@l4aEs(_gG#wJ3SKV3rwL zD+r@%;NYD+tdkJlN! zMv)(#SqeKeWp$@kEg)~a@v(|!cn<#82_Q-f)(|aH%{^y#KW8rz;MxmuL7>-3aRwP` zcw!FSmrVTxgI$^@k;XK zPQ^Au^5al+2*)Icf#-=Z$|byJozz96%mJq$jW~2dfCHv?e(oriS;I%*W?&ACybndR zXlTB0{(e$tZ1L2;ma~M>sLL=l2RN~QT#inZI2ySpQIyD2;s61mnq9dN3gMdkvs1Ot z&)J>1tyQu4ShT0ymsze{hJ;B%xxA)QFdOlnKJIGuR|85AmpqtFy1X19GUD<;kCeQN zSslg;Yww^-wDsS()S^e`_?E&}a|5SL^}?K?jKq{^F2GkKvl^e6z7aeVUl}V8YWFQL z|M+=QS&-y}SOEGa6SIzsF}4zJ1$st7e68p^B!{}4`0m65kO@F$FzrBF;m;x!Xd4cw zx{)^&D{&}?M&C$#PqR?H>EX*Davb?PxjT6=vjVm7W_!5aApBuJf{bgD-Mfc~(0oy! z=Je%aYPoQyo~&WlLL#RYyT^8;z}2Z6y+p55)mjQk|F}K{De2FpzOs{mwg%GZ_ODy2luKBo|QCBid7x==omu%p1Jq5H*Rhv<%(nY;IG z8k>waCr)pFe5rau2zKp|9QQf|TXk0HE|KujrU6hG&hT6KeDgi_Ojr9kffWH4fj@Z6 zA(eSSzvW9w9;uLj17GUGXhQL}A-LZYN{%qhA~~Zrej%L32yShh_RQtXQRUv|oB~G= z&aHy&sAmGzs|5dJ7`uI{jc_~oxShEL;*HE1?ipg(Pk2RmHTmTBh4=vjgb56vKuT;5 ziU8szxi!R9|14u<7$Am+EQ*DytB1PA+X}Qqrlvm5R6=Qi2y_0^?e<{^M3jkaXFj=nyac&#T88`?y_N!X)wQgNE!-%E0 z->G(Fj_(AB+~Qg9DTfx19)QxFVsd#nWvxF6Uwl4E9zloA(c7VB#BpvB^ha$M z4zoK+f0N21>zlb#ofh*QD2kuB6#%@V&m(=3RSzqTb!-}MDuCanxC=Z7g7QhA#}ea; z;DfIHpnky_p8~)0VDAG)eHb3iuIF}z?^&hMs|6VX#TN2{4-vm9Ji#u{YJ{jC^_>U~ z9%fOrF}O$A5)2%X0P_422)TLzXxlR0uXOpjNL$R`tiCN9;V7+W`U{Yrkbug2$7>^H zR8I(xTftM&Q^sg8A1+YWvzvZ9DUNrb)d;;nu{QWibr?w}3g&~TTp-ogYlyWUh*by* z>zH5cjWCaoy0s~xy}F&yst2@G4czHiyl5;^r9FnnoJdnMJ~9_hRB*3|r7R2Ga; z%^OTfkts=0mUaxRl#oS)UM?PlA(?b+!2PTr+^7@=*k zsazC(*R+lGceC%(^ZYR~rd`gMdZzNG?c}83Mk@9@*+9Zj7bHy1W1(_jN@%aCLZWWzrsgJ!DbI7Fct*h@GJEZM+@n%`>OQ7noU=5fX-^_~K!)Y7v%L1zU#G)_R?4Qm)T!2B0&1%bJD)idxgekUm%kEkl0(phaGnh6Dgq7^S20*-_?aPUwNUL2fK&t!z z!qOUlEF_$`%rR#%!zgWc4W$a8YNZEV}NXJb1X+Z#I@+qP|IV&~@j{C;)sbF0ojGu3sv z&(uuKIoiMKo5}OHw73(~G6s%l4pTb1L?4#h5H@BdpG(#)` zO;On_3{bY39diR)7U}J{peT`i|Kg&>p zl;M#1`{Veb!;0xE|5%_`Ztz4SSImcCy!Am_XIQ{+*wDV3?1dm*DTp7 z)qUVJ6KIpIIYS4mN>(a;C^jhTZZqk-r-^Ww$A)szHoE>WPPGhvHVro$Lz;6C9m$g% zfqp2V;fmV?1jJu*2VWdQa|=jNu^_GAQL`M?29?xJKcTXmU5!_M9RpJkbIHUAaIrY}b?$R?JNA>AZ`Qgi&r} z{V5;X(JU_@y0gIzDb_XYjyzlz4m_Et7j!C889h3nVi5m8A7}#1qRJuRg80?v&-Him zkj9n0M9q`DB}bQjOQbo%Bj4u+!MVk;<{vKq#FxlM)wZ1{4n7*<86tLU{{AzTM>Ig* zQ~inJQ|gKPHCh)*r6r9i3xHZDfMU`ayN}{UoOlcY^cTCrg!4AU-sOhoxc8>JLBSak zmB9h1TbCFWcNo*C!w z?xJ^v9zTPUy5CM2)mv=GRNuRf{oO+Td+n>@U_gi_D4PmP*2a8eifAQUKDu9nZ6eI*xQP5G@H2)*;*xU>8WXru55D78u60U z%-W7&;Yhx6u=7`04GbNA6>llmua^Pz^tj>?Ul3>Elw0YXWJFbg7KO248g8miWX^m5 zMGdBl{>31&{-^ED$4-xI?~@Zl@ZPo$a{E6{hUZ{W%(+$#;Y-ett>fI|pSxnXLH>W` zsvL8p-{wB9u|Bg7=SJ3H4 zQHaSucxA4ya1^rj^3vn!y$@cqgNl%YnS?3uvXyDF~N#f-c}X7cQEP!!I`g-dyHv4u-)5V zubG>UB=r$qd=|R|JFza)w+@aLp4qwUaH%)t^jLs11zEzj>C=l+V}*UzcV3>)F&^mDv#aAy{0%pZY!`IW zN63#K{ifB%vz<%l+5t%z2|tqux&CgJ{K-c zpnZ$pOvufZC**jxZBh~_ zzqb{=p5!$Ms}VGad%1-MR9kOTOM2Rs6gB{G z{O-E{-Nlsl2V@Qg_-%5MiZaCi#d@v za%E@3oip)&eL4m zlXgQqm!EXWwnFsC&#Ikfbx;luaE(SxO_OZvFSBA=I?uBk(=NB6u`E0vA94UtzdA=M zQ{|cYWYTcZ}Y)*@~tJOS=j|uxIp#LJ@}fKfw1?HrJ)r1An%@LXsbDh zE2~6Ueh@1OHCMij*2e9VB`G3bI4noFCvZc)of&nB!t~e+iGTh)YTYTVWT~7-bY^5h z^B|#t2PPg*hKM@A;zj>WM}7g&G92y1$Xf-P?=G_!>(NhNcW_f$s!AMy2}^;AS6huTn7T~FsFqO6cqnl zAe&}VgqT=BZtKPDn?p|D{Ov^y0u@68rw`#eF$t$CNWe5G(JiEO^yU=%NsuWS z*%F0NXxf}fI%oWd8_XtaJwZ2bmV*8Go@Q+lQY@k!n6mQ?gnwJ568)Ety!cPrR|)?1 z+?ITnJeJ&4A6xewhfjbxofOVu&Wmdt!H8#~qwTp=X6adZ##@quUT{$8y~J#8`9W?e zeqLK4F#)dU+5Ocu8+z;ae-+8H5%^SzM zo*cx!P^crW%+3{vt^QmAN-^+Xs%m^{pD*!a7@4we1>N=wgw zuiOXZ{Wuw14`ke@K4{)lc*y-cpP;_X3{-e~HBT+BX{E4P7W81Czum_3)#Xy<@!ePX ziq362!R)o*Gy(!*M}ZF08>-6t9kbI@N?!~dCX3+tLP_jJ;6#1ji$75?1?=1rx zNIotb?%g{(+}Y73juetwa?-XT4muN6T|vy!07^Rp)>j+M>^`vT!P(OyX%XRk?bwi97paqmlzoHu|sWmFHAE7vTfr_9 z*G4$E!XzZOaLY7VbqcS)yFlg4{bG(`S-aTDDAC$ws}8CZPn6nZBFcF1vt{FnrblXM zB&oPdXz=g}nxhpF4iK^yMP_-Bt3p#;O}WTR8Crl7O~`x-H}m3e)G3ubu&WL3X{)xZ zF2e)gYbjKSx2d@AFx4Nt<)IlCJwIa=e|wA8`mK_7?tC(lg`rjwKDZ&#JX+sIqMHZ= z2BU)=K>pJ2tt>t`{55KJ3;Q^E`s?9TRWOZ{-=WQXjj1uB{9$}NGjXY%F1gOQ*~ zLD~fXAy|7Cg1EH#E#Q3I4Jr?JL_)-14HtC_P`3N3D*^o3URus$^$_hwPJOSJ?_|%+ zrx+@HZPz!UNG_@a4=PtfdP~Liys-p=z1*|6~+Lplk5&s-s88{V_H%Aawy6LNhHdNDr3>b z(gm?t#`5M)&Pxje9m#V8t<*B=sBIhCgledI=emiyxovcX6gHx-^ruhSPhyuc+kcQp zyamo)PDoEBSwHbnEA-9Bwg!q0l2v6*arWxw_xm_fhnprAFZc9R`S4=@HA*S<<-r3c zJuwAD5Setdj9qT5tSG)C4H{;&E)RN6bb-MFFGYxNWiu~w^YIF|qg{Bpd#Ju1rd~2+ zSy?em=={n-j|Hd~LR@kv=q^pcc5~7ZBWyi=h*#5Dg>4$y4*OXP}{PqI58|ACBQN zdjSvs?Hntuj?EP>`adrOEZbT%6V%94(}_$o0x(>@W^k&2tJN~s`w{f);vPJOTKq( zqaw=qzdHp+T;xloBh=!8UxsDe2EIGv8<@lXZWwHJEa#qM-( z@dLN~)@72lw$257VJP{%?97hcdfP)pCD!NgQw=%=#~T_hOA^#J&Z3*&u@B8RAq?Ct zga=v95+H?LrbXXTf{v**kUNtF#~rl}K>Gtnpdg6*EIE-o$3r$41aI39{yA$XY%nm* zNuY(rjD$aMbRJMJjN${5jOFX5!>Qx6OsiUIYAb7-S2^R|mO$R<9}D}OPPLA6NB*8H z8&3@e=u2>skl=H~VscT7L`T-H;XN9JbqT3U`JUvCKv?W^yGtk9#Y$yP26*Ya=SyL_ z<>txowD(h$h^On;`DcQ)lD&ma#-bwZIic~Cf+f#tpac>BKAK`m8xbD4Qe>5$rEE|2+jzhTwakC)%dX6y!n8fs99IxlJwnS{g{91nJ&qzFvzA;`LW$jk*G`PvCIIA{8 z>R|{_A>Wh zo-Mmcmcl2`>VAj!A8dU%y|k`{1TL(D>!Sh|lDWrOe}!O9b1F3}PJ;C!xl&0>JpPS6 zpl;>kVmxaP$9cWc*v}f;Q4oOnWPJ`h29^u@N2{0wmg=@V>b(uY$LY3QwTO+q@;#F? z^s03C@NOe?;}xH;R#h|I>EdH9rSKU*%A@%7 zkt0-dV^)jIpOaa(TecKOMu`<^dQFS=7hR-77p|-yx_RHcjd>lTADOSPY)F#!U|qXb zMM`*?p9x$iTl1qS#v^! zsWAQvk#Jtv#LGVVvFV;2m}_9^Ad&#(nS5jou6*GW*C&~GcE?aB!_EEF`#(pq1F${e zeq`6I8=qN|XC;>(Kn?6_j%|Sb7D|7q*fDmA_#b3}KSi+h`Er`0RUGG$#dB1NbhD81 z_3I=z_0V{cJ;-d(Q8!w3QI7Il{jK+))3_HX&V637oMIv%=PSKpVWLR&Z%FO`+~;TD z;V9Dd3jvaGIo(r65Xse3wrRWEBD4HcI*b0a|! z+a|*_#_8O7u3$h~;5+h)B|g{^aw$euA`mB|L8Tr2Q9X|(J_+p(?LvYst=lUS zPbXMzwL}=zf_1cnlp>Z@{D-2+ni-ERj|IxF{$~#vTLO6rk0J`vcGJ|$o4do3Dp9emQ&rsrdjV8VYaonD#yoLKY#u*PqaP zYyVoW4-Y%nYqmP@?p+X0DE%CaM6aoSQIidFEjmW~jK6a>RHuLiRj~3-Qd2D^+U45+ zMN3UfS#2LA*i`q$!*9TA=rs4*-m7(-JrDpg&~{605Tl52MWOe@BvO7)?~HMP*OAq) zMTZ)_%MJp1RPLaMeel;?$4eGZYK!{s#UW>B3p@2NoErW?@Xg@+T&~y`D@}AzR@kk$ zhnW_72N$yQ^RV{qeXw3MyDad9`nBMYDz}%F`pgV({ZJY&u?mS@WyQ{%_qfRBZy8Tz zzRnr6GFyo6yze?DwV76#hI#_?s1A}U0jt!&xl#jEXOm7P(OmpA=B2ABYC_%wRwY3K zwUa)>ramA*l({}uk)=d{?RwGySDLmh~2tNlBExEuHnJhLs#N9e8QC^Q5E$q?uB2 zTp$8y19(4*bsySHKzMVV>dq(T(u)2!b4H`WcZ7>#E**g zx6XmBzsz$eG`G)8wk}3VJu!KG!a#WhZY}*#4$cFJebe@!eaKuM(Dm`8j(tp-c{j!mDm*b1 zb3U01zYCP$*{qUR!NW`_)xf>E5Gv1grWtvs7b(r-(Cr#gb_zUO?b#ITWMy zQzs6i?6yz}a_}n0`UZDGr2>A1=;mT$V_<83jw!7R$ruXcB18ov>}4cM0U{7E?UcHE z78eB2>Y#f5!2l!hi;;U2PT9>VJjb&p0QLHpp^fn@h?#^fojfaNqJ%xXR==KT^=>tW z_g>53m3Uk{{|2KmK?HuELOkVVz;n3kKAf^V*M)?7Sd89P+J?Z^LEUb@95c!v5hy$` zMHYsE&9s$Ts3fGJRmI9750MRk57v2Yl~||VP9-yNcJwUsw+jhl7cQp%WkETTA0g;4 z2*%N4uV~CyI`*(1(rGzGhD6(J2Ay@PuP%vu3gj2_{rhkb7qG5WK_@5|3wQh+5>r=6 zyA1--oWT2t5a0`^u<7Bd;cN0yGR<3~J1gPkj5zS`YeyvkCKG`K^#l>%=*8u#Yvp>d z9B9<2OU=O22g8I?TtJq^AlHjthQ%oH@DKiJKjsw|2zDaSk+dwKt$x9LGVGD0Q==|C$Fmudjh zr>v8wTu6x-1%qkd2w4DN`ao`hOL9Ro<3EZ+#F~GDNK!wqMl)|qwa{kip+^cygg-`s zi1oXKv+s78OySHd)n1Bs+2m5ujXp~AXDop@gH`Y-x*rfczbqA!WmewX<&69?w>#2= zhM(tyUA=PH%h%fRcD~{AnhS^w4SOaeOK4~@iPfku$`)_gO9-eNSi-6!E8)G030H9< z6vHYV%!(#`Vb%mKN}I)`at}X>6*OvEt>q21&I=()2Hi1)0;S=L2K!4Cpwr*W-0E?n-q&5&d3SB+Xl?Q~+>g3B;GQG!zUxQ3xg>#W zZlY(yPX)<&ygyUqvE(_TN>JI;;{2F)H(YpY_%?vxpsm8S1c89f!Xczr9vSDi1SJ*} zlW0)n7)(OQ^jp4P{=f0au#G4xQ5odT27=i=0&5>1VAUixBRxt6)VNdFp5ZdIgiwGIuio@A(MS0Es|)Ez2?h1-6Z)BgU#~++ z_*-+55`~jaz!F-)^&CNO9Wg*xpSNl|o9MlL`h4s{@D|?7K)4feS6OD5OI=6(>rzO2 zu1>Ry!(BQ%^|D7`d}l7?E!h9`%(9gunu#Ti{=^mbu4WEQz}OS zbnfR9tcoINtl5k56{01C(+PwzidXnGe6V0lB{77a8~2C!&$T*#;kxJ!DFi(veHexT zm4Q`HDdFdvzth5*Dj`AloE=Ehj^Y64V}m6%NxBAf-*S)h)kZ-bPp-J5FaCRA3Zp~o z_Z`$MB^VI0XZVcKMW|)9mqyigjK55qE3|s&E0P1m zmvK9eLr4JHO*X$1a!f;LEZCWT2TlZkl2habdju2A9`gVeGwujFYSyi(8w*L77e$sL6zGoH2*{uA*bJ$X`DcVS;0 zJGNFtAGml>c{x+qQBpe2M4=dqK@h|NNsDDI0(lY?o2HoE0XFFO&R*9~?&y392!mu$SgbO%rg~4O{W9Vf5#V zrt;PxMD4SpHauncyTkwc2K~}VFbO2?=^87B6;maDVQw!)nZndRgCMWur2ILn5}VQI zcF2BhmS1qqbFUMlICAITJb|#Ev3U*T9Tn|e7+h?g&BS{QWPclrfr%s8!m!z=PLS8Z zwDJp{_~~ey93UtlrxF8FQsuRwtEN{7u%r*!e%hhsV97L*`9L0ILY=*u#D;Y;!C>yl zw>f`-03XUf*_G0ZG)koL`0@RjA6!skWyzgR_=^SwOkF5oXGs8E_Sms*TuPX^lBzjN zm4b{qxi`_4;`Y1!@xC7Rmx>8n81A@y|2zKqF^2)34v}k}S}#1%O2Jg))brg(F!Sse zAgq4aiSbvKV9EIXn78=3;K3A4XGsl;I|t)MzfcwY309W-XN}ka>f+(X)+7z%IYrcp zd!e}yUQuOI;yTc)|862|ma}i=+z=0Y=rWXc^9i&h`o4E!6|JCG4BsjH4CL zm?0aWu?L#}H*J3hJrg2+A$rxUZ>wB}KIoeyNVm-wAUqsH!U4&c;U2p{*Z&Z<>=lJ=P{!N%;aDgy=%3hw@P8%Y&Z0 zQ4bClSeKUc6Vlw9Lpk*lN?01^4u^h{yQSb{YA7HU|89< z6R@HAvF~cw>D<*}@9=)62VwsB(UDiPO$wmfqRZ=oGV`u!xnl<_;pv5>@a2{aaRcp42>G~ks2zCJ0bUgR|E!WyVYIP}G zYPR(BLbQM8aX2&xZB7jvmwR305KbZ~3VqqYir&sQ3R!9qEKiO2l%D({3O#<0I+G@G zraKvtrhbmmGXs`Dmwwn3cBZiM^woc;apTW;mub&GHD#a|+Kgb)$DNKYx6b#E+0B%q zk6~v_DYh3)WisTPUIs$!Wqj8M+*buS6&wadWs-E0G>p}tRy&$>+oKl5ca znbvVHF#&M5rret#&ZxrFK_&jt>)^VqYVZw_{qh;E6#t?c?pt`@29AE^BPQ>6u?)T6 zU^%~+vDJj~xaIaK&rcbL$xnL3N{V1inJyK*VL@3}D4A$JVUd-uTZ zKkhm4>R#(_bRnzL5?!O&!gSremg)SfsdRrCzr=>_7H>X-SpjXUAI|)`eU*+@V*GNS zEEupx?-urI)X0ojq1>4@J6G*h?pEl-y_HhtlU8-InKAM{F;Q$;|C?3x&nngA%4%gZkFI{xHj3nPAG`6RU z8lz&WtrO0?hJEh8{(=X8=y21RRV|jm$;kHTEY)YgmK8eL&^OYBD#I+K2*n^Woi(}> zuKy*UK>P!?MRK&iz-+y%1#u{0zCRW}G1jOG^Q^{%aj6rDvw~O5q>bNSX=cLsdkyds zpK9&dD9OA)7swKF%tBi>r2)~okfaiFgsLWUp07`!w<}govl4AIh9UG9V~BBCJIe3^ zmV=-;cgkRBr(B6=oJ}SQEAC{(b;3B$Qh;`LO+0@2hdzF0cX*XnadTu6=_*e%+p z7-#y#`nX|krFFnfT zpx|LJE+pxpk)pe>O1J#EFrG-Ca{GAbclj+B{<3A}5HB~oK2|1<>$GXN>nsahc3i3HIlr_u`}3%L zl*jtFy1aAIw!Iy2IUB*{ot<20VGt``0+gv9>o$%0{ z9lqdKUFv;YHXM9Dy0`jW-aa-rKXSCHRQ*|Bd((O2@jd}v#k0EvqX*z;e`tyO;nQck zwDGPVLid90YWw zG(R@c``H{^p>IzBXJv+#6T4F2@%3mA&(LXqdvdE(U+Qnol54m%R-awjo=(TxXhXj8 zX!B|xv|$Vd`g=KlMgumK&JI4^`1!N(YoF0K^}w{8O`dqX?8YU$@N12Jvt+4{gKaw7 z82F3}`GjsP&2EEb#uK-=HjVQ8;m@qWQeDQk+>i5L`q}V8LK^-%#Kmstr^EjZxsu++ zR2w)IFYMAxUU+bS@77XfgFh1gmr8gWZjF);gwD2~Mst;Gj04b9gs)eXeZE$<5%Tx? z>THARjhEuD4le?}#50Grnsna#h0S%TZy_FG=5KdGd>f4Y-`!y5*p>#eEu}SJ=62^> zGmER6a~ugi^fVPhphn!AOz3>e@b|yTTAJp~l(W<>v-wfEVZVO@N}{E>pkSZ?bvL@} z9~ljF7&N4`ZM*>9_H<~=%BlF&mV2Mx^wrf%JuO_GCD;4L7Pm%I zx2LL!l49E)-ICKmvK4&_jE`g{o{3`g7iHMz`cURv;|`J4jyBvSF|GNou1?hCq&lC1 zaus!XMfa%UP&&FuMu?SdF1%e)~oz z9$Ir0OK}KxW^LBTaYY_@)BTf2(2?Sc<+;6H3x<1Og$bNSS-;WL#>`iY+8@M;brNcjN~5J2BY1NOT!)`@U~@~R0iqt@*Ae&ayFb&Y-C(@ zx0;U>c4c@Aa3NsriPCqXT=bsRNGbsVie~wkqytXj^KGX5~tE-`>-d$AI ztXf@`WMf@{sgazVGSXGRL-odTK#u-!xEOV2)>B4bUha@wF@8``F40ttv00sR+@M z!Aw?PwCJr=q)cT94b;`pX9EaimRlk#8jPRNR##h9R;B6aGp1@xGg60)aTg0|&1St6 zpQ+ZC?Y)Sj|71BxEPxPqk=3fP#wt$9w^{(uQ!|>WF&QAg(^l7%RTqp?RackSgcw6s z);Ie%o+n1O&B3*nH5bIP6je6kgqB_e6D-;yeP2>}tcVu9!V0ob{-L;Au}NccGR9I>pr^z>l z9&2nf6vNYXT)Z}pMGtlX?Q*K0INUhO?ZMs#mr+`=ictA4|Xl;+kjAw{z_NE zhUapedapTktV(7 z0z@h%sm1@=sl>yE@+-L)6@k}3iE0pZp{-g2%p-K)ZP5N{2owZ4{*XzFxTic##9T#c%eYo)gNF?Ds;gaFB+j7+-L<^n2v zkTtkdR4F`~U<>vlUg8iU;7Cams{N;b)``_8x(3Xl%gzJWP@Avjs2d8E(QOx2}ro+Y_)&269?Pe&R?1b6o629?gP6bq4IzQxKpyC z`S>Ye6y(5Tq9VO`P$p18zgnsUK83F%JZ|}?; zD;!)KoE?B1*wBmtbb(u16NX56iQ5L>^VIH9{OQeP&@~$i{J;0Ucn=zrr#qvaR;HUb zCdVw$`{|mh7;3{8DCT)fur6QdAKG=&g1@XCfKEd-Ml)*g#XAHx5-774(Megw`^I;mh0)@*nV^_9 zJdI1|n2AGPu`Iw_v3`GnfV!XltLMPq{23s|ykOvNkl|NwNbA`*8$VB($m|VE5N;I^ugZjk4&2! z^u7UEQlJ!}#tFp*vOr%5MleM`4MeRFg<7(S{NgteQ6RP6;spunn*qe#a9Zc_f>8A- z!~o>OyZCDmg#-{rVF7-8M`oG+Cy_2os!MgtYK?}r{6^yuzB6bT(N99}NI5P7w|Geq zPK+1LD+#NES>kETE+Ya&ug^CD|1e;jC03{_DtFju|Y$FfqW z(7@9+ez^8=-stO)rKT=I@3r~rC$_gd-<}3K`pE_|8>~_|FKZ(lp)ADWK`6dIOkN=z zz>P*%1sFMfxl_Dbw3pRz*y!JXG^fqBSaY<5`tK`C`R3=$y#N#z*+8=5Ki(+3hIy3y zQFM?|Mz1?e^2)aZy=D=b`026$*`7sno@2%7Wbf1sx8OcD?9 zM2C(2lXdrBqdehE?%VI3n)D8~boN}}96UI?pAu-DT{CJYX?D|$w5y!`>vCMM*z%>7{3N1%Mka;!ZKX9;q^c-uu*zT2|MV`BNp z<5KLMRss=%&|@e5fg4Xb;G^5O;PhsizDq{D(Cf&PIK!0{bE>GSL5%je;QNWa6GF=y^%xnwBZwYqoC2D@HLbb~9$}9jF=zDL@HE~V|^Kw7u zP@Xw|(|}pI{RzOnM|_Gfwi2>pfcZk?sEpm$+!P1`xlKtN^1n{G(Y#n7#8~Y^&i~upCCc{zX%D=Z!6+|s0d~b4sMqJk9J5kB4b4TW)ztJ z2aTZGq9?=re(`_XvM~L>4LMoJB|B)laQeF4x|59>sa{f1v|BXg){vSr-f1weaod2N_=&4%!^hn>= z!2SQq5pb!=`}7O|H*iS^RlI`2ynjfKzgZ-Po%{rxBrWi;p~Uf7Z}G&?+Fm{E-Jpz) zCf~l=Rou?i)igE_v#x!QH++JaM5M&4!i6P1R`!&q`_9*pGuD4teE;{iaVNj`vugAL z0mNi%(^-P&p`;M8_|Osj$a_!|ZT_bdl!D${LL;9zB1s&8$sA_0*C&8x2e$t%a)(5}bkoP8NZvUOwJUN^7 z2$of%{ro|1*{XvAy53|o$#5o znD9spOT7Mlv9j}`r23QI8&_&@{{-TmfbYZPp87Rw43V!}FekVssO25DX7Fj(;)W2& z`;1#gUf2DZ+r2G&L-s1Dr5k?R^lZOtaCTv>kho->{n=N&@p{J2wE!n2|X!DZmRSNJp~ABs^ba09*9Kh z)CoNipj~L|S`6(WLugt(Pyq6WaP{%F#2YhWxIr(XVQT*T3FSSz2RNBE5z#5N3&NYu zqr+&VDdP;&49h+E$)>Fg3EGX$@p*b;=IMe88S9}dZ>kV%GI2Wln&BX zHZN3fRR1^Ds|di5T^=(2O_cRLjxT3H91wB_&|mR+?fqFA$Bpk+;806=ystbH#$b=! z60tt`jp$8x4n74e>4y-2MttHGX@%m*iGDvn%*1I1cfsW4P$3*v1=n-tolBFtpuzVE zvk|&BBRTpSwo!BkmTn+awZPxMP@N1}^+edrUe7wo)goH6!EZ74xt!%?Q}%E2=0RNp zdfs*>T!k)4?mfy{;58C6cl{vwtXT8ZDU(m9Ph>4Gi`1%fe*X*tf_pB-S(0QRIGjXD z?DEfmK`EgVof{r?=XKuI#zOAtzGJ;tW3w%U)+?evampzwxR}-_P8h`o#z^u7_3e9`a7eC(A)YOxQ$7SA<(VngrS=AQ`Yl$*)F-PIUoa-A{SOY=2r_aBu`Vltm z=T*g1!~%{rLk$;Pq>7rk7YOX^EeaFzMSW&{O<}XroJS*$9+9%jJKu926&u_DIw!Zh z@c&$nx;LzfuGkp1A|4dk%fGu|BSWzU*nBT#1yIJ=|K2x1jAaX5Yp>i#UIJ2_YXSk7ui~P`{$&>?9KcE+5m} ze&U#RmShtyFlg4mOy)NU8-%}2jIcfkkAsX8dF`Aql z;0~l792|}Nyt{vhwNbSzR6oqcLT6YfT|_UHO$|*SUt1?<*n1x?Ehpw~whX`TP51%= zMMchs*~R)U*|8IbsDoIa{>{EJ%RWIwEAHIVc6wjU;{OfZG-)VBRK1)F!p5x8l3cpH zFSDN*Oc`txOE4lry37Yy5mQNvf}g2Md$7{XzFPfp#P!8telPdqxgW`Lw^F?G?j+<_ z0Blbko+r$_5+X?n6`ik-Ps>T??%q8RBJmeFJ1Z^hct1J$&@Jh!iiwM1f&0Ol;Tax| z`#k6_2){}kofAvtz06Qqu2aW+MCQNr8?E)?^1!j7vs_jc;wl4PkTNprFtG>ghTOj+ zD_z|UfYGHI+U+&E?_Mt8WL|;=a@+F^5{#d#ZZ$R|7yg$kZvfq#gf@Ln4rNYnWl_tK z*CW^UrI>cQ)HZ!Dp!RFoCVN@HDA6ftVy~u#FjY;bC+j3z*`=+(J1|92!NEd^stT1$ zb-kJs@z*8pp(Ef~@Uuh|iSb2A@|Nx6&!6|(23P9!w?T&Kr*1lc*6G3nTyknmVw+Ra zQcWsjyZX-`jsAXiWUB35ULVP^rsoS%QgM}na_))Q=f{XvS64H!2MO@lmrWk*BIM@# z{zR4F@EfSTHj>xOH*0lCI0)xEQGUuG^D+ z+{|v(>~0=LulC5*C--B>;AydyQGXF3Vubf`7|@|)hjD!L*k+bXTS%dqId*=DBJaTl zsPTu!4}9m$AO@q|d>0$N%U~0CLUY^*;zJ=je?Xcx!V_Q91p9K5qOAlgA~nO^AU14^ zQyr5B_bCNCr}jclkAPJtdw}MjUG10p+cP_kUDnBh+sZLjA#iytE_%N9DIS@ z325Mcf=yoNAb&N63vsN;AX#8di~=IhnS^;BN09_hjUV}A`q}D4^+^3p^@v0@s)1wu z0_^&fgdJf=KI|~a!G#dhdBNb&c@$zhfnuUx;WgwT(gyD;HNqv>0OC^tZ`PR*Q^K&! zU`|INR05X+?Bmz_V)F2Cfx!4vb{n)tF zU#_tU3{hELl4K7FvOY=J!&6l-atO=F5~m76DQ{Ctt!5(PC{c?mbZ6Dlrf6}|uoc7= zx`R{O44ob*BDfYTCV~t)S5sYGQ|)m2s$$ls34a%N>F;;Z6B!-J{zfl&ONbREsgz;e z48sydmP;7|hXfwOs4O9>EK8Cg=Gd^U18e&zDLXqvGQzOm!tT&Z9P zD@80GT?xH#R0%06=^&Mc6J*SQ8<7Dwq0$@`tMoBipM@YsXIi>qF}?a9dUY_MCt4CM z)qg?1o`}WZgnqIG3J)oiA4m6IO{N**h{WOU+_9?-2?XIy6hmn{(u}2A2RyN`$ z>(jD;Rusirn#w>BF%?O0KOOhr=YS&%_<6I-{M7uL`4{fU1wZrE&$aCB=U31TWNJ$C z6n7o>9{Bbj8Y5Rr>)h+S+tF73Rq1`^eShT#M#`58)k3X@yN7onw@_NmiGoY;`CL9< z4^qVBb3!5a0JlYYo%y4})!=%N?=d>UCxB)X1kJS1;g4IXtU-z=89sk6RLXJ=(FrcV+?aNp(mVau> znWmNzT_g3TvrQwq&UB%g#Fg~uYNE}9Ii8J#kV8k|kk9MmqR~h$@6f$v zrG42NoHNjT>s#~B=32ZA$FcUr^iuOr!N(WsuUKJr8+z>W91^ zdA{J!@`|Ra7!e`gC8sGi3ko59T} zyqRC3-CX#w`e8(Elz+$D#^*LhW~(!uv%+%ZLHI11SRCfo*gwG)f@AFL6q(pk**Dw6!)C4JauxO{P6olp=B_RcA2 z)7y2T*WvFR>8|VbZd(28#y0FhMr9*(TN41mS=xOIC=j&7&NRhNrqTtmlYpctgaj&l z80A8HWMmD1_J25*PXD&oRh0|P0}13_-|M8gV}{*XrG=bTvbEXixvvbH3g@&c*-tlD z+P9%j2Mx^jjY9+qW#lrhrM{ zJ)pj<*Xwaxs5Kmig4@?@yyuFm>z9;Q4L0WadF zfNtw32dy;93b{i0D&GuthRPNBs(eGejo#T_uFBUpV@<{b+-8N#apVJVxt#fST?`cL z7O+4;U4K&I6p;;AYZ!;ZC1DbFI03Wt66i6CSfM^+FGsAiF~Dq#Jk?m-Db*n1Qa~)H z$1U*m8v(;rR$4KDKnp?hMD8P5hnjEeXzo=pbHp7}A4|N43qQTJV(8@R>g&f2IKUmr zjJ{-^`tJjGv`wok%3)u+P;PTh_~Wi!2WL8MRDY8mfSUfnLa}>{pvYsVQ5BvF#Z4t&Un3A|enc!p&|ob2W}AOQ@+%Z$W`3f#@HEVvy=QA9yxJIM6C+)xn` z!zlzqT8Tz9qJ(ftNNMHOKGS}we1IA%f67o@#Fv1xsu)vRf>OrbA7hv6FIxv9JrMP3 z@qcB8?yJ+lBZU-;2)(gaETC9JWol4^5s2~y(k6B*-^RAHovg5me}esrJ7*b>bPJJnsoh7@NFLzT!LNPnd^Un+$=tQ2mt()4|MZLS)W(iEK17fi{D zBL$6u;{jYqCg8gh$4RYun|Z@&?nq)G*^v0-h1*E`59Sc)$*Ev(j{+u!&~c--GP^E& zg0M(jq~0m6Qt$Mw%8+;;pW*a5GYTDr{=#5kwm4L|h8-tOQs%O^u*>{62M^i~>VL0l zujn7?r*s>W$%iO2F>(N=;OY4UV{c|JUUE`$;;gH8VHag4F3L=byuIuU!Z;L|45tg6 z6G%=d#E@VpwyYH>h^SErZ?5S1%zrX_T(SMzQ85QMB4O!7-Za?s)x$Ei9!@qtPJ8)S~^OKyX zT3`C&tLK}Z8$>dGOms|I_adJ4-WPcK;U9O znfP~yk)Awf)GAdV4ccb?32vwSob;U55fnu?9!jp_YvuavC$xk7!QiX%Yk%s8@^ST? z@S~<>+A}>yMpoQo*c@@Y=LOH(9>zlv!Jb`Xr63HR4)SY5wcDJdY|S=e^E;^xI2g!? z<1(j339~{eYM9$2mBxCdQh%nE8g`pK-bQ(d4#l4Abas%N_pyr852=x-2q=t;J>h!b zP{HEt$=Nq$Z_j44?P1Z-?0<1FkTvH@s|#Y6al|f=YQya}3f(n+BijzU3>|ivjv7lM zYZ8{!JE0J8hm#ftZn9FikEVOOZ-u_Kq}ciaf(y~AHw6Qzc zS=m@Ug8JM5srs3~N#v)*mueQ!eP~@r8Kr2n-LNO(aMOmW@PF}X`s;|4mzC!`$g)nQ zAhTe&f9kDQFRN0d+it@_HhRJwcY7=|Nk?G#yZ&-$YYOHN)xDI8Zv@0`!Fj0WfU$(jWj1um>?~UN}FT zjh_D`6%k+?L7Tm3yWtmHKG!61HW()s$HlllSR8EsTIYD$8(9v)rtzwxU?dY9^&%sb z7vGI=5*`xt(^7eJ^WvNQZGJM}f7(yJ^JBlPL{;#Adw=ZJG}Tf__(_|JPlG!f@TE%+ zyb3IPmrg??lw_T?PFNMP%v?>E1#K-&;jklaK^gCX+JrrQ%Sy9}hix=Z<&CZyc5@6W z$8C78>B0IOlKu3I%2BKKnmKHA+x|hbSKUGx%Q$m1+YB|0fIN*frY_D}lSQ1WHownm zt+fveqJK*wObIR{WsHFbkwMHg_C|MO{)8SAK&|H4&pFPyoc*;jZ~wxwomh+`EICx_pW~jBKdZ;g*qma%sS!2#IIoW?OW%sV<>jC-X|VBuF>-_d7y^pwa;G^f zbbsJde5IIGIN`>Me3!PO^TXv+F@E8XtymZ^|GACk_n%+5qj}cdYiG~6qqd;ZlO6W< ziCp*4(+4(wfE65k=Kc#;J%40w_2Ij1=DfI}B`6Z>w)haf>lq2#T3p zmNz&&V`%2E{6FcRIi$XU+Q7u<%)qSZ>VN2O0`~@Y1P^Dt8hkB7<$2BH_0VG7h?3e;i4AB=~gBM-2Gs>wZRx5KrbY4c#EaDPWn z+SP_z$?g*sdq{;^V~)CAB{?cif}|NXZ(I%5$FU_Ocb9-qSk@yv*@r*#;d-%Ws} zfwHvi0(}B0Xz6OA7A%&^owWRY=zow0Fcy}l1FQn9QA!~87H8p>#;$JZ$V+w}0y{k} zZ+aeSiZwRDFF+(18{kU{U?>AG0DlLh6kHC*?e&GJPr_5wq<#sAM5@m*@LzCEx}^lq zNXFiM`}vMKCL`bcM$rXk=wnTf9i8ydZ~l1osGI7>;p_T-lULC&`062LxkgS6cink)W<@}(t=+qJ(y!|>^Fx`Vhx9k!b(Z=Is{2nUjaKB%fL2+95Pwqv(x9U! zjKVD(mTY(|HCo4;KHAf{I)uc*l)OwYu9b~c5Ll6Mn7{beiNYbSe zX}-it!IjSKPBPiK(z)At%*i@+6vYhHK8280a6$sOUh+X4-S>e_u^m&(B|?SGL=D?x+87NS8b`UDH&9Jr0**`RrYn;R!ybw&R%#cXuT zyusx^^uBVJ`9BoRK;o(YWk;E4lhMoB$T!N3&I#TL{>IF$!UNJdNt&PCnoasKakZZ( z9vIFHR)>2A2Of|lYGn6vO3<>gieLjAHx^79#L- z*0O83wbEL7jruYAnEinJK>AqzK>Y@N!=B_$O5eyQ)t}H$;D0)u6V6IM$!FDGDNWiB zwbFRY=M7T_tkdwofnv~{!h>rdu$Y&SY_)E8>={$^&Dj5v!g8UG0&HdPk*ffQLA9>k;5uwqs261h?3L&ilJ3O zHP7wUs-TwV4r*13q0%#__p0;^O?VnBQE2YO9;FIhjDJww2;;EJMgMS^VQ{0_jvcQa z!uCCHVvqTh`Te2&Y&0>HbkObmC*-Nbc#w_XP!0pS;D1GXjhG$h;3{gy^?`Vx<1hFp zED4;K%Oeer*$xh4;&MBjF2+r;-J&%NIPtREBYP22$%8h zJovN+doJfQ{|lK((U~r2JD&xgVs#1(up>oe9GB4>{M6c`Q?}J-nWsXduc*DT%sd6| z>=)aI&VOIKF>w#+vvX4U;B~7L-$JDU3pSY7vs)~@%R~$}msSJH=_e^JSP>bETy)ooV!}**<`boufSOz>grk9Uunq+j7Jfm64VOj) zE*}9Gmu3VmtABU-A1HdVsJ$oe3ww=lB@nrTiOK26m20YqZ<}={AJ_8==Gs-LCKFa2NMt%?2!E^1t9E&)y zzVSf=l-(Z0qk_pGNmt$q23sFGHq_KYM~N1svZ=;MqgRRzwZNyT$#UxRlCK+*;;gY3 z!VcQuTL44Vtya-PKtX7sZ@S?R!|#D#ry{#Rk51yiq4KQAF7T?$0=sm&7bRCEIOx1_ z+6@)?ZqG3D>1$Se^2I0b7n(mhCO6zv63UF?7aJSSeD`qz7stkoFU%|sdEAb=0TUir z|NPw>m)r&}MSsNoS^7Xd#hv26()69;o8-UvKZviW+(L03ze;2r6kBA)N3q4t3hpW) z*qkvxgJjskC=hJ8@)Bx6AtXg9y1fi(jy@C6V~(H26g+T~EAFJ|;c)|ckUvIwp-GBd>e^`}#;YJz|(`m=$vtTm3^3fqA*vPtL~C^+S(!qZO0{<7kSwydgZ>hT3f9hd>{#&8Moc7 z_qFx4Uu9otTdKb&D?keZi?VNHKM(rQP9S>^PT)Lp5@ofkKjvV1L|oGpqmf!QATlp?Ukk9@W2b`{N&F zR<3@^{2bRj)fi4}V@BpR3>VOPr$4gnl7IH11VmL3X>`A2j$0sC(Xr1%rf zTc)3KCubFgB3LjHYoc*E3pOa<@liJk7=I5wWRAlHul4isHvJ78Hlg(rpC1_F?bVB9 zpZ~-fF{be(96$`pGd!dc$s|g>Oez;F3b3;lrG#Qc0@aKFWhzUBeY$6VltGWETqnxH zHOAxv{)6BGzrnuhKjuFcI2IHKWemz3lrY6>?2sUci;&|Bu6aJAqt9rt>1J&i2o*pKUrNg(^Z zmr`g!V;W~$s;QTSz<+Hy3DORLOn>_rJIZ1yLSW&OyL=u56e%MBsN}+Q);Wy>I;)y2Qd>8=;V8;To zgYVpkNy$kzvS~;%@AcKR1b^2gPqF3P4v-Vw=poudYK3!%b6DU3?YFiq&QENT)8TT4 z9TDf9PIxWWWL4Fi4gmW$!)w#rHk;;@-66|ZGo$b(D|9>IfDXkYR6P$tAG13$H1N15 z8Cs59EEAdbyS&3~DX-fbr-59<&A2=8Q-<5&$kB^+QmohL^*W=|TYq)>E|=YIW9|A0 z;rKBhHhkC@%mEk;8%}L8e*PGZpKeFH>BOk)_YUK$(8ckTGr(ETS_iaQbW3{RM9dN< zx@)4tEOhAp63nz<6SrJ4_6ioczy)5rkTOaF80EZcuwPv?qG8$6DNCDAZX%}=|LA$$ z)aNieXQTOM65*v;lYej8xM|Ird0}$i{OPA+^Yq6D?tbYL=)(!n;x_1W>Z09i)Gd+M z$~*Bcfm)+O(rc19!O`e#3{J?I<(TcA9h{XTR*@>cuhdr?Muzc2q*`sK^d@Q3aaqUffq&-(bKS?4K)74IEbX$4 zajb=IDL&tXOMPC4E~I?=ioQM&c{&4zHbq5WhaQcR(hrtw+_dqGziduTqHTt6qGOh0Gsj4LfUhRijyh83I7I}@3w5xH7s(#CTb6j2JL>Tu>U7z> zmNV+bN$?f^j~KfoUUWu9d|Jffe|APwZri`a)|*n{nrM_x_;LR-_lFtT@93O)yROE8 zoG~>+7k?Dt!1nP|uiLemw3+@BGy2yrJc&C~cFAUrX2(E1T{ynqS;%1*W&M8D9v8iu zJuc84Pj{Rb&XLrhX}>tf^Q@-Wc%2{@&$K;- zp>DS26GY>d_wCHgj(fmXvsH}Y55(yVx(-}mNPoo2fmqR;6IUT4vu`{-_rv{idTb~* zA`yT+wb=>FSjS>53r6T?PJ-w+6{jpV*z1(`TM-YzW<|l%cDt#rT_0Q5-f#4zhP|wg zjwDY5FF3u2(QzfU5|$a_=!6f=QRC8G0oXbHH5{;*eUPE)UdX2hvQq&M!Nx>#3kocy zvVY;2c@9U+b%XK;O@We-N=O`~YOMVL!JKG^hg;!>J3~g%<=y z2-<7NN~do}u*>O_-8O|2jjO(@*bxeFJkNEu2m^$K-_ z`j+}B(8-iJrmP7Nx0gu_E+u~fxf~DrR|9@c0R7b%-C%EYHhO2<=Q!thm;09nwva9A zEBY(`kMs}yU-Ms!U%S5coa0@Uu1e2v=WuVWzfql|3jLfF-U>gnm|JXL!>zWj3q0Z6 z={@W`=(VDX`}`SknjUbvmnzFSs|F^ zwR}Z^j*b}Fte`s_1sR!{(E=F&!LCQ04r5Yzvjg7*z1~4;4SRnuBbt>7=Wfcx&6zk8 z&h5{SMhj@LYA-?s>1YW}jlNLs0=TunxDvK%*zyYE1xCLs;>87T7kpO0*b8zBS_>Fd z5Gp7sNEWaKfx^F4cMqVXgOlm7J`jf(2=UpLrdahaVLdBI3hpZv>6C|s7sTk$2#&d| zfl9B>8X*DaorZt;3ND8Eeu?<4z|4lunVU;$AH8fOh z^AsDs=gD#7$B&zQ?clA6Ml$)gy{m_A*lZF~`_QDGwW}UTT!23F0~~zGy$AND!zk^` z;vzPrha8P;tDh6u7yQKIbr84H>#(`(NVmB#(urFV?FxUMtRxkp(8iZ}?69Xyj@1`B z$O2#+z%m%8vKp~o93?Vhp>v(0D6iREQR1GA+Ps}!;-zymBsK00_?8?db5gV` z){+``zt99EG>{q+q3$VcG@?>fnjUwjP39`I=Ch>-LOdR-=|DF9+o~Q|xa3#SK?ANR zfA?MU)HZ)MI%@T*v3W1+Rio=Zy>N&bMrHOc*zLE*+iyB-O|~wLTa&HnD62K;y2kB` zBhKJPajo15j?3Yix%u2_j&0>A4`Gl+! zTA^<$Bh=Np3m0-ney#;XZcLuyPC-A|Q3iU{7{7mo+ahjNx7t_{Kwh*9{sR9JX|YpS z>{#Mi&8`#IsjF>wI@h_^de-{Z`d0^4!6~|hpvM_>2mPL);OeDGfnEaRE!YkEAnS4{ zHBZP0m1G%N%~|uaTC>`+_)ymAERv-cv>{BrLb@t|PA1A+@rR4#*P27u)N(Q00-)Lg zZ5}AEphIYkGUF8IIA$w<$_NW0=``F~ggcIm$K=-tC~zR)?Nk5W~Uaa9I! z(kOi@M*pM0m$Ut~{;u+jA#9yi=Ngi6pYVVrt2Pi;bZO+?r6_hJcSr8^9#ASfWsoZV z*SLLZ4Da8f_Ey+!5LjIrwNJ(DIU07e$_?7+Ob6{fu1kmV*5JVHdtWlm#Nli97|!^x zrGHI#teQ1rHFqR&`abiN`4d>>Pp)a)Mv5M~qs5Q5w708;22_BNa>r9vBkaQDiYqbTP zbJ;!9l2+}ty;3-iQsZM(&lWVLaH4z0;yN4vmuQlCKd9C_<_~v(1928FGH*>>hkrj^ zXFd(D9*CbN_b}(7t+Jj+621W>4&l-Rd_bK$FHH=c z40->SXP#ZQ>>2u``=QlOTdlsyxB@g$5c7Fw4u?y)-5lXKDW!z6l&^pu@^uUuDkB9e z*2Gi;$lv99sQzzySbe7Y;>=tJRe!}a3GUpRRR!SNx;JPgd#q{CjsKgThCTPQH5a}n zClVp1jOyxhKbkE+Lg`f~eX|uy+-htn6kcP=R^c%IGyXt0t#P6dWc_?0Ux6ycp|}y> ziWdrU6vu?VxSvpqhYMSkbNo3$%4efOksN3H$%ELD@*i07YIz*nC{Jf^kQbNW*Wh0n1K(@k7 z!K}EPPZ+=iy6VHQ@dDvk79&vw*Aj$%ilO9S>tCF-Vg`4RJVVNnaEa6^5$OoY0}emM zkU|{h0?KvMy2Gylu(7nsQ?6w4wuK8Hk>3krm}RMq)Dhc8uilj``0M4I}P zSu^n-#)aer_w4y$KhkG^k4T)L|R z6BjQS)3+{X!IFkyLuV>xBIAZXEPZ?VtoK%IF~5BGRr6CHXw+({=2_^XWP?|jib{RIF&9kZGW+TsG;?um{YHH(YzY}=BeFWIv!D}_B0 zU)VF8?{(&Q>OG{yv)e;F9(N=+-{}%VZo2p)qhLPY3jC_BuwXY`P?%bOp;8_hF6nLb z9@V?K_x#?iy*Kr4>n(t2^3@>x=TFvFeY4hQn*`IaH^W`rAs*}@WGX>e)w($IQgonu{ab?5=% zL1nY!N#UUP74L^$M@2@1V~#LKUWS$mJcF-B*P`ox5u4}DEhxzI3W(uJG_#kTDd-?q zABfiH_L4|CqCh(ESi{b|FGR?)8v} z21fIL`=%AZLl;F>L<=gsT%Q|SZy03Q4sF_PK5O0v*f|W>;uYnE=8>wZ69*3b^{-DG zRg;>={^rQY-tV|0!YwuUZaf>$!W+#N^MT)Qy3rW)`&-QO7ZTu1c>0H*ETug|Im|hW zHD{p3Mn2CSb|3aLS8;e2_aR4|j(p8#Lm4`MB{Fs-djD;ekvAu+B<(G6S-SmFl*_za zv@fnRO1l-mtTh6}3;DW5GZG1qU&c%C$A7}MF)MaW-7<3S>n}dKd(oilhL*Q+N4()r zcdzM~h&lQc{{CyAp5(+)Ae>OD4v^je3@6Ua<@E`a|T>O!leeRv_%roYBx}yGdu+){-2&VvCb%2q_bCgC^ zDvBVmG?*5}-wRRhdnFnY4R9X=>RV5BTivsXvt8*aYkHTjG_|t6`^#tJ;Du^`9H3ij zCVXmx+HVD9jZiNY|F>ZS??4PNK9O~bgwi%d*Dq23gf85+{FI`x_X0el1*z+8>L40;R8(K7w6-IA=wJzVkouBz4MSn*S%ZB zA~jiMkabtB*VYVye)nrG9ji| zj5Gbkdhs{RcCn4wEw(c+h)RmEl|h#qWfoyOVQ9sraT20C!Cek#wt$m=+&fOj!Opt7 zHai44*oj0C{DkodJxPJkpOgtBi6LA|CJ4ZfGlUUji148Bl<+3`n0!S}2|p2~fD{VD z0c6(-PZOR-V7kL}u^8%J%nsfwUCT~8A3L_<5NW_J^P|Kb;6c5Z_kONrp1&}dq6$bH z{v3P@DsjDy45kwEd?hP?OS>6D_$Ur>CEy_K7O9wl&e7!})o0VulkTMzucw5G(P(<% zpQ-V7f+hkJDgq|tLlJb;=s#CkD6SE=1a@*e#V2jMT!(E39Y!8Zc0z+ zOU0JySf|q>HtERnr8D?gyLnn3H>mLzea^P_^X9p?|6+cQi~h0mPl-oXj2=0A{3-XsY;=14xv5CMr29rsyDH4OJMWL^M%j#g4EhpdCKjUw|&E7?R?5)czlr&s%q zZrDQ%x&+7?hkzY$^OI?ew z&klqPiSl#A2hZk-?*L#*2$2rrJA^NqrRM3g3+N~PdLosaq;p#=useG)HJIYC zrsO)(|M@|GymT!nUBj_P)L*vxGu9o0a1d|e6ut&}VhkRi_=3z~Mkk&pgD*UjcmVoh zBJ_oy+W|Pdn%4S+(FYiatOS*QY^6Muo1pAcey_Zxe5}Y}@LL%Ht6xIH=J>2uoTbtLfYh=$}Mt)=ZZ*IFGyK zkS=BN@PzonPG`kL=EcQlZ^P#Q2wktRk6`Y<7MjCN*GssBEcuDX@RDD$KF~dvQzI^0 ze>$}VS}L>mRyc}D^f;gCO{-qhyB-Mi5pp-m1m)Ge{`maN)=biti8C{KXQ73ba9c^C zQAi3q$lZqK)M|3;b4f1!buK*<ht_9Z<=#%J-+x= zJbK)9lgHIhZcbcr^X2kzf6O=}6vSW;^*~JIA#oh;epX#5vx!Eq90?M3>VgO1b1(KW| z4gpBgM%FE$ERk@%^qF)z4U}yupuEI~%t&FS=09HV#Li%T3NzJ9u=32sxe@;XE4v#w z?HpF{kz7(9M;B*2nEgv&-7GUK~ zcx?9AQEeA)!ODl9VTZZXTxi~CZac(euHAggU3cHv3aHd&&SRG+!yxTJL&eV_668>T zy&`Unxawb`Vmb$m0Yr1Lo6LC!4?@c)A2mng9afavgEkl=yh2n6`T7b6e?_jV%F8%8YMApMk*0FxKWXJ9((E)jb8|jK8?9>(e+-*???qPp^>;?T#Bwr2BsrgT?gj{C5Ko5_h#-mz5;-x%zimMz{l_laD6Lsz z=4-7Qp`q9|ty5z!{-1zurl|hMf9!HG#z)6SEXSO&;;~H1F{jDD#ACZdvKPObaUmIu z3(ez+=eZ+^7s!=A*OJ>3)K&|?)i==pCWVuRf3@r;q?9Qv;;~```^9;1`u9P~T$1h$ zlmpv6Wk@vY7J!}FokKLoQP$Y#&5E-j*a?y(^J)-zq#h_=626vCsXt0T$v>*xtK94I ztLi7{ed%NQL-iZgEi32-0yKP_UKSgabJoNuYO{cl;^*MBm>az7Y|F%S@B)vqZ)VP)|(LrF{ zLm0U+Mly79sUo`-MV5F$2#Jzg6eU(s)$~7+`oEU*r2d^066s2wViAKCEivQ}e^fbY z6kD@iN(?nbrIz4;)*XeU%Xym8>v@{|f8Bw||F88tYq$}YMkX&$x)=M<(Tp_Prn?qv z)eg`Jx@rfIb%yyUF8&m&xEbTW;v#dK`HJ~x^HU(+4(7WHh($lwvO~{zP+pZhMf!u^ z$)HLj3*6mdgaQ7V-Hi$8$l zg^kuRGyC;rzn&kuIdl40^VI9N+?6_{^_asnGA$Nn_|OVqxv6^an78U6e4|t%I~XgP zi@Cn>RjTwK%>Po4%1i4}8B$Bs@6@Eqf`8NAf1m&HMJZtW|6M67;!yyViClUNPE{aP z5xmAM#DSuPlPbm!C2P4OZ!Ej3CA1J~f&&*1q0u*)*R$in+w!2LhOI%IBN7GiF1y1f z(^cjN9g4@ML~YhOM9rH0rs1T9wQ8S_YO0$~fAh8Z$b8>vANkIQ!5CR{!PH+?Vt>_m zt$A;WYU&4Chg~!*(NzONZVh!dxh|XK7PeL_JHnfAAamM~p>wjqeM{7@*))jGxiGWV zY`t#kU3cLx&wtXob!+1Pwf8OHQCwG=w;t+ly;}l=B#^s6=mBay5CZWEbW3U>Sx-v> zq%e%tQn#RuP%ai6;iXaDN;palA1y9?P%9G_BYXHXf7lcw$dBYc|=5?b-2X zc4O!JG8+=l#<8d*1h?*~d(VH)x#ynysIIQ+UtunvoyA<< zhkh|hSyR~ET4-X+-Ndh#>%&BM-tsf)XYw2B8!0LDD!b38d_(%We82k5lz)@7-lUtV zE%ds)LCd&gE)aR9)uPnYDt4H5V?LdmFZ7ql@ZAb!S{}mH0#*inGDvw=c4Iq!o?bAN zu1ZJLqv~7gMO9U&G4ZAyQI0BaDHj!0;jblaO2-88EW$yi`xNxJaDh4LKE-=`EB3y( zcW*`hrNrEDY5u*5xla_%Pk&kU&k~+a&8+KFB(XRC)XUzz^tCvCc#p0s~4;E+Sh^%FF<6BK%qJQ7`HfLK+`7101 z*W|8-+e&kC_{MkY-Po)Y+Vsa)-%om4?Y%1=R;&1&@wl2V#g{g+$hXv2Fj8dNJ0Rtl z_(694I+vbgPu{*Mt@VF({nL@-l5+lr2ewMe%)7UGMvi^i?f&Y_sGPT>_qLOgRT7fz zvwOb%VYBkRhfki0o_~JeDcT2IFCJCfvHdWKKX+J7DUvy5Y0CB3mS|b@;VzcHbP)BX z9VS_=$Haczk!q^PWN0#>Kcz1_(j6(c;3BiY+|j6CFkzOzgh_rY-;BO=nZMA9RcY_% zN~HBtjwGq=A1zWYU2c@0e^h$@|WQ*!y zPL8zXdik#}Hz>cJl$J@0ab7UfLANYd3ZeWA^MY0C_+IWSDz@jdvyQb^TyIJ^m?cY^ z{DJiQG`T)~M}OLmjDD$K_DMeZNUr5A^+LvP)QcHvTDAI+`8hd^H9Ae|bEKwX36-id z9^x<9W?QWy?QZoXcKcdsRUKMW@x9cvb=GX-i(=XQJ!yKbF58-|W!GdovX5k&vI`x# z()rvAxpJ=AvQ8Y$I6)7J(?@HNVa`}eXHrE>l0M<-ynhUAYjQq+bNuN%`VQINiXeKe z(@I-3DtT|~rHUYb5B+y<(*~1r>2vWxC(T}PWTocUN!cQ;26>vrD`eN(zM11XaqEE^E()=Rh&F{faEDw#K|Td`!Nbk|Vz+U}WS%Gk^ecSn{> zQ~ytlS(TBhnu-h5rNt%6nxfJb8*9E+d`bC5Vfymrg+)b~1qJ!V#aeZB&Bl$H znYGo$1&V#+@15Pw@z!KRKc#Amb1{Ok=j3E1dt@4yhpeg@kbzLPJG#er{c59r^JE-~{<4K%(?u1~&xUZgMY zd4G9Q{ArLSiE;N{~pzdnB8u}9n5|KX*-{@D!={l`^>Go>4~>iaI2 zw)b73<2;&)=S3G576xN2-2J$onVbig2%fNvNtJ z;~VsmnX}R(68%QQ_NP-V>DXnEi@_b&4z z5_CDVC~0GG$J|~(Dlj%ULpy2S$rD0-oD&Qd%s5REa-?FTsdSEi^u_PgiRchtjPKLQjvRzs#c` z?W6C+ue6Z#*J{up*5Bv*3qHmdP=Dh?c8T6>y>oDHvG?~|yQjA8_SCj*+qU_sZQHhu zQ{&XOZJxTl{r+&D=g!pV67Wdz-0Ou1*~rRFi0p^vLMmsDr8 z{be1YINCZsxSwn8S^zNgSx>X|A_+9n^D65%(2Ezwu{PNLRX+U7z0^X`Q^6u}2AFsT zd`HDa^8Xq5>c8YK`CvP86|~)?<#V`v`wJf@6_-IE?aT5WBehV_ITmW{8tFcGc)X%U zjZ=4rHjV@18zq)XnUx&VfpedHpK_G)VRwt_QrU0_YdSKvU7uzaJtRO3^DmuHD z(f&;DQ(f2!Rr-}xN_m^VM<&s1YZX*`*uL6|pWUU@Tm+a{KXp8lydS5(B zhy1;{S?Xi<&0z0%teATDe(kY@CiWvEc~sw(YO%Ub9CrFRvaCw2RXHQi1a#KAsb0Tv zc`g-}M6_kj#{S8Ew6*@x2bE;+ek;m&*Yoo{?j`7<-nyL+Vz!s#qpitIk5}X6;e0s0 z50ffl=Y4(KT?oh`sNibx*=6<~=)WE*NM8B2JI+;ydLTLb^S4gotM7f}XKZ$6`fFJj z^hdnP*X~gxeAxH>zO?=uz+3*%!P0uLq0aBgW91`nPa*l6I1hzt72l7>6c1vRiC6ur z2{XZ&j>@SMt4r*o0z-7^((~?>*99fc-xn_iJG6!EU2#I*yf$e$qfL?#($>DAPgVMC z38Y+iU?-X7ulu|Grt9d_nl`|ks=j_*nSW=Z@BGp1az+2;b})_}pdCUsc5@aVeWSK- zvCXzXg{ULEYJ7bUEmn#yf47X@bnYr)c8J56!fwS!3DwvDj9&|0(nLP zw@0V*E%A?Nmu{1*Do zlr--xmIHW`_SSpxCj5dEx&gU65B!T{6+=*0Z-TptpuWr2_>~$pRKVmNrNZZS)8$XnIm9Wn-U_$nnk zB8QmC31Bb_AfM%DvuM)DdJh%U7V(?zfyklOiJFJwTA*b(4>XZf&8Mll<@y<8xc4NJ z)HV-;fYnTW5Az*pd0$y^>-W=Pw}9;+wS;HvL;4 z0EhjNLM6jc#8*ShXz^%i%DN!BS!NEG`KEHijq}h2m-+IP{-aH{C`J)B&h4m1ZLPU>%9Yaoxz(0<9LHY>)zk|68FVEeNUlt*Nucs4lNM~SO*I^>PC);lH>9HI-qCdyZ{hllg^*77(356<)w_#-6`y`` zoam6UG2U=^jLx2*R*}4%Fu&C#9{fefdv9mW(P_%NavxNS?6X@&JH#f~*p52T`BsWr zj+8YbDg1YUU(_4(Cl%Q^o zZ|GI$Kf{Ci|0RvT0C=4~Jdr{J_&V**yG3#;xQA znt4Kn^F@6ICk|G6B~5^X9-S|4qlJtLqMXc2d!U+u&nu8e7U{9um`U(esH5Zy;_pwyT7Go=CaRy z^}yj5#h>Zr8yW7v$lbbBpR{w_(T^anJA1Hn>H-|NOEY;u}X?KrEp-DcP-s==T8@9|H5aE**LF4Qnc=^$O?&-kwaF%eua_l0RA>ff== zWC4b^;!jVo%=fIJe7`aDO^-iN^v92Vl6lG|#X~%5XWy;HES?9fYu{14Skf(g!;4&^ zOD>8e^gWZ*ANg59_Aj)f92{-aEJoJss#AG&<|CQb+y3R7VN7Q#tOqADIXq%NnQkg~ zdE!|C@5t#LxM1;exb6G+pR}75+;Qf#1OWO_8lf(bKdT49Eqf-{1{=8*)?+tKZ;=X- z$haNl9)IP6yZYt`oT-b{jAWK;uZKC&%?-S&wKR^jo8oa?1bm(8gU-d``)@ zlV94f(YiLqNidDu1dCqzh?Q_BxChmxJ#lwTb++VehMFy2*g7_V7k5lpR85P2tpQ9I z6$lc1uU^)+NcXi# zUc7D(^i&>c$GKxotC?-ja@$Uo>&xiJo-lCT@+ktuhoJlF2|?9-c2SX5oc+$1gT%#M zXm>wOPcAhbbJH+4>SL_6F7S;8f#LdA*hfM=+xgB3&T{ByfUw|z1!=EY4nR#xvq$&B zF%IINHQjZC;rA_YvfjlGe`$*d57GQ$+YZLOSkh7SOORHOy~QH?CH@jz%p6(;asb73#b{t zS@uh3>mEBhI|=PBOJmysdOB2SFfm_?({KQ-P6_-A$-3Y(5nUj87+}0Zr8?wu-C_3P zm-kiXC|<2evP0;{n8ebMV*mcaJ6`3$(B?FGV@tL^Ut|3^DBroG{ zEtk)GopZd~E0L-pAfEHC-@sp~o); zFryM2{^d^|6&XWKJKWgmH;U244Z;xIu25hD{O`WPe5*Ps8Ip`l`viDT&QO@Ot=7WV zh&E4gWW?Ot&`0lsdw-74t!^}y+Hd?BcAs80Rljz7t+=WnP5@6Mm>MzHNA*w%hNNbD zf?cx)?-BiTa=83t>^r;SS!1l*I6Xy};g{F1gPA&<_6Fu=ZptUY9+UZ74gnbDzJlyL z2MyLFf^bSAGq-;34g~8me4%x-MgG=bL~zrLPLWv@sUF#%do_eXn+5R%Bw_o52L!RW zB600ccQ-g8=70&w{qAL}o{`D>g(aJWQE6fAn=tU#W=DMwel&`ZtSu<^Mm{~>bK&gs zPDwR|Jne!2NTin8TD(VZAChG6HKG70Y}EA`Q1rquVipf!6$=q)EsdDautPPN)0QMWeBzq|X1_=i14JF)EdLZR z%eIz|mc6?3j-7d&wDr|er+24Y3tLBKGm|;P^xw<%>*e0m+8keO4zKJSUr;UersGT8 z*>x@MEWl-ERbSWW(ngi*lSK{Q)>RFCa*G>V>y3@o)n)-J(8^kfYdIPTlF)l6i;_jS zmFrVt{V#QSV_3Ny_?oL4Ur@K2j+VM46E@*;q&G(oC?d&}Uop{OqgT)Q&cxF96=Fy(2$dp4??TI$temb?+qD5O{ix zV;c+iv&z}>5jS(|FkNdWHxBo9%q4It-bpi!wyxn}(b<6Qc13U`pXVIltumoufYrS)!nVA!0SOV_Ej`9r5xVKs(; zBtS-0{qWs=7|q*M@FBw8$x5)O$i&dmB*V$wWJ>IRza$$3m}6}#8h&d%xF$#E6C_m}VX<(&7; zIecCfyHD-*ZHL$3$;8^nxoqAmyYK#X2f*v#XUtEh-xq(^UDAum&~rpy#wlwvLNVuw z*tTg1hl`UHmj@g4!wki|?_W~~dgXj;%3t!21^O)&8;f*SMV*3M^}V{J$d%gb?QT5;;P ziOy85IN>*)E*%fIu$dDXEJ-wuv6a&ocO}RX_xPIx3d%>e#hWFd9x)!NRIfom#|bF3 zIyc;h#~U1x?5h@QhV*jP?Rj9Iv5Q5(RN?1JO%XmJFk5BZ|5jMz&`O8}5a)<_p;3vx zIPyfWL>2pUg>)(lsmW0x3|F&NG0S=?;0h+D*hkn%BC|<+A19~yI)AJDE7S^GsNAIJ zOfW%GY-EXNY!0sOG#C=2LHbL{pN=0#H}K?OL^A;8u4PErlj@mr<(Obt}^cB z$405r!g%k7(K1=f3{4xgSp%kycz?@c>SuRiXs+x*>Z5Fl*>bJ}z>Lz@!i-?kC@2QG zn`b0�c?lQc-8ZDIu&AR4PFuuv@bu^g%o&>^JjTvb}r?eOnGdt|LuRpR7QkPZpuZ zRyjknDSvqer(Z7Y*E>N>R|an zI9~y-@D$^%3Jrig!k>v=jxYyI*06;XA}sfZaPN7gSt2kVW?VhfpMop}Tc*@B*7%`u zO>FizZ(^)+>#G}CC_9XBp&+;{^?2niPkGrv`BM4yFBD=pkxrg^>bWkc0lw?_!-Nw@ zAg*$;4vMx>6qhYWnLsPFJ^|ZKojY>xg|=Qlar9`=hWNc$eVFvQfxSn2)BF1b}yfe`uaiC;Vk&GyJ#J($fnD z%LYK0njs#Oq93iQf)iXbM3_p?9KPADWghXtevdHZ6z&24N`1&5KNFQQrXPRSW5f2^ zjf>7e0svq)Eu$aqFyHs3%qWKc-AT(s!by-HZX64?n3P#NOOI$QGZNyzsBO(j%1g{c z4Ket%t8PXtiIYvKZ8YVF^i2E+$Bji>bIP&27gp+f#7`$5x=4=Fc~z;-4bBeFS+Frb zLb-<)_vw>90gJa5w|Y37#NVP3{8t%)g8#1)gM^LvQ%I@>Gy>{D3{8X4plA@)2&<#( zoxVllp&XIIqW}UrVEe=*^dSE+1awS>LKj z-|(y99oLCIsyWAZVEW;QnIr58dxY2Ys3_G*{HUkKDy`C_THe*=FCynl+JG zb(^SyXTh>iFLY0-kEF;Y>&mtGZNAYqJ>%NzSY6t-VIIEK@+>>I#!ZFr8*Lt|Sh83< z{yf1dfjU7d-bSYt;^Q+mC3MVL!W1Au6VIYVbbnv(IXTt9F+Gtnf4C@}5$Fd>q^9<3 z)48D$+hn1kk=|q{E(LxqyJDWXFxpLYe&Mu(A|Wq!ZCmWg{ppgMal?5GKWgnf{wgL# zm1qNn=DlGmgX#*EEhXPqY&*(Lc^jqa3S`5Tal;CyN4BIoxqU@I5!y;!`V+8kdq@Uh zl~P;5VYU%yV>;Oy(N0$w&85c_A;rc39^qsP1MzuWy;vs|>#{+%(bK9A{Kdbk5j={s z-W++kg!~4)&a%-l0oi}qJe+Mg6!)|joghvHSZC98n*0Z3B1ty0dfleFc3qj>n*SoV z>Km;(WYcD`aGw+_+FI0#tqo|ux78kVtC_OBXt<&i^ql->-s)^piW&cQM3L9vDr`Wb zrHI^gMVVoSl4Toa^GiF!HpwmagNE(g>4Ih^0aB!S=5=f}K+;w}gU>=gT~R1y8}W)^ADHu|VzwnC*%uam|mp+p$F9%loA z!;`IcUV!&?Yqso^EGz&QBF1=lQ{RtC6ZO+e+aB;3Q5axBhtwnrYCFg9WCd6Ts7&luR(M~|T%~S$&ZY&8OS>;;-+1NAV6mr7a6h<@EYhnkB*`&*3hr|08Kcs;C>P1Tq zV4zb@X41FRB7dkrzRU5{b!N?(V>zZm`bm%Q>=7ZoBQ)~2`Ma5SiGCj+AtabO!It7)}(N0p4~tL5vf&2=MLMvWId(bGnMo}jXQ{nr!Q^7zta zv0r_s_vl>^QM!7?{ij##*E4bWc=OH7VI(RAqABzJ1$&>#T|ILW4Q*6j?d_7>P5*|$ zEA@-dTZU!L0Yg!>yT-~w_EwL@NM(zuL7XQ_JPJ4F7lDRzD>k6!@Aur2!wsK>X zyD2@n%^#8K%FVZIHRQN<_@F*-eVWr22KdbQt z@m9f{F(&9SB2H1-g_{v8s+Kg(OR8d;tE7bN+Z0iM18!5%{^q9OGgnqqPE*+-MyO|? zC~LcXy4ud6_2gZZDMWB>!ai$;f3ewEEdc)>fc+FDPC&#XMk69x zFSPdBD8xA(<(f1r$F{*1&{XY~QEb1Iv8uH8`o}{}V-So=?kb`xfp(iu5~J5bDW6V0 z$)rmi3@C$%vih7c2Imh9zToiWQJYGexqn%lK<@N|mRGG?an`9-!l%(ul)j0tfK0we z=5;?*3FJ_8D!do@6d4ye65;JRe8`YQT_?AsicyuPCe}fU`w0M2K*&!0U&hP-jd@{~@=eAvI9^AYM3r{}&U_QAFc{dnp`R!WLl#vJ>Ab3rvKr*aQuhW^8e+$a4`QD=jFfMfh`zf zKseab;w>0Z)Ar=(LqORWf2TRh)02@f{h(QX3_?~`HbQ3B--K*zZ2#3}XJY!__h}9C z^!Uik%*_AQ@gL{^c1qimr#JhVEYtr?_WuUOaHI)Z<5Q%CD9|GS@~g7&rAegcL=w8k zgdOjJd9Wd=AcF4(00gJX2NHsf=JYCPu68Xn-rRm%zdnr`Zyr{&_l-LJEKWS|?440$ z@n$~jX{s&X%@k95v!q$C8d+am`snYRa69Lq6t8UOC5#&hF8EhWd$Y>ot~5pwN@KR% zzBe{UItZqZ&sH`7XO7PO0xP@(kz;l4?Z?4`F%1c8X*wc>BnRP4u-F|v?gKD3BCx-G`TdaLmuSnZ9D?}AP8&5mu zWYHE%$%zUlO2OCSZt;|I3>5*(Ls-vWE#gb%FlY*{N+rtxn?#t*m3y1G$nx-W1r;hM zm4HA74OAa8R@j%o<9_u4g+Ca#CH@QoDu2}X4S@4j*#BTiq57oq!M=!)?4ewt@CEev z%RgS>6)oJKpSyNF>!2aU=bis7f>=6LEj1v1QXx#niZPA6~8WdtpsF+lR;O>5U=a3x{8 ziJRw6Kds24*>jq|oH?!ll!ga)SrJ05^3-Cl(LHxq;-*M< z!<|k=x}GDjh1{}Pc^4~!#4eO9H>@_?{HiC9*=8l!c|4R^PY4ag`m)@_v`w%G20cJ7~Rwr-e-gbfzU?T?0stMTk1w?j34|wjRB86EJb{pF9I<^UMLUL z699OJ;fxpi>^m}5H0%9a=nF)iK)G*X=i!ueJ*0C^@C8>4`B)L}T!ek#gzS;M8HG38 zt{)(-$i4255AnkJ0b(f_1#E4%7^o*W$hh*&_XfTmEGrRYb4uret(vd>=5EZ*M94rb zXnXn-aCi>;s&l}y69OA9khGxp3Vnz1_4j0wyM?;?T$2zW_QJ~{XExYWI}`!8pw5h_ z^=IUTG4_S`4Gt2L{4N`d8?eBC+>EdjLjV-$?{5|7RudsO+rz-V-CT$1<&)t_37b-a zw5-o@xv)25UXDI%zlwezgRvmX2FY`3`B%=Vo?`!5^*#OV8NPw$%lgI;91zNp3|~YqU<@$$ ziUL+Inyl0Tx4ZIyc!QYQ{Byi4i+PPPLdHT?d zDd1n_?*WK@zkPjvQoMh|amWe@vVg94gXl(B8K_;%ww!V`-;&9_>kQU*yZ}MIB-sOeSR{%`lIfgHUqft(0!PMI?UtKpI?Qdk7l*aSxj;U>Q@$e)^?vAlW9D}&4{^8h4>xy!lW!8t;H;3Ift-Pp zffMZF1eODqCRQ4jHft{y0)Q3g6|Qa2KdUR&b-HzP7YU5nPY^udYYsSOynFFl0fhXW zU5q)37s3-l`Q5cc#cqTwP6VyttsnrIj5xOh(%Hb#(C{Zp-LQ2+k~dtQ@X0K5Fa^Ob z;x{Fqe=ellx~N*hQ#UxnA;H1B1LU`LE{XZ9;62)v_`xng$o6ue4?rVk6to$)M(h~{ z(kqJxu4*Xn#z6i}p{kf_U)@8H&k_CH zdM{yh)onUaNtKIyc*ig`cil@||IKE1ph%2kQikl;Alm)>u;v;v(-=4i_^WV@h8&cG5@N_W;ZmC|xOtROT9kSP4 zzssB~D)RI&=mOaQyPAnwYCTPGo!g=fr{e(uvwI5_lu4Bc$@AIgDNbpa>6jV~&Zexi z;r8YjnHy*P`wt;;&wx;$lKbh`6kve5qmF^%RPoE;uV!aE^EP%`Zw9*YX)an#G#h+2 zZIwS3*f5I-$&BHuWwVbRpr=DFA^{Zw5V}q^a3mFQe?-Ip3(}t!%}s7BDnWgsn7QYb zL8jp@5oAl;C5$Y2d^=>tQox=k&rqqnv+r@z&Q^=KvXzg#g@k0Wz#u{Qn44%YuBK*6 zowKQbga$B2n;y$At6O+U`Dcm89Y7xo)>3X)3mG~6o%`}?&trHEo8gdkvrbf~EwSjL zxB~|`3q*AR#hIzuktrM)vx!p;i2W#~vj< zUp<4j?BNurvuP`|wuKY@M5PIFgyaf^w4UO`C=zOseGWC4pI-+7)68-fx4Vj4`9aE# zTJb7SB@<2!`isQMGRhTEufA`(soXjJ6Mj7LZ3ei;58m2Ba(6G*P zc$VBfC3Tgrr431jOvf1@kW8Xh{-LO-$kgXV$@`mJJDIl}k9*M`LkM^E?Stu-&Ma`m zk*K%}n+WLx{F7oAMa5q~Auj%vQYe)KO48s5pK(lV0;&J!j!&qT4yt2MbA~jy+j#e# zJ=g~WDH4(cSRoC{my{LQ2oR@mF99ZmrW22WBn7HX424av5voAGDV&8g@kS!FHv6xB z4hsIHf2CZ+f|Mr<_KXM5=b4a23Uo(zciDeQ2k2|c;x=gHPy48yc=@Sc@Q7}}tj8&! z2y-2Fun8sqOJs~DfHV0rm?0W~%%cq9OdIr?tZOm`dY}X(LP(eCmcDy(`}>x#xp)@} z`30Znk}~X33kG*^tZD(OIh*iTnoE?l-eYj_gQ%}4bBVL)k*{burokDpju_mt_UE!n zyMe|~6qEb8k%j<2VFo|A8LC#K_gE6Zg!PdsjI0|ep{%0|{DbBG-kQQoXjbbL>+Az7 zd4b!gz2{GzZl+jZMf=Gr6Pt9x=xh?f?YN{MqjSPIL@3P21}M#1F%&n)=_@9F30vw;@{V z+#CK7u$TMKvH{>&46wqvbC0R@-q}$R7Te{p=TP-E zqv*|=H4s611R`9DVA5rfb)4h|(IWFfqoHIPhcWnbXQ;fnJ%JW%KZi=^(@;kZUCb$4 zgQ8|sGug(g_8#khSaE6@eXMrjID3rtT9;k-pPS4rZnfMEjxE9Pt*yovo+ zj%l9*!i>adlA^1y7ULCG@U|}ysIC@+d1tC`wXQ)bOK-@-nHWrDC6~#G`KsOXSROu< z{*l77xP`Vt5c#>=-ciMRV0bntp&@LNb#^$%hU|EoAq7A#&9Y2=Z|5_5v!`=Kcc*hv zVsN81sQBD+ z1A1YnPu;}X?HpGf`kTw6SDgxC+iw`tTc2aY(3=dkpTp8M7#P4Uxu@SkF9I*{9vkmn z&u8^LW#3I{XomC}XeG|7HAg*4HywH^o7$Vw7)Q~I?$c?3hV+y|JKa8_kAKAHZi?)E zp0s)X)vez6vF)v1pYkJIzaEa|oIn#`#OMu~dT?xqbTH6=jC6kY*6qajeWlgumEpd7 zeQTy&8qzZZPo@zX(GwvuxOhLbDpa_XR<_*0<$PnMDH_pJu{?P`M`UT~S&jQ$E?pbG z7oK$e`f6eZMfWw9{#xh#yYmq)-S={vSNQqN($n4W;TilcADC8aL{ARD@x9?V`It66 zZf8lh-?Upb-Rzfjzs%{g(&%vpnW$gW9}&@Y^=Rdku&ZRQyMlK1VEP>S}&1d;w^F z*s{1Kd^pkK827gO3@sYKSrkArWXVX90W=SM~btprUgbd1SH*&;Xt2XjT1wja7G+p&F5j**`LO8h0lXj z)Z6f~yyJ_8naa=Hne8Lzhr#QWV?9*d8Ft&v2b#>Qsl)AR=K_(A@(G9&d!hR9FcI~0 zA)L!($jUO)%%Ze!TqS zo)36u)sxS3WXS~trb*NAVCN8QR0m5tpj5H?*9Sr1kvR(`ib)4*9LO=@R12D*n-bj9 zffsBDf9#>g?}#*O(Im9v4dziQV*M5t85g7tK*^~Fcz1`vY%4$&A5h`0-_nIoS1KTd z{)ITxR-N=ppR5V=W6`77HL-Oq!Jo9z#E#F49B<#?ZD$wK1Sa$so!R?ls-{BA0&tE5+!<)g)dG3=@` zzV5mpi}VSyU!8U&?an(5QOpiXX6!b&pHlS)dHy)f;s-uV;O_f)8rH3dzs8mejpVBrE#au&Xa$QQRWxZ+piY?(BK&Ey zf=yU(Y2itUlw!1I-%oLVOA55)YsPC6MW9C56O4+6pVtu!|qy(frhGbR;8QBLgL%@P78&}3*KKrm=Mer8gH+3>tLsuYMg8wA0X94?fZl`8CH=2 zmq-A1S&;LEd2oR6XATa0G?#f>LD`I&?wk@8FXZ;&Tk)N&Ii`s&=0Ttv$u3hL;zb*q zHTYU?Q}3uO4=Q>&_0gzrN|v>EGJt)B=?3`X2cpXam3q($nIUUSer|mhNoi@r6fxH5 zrwp#<%|OWvPF+hy`F#$oucY$(&s{Nm#k~Ud-9KX2D~sk(nF#FlmmHDBZB{;wpWfMd zLMU#&N4c3#$UW`*>_N8??Kl1Y0_WFd_%r;vO$q7cHX8$*%356b_O1-zjHG1%YRkHQ z+R2)%Ib!p8#|^_fWr=AHi_I1-}8WQ_47;hl^=@Xc`ZQ zh92)UX@6MF+0V2Vr@}OUNxak|q8f}BfY+%2HCKF$Xjc+Tj~vI}hmu~Cw7dKgqbO3L zN-6d`C`2`r9Ul{>9~b3wHe8V&q}EfqUB{;8S6^~3h56H}r(j(J3WN9fidBUpLN0n8 zz8BtLC!u)p4+Qc^Rlw9sONwil+0+zJx}F3)XGi@T_#(Pt0UZ~|&vy9jiK=3bA5xmU z$MbPY|1Rux%DPr3A*cQ9^CL2oz4b}Qn@-O(eN9D;mxzMdxrn{Ub7Dz6ZpX0Nt^$*j zX)3Lc!+yI{)16+k5$v@2*DW8$tsTU*HUL)>IOYK;#u2sSjJQ_LPLdp(X!;Bwafr@| z&P{_%lbD&aB$@H!AhvSQb9OoqDgIw1_h6RU?71HE*BQndI$(T#JND~vYM5aTBgu0@~O>2aP~>5w6QR+pA%WULhY?`)vv zj95%fp_V#D$S?QZ`kIl?hAQ((EPK2=*io3h!@pQgauj< zSi-!RSxt;TeIDLICB&yW=uwzI*`HE~s?6$PJ}g0?F_o!WNFq5AXokvLE+Ip_?wpIy z=&&qbp|1PkbwGG5XOX{fjn}#mj1&<{4wEw!MUa_df5``X#P)6P!I|M^{^m zqtnaFVLR;WPdlHg2^RL0zjHDGZw0sQq*7@C2XZdX50d>`fgqz=$+w3Ni4(`DoSh@ijS zW+?V`$jF?kqsj~L)NhvO*pplTUa}1z#&H4WBM<2^=y$k~tmm}{8_;-4FCRYgj z$=y8*QR{&$N9?GR9f~2EqsQQYi=bYQ2oK@fh&zMCr#QT4@D_4Sxcb zq{!fuEx0QfhAga5CdyR+i4iPY$`%QXhBxX6Y$0K#uAlN=+{-4;;N~YP%ln#5v??|p7rpz6P=*Ib#3pz9AEGU&7zoxO_>tkjTMU2D=~>E zXXBfIw9Vzg)dHKw9);umEeo7gcYUZ09Ah3B?tj*MgLR^*qIm4sKR31!Uvm|uA-|P ztd-IRrD~2%j;nHHB9k7-2RK#cWRB*8f@E*;HyZE&SArE*k93&4GSAe#X+MUoVW~&k zy;3T1xE@Bbnz!G7?eI8Hrqs-t>zhXCG|o`m@=qGLS(iu>l^odBC7_ch7tSYPlYOK9d5}sxv-ymeG{V$mNFsFAdYh zErEjyAp4NzCKFgKU(q>{RHXt^fuJ8LLTmhcM5r1#6Qq4|t07BLAk@)`fTFH^(ArHV ztw6)6L-x0OE14IS*+y|2)0M>{q*YP!iIpn${mFlc+FT0={lKII%7c)**7t>K1w?c8J8sQ;G3*w7-`%SI$?kTNCieYvcfn7yTvi zq)AI=X{22yja5AMU}qI8AdM{|Oc}^6U@rKKr%%C5oo)?tc8Tao`QsLF#5v=OK@7pZ zVh)RJMso(g=hZ}u?jRL$mhG02Z4tJyrv->z2=tKaDsf>hTvVFCOuK{Yf-O9q$<(hzqS0Y*uax}*8ELOP) z*NxHus7&gnHeF;=Ww3vYlFK*`%_{VRc*7=6s;bxiJGL|6mXjc6t{l(9fEGC!9IQ0Q zN0Y~`w4%19Lv0}n0m|>YeTH*<{x59zqYx&$WpnvUzwdqcdZVjP{e++FJ>jvi)-dKW zO>A0gx(!FlD0-|bB1;2+6G z*ceIMh0M}ROj#Z(dI11lg?MX*U8fdcR^NT z7VI2RM6v@sF&Pu=-6iaR)##zIhX`Cp2i$%w*m4IsrZ1o&igUn6U@0U&2Ag#Y&y1sl zxzD827P|ZxO^z^rCCjdJtXz3HMv%P$U2&w5LxJ!lT9Ax}{+?>*w!;z#r$PssKRCRD z-)t>yXktGa;BG4_={@(0n|>q@48iu5nvNH1W!cW@+&EN_@TgUmcTN5eN?678!|%1@zd?7}z`MaMm-4l)mpf}7cy zWjJ#!(@HkVtVygW!XJ7iVB8-M8H+{e&*KeumBcnM{2B)HX!)*@Tv^JwaEOkv*Q|_z z@2AQqV-{SqKAA;d=jXe+jP+Hz$3pHYo%+=%*^GM)?iRp7+NI0E=zOn~Y{nkb{_dGq z@{9SzU@4i5D1U=B|3r)0hXP)U{bqmGd*`aeV1M-dDQD6sY)}5>L z=GSi;!8th@JWbzmPnH4azQU{PK9bTaGpQYw5K3tpGoja+3;bc=;&pTQXsQF$h!Ph9v2vbT+`782R{jX*B*| zm|egBMo%?{)+ZP*C-Vq;j?d4~mE#2aAQ;>nerI;j#T-1u86s;9kmif`?2bQ$0a zUuH84C!b_wS2A;&FNT;b!ZvSgNS&Z43nnwR1gZeQ#EKi!SuWG0Gf?2tH9kbDN^G>A z=7XRS$UU7ltflK7^cnqB0tO%c2J80@ZS`ElE_XshKYNQUwO)0u`X`^F=tI4&!lUn9 zqFzzbLC2%&@=-0Q#)phR%vWgJ`)+zEJ!e1RZE349pE#lD4I;H=Y0-1gq6J0?7%PYw z{~-l%l8#v<)sK^|B?-U<`oL&I_h;tM*)kFFiX}=Sd*3#RN2lys@ZhkaW!0Nxnrc~D zvE7xPjFBITg5`1S*ADT%h^*EQm8TNpt9rz59M}&Go3O%GD!){cG0wQ!570Zr&`G$CuN0Ej(WD>9rlTbCRR$xf|R( z@>#!Ia_w4iPXC&Bi)i@2{28m{71vCZ!-5;Z}YOdBV?j76vN+ zWk@j+$8&d#wCQ(r4l2E@uEytI*VK16Z*I>UV$T0L?`Q10F@1`m-D7+U_q@3(P%rR6r)c0+rkWZ#tb{4ZX1%h9MLc3!bt5ubkdIb(MN`KJ!tInFTUDt^dKdeu+T z{~_1M`2cS`D{jjsi_3F_4yli(;BA#6F86J>G&C_x!gr?-oKuu+p|+NCHDc#$FV25~ zMj#Q_4F9rf&ygsxKZeNgiisF-%ITm5o-=kKBj#YH>REyGkx$=3n3-NV8}*eBeAdiB z0>AtiUory&@{`Ys0^-0Sc(^CXc51~dY0eCN-3UlCDr(;^vw8!egL;KBgM zJ#az(z#$uz6fH?kysxsQqEo6pX1ma1y+praH)~tZXXg7$BrcTw)@&c>Imy8efe2ro z_OT`$Pjy>?VFIiX)6AYlEpuoKIhB+VGI)};^jYR3Kc5l!D!9qlMY;c=BDzC+(9(d3 z++HC>-DKZN;gS-k3$z_zt&Epnu&OMj1?ax}O$vjbbjFybYOOZJ`O#8Psdm2dtwF2U z0cN+D`Bqlj{`LP*bgYVwJx9zsw+O}=mwr$(SQ+I3kscqZX+O}wox^*hwlhfb$lW=3B z`{AA*qn2bgb5c|Rl6|t@gWhuv#43y}4x^_t$?Va0Fxp9(BsLlN>2d(5?_zMsp7<^S z(jo1HbJk$I?z36ikvcJXa}ZwVMb^!7U6fSv)H4eZe6zdeUw%2aM!{rp28Ccj2Wo@m zk}%E6TXK48{JQ*AX>sipyl!eDk~`^ zu>luvN%H4MslrIz!|ni*GNv-Lu+t?)8v4;C<6RqFY;NpG`M1MW3g4Tv1%cI9Rzv+i zb|jL6t!d4`5O_QZsLx!t;I>w!_TJ=cen)JU+}$JMVf+Oa^XiF9$e;-e}_1{D~KWI4!qi=l@H5X{!hw9^V@_eRw-v%(%K7d1^w z7ee$&sb^mI5uNv5{crEjx57COf*AN2Z7w^Lt>udg@~TML_V>}!UOy}aPRGP(@Qvrt z<0%++0sp=8%n`umi_iGNd*1==54gR7X~*>wecOm{)*Mq$3ZIp=R_9DOofw@<4o~*? zYec}wi|~i?ckveP4Ej>;h;R!T1eHQV+LSuViztsyzZQV>1(Yu?mZaV@72opn?!i_O z^1C;oT%i)*OL3hg$ob>&R#TMmt*p)K>vAJ<+qcq|xbpay{fqxG{n=nC3PCJm*v{GsFH(y$Gv+%&GL zo92MnXj=fEOVy3Q%)jb=Chj-zTy!|m88Uh+O+5wXcW)j*`y*O>Ku? zI;yY$=JlcvLQ1@ybB|M6#nMSM&Y3{UssYn7kzfx~$WtM|jj)>E?k^<~8>=s>RC6(R zl#&uM0=-b`M)itMa7o_C1>EV@?o+1|^ajh%_ea37V+|F>SpMTrApwf?hm4}1Q6nVY z-6^{#oqgMATPtl&i993SYo9rp2Is@0FPp?5V&sWk@T4TFyQT3>6Dp{=gao~whkVXjig*|gOlNEjkD4lsWSZ)#YkK0H>&v&LHgkvCW zNGREd^q2UT{Fl8D&HXCq@DSMB%;U~Q=x3XiMw%Xs zYc-p*ZQ)2YpQL;%Iqmk>WZGL8d4mg^i5H`3aDDcyYN0frnNO^&6MLBj$p8t0y-#IU z)qza=FedMJD~g+UYayO~cZ2gxM}1Zk8Y?MUP?%wkWLyg;$Zwbh)vQ6HOCfGRX#!pg z4(Bd%g>qb5vX7sW6aFKn01}-!V*sppp`{CFwz6;m6Fn1?5-ax~SZ5h|i-HNb#O-WM ziVia>dE|Cc;DRYB>D<9G7e`oM+qSD{;ufE$Z|jJ{OhdGQ`TaI4Y#yZonhp=~3E`kYnbpOF4IDp)$IKvIVa{L9YspRo z^}%SYbS4yzvu+$NydYRP{(<2p0rN%EqBcUy1uSAKJAYP zDNZKz$xas=@Y|aHPS7b8NrD3k`An*)f*1pE{2ex#k_=q{^>geK`8wG+9X71xti}Ds zv1w?FV3o$cI4;dVQyx!kc6$EM1=2MrD8s`)50n_!q1PX-$;@-;uGIWxwzE`80qcE$;21rFfI^E7w;cl75EHQXxWhWUvMo0zv z-gaOxg9U^Ld2m%R?eFvZ5Mhx^B^F3!W<8q~ZV0m?@yh(Lv-3zj7JGon(|Tns0R~rm zc00C1xmHK--g@x_KKk9bYiOQ}u@T2HtZuh^|Bd zl0TXyqqqD~W!+u~lEcIGt)1L1@)FChhe^X#=ztkEbhHeMd@@V2dD2D{%66{@{G9XH znFZ1gWQ>eHk$6%RXUv1&YsOHLE1ISC1a=qT*zqEJ$asvo21m0uesfWZx1%7M;_+ms^S!~Vb@Z#6==zqdn zsayMurjiivdyj4z;iRb$9<`MUP4mT!KUS8f@c?46ll{b4P5pa-`1L=U^F_nUPr-^o zs#b8lIoP~BV%+rB&#ob#u*_e5EC*@0!*_l@<$-Ns=qR5#88qtj?8cgsk5{h)Iu^uAVOqXrQri+5j<}buzo zQ+n5(pbG6d(*E2RT)?RS`ZN$@pm`uY0iXzl7nT0?P}BPJt707|%caA{p{=j`eYN^2 zLd*qT4IDe}DvA6sMWBV5tG|?QOB<=Zq2}ql1*zKa?^qOCxtG|)lfe9nguH^>I1Gc& zTVEOe-WPw}@OYpx-72p8Dru!H*;#6xTT64_eEH{OMLjc75+Az)Nr$K-A8X!S1VFs9 zxKvi{AR>5)Ib#YvIPiA?zG>Vvtu>>=oK-Wf1gm#&pE1aWWtg~uOToOP>8+rT&s)z4W8~5WyUkg=kcA zr?=%I?2ooq*?UGaN8Gcw83HLRGr-xI00O;Aj{J?0qo!-e-zM)&o?5}jyt8&?4iiLN zNd=h^Tz`(YS`Lgj*!=_o2@2zbOqwK-ISnaXrmngM2#1^Zf}31|WOh*wqWRh=dQuSd zz`Fc}y)hoqtOHF+1^SD}G?olm(Os*wz*cAoI;M0`@5?+g+GWF8OS4MwXaG)<)N<6X zh}9n@h5CnH*f6r_k47?k%8taOez8580x~lfG@{*nzsn_zRy14QOSKi ztgg+Tp6O65l=T5>dN;JcSRn*H!b^8Df#JdQ5};4fz}aAW5~TiAOXYwa69XhlM5Mtlm4rD*IZvVsEmL!DleV2+}{4p3gCNq1=m z$eWZKm5@b=fz=U;)_A@l?+nYuXDXTw8nWjyOY4m_E;!!xNq zAkvlmSDkZTrC8>qN6oXOT)&r}c5gZ(UU%x|PhydPjG^>IfPOif0)Z;KxaqzW&n1tS zK#vwc9Thh@_tn8E%iOyV=yl9Akyq79LmgYeh|d({EC`E5s%wkPI~-07%h|*oR|p9| zBk&k62YCaSH*l%~sT69b4!Mf6F38^ylgQb1^JUXQ>-$v#U!}D`|3U6e*>RIQcfPP8 z(1zU`8|N2mLiN~Cj2Ma9Ci@eU8X4yETtkPpy*+;7ck zMx%IbaOWKYa)i;dX=vDQSx!sedtH0EO4v>D)sSdm?H4=Qiw>w2FSuNNQ;`pIGUv%w>Yz=1G& zDZD#07d}tv=dvtg8-!^;b^7J^`+sdvZJ;AJzBkW6s&INzR2~oe$KyEtH`CAK@g}6X zUVpC-2cS_nJthD~SNloM#on^}qT8?1KS77lU;e;m&SRSQ?hgj%#rP9XM#ZvhX9+@# zO?q3Slga=(x}6q6!#Vb!Tmn2#_YyO6-@%b|Dx#FkGb1%`(v`%0nHYw{d%DmT$Ns@V zG1F$F(C$7BJPka9%sN^plC{w*Ql9p1r#MS*PLITB)W^C5>2xY5iihNl-$CguyZ*GJl4CyCirNJZ5WIZN|L_c?L{bZBy2a=+VXC_W}A?kAKr|SV8&#P%I%2 zEUu(_NSyux#6z#7mb6p(T@R$b(zx^B(O)@`=i7j)0m3r@UST!vy#en8ap0~(ZRur& zM8Oq&7*to$lm$dk84^Oh?3*ha(fSYd8D;=?$5mBYFYps z7k?lm&~_EhR&!2E_pEqV!9hzo5bIt!w@q<*$7SG1hoTW5c~4d@pxBsy@pQ;|NI_nb z;l-mi&5O8)fsuEtSulQBYM?@K6OwO{-PnIk8ZEBEWCAl|@`;ar1bLV0F!)tyF~6v5 zT&h+yjEM$Q`*%PZKD(fCKBw4>_SOQt*xs%yeclFjLQwqPrKUoe=eIQE4@z>r|Q0 zC#XmJ0=a)OXY8>&_6WW|sJ)*`)Y5!xHlj!8t3^FuzVsH*4Hlk3j$S$5dS_qy+!N<` zMwEaO(exJZ3yThSc`fw9eh-|cJ6OQ3XnHEZCI+9|HymK7nPB_<<^n~YtlN3z>r?>$ z^`JZnkReHqf9Yc^{wRZB6yo-B>r>6JdDe9hNKuI3cYkM4GiZ!uQSGQY7}^cA51V+wSW6IqGGi(oqJDkVm^6=>ax51B zJrbd79$UD|G*Lg&$3qQUlc&Vo21n1w$IHPQtueIkN!_QRcMLCm0^y##>f|y+r)*06 z_=$R>0JS&eBVJ*^o6kOW@OeO!d;D=0VIjXuAqx}aVm%x?v-U^F|7FQQpWoF2YSNwF zawmaN2c#Oxhn^1^a-NowF#DF-(ODe8DL)ZAqDS4pIxZA@zzO!*n{|{I&EyzlGCIfU zO*vhg9VO9&{h9g!`SBO#n^Tf*QfwC(8|<{E$O~Pt6G~C4gt8#T5ITl*f^+9Udi!K3 z?8`W1#qo;@3&MwypGXL=d*B)YVqpP^0OMw4NhpVu{3V#Z$i*V)WebBnoka;SUX^^F zJVoUzEsgM{$H^2J+IDa-6cW;x^apGF@>rL@8SV&J?jk1moPB|pM)-m!wk$JK20Pfa zv=AqvFQY{3s>wa7I3wU(&jAAZB^FSyQcJDfVz&;*vs~SM_cyaZVJ~`zkE6ug=3z>o z_ivby8Xih5ANm$q)~pW3rQRZ7jfMBKNAiRsdo%e;XP9C7#i*7t#XzsaH^q5hG4K5s zu{Uv6Zb;G#CmwL($mVSf0Xrw>FOn<7=M4S>k`OTPqPaw;0MhSvV&+%SpbG=aj{*D~ z;9v}%$hN}Vf`^;>=b$AJf?9J$~QRQgSjwMq_nY3tW?1OSQ+;(i`MDbd?tB104-Z&OMb~W zANHK!sI?!s?Hd~T zDHc=obKiqlgbmxnxa7Wt|OnS^|(ee+gv$mupH*>}B93gA;S-o;Tu?qvw zJZLqC*VuNS1SFLk=9GV6gZRj?qGDInr%kAWKc$9zFgI{FT{f2=q89Y@O0uCtkrtlZ z+O+-LxGe}p(RbMLD5H5hyJDz*yUJMhz%P6II$b*jnGa|^m??Y~1-!pocyuKQ93f8g zJ6=KJsAmse+YuP?cf=aMhJHy;IsOTTgcJFOAJW0y zt!WX_?@sOhrpY;p-<^`28Haz|RWh>S2FgJ}}UFzcBZPADALMjWMr2~T{glTjh$w4cdhsnWp9Rbz@(9!ikT3xfz}iX|z^sWZy` zO~Qn=x!c}8Zc6pKZ^Ker9c-e-b1SmBuTx?>V`s@uBXX`bJ^p>X&(-4h_q<^NC-4Or7{NF`KVxvPX29}_fS^tgCcGM%Q=U4otiOfe z2H9B&jr>aT_4(c*0wC|is^9;b3h~KS415xNMQ&pV^kMS{I}+jdtASs)3P1V!VV3fv zHqZerSuV(8W3LD6zBbRw(;RmbXD|KJkpTS2OwptIi9A~K(;YSN;aAR=|Na4>EJWhd z?V1>J*p^20Z(1hE@rW|sX# z_&X{uhMJpw9U_?zatFgOM7y+d zAU(OFEfd8(!l9unm3J$L%4r+-B4`g2rv74nf2w4R^X;L)qOJSjP)$1qe8=`-M-uF; zP{VTGef}||n~H0!WIP-pW6~VChxs=E$fy}2MLtZYWCZ>VUA1cvi1tBK7X$!TzpecP z{e`9(l}hGX@qaduHIs-$LyUTa^r#!*k7?ls$0QdtfKpEuzEtT#WD*$w z*d0zFeqfFM5XzF($qV z&`Mr+m{(;s#@Ndko06`~9dWC3vkUtb1$=OWQNkWF8H;vEm#2l%O0E`}IYXNpl|rp} zcrdfZ?g^BQG5~3PYgO5;YH2NhA)znAb`3awes>W4od>|B1Dz!O4&vX2i7t$zt0znd zJtRQEqXof#Oi9ov@@-Biu}J>YDg(KdU?!*nRdN12XoI~XsheOX%L>NaCiGcEdEk_32!W|JflMt3 zlM(ww{l{}JjR#hSZSixWk_^Gf89D=uPG`6&?iowz<5fU5MeT`W85OwMCvMM{n4_vd zZ8umK=EIR?6Y77q7Da!Kjd2^^L{6;*+xeXJDIm$XBUaDMO|-s)dBPz)i~UJwp&ku4 z3zF_8KuL6W%>7UQPxYi}OX>-Y_0BBjVc7E0syF8}A(G4(-?1cS&*&pq>);cUzte4p zulbKOnDFb6!&*N~3S7B01>PGHrh1F2F^_F9@u`-UnP}${s*P#pZvh1_1S_~j+fr56 z+<>x;pPqRSTR(DtcPy{LicHnXBe55zSDAtzD*86FWMoghS(}g{u|Ih^;uS%07b2DY z`-vz0&BRW{R~1;_#F$0tI{RmMqwmxksgN(-C_iZ~Ll_4S>;b=0?r#Rxic&?9NrlBh zrOBhVYR{b zFe@yO%M`8%((8C+)yn9M+p`3HUW@u$5v%wilWlh9h(%G|7H>d>E{Regw9)NcmPB@$ zmAM=_$sc%-!@n?^N4PlC77`BVa5IDb*4TtkL1cQfHDM+acVNQ)F2@@5{=RD)h6m(> zaHE*f<5l%yJ~uIy&ySs!V%04Ul3Gp8OsnR1XULREC;Xl|S4&R;Rax6CkhbJrU$q`y z&u1&ge{o=~uxucAGlS5HbCMJta>iM}LK+^xJ6~7sMo%Jvi_XMZqczd&Nv>#I9baT@ zlP?Y?ka?TnA{u4I&pyGdTXNAHV+1UZP?OJGtW_)v;t$O_mm$$iC~fF^r$3DHqydh_kQI=q zejBWURBV>B)9t!BpWk)pV3-v~E)ME$^0NMm^;v8IIH3{{&3The+J(|AeXE!Ne>;akc@rcU@!xhsRj?v7J^>>r&M2Bq0kR#xA0o5jw7mxd9wGEd9EhcY;H&wK8|b#=dy_A zV4*wD$ry%dU?45??NbH6bURKPZk-`x<&B7Pfr+p2O|ZgL0#}x@S!7(|Klq={NbuA8grmV_2uz9IA$)b6Y zW2$s!vh2)Oe}RaDogr>J zD0ddL*O&0gX~PVEh&}1z6WL#+pel&vSsC#*vqYpQF944whCvk%+S%;1}p^t+Cid z7tW=E3sz$Y5ZiNV_rj{PLlKjPL*ZiLe&3l1f-fuS?#AK_pO?^cxyo3oBW8;<{mlw$ z9@I1>V@@-pVm9r-y!!k6oXUpg;x_5=&@CYrjn(H@yIJ|+(|41{zYq~z4NvniYhWVg zT%^ea`XN>*+-BmUg5`>|?Z{zbCvvNd)0y5l`PPqE068Ee?G@xwKe~x>p7~dN zFas0eh~ftj4XP{|cCh_;T|}OXR8Za>)L3SaU8ApeOkDz0EvSJZgnZe}->+RNc zsntG`!OcCjIWyUBYTU^{t8bAH*!WTRn5t9`FpEZqpD2-Li#nD&h%YIF-lEd^a}zx` zpiN{Vi%Ll-%cVi7WRg7Ij=a>Iguh!UBKQ%GeAWZ8u+ z?vwsm2p-HFUPC3^dPFvZsp%UiIWNq zxJF~lTyQQV_f#5L(ltWt3W}}>56D*+pEhr&@d7R2@ba}HF<@@4mkl(Jt||y(EEYC( zrmp0!$x}J2s)`vYBaWLep>{9}Kua3fJcYMVr3VEt^Ui}$jn>;2#pw3^WQXWxg|U%C zut~)(Y$(^V6%>~Pn{3<*L5JV~y>hMqn1sTyJ>nt-F#7i^ub3#Qa>2CFF1KOv{$gl4 z-X#44?#VOn>$OwKHPyZ{s}Z-`m9ZdKjW5RK{K=F?-hNYYQ*)DAd#v+B$8N%V|7~Yo zoefm?zIq4hzu%BmXrJY)dzYo)zkQd6XLYxy5Wo4C{LU&|kw$5r7p-PhqLF(5Ol@_g zP~VqCVizt*V>Hi;RlCl4(CQtww=fNPw6u@t9cV7p0!HsK{-hB64V058-3|UT<;@6} z{+GYmXv2rDRIAb7tQ59$VtBAt7+iLu1L&-D8V;P*e838SvvULZx82-DCa@A5`(Y?Al4t594o-jJGU-*zE z5rz-V!cEQe^1XTb`i0CZ$q~=*#}R&VxZ;N;X4$`>R#XDkUj0wL0fSn6z>ylA2jdrw zy2Z#rmV$X!2jA&pV7EM9SJYn3(xL_?pc;MW;x@qo?<;&J;62_E&e(U<3S*Xt5{eR- z_tN*;ysqLW-}uLT*|w*pn`7HeAq{enw<+_4XqsX}Xv?k%tKe1r_xAPoNuJVY&d-1a0FgXO7Iv1r)A-#BO&b8}8Pc&g?uMdM36>;CgbA0J6Kg;! z2F=eU1q^I785jFOdjL@r?u;tM3v+0!&j7dA$P--tL*4 zN^7L`>$a97=-Zd%H>g`ynRtUJ{BF19(9Gslpfh-6FLpXPfw0XuKr5uou~GVqNpU2+ z;_#-3evkSUryPd!mGqV7HRvOBlMFyCKt>>8pJ*niSDwgS{tn{Cri@`v-Z|vArHQ=DSNvI|fZ<9L>h@lUA}Jt$C7`p%Z7<+C_$9;%2)(k$I}25f`_xsfjjAl+ zLte6D`fl7O9`2`@JGgN9Y^lDM((_tXLBuO{~GAv$%+&> zr{nN+(+&*Rx!UMZL%Ha?LcJY%ZtcRN>YyEU1Vnf^?MIepG9065jMTJX!*N>FIn9Ua z&2CN*g@4Q|aQ;k%-a`xmhsV+jhi!}RV;d!WJ{-6JVD1|RH3dR3E6jbLs>_QNo|9(M zyzUo-C>K9O$#;{ve*F-*?z4m3zR(i_Jn#wP%_VN(%dg?>p-Pi_zhG={a9^<_Mkr!> z8vtg8w$TLoVQ{yg_VX&S;~`B;g4QNf6$08O)E;#=S9UssFm%;^4QBq4P|0d+ba52n zJ1=TbeoAw4_!s^g<;r7x=Dxq1uwOt~;eJn6{Ig*%tg29?y4L^j7o<>IE|gyn+inIe zqGKQHqG<#rWTdVD2{EFW`3xJv|sF7t{Yn z1iAj>`29~rkc;`h5yAf&u0KN?`_CL?114B9ptLfT(PM*va&iF!OUO|EvldcCqG*jM zr^f`vS&}0JWI74)oOl?0*?;)t}0b1oc9N%aCxL` zUPa_}OY2Q|%9B||8%O~~vDJg}3(4*_h8=X$ltza6I_~S2)57@^^A_z*?(BB3u9&CF z87+dPw6Ngr7-=BuMkSpSBg^ldC*2eiu__(ed%b@1e$SgOGhENUTQ0Q#HgX|Ra8@Ds zdyl8Z#jm{A+Y3=MpFlW?8{8HCw?YK~^lfVdZq3)=pNN&Pj2h4{`h-qn!x!7{!kOO2 z^s~5P_6qQ?G2p4u-x1yAgMtx}+Go*Mk#myx1{$y^#OX zkLct@ucbMARo@toVg9{(iW5`p=i0nHQAJl04WwY=;pD>1g-0wqB>jz0xf`6FL~voe zCL4dNy=-1H({eEDzU&(DTD*g9k2v<{e@^na_NI7}AHCD+K;q3Up|A|=5!xYoZz~Nqy2<2<0mLkm^(3gM>w5gy*Bbr{z`xq%ms0+J;ivQ1t0zbfcYi#$f~$T^vDCw zz0|7e^J;0#`WJVBs(D?s!-FF6A6VAICpcKR2*l!WFI{mGlT=r+;zxD&c1MNdWeo#^ z)E)yJS|c>EBUS|bjSqg__E5(N5jzn(bDW<%A5TFqHb*!QWZqfV;rzJ)OSuD<$@qD3 z3l?Yl#>h*sCtuTrU4+;;z;1+uO7iNM*`sb5!|Gevu7dk69_M=~+JUriwtP6}B zd<5L1d0wcDN5z^SH5hYRqm~{ty!^bsdWNS6y@L|^_PZ4kaQj1$TgpJ#cx`t4ceDzX zFXSVBM#>jI|GOlPQX$}rHQW88+63m9enD=Lf}c`%*FLWlFjV-U!X2#;lv9)&Sv&B) z>#AQOWV&H?g5a!v^br|52hv0_$TD5p*dqauqL|GoMfrO9JTNJhCl{BHhuv}VwRFrA z*!H*tlW-7l=0xRP-B|F;t8@X}wunIbJYR1&H|G0GY(3fO*tFW#tTolIw;K5^#avK3 z%zpP*mfNfVR6WNvYPH*qy|k>P>N_p9jD-C4YiKBVCe9Rps==73$v8T@#m*c&dW+yx zJH7VT@0IfJ+D)r zIE4BeZv{+b_&imU6E;?Ts~XGBVM(*1?sDv-3%#2RkkeY9LH_q1THe8xf^Y1OuDF(f2m%lPoNV?BN^ z4%1aWvnod}C)ZuYU{wQg!6ad0ul<*67O8}pVV%Ys6QPc$B1;zHGy?*owxOcT`8-}= zALF(+fTk^V5Ujg^>?Ocs*(5m+0dt7o1cCBt^V0!eg1OFGGHJl{9%QP`lQ50zBIQPr zvI!cR0*w2ou;#8Oi>#JfWBq#5n6n_QuWpSOFYzNR?n>gxe$|E7a-bAg@Gsdz1tqei zz%=~dV#ZS?59k9s6L^pK@C|{EdN!lNT0hgA0Qa(OY=>;wTXT4}36WTy_4tmL*v z0l!w0G8XgjKw;@Buom$ag|rzA?!2YNFSxwAh(Ky;yy!_P=c{eTTE`HLK396Re@AGh zT}nT1koK{6(`CuFoN4PYXGP1-$UU58=qSj$yON>=GOAxe2ibUE&vV7ix$~R04Fnrg z0DKu(@~U}OPw&vfFO7hFq(!L6G`UlO7`Jw<+@9&)!TNBq+?cRlyQO&{J|LOhvZSQ; ze8#rza&MISx$X*4;NIQLJTr3d5!6ce9UQ0Es3&k#r1f6Edyr5 z#xl9VBNT73Bv!XES-_)_OjjJc@3TkGq#^H!WknJ-HEr+U^vd;6C=@*zd0JH@fLpnj zG6n*E+Cvni4?LuW$ zfwiwuUi_Amo9?$0Jq`sWcXb+PYx^v>{-^@9dDe0CPKi6{39}Olxm$|ZRe!Y3v@UTL z#bDAgi_|>ZR~K#XMD7KHA~f0zpeVi!l@TbINn=?dhzdPJYU)UL-PbD6Z4$n_x2AEW5*U9&*Kx$nDNt&F^*L4+_50!PtSz1*?Q27^>$$~evu=j8s;764%LJ9R@ ziB#>AkWaIUrW~l04;M+tq4n%GqQqflZ`GG6-r4cnwA*sQ*hW&gTkSR5@@Oa>AET~1 zk}=fmq0zD^u$VeMdQ1dbBAGzQn6UClcl7k3?>9fePw)d~Ej`uUE4bD?#PR#mRx!oD zia**v$S6Mjwca4iQe@7r0QE)ND4SRHku%*noo2AorY%qG-+*m~^k){@a-Ot=?>}-y zPP4xLK6Qju&p8~DhD(Ih3W_VB+LN~);5SfkFnIgp z-S6La;lZ8w)G!q7Id+4)`k2Cib&sU|7B9l*{8#89Z|1{l+BOd=G;e<>EL=kdf@(Jz z>=R-dNxVYL9V~dz&w|7(%-?S5C<#5w+A8Gpm@WRqtzy2+-L5D{sa3FYe?{LpKU(Q4 z=-xgX<4DXn9881`14x%2rwaH7o2ty!nIB6k%-YzE^2S9(5X9rKP1DVo$R)B)D5V>u zSsdC23Hp*{^+JK(O+kgq9(g?khy^rniSx5+1NWq(K(_l-I~T!sAoD6nKPymO3^O_& zJL_hO=V_@R7sQF^k;@>+0sM^K-BYky^x{%jGnuQnR$d?ELlK1^a3AA%6M6R==u4tkB4X&^A>V9A(Bs(6AXy~=on3>i!GKJia~(zV``q$UYVw3LbAo+BflZ4zB{ z@`kBCmElhVz_0FMr#PnQtR^W_TE)GsH+e6w&9TXUm!5?83@&g`qG#-i8EGlV&pdnN zs*!Gu+@TF=!f07~__sr#fPr|5^hKxnF}M{7Kcq@s4HgI)x3YkQ05#*s~ z%4xWhj?;Wbcq%V+E8O$nkR+V>da=TYrpU+Y(!wmS#q1QmNfIv9CrlrBkLiQ-sp9~C zOg(lezzr!>)}TQY%>@%x493<62aE<~c$R&*&*vz0+~Kg+j?EUG+?V^@14mv`dPVg12K=#gfHW!f)V5Osf7R}(#(iUUEN!WZ(^m-l z)RgkeFVAI}VI3b znse8!V+Z?Yy}UlnypS11$pn{%aF8?659Iora)YdZ5nbmPZ6FpI_Hz3_35b z012lx$~CHS4NDHcpB7ER_NR{;b$j3*;It}?I#fLn22iD7B(FHdA&qGb0eiN?8jM*3 zFVtajR*$eJ#tCRmD?#9BlmvB#p{Z0ObgM#{DV?;d=|V|jmB|d6j_wfr;ln9fFz#~@ z+@^i)s(Y*EeXp)jg%d$Az-njSenIM$09GCjMUhYVj-?|>uKZsI-x zvu>);zq+1}ust-am!-*f_yK$=72`KMIf$4HkR8G^8Cih6p(oe?4wgBfgZaV3tV%6D zkfF|QO`*^=QeH764CHZ6OG(m+Tb|@Wrs=gEA6r5|n5j!aY4Yy3$^2c_0rYw_;2`lp z=0WVxl+NzBWUiW3RB1M59#dPulg--53d&z101K2=IFoVV=j*_!0tkm-a2n2m60RTa zXSU~vpq8Z9=9BT}$X^S=6{vJ4$@AhySgR(4`x)UkD}9Z1wm)x{mW6p-ne~aO%f2jj z;7#;=>q1KboojDDE!nDQ_z3@%hMd7iJ)uWK1g12W<{xRUSLpACuO{wYoG;95&z4~w z@J~wGhWORbimObQnUxP$W)0gWUp4#rhMABsm2xRNrSie^?c*l7pOSX74EmB>Zs|=D zSVVFfZ;kZC-sv>5HdwI@$2pmX=SATSc<~%|O(qdJ#`eK<2S74WxRl|z0KjH#)0%au zMVQN*#U8>}GwL5Ac?kVj?6d|=-l+Q3CupPUcMix*=}0wz0sfnkQQtWzIQ< zJIFZc%|qVS2oAEGh?ezC08ul}=xItg3Kj)&bWVk~*^|Iu7y46oNv=>dCY5VIk}mlm z6Z?B{Iw~g{T%$Fp76WarX_v&xxW_ua9?r~RI(U{=1-;-ig$>2eoC4sk6D+S%bY=Bq z>f?ZJD|h#_F-#a|>2zIgLDvfKehq74o~Q0ua&2a-d zf|h@dfSWg+f(%a>V6C6UN`YbW(mGZ?WG^iRW@UMAs575hk7sfm>BL2}#tBkmgHwVX zH0xph2jyiuw#;$8ShHVubMOhxu;#i=3;Q>4;V)l~y7mw;*1Ij%dWNew0pxUaN;a1h z|4DeYiar0p&ehKrmcMVMb$H!15AfI%oMMx0nQMrx%PcmxfZu4})-CVJZo=yp{e%{u z0cbY=f+s(uH7QcsgSu4GDfT6~b%o4^8ZO{lzxpLjEDV6%FN47f* z$Eo@(7uF?PfEIlihoTa=zZ8jh1J*U~gA76tEx@kta5Bl#Jh{b;wp-TbfM72{1F1tmP?z|RdGEA_OtT&6Oa2FtD0%0mA1;U{P&a$lmNl&ey2m>_SIe)K z*6T1`sV$EA>Q`L_)oaz5ZX#d$HZ4#M8(KMw1~ogww_i;;cBv(Dmn2kLARcg9`8( z)(XbY17~JNCH;v_>jwQvmm+S`vgx)AXhLRDS*_{bh!>^N9mB%(M^(<&Nr78rozq7S zaCLRbx^g->JE*HL%&vmLQ`zjXMpA<6^Oc8oX7fqhy*3Hq59pW(nDn+upgV4eAitn)8C}i}z*9CE9 zggIc0$g|yt@{b$>R%i2frGzTvHA4AX#T>dY{d5X)K^nt1q#K z5M)(T9{=;TxNL{+IKcgDN1)j!RQsWdQ`n(^O7rt}@!YxnZLj=jqFteVYZ55~Fg}&^ zJET}&xPpQrwj?!E(4fM$9bEImedCW{MaeX1YPCt8K#I<&;2^|)d9@q5VsjtpvZW$( z4z)pbMo~5-ZB8Z*ondpkplL<&QjF{o#`u?JMMWwFw@NsC<{#C8nvN+s8c~WWQ7A!| zKXKWtfhC9$nES|Ju4p$Lq@>2>0MTd+dzqBfrSw0MXy`v2B=fk`X!YKC1G>zj+qs&Z z(ZD#0??HY~6%6MZRDOM6Fg;?_+Nw8C6o5F{27H7io20BKQ@BTHJ10@Q=P`)(>MfRx zFJ_d0Mu(Q6=vZOZZOj7mcZgd`HY>gp%OpUT-k)j21S((Cjl1aSd+6!A0Q5CI^yUT_ znAo+EQMHjUwUL#zk!u=9w?`}3OEC6A^`wxy|_6=puo&&$WV z-4=?Uh3QEd zwafo1>`TCE+`j)M(=}dWnQ~l1Q91MQUgVN8%W!2L6QRsQh+~cn8RA?rT_Fl(%n&kV z))!HdA+tyt%+v3)-*c+>tbPB_-*cbKyUyBsuf6u#Yktp(4jt_p)$fS+hixfWrbdUZ zofiFkbIYj6ptyb&g{x_3w}#f8S*iscSrblZ}@(1<>kZvPIuf~t@rs( zPr4`_b3@u!%b1t8xW|NgEj#pn)o8|=7fy2dwBUZ*s|jJIFjJjLS2mvO+bwnFbN}&9 z6^!$0)fqUj@330mJv)@1lCP`1qxdYZyk{Z(1_xJuGxpRkpL+do`v>*=Icc8Huwh*$ z`K6USzIATD{BD=VUp8untqclGOw6fUTVKbfL34$@^1z3?N}*5V(pU4ni+QeEur%L$ zXjsWo%T*`u=wDn7lASBQ=c423nmwPDyYlML?2?!F9SJdRbI+{5Bk%G4`5k|(t&sVu zdQR$E#~7#PBi2Q0f4MYk71v|d$79tqCnYuOEx$fF+AC>K?=9{8Aiu_eFr_=cD|M7b!LP26*tJmv_68EMY_a2Pf6y_|ypit5*KR_(Y?__%P z#$_4m0U9yEZ<_norMf-FSu**OGJ~K{iDic5w=Um+QSkFWDz&zN3PGWk~y`Q`y+sDv`@~XCiQppI4d*UeXR@@#>7K*e* z2Q4U7g`BHrs{76*(os-~zwRXYwHiTb=A?vgO0~$hb=fW@DoJZ3f>LX;v8!K)@vxF{ zalhG?VKR{QpTF`C;CPA{&_pW^v<)5x`*w+ zV4t*4U4Qi|_oSY!)7{POv(G#X%v-bgS7q7#2ltJK9P_h6KNf#BD@5g*@ovbgR)c3` zPpK2*b6U5ogx%G;2|wlgPs@T7Q%%)BFDUsX@ZiTvQ%mLu^Pb8lIae%|9n$Ccq^b6jJBt< zXO!9e`zuHP0aqG_m1}UT!TUeH)j3vaZlxf<>oMC~t;}8}{2KJR>7K--3Iit2oxJ)% zv$}I{zYSmQHQMxWl|!eOYUj6qJ*u?7^v+P<3!Q!M__rK0#C6Y_3-^L=HT5oSml5~A zrsvtqe+Slhxaia4Dr^2(dn|S8NA0M{{NKK9%t{I|_J4b&UCp$wsowc}w|MmZXJJO! zi`6P@PO{l^PStHqp7+_jf1<0q41O0@CAZ=i=iGMd1_(Aorq{i4!2eeEc89Eols@+AQ||^FKcy4+=Xu$Dzjl)`woUuJb{+>`aH}A8KDd^K0<0 za8vHVx57O{VTKi1%8$!(4?XYlx!9?ac8_J>FZEA35;5UTVud#;dr$73if&Km-pPN< z2YdHAHu>@sk8;j60$-TMWX2zxluzD@ZC0e-My6OW$(9p{-;5;AiZa)>+5fAX}+VC{NA`*XLjfVgFXz% zani)c%4Yr*N#;kS!MqBe}6a_w+zZGSF*Ta+e4q*`HQaJIa}>k%MGSCd7qC@ zGW9y+H`d{7i?&X+Yx-7*Qxxwp-}qx!iM#WRzP*k|^e{F39-ozR(y@4%79V!~Cfukt zGHBwkhMP(nuC?uIt895Sa^&s!ep}vE$Q<9M_TCMPLzms(s_wSFUhaZI zRQ>1p+My9!;{NJ$yZYZ<_4*zfW1pon0}bCZial)k!7$qM&(%w3Wqn%fb#zK;w;#t( z_INa}O8-;2n~nWfeCza8^Kkja?A!UbQ&QiNwEdRzkS*GZGL*!U$b3jZwvRYTfcVu5(_oX-E2JT0vDnX&%(3XO9u&di8g^|4_inN$1s#_-O|`^Q$O z{;Hp8r+cRpr9Dz|`+w@Uc-r0_PnulZdnjtZpzRp z>Q<^^?G_d02F9O>(4M!=dHng=_{eq#r$32UzC34ft2qaCaZh*UTpsCl^uwZ66(7_n zbMS-x%t1C4T(iQ{pMR`~FQJ=i)2VDZ=TKw%8K=jObU6u5?)_&TTQoQA!NZJ1!{JHk zi&6t~+nhZ+aH&vZ=u>6ppz!jcUJ1Dq2W@gpJo&#Rb!UCPJ2fJvb!2m!hnXF(wVN}k zapxO*9&A+mPh5S@)?wrGCNu7=-_hT$@vjj73tf$YCvTt(_)hov`0bQtCw5e{?J#zI zM0&>ouWK7W+*`ZYu6LsHx0fxB@9hzH$m^(ns-Ld;yJ9V7?%kZ&|I^_yKLZbxEg$ke zEX8KsaYy?VOLv@KI(x)upXi$72kk$)-D}AC;O3uvZayA7e!;j_&nJXG9KR!dphMiu zI%&r$DBN85bc+oH3d)x~B;HE3nBbKc(R%t)IhI7NouAn}rj7QYcXHVMt69|peVk@bNiDwRy`$`(iI+Q`%AXZG=T7cQ-|DCIz3jcd zXyRR#8~e4saQlD0Gu-|%uE_~sU^g|Qx|i>X zo9f?=#kD_u=Ci^haZvqF8)A!3ioK{bU0JxJxU=7<(P#U%Pdb}jy_EO+6Y#qJ1_fUWSN&+=U#aKPeOU$vL!uR-dd2La#=g5iv5(1?6pZPI;VQh*j)XeH(!)P9YZ6Z zu1>J`3eGSsz5n!D75l6S$IB$OIexWogV^GEn?5X9+Tm2YAt%$+{FLcLzAf9*&Z@Gy3gO;U_=Z+sa2&-)$@^?EN)u&yiufoakWwMoJ+SERx zDF0;U)ZbHl8p}($?2j;Eq$jg9J^z@W zr#B31l-%w15XbzCxD)P9H80$qKST4~yXE4rG7pZOoVB>r5Mg5G`*6QjZk6iqwfk^! ze3<8EkNtJ$og4mqqEo_@>-W9iPOI{(%$2M*n;z`iU13F)TjQ6Pu3YKt@7M2#?lQf- z8Czl7wVQ|5AKLz08(a3K@$B&I#=F|2&pZBgLTCFN@AJ0XBg&n=Q|rL}{dS&BUsn8g z?al4I1KMXd@cJ4v%Bzxx`~2&v*=;LEmTFRCy<4prr4~lkx(*}NEH`CYPUSi?7dyE- zRo|AHQ?^Xi07rlK@W9Dm{nCqhOtW2{-FV5^YhxTQ{MmL|mp;RdKa)_nb={ifXxs_5%s>F(a`)@&Hp##I~phx?4aJrMd=ZWNI@efh#m z4II|kRy(_R6$CR6$yz}}s|1)A%_X9@PHhq=3x81vkbU!&|Wmc`}L1Cj4 zF771rTsRS@lChC-{{cfrL$K~ZgthI$5#cD-=EOvHgoT_W-u&f4|0&Ap}FS}pK79y_>E=7wyC#Q0v9r|+EczjkY< zE_jmc;XG^SKXof_Hh#S6@ISBU2dSo=7dMB#c06SN+%$a8-t~uT>(c%FZ})DNk{q7i z$|vmDzGwY5UACR{@%7Yz_R%))mSl8V_Ch@^*ZojhXpbM|hlQ2$3obY4cF%~9^J@0; zef#(PCu0MBAJ^~am3ZUwUhTnAnHj5p92yogFe0(0TBcfaYwW(2Zk3^*>KpW?V9fDyJDPr<@iM0G`V_bM*WYR#jlH{Rviy~~WB+!K`zI-Ne(AP9qc3H< zKMB$#El~8D6?5ru-z3jj9_PRKUQ;aYw>)pWtgmb9_9ufoKS=pmDRUHzcv0j^T34S z3ohB_J?q~pKwoLw-PUQ-NBkVC`0M4u=})!~8@_q;Zo`gj=k*cW4h^>dl3DFkQqa#* zF{|#lUoO*jcaPHTPwZd4tf%3}OPeL$X9l;edB4-hTi#*!yS&`=uQ8%zc9+!btta={XfpE#HMpYxx0&1B zN?DrVdWR3M9$xPC!zIaeKj)Vd+|CAvJxF~SST1W(Vo(>umCr{GcG(!ZXh%T%l+_L+ z`W;?ALgRXW$;leYr+bZm)9sV)*2S!sdHUbSc1*h`bJ-hVKjHd-$V#qhty^||usmr* z*q9w(-dq}aF3s3D_g|YYi{!Hp%t?wL@Okv5oX>A7*V)`)?4yd`x5r0C*!wpc(<3J@ z<6uqKmtW&#am%}g3^W|r+#+Ddt1n3S$2H$&%Dr+aEHpl&`*Y>4cJWp3uN(fh->b2y zw^r|TRZpF{WXo#R_votA>UoT{`>@Ra^R5)nV^7kXuDV(y*C%t&%-zN+KN|LG=MdM} zdHdX`l-P%Ry85gbIBK1JUQYVX`@_5sPu-*)=BNB}!eeLT#f9xot{8SUYjl?zL9W*m zziNyhlsERQH&#{!eh*lg-6Ht)K<7ox|Js>9`Dcw8pO$o8rQTg(eC25yZO4~vH=u1= z^5CVaV=s0&*`Ic;FvxvO$m!s2JHuKV?{}Q}VCdJwqodk3j_Dh;)M4AS&#h-TUJcvQ z;g6PMduCmG+$3t^(gUq?njF5;??QC?9!=9Xs^>BFm3iYPHJQ=i)4aV~^`|Esxu;Z%T5o+4qlKnbd8glk1L}AyqG2D4v>C{F!}@QeypYNb2k_nT4gS|b=UwG zpORste9OOlp47H$vkpy1Yz;e~7+x~<)PrI^Gn*gvpYn%e=)5sqo`qGu)FE(I@&Cyx zu1hHs>$kgDo?V%4BUVhj{>S5vE`4MPXFW>UU!8Wh#+~X1di6OQ9UIhps51KKSo?$f z|LC*)sL|5%S4zIE6^`UpYu-)2vu}k->jyHB4Ai%e^_CEjJa+@sm1lMO??x-J`d_}J*2*IA$C!OBe(H+u#uZt1GjJ~s2&&C0W$ z1vj|&@U)M9)BfmLshclOt#Q9nY|G%RZ>gu^F79)inYeehUC!;1SCU7@F866Zf9Kfr z;l0NtPAzw2hQFJCxc+*NH?jDw)p7lNdiUNpad-6HqsiLnftjO&HV<-N7Z!8*T$`hl zetb=vmOcDo^GO>IJ2sfncE+@o(@QJuUzTbg6*%Yq!%0!?vR9ovDR>+Xovd!zc29-+ zr$%lZk*3cd*FM}~$h3_uruMk?Zp+cZw<-o!YXAF){FFKs7d-cA?L8^{(-EJz3XgW~ zG0sZemz#5XmZ9FoUyG+-Om%A9b=vhFTPEMT;~3j=MOO2DE${>O3p0IEo0`(@T%ZHRw~rr4{=f z@Adsy>)XmM2h#sYNQ++7;!D*#+wj?o7wOw;bnrNkzaf7^izZ#Jl#P7W z#}pOfySwS-a(BMZn^MDflgnP8*nRiTCUy^h=eHp7$o1oEG7e73^UJw%#j|DdfiIiC zWp9c8)#O*wii~4*pL&OlGN!D*@pHR-T<$M7S(&v@LK5H0Yu)xez4>{i(jQ#BW`#{F zJ3Vscw6Z^DRL-t8J#+oL+~6s8J$%-#-Cy5r_Ab@#CJVR4dvuo%jIZZ$rvB{tnq#kf zYp$#ejro0m`;Og>YpCBXTl#ybYP4yEmo5-i9& zD)fbkW5JXoFITNNy21B5xtJ5oH3Vfrvb89|41}$=t}Sian;zP_s*ut&h$++R_)U90 zWol|&!qpL|qe{502CAwkv&qv%DfE(G;dWE5z+|mcRc~4wz-{O07b#F3eYpQ;}|Z zL8TSb$8Sc+|FFYD!9YXND4m!-HVqjmZ;s{kmgKRDrRA*}=>?U++(-}1>ZUS&@rN}RtQX}SyW!NvH3V(|^cbQTrQYlmF#e#rLDfaB+bFrw~B#bWg4X!Q6nB9;A->4 z8jYDl8jS@{XWpSkXZhShsK#K%(^}@$s?8hJs?CIGEre<{Afyd;rnPWDt2a-m)r*2E z(;CbJ4PsFRtk#&}17#8sR*RBf)%3|lzJOks4Md@Kh;f23=v%z!So4&==0&3H}<)l$en~br6^=ZhX7Pv zR7Ly2vkEe99$>~t$W~Nw!RH#tzVve~o~85YWGZzb|A4Q@v$z+dd8?$ zSE?d`z~>-PeCxn#fcC}g*_ zfI#RnwNzMf9BQrPjDf4R5?2st))toeK%n#ppi$I1gRy7<=rFZe|CtA1F||QdGnQu% zXpo#a7FUb!Z&`MX%dHk9LI@?!E^6ThaJ4kjBY=Ep6h_GoK)uGSU@Z#@(2EiRup12o zwQxeHFb(9U=vf85DScMsS&FZbY0T=(JU?`(#we9)SObkzsv)5osZ_%pX^K=B?mP%I z>rwNB(50FpodzWU0?n4i@;L}J>siaQT4vNpr5xcL*>jjWVrNvA~RIrHaL%#tsb9fFh;xK5sM^cICNPldolMoD05rB{bhJ75+qtkW|& zlMGfUR0ciMKUOe>&cHktDa@qYEw5@6(dkDDg{phu?8i(_ynfH^)bVYmJ`Ha z(Z?i+8h*zHE!{E3s>o?!8iS7CvBAJZT)KvlaSIyX3W&i1zhm@pkR5B_buv9Folt@I zZ227v>cSmcHf#VEtQyWAe~QFO5HCG}>Bx@Z`YHbl59h=YBZeN5`7lf5R zT7JiH4XrN_UMt5t=H{{Rm2_d8!1q+-D5zTmLR^(uM(}WFRq!^eJbOVb8 z2}~e1RAoTO&%y$0Je`uo?*yh|NdO5Sgiopun30r*uQ!&n%3?k4Kh%=aW?l?a@q zFg8|eWWlKfkpXqgbC$p$#<&XBvd!=e{0-A{DgbS$P`Fm}VXOgqUW7??ib2dCNf$^7 z1*bT$25V&nAlq^K~x}% z0l(X7un1$x9&0>!K;%eN5JAd8z_bPyg-ZuBZ;=JM)-W)}nrfU9ZWvh@Cx_#sW1&(A z(a0?n!3z9i24n#&Sy+ss2~0}`6JvEO3rX+{Jb^laWiO?8@Q?MJQUOfKzIsK92pAj& z4yOJOJaWaDOe2UQ^1)y@c2WNo3KD<@8v6kzaGXJUo(0EN1hSMpk$yM^P%0EpDY?c* zvww{Ai&_l+Fk``u08GOT#zwdu!zHBGPOaBzSbjrFASQ#!Brqd@R9b;3X5`3Vs2VaD zi9V|JkjAEwEex_=jO?xF(`%XC5cyxQV<773Rb(*`F`wYGRxJKlO~}*&k#wjT?#eQl zWFtl~zSqNbBF+;Qfte;d2279)wv0vSOV|nm4J=_MB~TB?ktiPshY|iHw+LK(YllIh z*CCiA_Z={VHP(w55K&R9$Y3nxDL9(7utz#)b zYZ!cBa%G_u5b6}+iRUjMyGSD<1|yyiCd1c8Nd~jU7%^1R8H~F90$Z1GLC_nFoZAGT zo~3i8I}zZmQJoXt?yWsmF`DV>(5k|aVz+m(VF@V7fmn?!c0Mij6#2031 z)JCKMi>Lu21JVgqN-cFZfTu-pN5^uS5P_nO9*GFEIJ|}aAAroGCldlj6cWQj>cAQg z1J&%+pF*}`BMip!nbt65H>}J%{14~~jOGvpmeQ9F7E=x!3^iB6e&A`zEdfu}@Yt;9 z)2h`f4e|dNqLw%jEJhOxB2r=)jOYc1Css49@DR6I2^=^BV;~fm@&f;lWj`U4Z$rkaO1xu&4wN!89&_H8FsR^)B(4EdHOENh1LQ zU^--1>0k{a2?~QJMK}YR#dq(dvVd!&BtD_i2q1NhS!#}^n2}jS7LF(t1|z-!#8L-_ z5dr}t=9uBJU`4b)g({c-w7`YRw7jVCD z#@u`cR{s+DUtj?l7l`{Ua)!k345sCF2bY9I#|)3=2t=5~ZixOL@*i-K=uYtFY0){E z@2n2=Q8mh7^eO@-Hi%fUADME>Exi)oWcquwM|6xhKNKU?kCveg-Y4kQj{WdFBieuZfLCNvUYVY<&?(TxcYm zH3|~@i!kCXi-~FCLjWcihzrN?aP^87#<~p8s3)dUrO=|1X3Z{^z*Z8&0X$ec$xg%x z0VB~A!=vd(aSe04f&E+9F9NL-&Y%$DL3F>E5hpolmT!hqBD)jtZY~c~S>+e7J|+~z z{sHWuh!{aYI)R%|OF6?9CJIR;hFnijhe(2O3uEDbSgTPsm>LcdMs5iz6iTrIFD076 zNR-6zsBvO2gT-Gc^#4GiMl5h!O$Zp}0`RDYV`FJfpY2%55d$t*`-yGY!5K6pa%C`- z1FhN5U_?oYMFO3|G%ig3hYBx0<`K*W)9lTzkwE&|@DlfZn40<4KfCKawAT}0605)woZL%b@4`+A5jho>f4dEi0ikof>pu~0$e ze}OImQ0%~w9tmKaFk@k{<}NGBk~@MAi3|y`E6hD7VV;Ob*^jYeBd+wAAkvVABBI&)7>o@Q3Uz1&rPh_f zs00HBu`ZfWoDbC}s)txbigE!kBLW|8Fw!OzrW4zQtabtmNv|g>}t(b-tq8pEpa@CC(n zi!3PvQWnA=qfs^nqw+$873p$LAu$-e5s2dr*7+$TYGweE#3ahJ3eKNkFyiO}56L!; zGbkaED+@faXIy$rfT@wmvSvSka0)0)OVj+Uj!gT_ka$L|m2w6NC4O^=Yb|nAkVz4X z%ha;5rY7qB0tORL2*lN?gV(8q(gagG6SVY;|v38Qs64H zKSe4SK(X(fz-Z+hJJE3l1~A%@26qcOm=X;Fi)@6&|ASm2qfO2Z4mL?@VnTSgRFL4p zang^ANOBSw3++gc&KW>zolpc4Nc4=sdcjnAD{IXpc@2Dokb%;K6f_Mzfd_wp?hzRp zDt1U!Q&$sXEuzSLGIKu_Yp|fLl_E@%C=tCjIPhxIP)0j#eP!sJ5eH01vRVueF%Y30 zg%48E03K=xbU#?$Y+fbCp3y{gCDJ)uv;aI@5bK2*9*s2cRa}r~iU;E$9m|Y8@P1n zk1T?yo+_D_&!#VGJ&zj7nIT341;ko zJYdNFatp%)<6yMq2J<0+rtC-dKtYW?5LMifFpEY72?=mcJ|HsGGsS#*l1^j9z^5=1 zJ)q#`0w}PzkBV5sIK#EWh%g%Vu=Egh$kL4nr)0ngh2-4P0ZoZ-k`$!qAl*o~8Rva&d7htO6(ThQqG_3SlnP zEGH6B{hTw^~%Pj)mg*y_s8XQ+~AFc4j8xFBaO{^N{w;_HXjJJHdWAFyAC z+!r?=ZMX*>8ngKG#Cvbtj`g@abV8Jyxkc~-5XS{QG8WuewCw=K_+P|+nrwpkRH4_L zVV5#6wXy}0+6aA1fr#s z^9|4vV`a**J{v4>UMup1oM0j+$O$H^2GJuydVy0kXocrIB*3`BC~_108KW19J7YCU zjFkO&y@>|cc!w6Heaacgs`-c|{vY06=gt^82`;o`4YSm1LJJ(VYyi+^N`^#wn3?|v zAg!1HhG2kFjq)}11JGB?dAI1xFSPFk7FCTdENUrX!+8G>_krIDdhMu*27R<2ffZ(H zJ`phVeAA5}jIhjCeE_8M8r;Hb#?&`Jo|W`7F}$LrWg!<3tXLBR{|^ag znra1%w(~JOt}cy>jXOqnhg1efpzc487%5r2p3ZEELOss(6rvL5t@h3 z-mqgLh#1Va zBE?nU{~<5UMZ#zy;%qr{ayZW5tt={Vum;?~44FNF#&T^I%G|Co;}3n0UZIs=tV9V(Di_p_$bf;(HF%}|)-Y(6sU93J8!PGcGn zZZl3>`F{X%o(kMLE_;Pz=M0PisY_~LP=MrIF1UnJUxTfWt}_@XYc7Z|;`cL{burpJ z7MI&fFyTijD6836`d&Zqltvn2F(6*Wp%WrsXf2p<%uv|1K6epD3=xCT<_8f*{XYiO z6IL@A_4NQlfM$I?MHq3p7)<=;5s7_4`2*Q7sT_g-B9NOo1^MnP_dw8NeVbHf$cxv6yPMuR%k2Ev%R9CNcLC(&%LsnuyB66_uu<@|7aj>(q)eu86qM&gwvGOpn@o2KM z@pG{Av$FwusB`}}DTc=Vf0LnTaqx;34pwf~WV}gP8tBxZV|@ijA|9mnv5IlhN_zRf zi11Kz=lr6MHb_@!TU*=%rbc8Alz%KTNNue#c+ZHb7IuHzQvPnnTKZuscXlE-cuo^ymX|yyj;9X!@ni4 zrP|sybS{ZJ_K`8yc}a1(uC_5sVH6p#-h^|qMPa%vz9$YREvqM`S~%0s1={ay^eznqV^KUT{!%W3!#f9#~heAzQ;q);(lh`AF0*ftuC{;(;lSi5#dol zI4lxO=zh6!EqB*KDdC4s7W?V`Te5%WFC}8pC~t>0I`*ug&alIv0IOxgwV#fS=O@CD z$dHrR{oZiI_Wb^3=g!-g*Jo@sWxo$!!cM6*3^fGpp?z0&RPl?%` zF~j)dT#a-PcYGP%q}V>Qt%Qb}FTWBNrSI_kx8!5ACJK3HmYQQD6-pF?BeIbR?hit+ z>Ct(v7C$e3E{tnm5I)+8-&~os|Ll^pUP!K0$O6f>hCzP8`I8+rTDcm%PoAJ^FM%vp zl^yld}_klTuQhZypoqg^5)ZIX&Yx3@nU zP?kWVjW^0FWTsuMaQ$xZi18Kx!pYCQ2 z-&0lA#r*U+#s|=xxa06ftF$&=xx@JBfjo3-4M8E~%rxBLydoaYmHNjrVsDmy%IJGg zqsw5dZOh>fD}p7v{T1n=9jw#KyuSJ5;Qk z#$$FG^Wj>M^lO>H=Ml(0yd#!0=nOkdckjQBOnE8WQVm3bJx`>QznyZILO&HoCaySn zUWYZIK9}2QJUR)md6c4zpT%7{865rsIir*o{j!-YfRtmI`|jSBZW%;!F!!u065~-i zugI{8;v<+~w0dMFot~YEtMq8B=~tU=KoZ$)%2aSUt9 z$r3rv{_^@R=&pQ|G02~)CMoN=%O{LJ-Emd%nNO{G;S#SawQlNbWVnLDWF~S3C}Ps} z{iNj@r8WWX?EJ>Vr@MaBLWv6dD~5MD6ph&v19T_&j?sSKX=Y>j1e%+-<`NyG!;hC&eH@*P_Z^R_NFj$QXL~w^x0;U+ zv5aA=lk*)v&QFM2#T?-_|Iwc^zmYbSCjAL&9fiG6b|Qk?QOPwts^eqjGp&pK(iGGL zhIkfVyGZDf&jMDU-)&w=!SbriY+q-kcm0=1TgADY7O37&GLg9UY7WEH5TJQ7hV)X5 z18a*s%#^>JEWm{?qT{S-`s9u`uc><503OZZcCUGwE5Ek_tBgSEFhR)YU%N+UOR6{% z0mur{oymZT)J&`bcV@VBKZM*c~*?nUV-M5g&D5C0a&)FQq!bwj!g!U6%(WPU#EFdM(@ zsmHU!>CX!kg3u=oCw_6~4oQkC!=rwq(kU>R464nHiy1c~-0XpmrOkxFw2_ao`tYtI zy?`}tAi_YM#iR2Vt8>^IEe!Br@_~2^%$%%zNlsRbLXd24CBT+|E9u#a5e{e~KGxPBB z0v&|R;4&WIN67L&U4R}U;WsL2a-QX_J0+k>EC6)T-~!P^yubpn;6E_|F*opuL;#2+ zRsoJl1i^?0Fq3cr9;5<528qmndcBYegHt>}jFbxqC*ubyNmT(%ase>n1Da%9_zH27bVSUiLo^dW=B`oZ1S1|`%)$lavkCyCEGhsi zn;;nR0B2S%poxtiSY!R~_G0Wp;1nMSV&eja*#!U)J05_<&I4$32!dlJV3k7vfa6dB zY&ZqMhzAsNZ~+&b0ssN03gF8n2u3`h4g3eDk{2F;D4h;adE5`sxi7~lu5DE?n>s)WeD+`KpsKqDytC`hOQHIjm0BmgcXxBy`( z@UckZ1HO_xz@U^6I93LKA)o;r8x2H#Xo_vy)!9N-M7o8s#)?VMqB3## zMldcmZW9!XvJB-mc^WPBK!7gY`$=0)2v3p+LvP>IJh=s^Jx-YyF+M7ZeSL-QkgrTq zKR5)vK3qg!H~am#b9e7)&Hu^3Z0K@vXJHf{owz@Iqrkj2TS1uq<=NuZitrp!_~R?+ zx?xtN{pq>KFfID!+LHzJP~&@pd#@?{v^%uS3G%vcdjjT>&3E+iujL|*S>X})j}XKXENxb7Sj&Brk}4I1sDeY9_16YAewApLcIfw@J) zj9M)uI<9&D#o)Eq%JD$rcVg2rp`{P)>kidx3;;T9UYQw_sDHVRl=Rb0>J0={AD83C zbVJG4CDPy039y=(;t}4$T-6`(9u?kPTFl$;EZWGlv%LOs_{^D^v>X;WQ}Ia@bB2v4 zar=?xA&jyG8Z_mJ*)T1eOcc?UI9bv7;9D^xGs8TOV~y4Ziu$_2rM9 z<*zT*FF%Ani43Uzlnh0u+9}xfxy29c_%rUIGc)JgLZyPcS@j-TiYe3xgxTE1u{B|g zgaUzyA;nzUK!}t;fjje)H8P5V1y@g#EE?$xdlEvptyxz8wpNlyj9!GGu`Lc24fcT; z^}5hz9`!+<<+{+9VP&Cy##WiuX+<_5_!i>1R2`Ka@f6aLOJf*oeYsD+CX2)zeT8_% zHY=I#cS}ujx_69}SEw#KC_&#$%PaICmFb#{AquW_TAqoG4_D#(O;Bg(`}JbT-hO>k z{g;Q8jF8;tryj%vA~m8Wr_3{BAvu;K3B=gIsBGN4Jjqr)6(PmX!Osvsy45OX^w(E6 zmtjww-|)(VNQ9z2qI+>Wqun~MJ%u~tQ$e_M>vF%4GR=_$=;%?=9*eKO2SScFlJ7-| zGomttz5jf3lM1(W<&Ti^M;xl(8a`5*>S`dVj_+xIYbh*@elPymdiw4EXK}j`m+$af z;bxapBnQHy60q~309)=*@Wx?>`xDj;F*l1RihgX}XaqA3V*|g`oMkcsoAYI4tvf3r zBaLub*y4xbS&&)dG~I=EE%W-SbBV?~2l@*rvz-&259K3sZE5gz6fOW`s6JzZpw!O7 zhZdfg&@A|6tSTQw&khQA`Em_{c791O#O<+H6dGMz65MBvDiBtFw5Ihp=OW*RqN3`025&2#o~yz zL+60gHf)-r4mj}2gPjAe2a$P)b)rBo<{d83%CL-Fo#~9a;9?M1WvA-^@m*I9-IpS> zI20RED%nW>#k>zJ3t{A0Gapcg{yh-?Ces7!leb}sW*DVNRd(KLV=mArfr&XHdbNK8 z+}LfJAZdOk5<0Qlf`gJof*2J`Ca`GstPRTxdI}is8i~miIGLXr_r6|mAHrUND{s4dmltG)5XFYhzFIG zw78$_Q$jV@?arv}5eF%bmF0}fz^8!SHP2eKtxAE!$iOJR|gtowd{h)W)7x3p$ zIQp3F9I?hgO%}f_*1E8hCj&4vS~sj6X3zLV# zW+J1QO`h#~rtdEH?x2}&wU!{PauG*zXuCd!+;uKw((=ZX7G{It)$_bU_#&1m&64RG zi&Sxz0^g7MMcgIFL!80Jcc(*~(`I#kDf#0P^6uuTwq*WqvQzTXmq=s(RTVJjj z|ExB5sj#~wzfP2&>lVb*n@c<<@E3i-)HSZ^2B{4xvM@B@$)%njm(E{&mNIqz`;3y$ zov+LZcd&^yGz0sxf!d2j4mI{`PI9VPJZQd9SxSWdYCq1|0j_&M^`q21>T&Ltq71`e zhuw7U1_*{3ILi?a)mP4hno88n zc9cx5y}-0m3d^>LV9mWLs^2-Jn$}g~AGghW!gDD=*4W6?g98NKX!_u1Ri*l=4IxBx zFBsH#DM7(yw=M!ZB{o4%P!OuWwogSTpH9t!?l&GgR~|hN5AxQwB>Ca? zgFx5lGo%c=@}x5*%_e@}b+D;gN>UEDbQLN)H;uv1u;Sp`wE=H@ylYE4P0p9}2$oN6zR5@5 zCbLiPW&KL9IfN{=_aLCI;D?k3#UjRSnk^Ry*gjxD3;?(2WF0XqKi#d-Y=oP*QqdqYyCWX7{tLyj?JdE<|2&55@6!E0Vd zQ;4s!!&dG}RZp<^1_*7Wd3Kf<5ZNP5Ye{pC5`6@A3M6ocTrq$OQz8BrWPHr|zPaDvRx zl0ZleE%NNEpj?n2Dk<#y7qsa%G8}X{wxrzq65Sx%Sf8lk_1wbeXK+NuV_bYCHF2+O|flHpUw$C5SsSSFyWxz8}NU-TDs zvMJJ1;_!yNw~%av8xPcymYhddNY;`5^MKk98q7^VUtiq@*Y0GrsY||xRckCxQYN5R zy#&f(4u-Xn5ez+~l4SA{S5(;yP}CGCSJSNf@ zKxx_y9CjUd(%Jn5T3;Cv>-I|y49O{8K;m4Q<+Jv3aveWI+xfLlJ|@;JMWXc2BHArQ z@D}~gBHB&<-HuecfPTIRHAjQVeKB;R$kyYidrwng`O4I-IF3a z0;BoEMn4%y*jpW0Iv4t9LrezrdIxskS|G>{9WqGXEJ>0Ge`~DoOoH)|zxpUJB`U~% z!#eEFi}l=`cG$3GHU2PK!gOboxz(=}1A$>}CidQn*+@{F$NV7$^JgADYH56>LY}p@ zych2jG7FV&Gp`AO9^E=(Y0P^%QAQSZ^*wD!gWmo6=mO>lEz4D%YRrWt@75E&wWQ3l!Q^#37-;!p{-fmuMmDD zl}Fzi-~V13o`uTgD>^$lRnTkv7do||S932qH4JwApjUbMo^Oh<@q!e2iD%Kxe z$QfHaUJaci&&F2T!}b(Avw2+9@=Mjov#2Okqy!`9?b_^9)d;R`|I!+E@% zG0sRdW*PFRsZJ9=ELaJ>-Fb{M=Q}4!tdk+z^MLW~x&E|%p zhK>+bh8uxJxob$}qxa+eu||U)D99i8+6y!q8LTlSSzbviLSFAAu)l*yaf9sgh3^6> zutX|w&Lq(q%eR!reK*C2=+;`*h&2Nx@2+X-dYb> z7D=*w45p;tsDD@1PVBeFbf3y1aZ}pA##j9=Kd@=#=_>D6U+#;6h8QhF%e-+0NUHtU#`8`or zZYOrP-5RQ*7hE}f;rGpF?8Xg{uM)IQn(*m224zmB2iqh*2a(T4DCLG-i&mZ?%)j%E zLeo|@nJ=ZfC)Sy#Gm7$f8Wk|X$ttrl7P5>_3#%HZAfB~=kcNW2!|t=>#2ML>K)W?B z1A7$oHA1j^g2~DB!yUMrp(4JK@cvvf z31OG+9iW3|hGeU+RAmn~y!&~N$v+Fi{CP1UP-?qvqRCYwl9F{`U23@m_ZT@(+T8XTNDCk1eses1oXNg`?twRS$>|G96M5<~ zmP>bzfaw;ZqqiH)R+#~3C=%ujQ>w(n`$L=&a$@`CY_8m&l@1dGlghdc*2p&0rHf%Z zL6|f4lCHnOk{_9aLGF>gDqns#+yBS}7iaElem6^P7Vw%bXP;~6AZq%h(s{L)^Yep5 z?q{+h9mJ9#peX}A%g%xA!{UZfmDq}ss8N+N8q!5{)bvcGk2-Dc{ujPR?r#3#tnpq2PxulYjv6K1A^xy zR(>TG0G&&n7#SVU9HsLh?s_^T3p3QFKVk8Sqn7B_R!TUfu9IAbbRykO4z!mA{uVGN8b>6OJtPg` zud$U;&`$#m0fFI7`w(_w9w`!*jr(GtNa=K_RI6vwkt*|+|C~W@yry2T<5WA5V3zgLkmYO z5fk4vLY`Z9^&$7mpbFatz;Cs^C*|oRHo617@!fAcsj#gmy9}kULx2GPxij>}LI+z~z_aTSLCqr)}EBo#P^vDYxAFYezbP#F|UBO>~(=myQ-~ z^~z^#5cT{GL=4J$%cw2mgCiPa%#$S;iN6kCbp9*_ z)c7!N1#95VJGeSe|AKbV|IKFDl3O1X^hr_!k!~HFminpd*uL*G-7k_YYLMl1U`h>gl*Ivn!BTeBO|yZP2>g^L4>9 z=P^sDF@&Oqb?>w@$GSIV@tp?GEE23{$!apN1abJMr{nlCdp!B86sV({43EA00Yl4~L3JeX3b_*5Z%fqUN{g z^ey`R7M;IEgKyF0TQvC=UB5*OZ_(}0!Klv$3yae&$2?T3S~}Frwr7tKBoz0GI8dlCmoX8tIoQIyQqH^4wRkO%s-~*9R!)oc;-a zVtCH-znGkh{tX&hRdv4e6*E5R6%R0VIB0Mfbz!}Sz9SVgp6M+2!S#mAg$oFe}y6K7C3F_z{8g`Qn|O=HIzwY6SmqoX=;X z^WQ#_6z;vBE2OQWe)EI|4@I5MGCw_rfBQIYvJ84>hlNm@9`HjPR0r--SYZjpy--c` zWsWwEs349a(3+D&E>_lc3!n(TPsx@2z^6b6@=3LU`A|z2B!G5`@|KwONU{CNX zSXfvB=1{YwmN6z*{5V_8;pqsJ1vFJN6ArP|u1XDgaHPft-Jxj)K{YPRcpEQZrnm96 zf6(1AkU-QJrF?*$w1t(Rmw;4J5Jv{AWVi?Gl3(IDKG z;Ce48YI(KXdwExEuuz84zkDujYIi~T=l%PUh$efK2=g1p@en%M!!eJ!&UXV%c5r<& z$*6F$CEB;g8Ka=*t5noBsNVFCmTmd1krw$waout_yBJh|vc1wY6k2KPj*h5Ildz{D zOUB_e{W_lvotdkaFxe*%=SfGk8u5B+sY_fz;rkh9OF0B38hg+O!gx6(`UqdXMLKuo zt6yO=OPgkvj#4H1bhO8clc-*2H4I=qyhHso=2tPU*=k==L+tnJyTKy?nS@- z{uPWV4u0WKq(+{u8Aoo5v2{Ov)6yN}`MF~gE)I*ECAN)i=?p=z61hKa2d!*UNL}lD zqYE*A4r@^*{@~tHsqm=)EtPRg5FR;%V@vp`Fcj2VwUR}bOwp)kjk&bO8)wSasqbWH zD^IjgmZgC|cT7`C*2IDh=NxG{s#S~d7JRh%q)u;2T_OZK^*0Q#Bh!fwBumWHVs1-a zY(-iqOP>0UK)61SA4l`&F*{LI0#Fr`Q7}Cr@#DLF{Y8#nr>@IDy_Zp=b&(y875h(m zDTw)Zi{3j+qYakz$3<2;nG9Sq!C*_gw+Cfp zsiQf)6E}`nT4eDn$4J9MP77O>psh1iABO+$Uxvzj?XSl2(lMcM^1lGb$ByzEHd znU#`gE;q<+LTN3*jrd9nhyNF?ihhw^6DaHr)3TqQeJ`OMWKe_|Qfgh&u83DnWh|e; zYK|B&ei>pXh5qnf;>ITkd+iixgC-NXs-FDWnVNY8QZ~`^eD`e>>d?*4QC<~`+&%lV z@P0McYx7S^Mt<5}v-U*Wwrz#giz>gqiWG!?fiq_mMN$0Vp_A^+_X?B?E=?uQ9%GP)d&R=|zr5QI z5GsDQw=3Eufhv`?ha<>4!M)+>b7i*(t9#^5X$$txA^R444djWzwuD}R<0BTt<@H=4 z>zidSZJmausS$_8zGY!!4XNm~y;GC2z1X=!TRhn;RI{GCklm$|S|@R(E6>W`ppNQ_ z1M#Sl{#~Q~unP&!YVR+Fz92ZYq0cFMpi?s2FLM`6a&@hi?Hz%I+vH#iT3u^z_zOyQ zVkqy#s@T;Bzd*Wx28(P$ zi(luU`L-G6@1%4;x`K4!E+Q1x6Y~qVdIXG-=|$72jgh~pSd1u}5cjVrHq>%`T1;42b-3Ece9k9yjLHw`(|zs=t+C0` z`GBlAnmUcvN^cuyKz|w=*SjzW>UJ!QqfVIwLv}Ag!6uK}tBO&{N!3ecoX;Jy*0`jm z(BwH`@h>*Mt1~c!+mtoRAf&>;NcWylw1$?4uByT)aqzi6h0t5)FNNAn`X%rD3)IV@ zUq=0sX}|y`!C*1zrwb*{jW-=@2v>whKCOfeDAP^Jwb zuTxp&Lrvz+_`^i!uo>q9d{?!_)Ug+kuNtlc=d!b`D%X`O;L&$m7}VrY#6+4;BCe>L_)n6RLbOnqv(nd@w9*)LYm2z+eb zPRZO~cXNg-bU!ceC_Ao?76JY0PRW)*{py!muwjhYKZHfii|*=2?~?q3O}%`GLJP|G2(`U4HO5sopN{+_O3);*fwBQpK0& z>RjwHy&rLoCFd9IurQ!OIqudnk$e9%ql(k&TzXfIYO8|To0~soGK3*1JyG099FfHK zc$PQ<3#`M89<`>)dPj3hVD4uNs>oj+Pg4Iv#}nZv)zu}xyR7ympR;aM`(xt_Ikc?1 zuV|(;TaEVnsEW<7XsOz_Jx9;IJd(GQTh~D%?`fL3g60g7*FlLlJEoA{e30*8E-(ZZ zoo7Tt`bZwXAL<&dRqi_ff_d>KfJOLAwS*AmDh}oLzCwIGRSVjc?<-{4h|Whnc!HtB*W|FVZ-3|rUQoMy`@gARRlLz?#izvB*{oJuo-K`r< z??v$puRSQxV0A!#4DY0+L;jDF|69p#gD^cu5i(*VrXi9Z=DAj5+HddCrl1(Q%56$n z>ox1NAiemdds0O}>p8SN)1&wSRYBxf^P2R*f1&6=gz?P)+Is*SURiJdF&zG5SOOb} z!%(Iz_e7J=qCqdUc4Ul;YF*mXf&IN*lZECb2Li)|9NoQL<3bn#SH z+LNa2T=rRN@-PhDJh9oqT~EocBvFQeyyEYf2~}=FssqS=-sggzz0N>idummFJxhL% z>>D0N5;@s{!N78J_3hSg?}Nkyt?34KpwtSg+2s5lGP^xtoQa|2?K9m#TU%>2;uI`! zmR@lm8Z16Auz}U0zDIviGhn?eD(-OubG(!~EfyA9*Gvu6Ddu5)TIhw#)z!rhiLMQ$ zrQ#sc@uy-PZSCn*m$!Z1{uc!Irg!4ID#oVWg8Wf&XUzlkq1~WNGz<5}s6hW~aoe_3 zZrp;g=n#YO0@H#4_p&Vvf?wO*LYek2TgM`g5^UP5bu)#AH)Y-um;@hZ6WBhzqJE&w zp!&7}m^^TLhMfifoD>Z@Nw%vsFn6$(3%4t$pBzRcKE}!Vsk$2V!z1!<-5Gbygaaq* zXOa!lxMGCa6>R<2QdhqD_i`EZ^mT(`AM|IhciH-vvXg&q=S;z6hu&K{Zvr*y$qsTx z{l@~6OjOkN9sWi(s>d2HPumA7d^cMW^DpQBGjY@Ua<-L4JIXnH@_y!*wcCG%u6ajR z53*uvG)Y|RE8cfN-aA@o9WDQHurO&r=#@q|UDx@*7jSWpg;ix)u`tzZmzi*VS`si6 z9zAteHsW0Z8oit@9J#ppoqX+`@%&P6qGSv${Bibvt>5Qk`;cGLyl6G`(!_)hBH{Gr z$-=VT@qK+ktMl1*qXwq3=Rwq%0c5Q8$?9KNoyr2|%p@M9QBRTsu91~*tVEukMt$&* z*HrP-)-wrfzpn*l47%K5Xt`n+1clDRIP4#nv|idfK+VKZi&lNreJR+EIR4E+nQvof}`OIJeAu)-zV=%KeN*4jn}I^COVty}^eL~XGfNH&2=Mf7s(6BX}~ z9Sllmj<78kDE&n212lwRV54gdN~C8kaQKK9C~@6OANw1|dTDL9@_&?JPT?_5nzRt(#EF|?zFMKp0J>H#a7|p?Enp_dl zkB#xvX^?6017Go&jjp$_%|2vCL%vm+FTJNXKpFDrZE|T-yg6cXWyF8=w|l?z(dXMu zf62V(<8-3hQH0TS;-^Zn&au9=17>HNbl!^Z4z%GshXkvxeGP{nQM^v;+j&A94>gX4 zic=|@SNP?OY?wMg_aEOsi^2l2c9_x}eE&1M%E!&e`QKSoPS*cn4G`Ga{~1(e4T>|`KudEa`eBk=t@?8^7Ak&gr5ci2ZV`d_`-{{NG)-2a`76?1TK z1kc5?{j>M~J}b+{@$W|eYg!gK7s3KeLa-n?ljx-w=mB~sI!Jb)z=;7o1eXO*hLx0o z!H5dnI3*`x)G@LEZO)Q!qq9()TuJ9p?=ZnGu{ms7kfB^OaRWN|wwFB(R;VMCTPoIf z!#{hRF({4SZN=RE@(PdpZY~pv{Q9UF%jm)NbNP8kj`B0(_m4b36{-@WwU%Byso@}B zg?lqiw(!J>+Wq`*I^vk&B1LMj*cz#&QYHj`h^Xt`#g|}SLBGGdOuGnQuNTh8Xt-+= z6e4`_xB>pUV1WvE4r^G}SHHz2iWXhrnWKrHU=CcEAwFGWnf*M_{}!H-7X?Zu&PaQH zk*1^N5xoDZAQPd6Nczdm#p}ZN?vX}(50N}5@Z%jO#*5$+agger4@^f4^Z7l2KXz+L z8a1Sq(qbmrdM|m|@GBO5OeCA+9*yoVsUEaRZJ6eMoKT#Em-6bma6-%2qGGH?s?r!h8Pl0q9#Uckk6aT`gNL62oCYJ(%pD8*EsW39CI!+xO1k+Ihwm^&Aa=>#w^p;c*G2|R)^3In zaO@#U=`*lI-sE4>qlwxwx}EC_`c#%W{h2RpU)- zNAI&JBBX0I5sJ86p&|%7^rm;kn2kiy;+J?)xcVvH@G%K?a^POwCfAo;q0koLuz^Ad zS#Kk|mdVoBShf$6gpSn!*R8HpVnTslu2~ag;EN=4|k*6i7f;!I~sUZp36<+9DOBpmISi^%)Kb!)s9vWjp$5`AOTG$mWBd}`<*`{v~gZ7O#gOdiA>$`c#&|CEyN$B z6Ie=sh0j!vM^?WtPn0XILkfXkA)Wf_?w?8+1CT3x8H1vn=-P5P`sy#1lJ4e`VP5_5__n0v@V&i&fvNfCn?b87D|I)v0- z+L`eCxZn*Ibm?^M>~|o|k5q2x5VkO4_TnU`k3kfk%nDLiWQHs-^MQCMl=PNX>PFYW zCy6Io3|A2mis=ky?3x>Fl=mIxAof8WswpqH+!W)J9~TGKc7jYI`mJGA_j9{Abi+H z^-GL#5e4inY%~M1OgZ_7cR|9vEO$BuUh47>A2U|jNFENo%F&kgcJH^VZuK0J!#msP$9tb z`@Kl|WU3Bha8MdwCE+i!XOOM+HqPWe?o?*FspE%aCiT$BD18~y&b=+`4>zKzT}7;~|jN(;bhqPc#iv`K^9cf19DF6Zr0{8R>1r_B& z_B2VticCObwqAffB*mhL+_tPbS8~CDY}Ld;-!%E}Br&{**Iu(7m}!G12>(ipuZthV|AP6} zdMW3}ey;S}cgZeE3kEuC9ADsLpN9j|1k^FCuUTbIAs4OE#kVmQk}L^|_{r{j zm3#c=DBAmwFdoP+Q!qjP`S+%zMkYZfD`n(5rm0 zA7d;E+(0B6_G;DSs>lZ73a>E~f$Zmq)OUI59;2M%F>8On0y&Vg)o5N&Yn(Bt&@6kh zablPLH0ZSOZWfqN#S;v06R3^&kXWl5cQLb*qaDmfk;UvPAUvIvgMfEt^`VTfBhdtD z%a=OQu-{+BlsE|2d&E0-EIwkb_b1QMViz*ecmMH1wDo%7EBaR9qG0aZx3D=T#q!Px z^#eQCtpfSHB?rV_cRX3+$3|!df-ve}s3Q!qk{H~+u~+9&Zyn7!F_7#b=H*A$ zlk?rv$8S5$ogkPC4u-+0FE1bUYDlpugZlZx(=wV?++}6Q9Cd{Z1OAqqxn)y44O&0$ zK_cK!es^a57TAJpN$?#ts`8>ZthcbK%8)hqScUEhb8-+ zzxvNzDRawog2bN;$hd+m579EH%5kuQ2k<1(oNJI-P4u8$A$toxk@%tI6I zlIo%N9QJE5;R~Zod&t0~%8=Ekd~(*j8dzfy-Wyxgmw3-{XL#JaKs+&gI%K^;T!#Kh z2{cW?4fO2c8f=p-i%jJQMA#2gM^b9eHlp0TL~(T+aJ`>xae8hq(Usb~ovLHSzfUlr zg}S44*o1*L0-YCje?;znj6LD_3(-<2h;3?CT!*Ov^qu88QTI1N;O~g0PyATREr>G- zQN<{}cP(0RIBVvhwnP`NF1I91z{zI-AL+tj^DRx*3%e5(vSD z8hTTq`@n@K9?;2Om_2r9xh(g><>(lFWNEi9>pk(+5{$>$n0-=OvSA3rS0~ep2_`V*9OGGGVWsJ^?KX0Y{{ibI$?Die*_TH40 zhEiR$Wdg~}$P`?+=3Lf$q8!VNn}sK(T{7{sKC{5@ zY%HwwT#GKw3AYey9w6|Is66pT@j_5E>0xv}NN&o?KA3yM;;+Mt2h|>}*BR8? zv52rXKAj8B&ll)7S#Yduzu%D9gIc6DJwr=wU`6rUD@cae=?iO73UsQuM2>smj$*q; z=)le&&k$BS3-Zuy{`N^*v5(wZA)V2N;&e@O)?#&PBf-ORaSc;rZFt%K{R)Y)oDVN*Ua0ZkK<2&^>5l01b@|Boo%SB`8i5Qw-6MaVDgOm; z&Cg-C8DUDTJLANEUa;qMOZ;H;m<(`@OH)T2==wYI#5-vg7>sW)E96i)oTW z>F<<4k?OK=n^;A52{L~#&QeQHzfdWqVm83#Gxvq^0R4wIV@*#x*KvC)CrD6B#=TO5 z$?tr^(*C`vSBrtc#y2j@Z|F@!ZEg13QLbK}47^wckjv!#oWE5GRd&MuNI5Pe@z*_2 zLQ?o9n}|zzFvl07(UtHwU0J*8($xsF!Hi#AQ*omZ&@7W9c5co0$qgly(Z{Ey|E#dp z%hSo?5oMq@mXyoR8MVr)F94!pP#wE=!!UFH7>HMDSRCCvKYqcwklQ!tK9mo@z*#}PHvikUyPO1Sr!XabJNp8E!*E((1dz7a8zp?|r1!mVxE_Em$)Cd)nJMWtO0 zf0ucG;>5^vTAOQB%}>0UuBr{*^up7$W<9t%*wZFsyr!7tqE;G+-TRWIV+4^XCwNN~ zBp6-G>g;LP{Nst${sqvL;>-^bJ=A?Rnb0M#6D0c?mF8AwFQ>kRG9ltE0gF@U;ZG*C z<2IKom93xl9V2H?4@8^GZ;Lc-Po0>(PoaY7_*W`zc9W_INvEwpxc&IxQE5AC(=4;} z%jKu8iz4YMS!8gaXYOiS#JNM>6E&pqyl4U!$(^Lgm|Jev89tON+Rg40K^^ktW<}o9MIukFBo^ zi)(F`g+W4acXxMp0>M4F2lwC(gS*>c!GgQH1b26LcMDD~*`Mrv&pAI9&#c9~!|s^l{WTW*J8aSO{+x|7lJ!A0DY z#4F2>XQp?>9Dn!eG74^D5#wV3R|)yH>pP6_l9+`YFB}5fBk+`c+!l=Qojzp_>&x3D zOsHNJrGD34{nfk22ueU(4nOKIu+p0dv^vWdto7`&?vJVXEuJC@?m>MeeD#W%BHz^R zP(9vyL+(ORb1Y!JoTt|hCb42%XgytAlYOc7i#kQXW!e4WP;%s9S0tVg2wEi8RT{z6 zq~%O`VbG;>)0}joC@?U)GsEK87C^O_dR#d;Lx^5K+Dqyup4<1>bkd|@Tt%?GaM`4> zYWbYhp6L{E+}2WuIc&>Tb;i0;L<%b%SpV^K=?taM^fEmWZ{ei`O4M$cP0BEfxzs{<5>EMbMz`e&xCh1HXp%FLQS3<{#b!4I- z35!eu?T2bijo3K9bT03s6I)+OJ5Rkh-8DsvOt~AjDF7u?ru4F+5VL{%UG$aTtSlfA z3tuX+Yk411nD~z3YyQLFfy>A?if#>?jj=4v=ehH?pTS?%ZvaF>yveur2$K`lI zLN_wZ*ezfA%^r}+f4T7+{LRl2=bgzzXXkt;-~JfS*|~U_|0di15Osf^ zz7u)u|Dy2z!NjrtlZm7I-%Q-USiXO{)>+v9A^;N}@reG=Zvb}g1eai106P!Jz6~8C zp)r^Ul=Fd}I{+~x1Th4>5itZ{fd1}*|CSEG1S$2+v|7fkXlvNlXfmI_veO}ryv%0)5z3I0>Q|T7=$ddez6xHgpDo1 zm`yeYY)#3JV`SO)tf^zDd+xd5%eR2ytkUZ1HmNA#k z?(R>HF5C)xGqsbRl5*o|xJIi)Q3Lg_#0gtJx+ToSDWUs01--`Y2(rEx%7)XUl5nDdra6|7Z9a(iA+T#FPC=!n!kdgS+hxZPgE2B z00fu1qR)Y4XqK)JCAuhR5Wp!}NM^!w55f{^D8p+;#_Xq)isOj-D0q0d`WL=hFmEM;JJ2W*1=E5$RI!;0q^8M>T@b!gJWB zx^H7~N&LBA8VmxQlW{cM5mPNJMY_UK?N8>+3%C#CmuV}ost1zyy6qG8x-K=G8R~up zic$7nn-XaY!hAY2#@?eY_xN?5!JylIpsTenVWa_ncRkWJ7|H<|2u9K{B(V>k_cEJ|?f zQ)0P&F_SObhLaiVmeXNYo0~*PJHvh?6SYti@nCJTI4w7jcUdSEe$G@{tt zD?%acE%#$K1T)h@KAkzoZ*+6L0>JlE8v+W`vC32QOq6W|^FPdIu;U|i`d#hlES%NT zp1FpsPr0E~lR(!wP!`(RY{xk6>G*-Q*y$DuV}(njePyH8!IEGB8v2HZXUpVGn7{m5 zU$mK-JQLw+f=z(0cl^RAFdQyJgG9L;4U1V0YKGe}3=BcPzw8RG<61@_DGU(&6x2TI z2vbqu*v1DYbm= zXhHZV(AM(RlcuWj?uADLTixiX+ipXjASNz;q{vpuuvj$e-aPQP$(L)TIZEB!uxLZe znJRmHV^jECWfNZ5Ej~(r!-O*OVO6Z^_shUHFZb8`GM^Le0U*{sT4`uFrD`=C>9O|g z3|+aNif*KmwbPmTgKc?iHk%Yn%Aip}^@ftb(aAS$t#K_3GmNj6&T99d$hUEaRuM~$ zlM*9%=SHzCJ$D5n7gDz+wKN3@fx~D$U2<;;R}IyKX}7kXmJwIR9K0g})}normHD@e zC+(i|WOG6sz6&i6BIM95Y16F&Luj6D#-0=OA4X@W*14AT{%Js9 zQ%g)*y$+NuRY@23DV-N@kcExOqWhi@$(1(|aA>D~?3q-;CEte?n~$HQ^&D@6@|#VQ zH2Fe`Vy|E*?f_+w*n z6^#Y!M}Z*;QLM5KC2nZPzM19-r?n$kQrOm{gP6`Y?rBA*-?_EA6qejIT^qHf)Kc1c z5o-HpN_`*j{4zI;~`K&dkusXFSeX+%mM9B!5`CKRztq+LVIUgB5 zQQ-}GIwGcq*bEpMue8st$x{_CjumD6&|HQ3u3FlL<&@A7^MT;DRpqEqu!<;JO3WWC zm)ya{EKAZ(;wP`B7`PQE66u#EM7*R%Ezt>Z5gA^N?%eGnSj;mwl?{c@vr{+q_LUiB zgGBlq5@j!NkwNLaIj1HeIIP|%ips|2S}>^yVaL_#rtBgljJIMOjLZD#HzJ5Er8qYW zMPFCmvllim#7s5q=jT=GD5)^$1PR@3LuTk++*3kebidstX1p>=ga%!)Gkk_d6sFyERSal9Nrt{PM@NnSAfJuqoULY4WNfD@V!f#~D=FWh_p7 zRBL&s9A-UD$I#{>dcQ{swRg!TbRaQ$UG>S0@tz84^+Ear*43duL%JqB8IA)p7!nEj zmqbK_)3hHuNx-(bC4G0|*#jp%;HX<{q=Zfr080;5S$I#T8FiU>nv`-z z33f@XouvxdLp?|cJXlK+uD-yC_e-+^(YEq%55$xm%7=(=AEoL86DP&h=5HJZnU&kZ z4;;$wrCX$)2knv~`zI$V`=PSw*wQ7$No+D)j~M9M%=f+v)RpDYxcAWMV)zWu>2a@8 zSBiqY!uW4*0KprDXuSVO${?F`z(*ujE>5<;Q7HF6fhPWcf#!e1kXShW^-NF@lo0uS|VgMls#hQp4lvx7c1jRmL zlY#1r0T$?gFzR0!Ox*wd3LK#785~TIL-za4b&c~SZzXCyH`K_Ain<$j*WHL5ktI$U`QO%RfbBgx%knP zqce*({xc?xbjw<>0Ai#|BYBBN8SfqW#W$>Gn1_2bA1JZ~$+60i^E}WcJ&) z@bdD0BzRPxNvNk2fr>0Z`G{kXIFR2gKB^DrVUKJSaaTN8DkZ4Dld(|&g!E0i%X6d< z=x_#-7zGdjUwr(&H8EY?(|OS~sXb=8jo(wWk*&>Cjudw4=m|M{NujCX?TW`QH_d&< z3E%^f<3-3EfMO&ah(qF6CFR28Em@o9BsA)|T;hB_3-~sbfCUA|_{EI*%K~~?Py`no zq9!W7kmL+SHjqeCZ{S=PW_aoAp!vuzJ^dn1g|(6c^2?4^e2D!7){HG0=yh1J#_(uP- z%GFI;xUdhjp_Y!<703l;F3!VcZttc$2E4qieh{2g1`G)b`d%SW&T7y1g>cc_JpkYp zo>Xmt*QV3Ej#neO#78_or273M16by4c!GyPFSehw?9HyVGTS+SBb6qQ)v}_y*ubN? z)Q=MPR>dy@u9uB3hqY7Vg`@B7aG2#&QGH+zx%caEmIbk3>4T1wkW=n8VlocYl zMg~Z;4@k*1*V#W~vrc#o?v?YKtx_cPZg9fA4@c`Zmv4U?B{nI@`;^l^pV`z>qv_4! zpxdA9yl_$H?*~D%cYNvRV%sm978{7O)VnJ%to-HN&LqL;?Q$7=6b_eCy}Lq9lrdK| zLoQQoKmC`A7l4_9L12c-nc=5tg<=OfC4^}3MdeZB^y?yd@d~jn;8il~0ZxGNurY%e zn0W0MIQw(oP)oL3e7zT%$;0rx@xJ)b+C??CrE6YT6eaCj&oSk%d6|=+IbXx~kYSYu z);@=KOQP6Z>+|n7O$M>leFHasQJDVZMmjo`KDDUk1TrY0&u4u|*g_n)Cbn&SoFZhOS?#-03-P3@ zMVR0N*X!#rYTCD7dtJnrl{+-~6>VG3@i7~R)|S^quWum!OU|VPV@H{~ zeO2P6;$F6=<{Y!6Ul`wT?Ph-l$(58yj1yBT+L{Keahzqec&mc@EPu1upyGh_EU?!+ z`A~i5&Vo0szX6_EzakNgFds}tlDUml|OS|3QZc_ji?%8-ZH6rjs+*)=NU&l#2$N)I7W=-QRTFcuw^Kjnng%TA|f zjk?-+DODAB(I=WUtXUPyGgV55_%GY))k z$+Y~XVTM}7kwF}VG%{72{hSO2m$m1`P7n`cWl7+hg) zlCTnYr^{?pZUd}D%CEK=%w&! z1J}b_qZHJlGhc1Lg>oAzMPVsc1CfLV9Q32r{hZ-?`;thR6^z^=if_73&BNp^U$| zX)bn%#6C`cW$`}LP9{bg~}JyvlB4cQhD>eGAu0DfKRh7P!*~m&v5r7+_%qH z#7@8WrjRT1b!>oOsDguP!h#I38uUcz!e)2V0>!88JcJ*ExW0T;bY3`$UUjLp%3f%L z-oiw{c%%jpP+n}(e259GPwhy|Qvlcn__}QkHj0@_5>2MFTP;6C8JQwF0ksP-t<%4& z;q+NXhAWLUvn#tsl&se5RX7D0M^To=@-DvwLiuTK?iT`o&3v4pm6>Q-G2bmE1NOTt z(#ndPyIA;ifZ_`SV!s>>@SO4jhqC1aTt!~%rrKSZS33aqA-v+V1 z#zgK{UBP4E+i8!&=2bPrn#abG{L{saVU>VC*wMKpIEJ=uq-UqN7h&>CO3_(k|Je02 zg+h4wm3S7SL{+f20zV6Nu`1I(JcN}na@Xj^PY&oTCv+(o;_!IyNF&ZJk^$@0H_jd; zvSaoVGal{z7%Hp1vExa8FH9PG84J)pD)jw3=Gg?wYf%%w_GEP)?(KEfB7hbzjJGRd zf9hqs);mZR((Bc<%C?dwy2SDIOFns_BK4~j^annE9fUB#XwMH1M(^|x3r}A~=`oq_ z<-1jE==P9KuXXLM(A^tk^h^@@x`FR=dxuVka@q0o-nCqeJ)kI{(Y4pk{ooU{^gV5` z*H8yg|8++#j=>2Hq#16Rp=|=@h*iL`y)6~a>-o{qZ)XN=<)yn91Bt9}(*?V_EZe@E zsF^2&<|eM_m_WJm#(+p9XwHb$@WpFei)SsBS*on!4*cuwHx(BpP`!)cqiL5^ae)kp z?_8kZ;Lk+Y(ko&^9M@UOg7F#LRm;YYeLLVlnTy+BOo+YP!86ZC^ein;ecuVzzlkQG zRE>ln^4#xE#EVDz~9+gbbg)v(`GiYK@wWxy`K-D`Cw;ej@_ zNdh}b5aOBSRKwIa1dGt2+xKp;nbm=cdz>)s63I7*aCW$?D>^RiR?u5grWi>*P@ z!L0>iTBs8`CO1$iFAc<^JsWFeN%UjtD=Q(C?Wd9aMNfNYa9`V1Yr-h$v>vYna^FND ztgx;u4>iujcha`yC0s~_#6jEpJK)fhIq>(}2VHb?Sg+00|BT?8w$VCauEOm$N2LJe_91L7S{j%5l)cZ zpZ0=&`MphEbsjqkIs>7;+Y{^y}|%Zs0l=u zx_Xv9S^@jfzrjZv50Ruy2=pZEkm8HX4A+bx6kRaP2=7t`?>ACMDYWuKP~l&&_jvK} z*~8H<)?a_a1`@pC*Rjs8TorEZP`xM7MYbte07WI45DoJHz<>itqb<1NUyH@ zq}?vQB(GCx=Ug!O;LR%mBH9Ai}yfdwq)6F zr3-E~XcCz^Zexe33&c8jaI4f)vg#5pL8tDS}CxuFlJEJJ(hr)uom zO{i|ZN^hsMW4h{+-GQTiQ`9`X$9DV6%Le&vlvZ2^x6FZX1};MBBFR~dmn<-}ykU`b z_2uPsAf$7?qt(4X4RL`zlq&=KOTM%tdI?{WSe`QO3~>X5awO9ChTt zE$oh@N1r@ip;=CjN2~BivIJ(5w{Lij)H3}QmGTjo6QV}s0Q_eY- zY~>&0ZL-@;v?2NQOlrDqj3gA8d64uP4lAAl#GT@Oj74c%t=Z0iW`2UAm`}0;CH~}5 z)rkQPzJXiTmNB^ESmbg?9Hz5z#HMRc{2ug9dL;PGllEyA%-lFeT*I@obzp_t%4kf7A`hS^a?bWhWcfA}8R_aQpBmjW)T z0bO3qxl~#>4OPr8A*rp3Y1rO#xnVXi(kdmxOKYRFZ=VzVtCL#u#>$SoaG4w_U8IIc z1xrl1Rq|0I1O_(&5b@;t^y{|wugNE#F+S;gexs_1t4r>xw%ea=%`z_!+@kvBET?Nk zvZ6TMa(5+;^E#^`hXbs$rML|IK$y-Jo` z2iX$|rd28(26a|VLa^mh(YuWM*kWbaae3VARh~XxLOO7N1P+!PlmQ4KK~jTVGC+z@ ze$bLKVGJM4SK~M-u`7-F8P-B%39dKd9Yn~QOyj)7o~_it-Jcp~#^=bXk)NnITP(aA zO1EAZX@^-naWH-(r5LE;aYG?keccLLv0=>2o(_LTKu5;m>HLyAv<2mA6nxb%>qgeH zxouFAenpJu3`}`)S~Ip6gi=Asi;~NRI9|`681ZZtg3a4&r@)r|;OJx~ecgxT^#gnx zf(MfsBlWCK_t1Rf8f5l;B>6{fa~`zm*aulcV_*kf^<9H-8rgxy`j!tbwjaL7CVNzI zoH)x6S8GE~jWiYexPIUzUTBWHqLF;E*YEs_=ZFb87@_RB`pAltQs2(q>eN z?_bgd%d1o1mo$`P_S_trWWG?&jE>Nf!#iQkFXSLBw=`+DH;&Mpvs$s$HPh}KcQ(e^PlB`;{PZQoc|I*|8^3vfU=9x zut0eqAqfGT+@NYoI_{8{kC2ToAEA&q5IO%;lRx$0T~uXxkMu4AFatPwKr%v5bnmj} zyVC$06e9%13925#VFKL>L4EoYo(%E6s^eeW5f^9*$Up_0(;Bn=vtQ%l%P5=}${kZS zbCs26#4pTVVI+}viJx#Ab11)3UpbdOUYzfw$u4bvaWqmqS2FzNL272=g9iCqd#(&K z@u$#{%9xOeRm6yVnFUfTt~zS6wizdIlPgtBsu3u>!)uMFp}jBda%6&2o*mCdk9(H~ zBCz@8dOkq@HqV#~pPt5t>x&-o(Ul&&mlbnXS{UgFFcImd3ga1&sX0;Bk5gh>l_u^4 z6EFS@Ld41m>8+-{Ezwq9;-F-~{zC(IH=XrY;(S4A8Unp5DsUhnkctLF_u?}AO!)rZ zP4%J7A-!$v%-87WTAMzhkKn41lZW5OZsAimQQ7YBcP#v-Fw#~0$^ATUkK#VCi*Vq)`;}p8)RkF)Kr8iYlrvUp&)7l*W_qxH4 zWCZidWd7ZXur%Sl<^tbA-=<{{ zn{_NFu=4D*OkWc5}TI#iTd>vP)d5VJFw15p&2R>6wL#}L%iBP+a&%3&BP3Z+?kj&qh(nrIitsG9PP z3pxgzE5fgI@Q%G&7EH7X6l!*;ouet$rS;5{LdY}opL8tT)hG+~1v%qNStgn5?A&{1 z^M5kRG4j^(G)Osm*1FX)Ymg5lf1+NQaz0Tt>wQ9vJ@YMG@m=wj1CmIz%^&TJT#SSW zBumGp$H|wvQ%B+_A_CX7HX&;da2`^lub zhf~AMF;Q^1j2A1m;-w6dVusv6EB|W?M?)~;s`YYhWTEx?(U}>Q)3x1gGGZbPKbGqm z-1subcPFlx3ErdgK43e6i-3zS8ktI7UyqG4O`Rw}?Mcih>&apWP; zM}@k;yi@o+i&e#=OX64GxLBixX+Dfep=0uzc>d-DGRC9m0FaaRjmToV&F+YLa;&@C zVQ!Aa)4!s{8lQwJ&d`p`HntniHW@~yhht!~L|bVkS$128gB1jaeb_H|kn=e$R*9VE{Y)AI!kP^`EAVg@^fX%)s>r z5&U~ZitAs9;qMWt_geptH6H)|_4`27f6R)19sVC?MXrAtAO8t4n0x7&LByI^Fd(25 zl;Hac0c-#l=f8}KCsI&KAW11GCXkghlz2j%I5s%f`%Voe(4#ce=Rda~K4~ZfP#FyI z$3LdV4}c70;$SCp@HOOp=dyrGEmH*LRqNKAcjF4ED)b8 z6cz|q4vHP*D*JxKTMkMbbSewQ2)cUT?F5pSdw-@V|NgAy&zqO!pb!%T*~vhgLpU&? zefjsVdMStv;QqG~#{HKPhM^@Bv)h2uasR$7EOfL`4A2EwW19iRC_qsI89kIQpW)X1 zXB=VdpN?+!Vpc1tVjATl(vl1Mn%s5i*Xyn>Y2^wZpb^}jM_*@ewI5~3e0uI5H!5d@ zm^$@mt;-r`HW-CoU@qREzHRLR8Q0i6s3vKXr8vQc6Zo%IcMr2 z+1PIIJ9TU?Ko{rzKbww#uVyp^<))?c*gLs}_Y*TnK-FQrBxShOEoIyajEZz+=!;6b z5SOUm8=JP9OL?4k@yi^MQzL~$h4-EM>+4)j7Fec4P6JXW$?jpi!FwqQxlD|@f`UCm zro6kx0~|j%b!NV%F2I!Peb0JtweWp)gZzfO_cpJ?;(CQo(Y9KE`(|FQ1gszB3hEL1 zWFPx!fs#Xwb5`?NqBR{I@$jh}Xb2FsE3~m9P+ErYDDxjN50zLFe|`3=7}}o9J@k;9 zFF5Ze)t}a{<2-hhnGcOx-e*}H*kg%Ow#*vXZlcgHP02$4<>%k<>m)a8%yI(k>sfdP zy28Yu37=++e~8C5aPE&ca)Vbe7L`V73Tf>)YehX~y=cYR1oN9brKx)2Ew_nF&v?nfZw>JUi2_=)>2^hEVj)`=HnQXEO zJf?P}A5p(qpiJ0GjL0HsKQQEh7EDqWQY*z(RcRfczY`-e8c!vrv8y{H$n6NA6=FX=NyST)AJi^Jq>e`hs%nKhD^a zqww>|p?!txhwYg_vC2@az&39%@jzU>tbRqjo+Z&Wwfv zfMN>_yHES!cM7#A3S53+IJ4AdU2(+5r_a-0p~&jpoEl{6H5gZeu|kpHE*r@~#IZi) za6(c~J^Jjq%9wo2ywYcMnj1pLo>YRWfmuS!pJ;)M!T%UGBtjqfRf!+x85cf}RJKNV zl0Vx7`^7Y!OwtNs3_R0owtqspe|_$eScMv6SNn{0%3Psu6KDwu-tGU1AV5C+jj4D; z(`t&=1;&0_Cs7_2>|eat`EZ_^FdEWz4KR_Yk(3 zvbmw_Sk?X7J5m+fLaXnC|FhzU!2^=6UWa-g+OV6i1Rgb4Mwlwgqs}WKF;%9SzaJn$lf;6LoX`M>qYKf5s& zPFyhgAE!g)tK59rjG2+#cFUF|lR(b*NygV{70XSq$xrw^3>DJ}<%i|v8Bzs;mg-10 z+oCO&#C%nVn0TkLW=19ys*ZEE!PUniiqy}Oi&j9j6Yc0q6Oo88NL=qQ3*8qD`#~PA z%Gj4Zk40gTWdyS7zPz2~RzGg+TBXe{V%l&)r3k_#Tz8_pLyi9X_SYarH=@(9#C8ZJ zZWAIEulERT^TvT+*p^O2mODA&y1~)V&hl0&jh^GTPPMn)i^r3Gnc{*l-_BQ9fvL%V zagvEaZ>mAD)ac(u+~bjH@7N=fm~v69K@h(1K{%^}S&p_!rq3W2O-(t2;|oQjXKQ!d zt`znxkHpnla9S5JBPpmx6_KuC7Cr_T=^i5S<3)@rEA2+{#PAuS+fpm7ry-9JTUK^t zN^@m7X`DQKpm3brw(tj9ZuiZ@zF2uWNs$COe9)3tq5}SoD5s%w=5?{BN8#Ogj^+#t zc`%QO(Exn0ZWzh-6-}^p;ffiIc`n7`4aB%&j)tj!#wAzW>kna7Opg(k(EUUemA-~! zcdpN$|RV^-IOa-$;j( zYQ-e9a2_3uD8fHl3{NBREKaQ!HVe;xusrL#a`U&}0c1CWg${UU>fZGi8lcR# zRZirNi+7+(-LsW0Y+$g^%DAkJ3?Tr?Q*Z7Ws=Yhgxq;(AtxT?-&TV(x9ZPY=k~YBA z6SMjk>GmqzXdoPj4ARd+%xWgUlzGRoIywuvk(cr_2#I|a^zzAgoReq8kqIM(cPwKM zVy`c^2iGH8l>z9|+U+lH50^lcXP+Lu)0YG0P)fyf;&5L zOg!togT*qdi4;OC9Oy~^kZ-qs{osj55c^5yJ>sK^Uyy-lUAj0%SvthEjeK+OidU-m z(5IiT0YX6kvf@&AkJCMC1^mFb^|^`v&8vyu_7;E^h`{{Lx&5KsfU7nNoJQ}Q+Z|8l z={x84p^~Qg>K~k&y|8QfJLkp}02+&yMa*MCsU++CvSEKrE}bgKWo$p}8vG(B*TGZ) z=VM!Pcl{sAtr04g^w0Q~7#|A+1GuQaF1XVujs#9ph2uEqUW%Jw%o38qJ^*tZqUdtz1zLC`D2xI+QTB;<#Od!Kv1j&h2h*Bbnv8 z5`^?)wwz4Qyb?sDxY$5ezpFOSRi6heDo4_2Eq-WVASUUzj=CpR25LCC#<{Fv2Q=)) z#Pg{7R2POlsJSU4OIPh-1fl%GL3PJ>8XO`L~b(Gq&o%2fTM`g_vl@Gfk zc$h?U5^83`X(-nSMa^_;h~CLzUq;vyZkTXxUG5iBb!Pm|EU&l!LWAHVrxa~(qC!<+ zjg;z|2rI5CW5j2$r=oFpyJvf4>OOLZKAt>5E-=6%jvcF73Y_q9`D^4K`c{+wmc z$jgp{8B$4}WNW_?Tb5<1ih)K2oI%iCsTN4aB5b^-xuIGl1di(H)jVq>`x3gWy13NX zyUOv>-9cP(9<_AZ=AZOM9+2v`y%Wm15-W=@i?iJDmD=zc}K&?T~JsF#37H|)t$-K&#qQ4et z>lJ~1jI!%hO-YM29@P(HI!eU&r>Ie)i3!uQIOg#8U$G<;Q^5n78VzYYwp1@&a0kp< z;vvOfZ!QlQ0Obi55|t;*YA$!_Ghkz`3zfiyuX*5Nk&>}p56y-=~Tl-TZ4b&N!xg2sS#_I8o(_G403H8`_(_1f=*79bMauF zd6|YZ9Gjj-w%{grUfjVrMg0%g1$QXaAS z7H#=~w1nz9Eu~B8yWC*_-@&A%on)`Kx7L9zUml_yqJ>`8UTk@jcL+7Pk;PI2+63}% zy&2ybYLRxS89{GOi-l|r&GQ&tOFW*~Qy+_DlcbixBp|lUu`6Fk;*T9kAJb9RyVkU8 z2m)?Z-YODP*;QDyQ^hz1cL|jjq3K?dBbg9XTWGkE(xB)iG^d4AA1IzMboBu-@Q-?S zjbi3fB1jdG1*nm1AC5Ji=a+}a)e*Q_Q6YJW+V9eP{zzI$usai`*~I;aV_NaBS-TL0 zpK^!Cfh^?$g=-E@E7zb(-sg8ki=|S|avx$d3aZ!P1=>VaVDF%&kQSc&PGFXWZpN<# zLFw$1$Cmv&H>D3A+>os%4!Ke}OL0CkZaBQ`PR8z(W&rUTAMw%~v5DrYNWpHp_)0pF zxO||y&7FyFt2~9h|9J}lSZi8xJ)DK!XC{IngZXWM8qle!nVvJo#Bp?o=Tkzn-S4&r zIeGtwnS$DuObr9sw?m<0{a4K5{D*gs=l>J4DE|*Ji|2odSv;VeVl+%pQU??P1Pjmm zdUtdj?#8nYsQ7B!_uGFHUF;nH)XaGP<=q2S*g+G4>N=pfK~VP4-mw2E`E1OfXgg?P zP?`Pvk1;!Fn}1JE^YApPJ3yzwWBp;f{xw0t^WWiVR%SNPQ~*30fSDb{GEYYZd|xpC zpHd7nww3Pt^}Q5p*EJGDd%!qYPW?h|94rQQvU!CfDbaaC@VR`Y){5(yvLhrCQhsa* z2;15C(82>X$|LmptLZs;`RlZkmDYoC3uaCVN4zaDyUS;1{*5X>+ZfGg1^t7puf6KW z3Y~9hK5MV-JF=1tg;_4ZtWJZCi^YiVzt1r(1@s>9;*#jwb9~zR z7v*)o*}0fbUmcuZR<-iL`Rx>h2pu1SnZ;{gwEG=x**|%WG_N|2BdlL-`-1vTel}-6 zqg*T^-aZGq`c05FTs_pvkVSm&?w+sGpG&;pEhIW&VfHR{$rO!bp*ricf zrQvNcLdDDeqa|PIx&6j?$Gg3 zT2tL{GIdib6_YK((oMDQ1GDrRuVHGP!;|mua`Yssc0zj&#Y@1vR>-2hyPxx&MVn_|*+S|sRCh@AQ?*r~oi6#tSUOCRLK_c2nEZwgO5Uk);7DRt`GB>zS4L5)b>so;bQCU{_jvkU3IYZhPjz(lFL4q1=gQ zTtY%EM-LO`OLqw7xv*hD{t(T#H(GRvACJf5LX9QKu;I)@6={*7^QrBmN&R|@Fge36 zA+P-}siL8PxO?9Is&S!{EFD(CJ7UoQl=$jmO3|-nQVy(Za(=%g-^bi65OCch;M#Wc zO_Hyt;kDfEv3K4Ai>SP;I2bSw%ul*!Ej5yyPJ-@}4_FsSp-|^#;uch~Ot28zygkk# zaRnyAK0}u{Prk9t-!$LmP|3!Bz8+->f@daxiN3}GLM9d%#z3iP@S-}(I4C2009QR^ ziOW^F^K(a)EDlAqlRRV135x2a87vi>C1&x|4ow=Y;k+w$n%Esp-iShAjk5p9q7pyx z>qr_&AS+yuyRpG^We77ajEH=#FwbgZ1^v65BXn#8F>zx8Zo~pN&#n1S-Gs{+I}rcZ zntl-#AVO!E9m)(ZQkiMn1VnAphX9el?Wm1CY3s~%qUUYL_|k#UFHhxPGR!)exZtww z0jTYH2=G+M4Ote&uri8^m6fdoZC%S`L88t}eod$hmts624-CH-=;yGFsR+5uR7Lq= zKQjF+)JR=O)vnX8YY1(}@?X|y8&d%Kf|`woflFetSB(;x$5eJ{IJSly24wf^lzQRL zH|&O%kZ6LZ)n{Maic8#%n%vNUWBhD4^KzNoWfP4u7(+TU>DmYknwN}=+jhLw-0U}r zF>fCus|Oiz-JWkprRqE~@#4*@`qjU8!5Zx*a1xpY;;Ed?rrhXm@@}TO-cni(gzNC= z0s~|#ytH1NNddFx^9x@gGcOS%v=68FIzWm3jCplbK3kSBgDD24qzTWTI;9H(AW$>1 z%sg+DTtEBbd47Q8K0ylPTuBL|k8xnNsXuXt)_sJ$RlGIr##sMuqCmmvJkG5V?9r2# z?V{iGG}>8f<;d-EPZ&;DqaCp1t#OY)1++p$!yw>3Rt$Wl`N84vxD=&Oz~=$~;kZsv z&h!LM4F!Sfb#6zM>b1N@VGUZ*b*{`Vh{B8*a;`P%+>Za0-&o6Lq8e$lyO6rtE>vO} zecZZ%Kv^t6v}B+Zf4Zdg6xoljP#EF%C);MJE>WU2x;qHO=8hnyLu-e3Zx^px^V`w%gbosc+^$iSw9t5!)VOJ+`1CsbY z!X_c=tuxR&_~9nFe?xB315d_7FQJ$n?PGw-bjabaJ1TxAAhD zP!tZ4mH9vZd{!=Q4iJtI6cYq1GdJiV9-0>LzV-c&sUF0W@P6ozs~!{xg8s|qMBaFk z0F4O&_n#Wg#mx@FNq{B+F@WA{xMl+MzwJ$|%se5BAn5n&!}|sEetm3Q1i|(vgR_FN z7~eOrUkfmS_88&B-uJR&0a#i7OfRCpJM;e&@D?S=(e^{dCOs-kd&WkH_OIa2_S=QsDJz;kvOExM5+U z3$z=_I`?_PS$~CC?;iQRem3}WcP{bJxMOTQsZm%nq?LXm8{WYk;N^u-3(*|+RgH4$ z;qLtH@%%NRp4ry@?X%W^`JNMPzE~|kP|xQ{#L9I_OX49ohVxSDvUy>7`yRqew6$v^ z=F(Q-hIA39JjG;+h!Z9v7I!wjVo4TT}c|-PGj4)ZClMhy=U&3Ip@rJ zo_ASU`K^_A-@QLuQ8ef=JWo~0d6NiP6%}94Hg~pAQ%Op}s^$D9f-FoE^uG>FQaF8Tt_$r6Ey)bQ zuzm(eitG;Dqvn?1H`z{wM)pr(o$i>AZYEGns^eiE#Y4OuSA9a@>91rIT-vn(?XIV_ zP~>atXVCiYiPm~dxsisudoXa;{Ye$4QPIUcQYEu9SmD>=uJn}- z20Z>Z(ra@Ahk+con7lEm?nwx`vH5j>x4lU~Rqko^&wk&E-b{i#^r^OId4~7uBlo1F6{nvEQ*DA7(*U5DrC^6yA$FD@6U>Yg_ zTWREWBAc}-oza4|p)?`(6$?e@=@0ZCZeGNI7w~*s=Z^22S3H*k)qpmz8iwMCDwOh*P*j|f zTC98(rDUzlF)CG3v~E`~!&*pZ z-^44Ow94lpCbOXB1F%^Y<%J=HkJTLzbYO0(i?h17g1DO5lvy9>C(15DYoFTzNXI&w zgb+-ph76Xx2SqAvKKYiPnJoqTZuaK)<%h*nqnD4h(=HUxH4o5IOB@F{enlI?|5$Av zPl1dECKl8t2o(-_0TUUe`Ks$nPos^9??L8OmX1qjvP)L@>P_>8JWQ5wG6SUjp_P_X zkR*48RSUf>zN>Qd4Xd5dTO61JEMClXwM~come`Y{$P?97g>zVX;vZX6?DY2qOx5Q+ z`ggLQQp6fnB6}AWX5%&X)|;Q#jhaqn?!hK{6N>tqwPbcOiWnnfRkkR$VchXj;GsvA z1N0Y`LvpQuD%XV z9riHYO{rSrfizewhFHU7dcPhiwHVnYQx^y)yv2m8%t_tO79OOJ+xJv&tG2kwg5O6r z9kE5Gla^qa416(YCvr0YC>sg9T1dD|+1PQPvqX?5tOZVph%<`5wkKEHKX zIeSj%qIWexQ$%;X)6|sZ#nmI>5zA1U2{q?x_fGlm&3l(gO{-Y+34vh}1*kxdX~(Y| zCT+Yoy(-@1B{82XlB*lVgBHYP{+KgRul!xD2Q5(u)=tZI6+ScxAbTA^QD!YW%eER- zC|6<5dUnWge0Gi7ov^eZ) zUKdxHY+c8vDvzmo>=ou@wNte@)xdIVSO^Dk5#UI9!iO8Hc$UnV$tG$YkJLpExkTOpB+RRu+)A;+s>lYhtEM0X zeoElR{0_3kzW2=|fEn6eUR!FRuY>XgaB45fo{+y-hV^1c0K$=dR2V-d#j4@nE++Id zC&#L2l+qb(G#2c2Q~gNd+0b0ax7e>0RGGJK*~Z+BxL1&K54_ve-Vs)}Zc#=+&{W+D zp3aAR*IgtXus_6H$|S2@u{TsJXpZq>N}I)O1Kc#r`eA?A?SwKmltX!Q{EK9MH$j%- zB#&_Dfh2MZ4u0dy8Cg$QmN=C=kL8;AM6>OLniQEX<6{_Yx|~1N;Z2xLNXkM8dS{wL zSetP-M6i+$MPejic_B_k&LY?sQiSbgW3EIoo^h&RN z@xJpnz#*JW$Xehy9XQE5!3j?FPrC?`(v5GWcIVRGsd^6S{hxiap5lzBmWfb)hVeYd zp62Qzs=i?#Lm9JI*0TCH0}r>G<-ii0sw6&9HO>5}5bt!@mVcG`;ir-}+e3_~@-a&F zlqmi{`!*CAK!1g6EB-Z9OHV3}ehP{Qop#vJ9&n)R>9$dvSws6_kQCpJo@GtXkV>*` zBEMQgho7Lq5+9~h*y4wl*sf-Ph6MKjh0bPYqdpFTc0CD#Mpf>NCGXQ>_%>^_sqi3; z`sPBZvf~vWZ(!I4Q~i7Y4FN*b)|oL2K3}#~(d&u&;1%NtnhtMWsneR*X@tvss%J+! z8t~ST-~z-*St}YHtiYntzDQbuwN=U>h?|FM4za%z6254){rJNlwh&fSZL>^p+MH{a zkK>9~=V%_SNfX%Yxhb4uQveO@f=s z)^~6m@XwJ4e)w^Z?IZkxF&awMFrxQd^~7Aw3{MT>>5fBwQ`0ctd1nM65feJ>=q+|- zGJohY0u=^tR0TKs=St-qP?oYI09z0*K{H4`-~x5d7AGnQJ5pmVne9dj&m*)2%#Y$& z1gEjq8gavJh*-*vO>+-i=K!w4I%#X5&6nWl36}wde!;7Rt|jl=czfp+!1-|tS>SK4 zoLwh$9Z|I_SKPZR*xz;mLub}5b7AeDgdZ;eYcD!we-c2BP7cNf)-c9=Hb#HxV9bBt z=Kdh`zav5{%zw9SX~D2C{{!v+OZxf);Qzl&WB>U0e@b60|LoWQ56TdKg@Xpv-$4J6 zv$%j`ys$KXWUapl0RkWFKL|mC$;VGl@PE?)7H$p}4&XU2EHSXI3YHMazz56K09y@9 zH2tq59XsoPTo_qCy0@I^7=iWyaANUWOdkw@TBttpT7qDlg$5*nj&3 z+k!6?tSbRM^m_2{1z!2QUq@zc1|W5p^Oq>ww(^_}5Ht?Z&K(saM5p)mEV-J%Lef_4 z#)=>poM0SBzkaM-PTPxdgS6m)Ck90aL{~=8!Sym@3s5%qn-&tsPa4ht%1)-%)7ZQC ze(ijhdUwE}Xf{Ug;bGRn8WrjXVA&HtDFu{aK7jGMCNL0K<@P zEgHWNER$WWQU2!J3FWfoxO18U+rza1Sh*Mqwl=nnWD_=|5c-rjT5@2pELN`KAaUFX z>vuU;ECNjEK7fc}N@Vo}?P*W_sNV-N=Oz+>)Gg}3^=h`crMIiJY;7^OURcKH+FNF! zhF>7nbZ@cEX^s(YRw?|hpCRZWcZ-aWH-o`KXX3z-6%x>g#u^5#xck(q?gT%Z%=?uw z@6r!LADRgg*EdWZ#h?Jk`w?wx!Kz z!BiHp-sxPn{_NVResv)vik7x)F9XleQvLN&UGdyxd&RAXht-m45-$$lzGc&?B8@(+ z1>Z7W7Ncrt$tt+Y=+!PzDctevZootfjxU!+gL?>^EhvC;O--`Sb`FC(dtW&)u3UP} zfhp9Yk8OFr(XL=n6zChZT1k8{GwtTTO7||c5`w&gW?-+>7MP{NNZeY5=BvQ(fmhlO z*~YJzofIYH92w~-5(yu`YvHVMw+&jJ_38<2uEa;8tt4n=9K$AOw%0D>v(OupUcgQH z^Tw~Z#0HRTeR&_HsnZ@4j-H1Z7O1n-&iU>_cG`<+!J<0B-EG#{9+Xbv?MAkQqe>^s z@6@JMAG(XFd0mf+(atos86y`Q)N>fDUvEYeexS@2cN9nB6~eW?gAN%XHa+~x^@CkD zJu0b{WkNQc<4lcMAar)AT!L^c=wfyT!>XJ!2W}3z;7s*l~534;X@t)87Tx^68SFta}+H1LTuWZDS9v-l6(xZk!pVrTybeA&2lZHuP&4))Y%v> zY<+Uxc$~1Htnfj|Zep90IXux1UGz%IqJA5php_v)+0=a(1{~Rs~Kug(i$#ik07rrhl}(^`>P?z4E!XZ3z!Hv!`+fK`YNYh9B4D2lDst zU3x7j*ye!ZXxeaE#p&wghjij#sNj|z(gFs}?NE^Vz7dkBWSe70o1liqxfwnj%Hbhg z#AD^OYKsh|Z-ydHdx~pw_tXwz6Y2np`KpkJxj?yayT?9kE(5Jaz~+gLa;-zC86KlN z^IB2aoH2nNSd6aK)Jtz3HgaFisok^XFPbv9@ARB2d}75-srjtt6^hPhDrkh&EtaXW z4~rk3Q`fLmS4sz}a>TlIXI0IPMNmh^O((C1B@3`5Z^6K>0b*1(V~_A(&GUe7moE{J zeEUL0%pP>*pV$|LnC!{28BOEF_e1++Rlbi*WcLZOVX z?b1+%0Eg61&V=_1ok1Jvr)EsXdCNqL!WCwy&6uN{UyrJS)!0c6qVTbYqI;IL1|c|e zgSR!4$)s=3aGo=ARx>91W=*Eft$GWF>o+Iu=3Z$?@H%&6KoKL;p#?p_@5`(o>(pp5 zmzF!FzH7nv2w(+UzFtVR`X-Vg^Ldx*D(9Xvc75-Y5AXR3(+b&Vu^%VJeC!Mp$l}@f zN8Be|*tT0q6@dv!X@DwnQ!s*0P%E_t_Br|;l;>~Uw(D!2{Prla%3sF!El?jheLJ5$8 zn3pZQO`n>bY@@EXv+9Kbr?4a^sEf>tXS9+QFr^Eg!y`~;JW7p#2NyX)&F0AMYZY5T zx~@c5#ola+4k@%%E0$-S#9ij)yC2|vkKBhXv8a=uDvy%kg;`V{2=yfhHL*w-^!j4R z8x4c8f({Cq%y8`r(54%wIwhki)kdzU*n7q3(U&G^A?*=LjXy~cpyH?F?eOe0LHT)+ zRpJLOSj?Ex@5t@|2#Tx<>U8O>A-_>Rgu&7YTn~OK-;4cv<E5%tkJ>@ENNnXW{>x`0jBajS)#i*`@b$*GBftc8Zo=zIH>POM9yZ)NnV zC;7=)Q!B#g^nJOIH4}LBSy93^!hMQ%%z3q>z38L2fD=Icfg0dh>G+MCDD}slDeE@q zfHTj{(7Y;pUQykg%HVF(`i3T2$qAWw-dJKaZVOXB!x zf_3D`e$E-ZLDCdH(a>?J`tR;y2Q>og)@Nl_fe=FlU3f;#r~|8gt$ZO6!{`3EqqS5; z)g`w6NFL^ebcJpUE#cYQ#=jh%ux)FZ8_P$}X{Hvwe*Os3JATq{`A!U=$av2X*4;^F@E#{LC&rQ+d;fIYEre;>73xI*sZ;TrDa z;UC(8gz<3Dz0esJp#?&Ln{Uh%@Ub{!slt9$tn-qo-vZwp*U80z{t1Mrd!AtH~3okrX+)p z`u0ad*T#)De)s5;<`+a&-p%Jt2Wn&A^WWJod%OqT>)f21=m3OE;FYW0hlvlNlIx=Y zrMGmzRBvF_8vm0vc+c3&`R{?XE1xdR-fB70GBWJ#NdO|`Fqd{xUW&9G$usJ{1e+k-ieu4?6D=@Fvq z^IA0=834zkd5HidBlxkfngURAG7aeys3&9LOi)j#tn#=1IFHO8CA=-ZL^AV?im8#f z#bsFC-l1AY;n!9zD1q7Ox%Q>_c_jQTqE!EqY;4VJ#27mn?1uSx^Vkj(HbHX1_rZo* zLPXZ>*$G;yH0^-0T=qZ`UB~1j<{BsMqsA1QNC1AKZOF^On6M>r1#D9^d6i*$G9}jr zbVRmIu)Y*hNIVh&`bncnS~Z>VP{d;r!-e?bCfEJ4e|n zDH@3oF75z*yGbdNyn>)MN>NbQ*AN3|O`&d@^EBYj1=a+YyW%^%zPa1_eFQDlzAqr| z8ViwmS(8fOJ704Ev~HxW=^3HvpigPKj4W|#b?(+&Z|VS$1i{vjfyg$y0gGhEj*RnEU&al!bOJ38{;K=BR3%n z=(nRlvit9QrjIW61;wBy{V!4*5KkCuDd>7N*4#2c`8B>voo#_PJnsNac>Q zuw$FITF1b+=&^+hN64A%827?vl26xIRYcLwtN@RvUg8^silv%SLG|$@6JSz!B$I)K zh}YJQUCUf zFYpc&Z9ad@0HGmi2%=?cTH`~#eK01dJa%Usx`Ga*ep8&m`q9R?3%bf>+_+4`Vg22v z5z^kQ@EKtEgL_oy!^^0X19`oAr^saB`Dc z3D9XQFH(hvj89o9Q(cx%8zWd-otQpfQoZ*nGcc{4`_A$}t(2r{ttD#4D)M5XxhMOy z=M$@>sXR*>&Hj;>G-28G*>n@I>LM}sEKru5WH)ofEJ1dse&k9;ZQU%Otuo{0*i4mj zr1$IQY06Ax%B2RdjA)Qg8g%7@Hy!{#)(0X_9APfyrH1SsBsC%Mdm+2h46A9cO&u|u z#euHBUq9MW(@Asl?}=ZN;J#jqQZ1K3(Z3+v>8?0>U@_OX7)OyrG8GrH#?vaf3f)ZS zQoO=2)j`w`q|wyJYTmU!DK@aQwPxXDISz(CV|gF3nAicxq-IEox(HKkz#z)l!RB$8 zBXBLb=1d2wHPxOCM5+deZOU?UdAQ;;8rD^0kw%gkJ=9p|@N}+s)Y5cln(D_H{13^o zaykH@cuw@r{{=g+{+*O$=HmRf)r<9SM1lTs3uFC9_VM4hun+%sFx1~#`TxmJvi$Y& z|H2Ne|3^IsZdH(D0&TPrh(J02SlT!n`n3@RkDQ^OYD zYf6dmg{ei}aU!JSG~<&=Jj>!>>l~BL2fyQM-AA9`W3&a7=rwonO!j+}`Wy-XvH?vS zW=Rz9M;I%wtKK;q{_)%aW@S-Wpmslpf|EKXT`CP+eyyc#(R^FliMDy4_2$A6KAD}l zD0e}s3lhjjljmE>ZUKKMgB=jU5>*G)^M-kM ztMu^5wgsy*E3hpTHxG%AQh@b~dyH4-t4MtP^p1P zRw3xCe`J6ANHR$GwLtRrG;hMOMTNL}WYinHM1Fl~my_FFuchL?c4&SDcc`SwY2Tpl ztN_Yr(N{={d5U`ooF5k|ixAFCjW3Fy=1a)7wVf5;b#`k_gK?_&l^B5tttBA2e<(FY zAqQCB$}l*?`C=NtZ;<8q?mT2Uvm)(lM`kR^(PnwevStF~L!d$~wq`HhQ6S}{(r^llS5Xv=1uF&49E z!Z;bepJG_i~ixF_lY)+&%Kt!3=u^atVPOMI4 zq9Z%2|Fw@B;H+RM+xn zqW3nj@>w|v&`J7o1jSEFzTXYS+X$nT*c;21S$d?aVxM9IJkbM}n1VLB6DpM1h5_r% zR<8~bjU_4U`vpo2g}|qT!UpS?S0pXeMjsQ)2Ag-JOCEFNasc`L>q>;Lk0?v>X968} zmcV93mmzstatr_;44GC_-fa-Yj5u}AWl+zER~(CM>bb^~E8`_=RgXfn3~<%!xifSk>|zwACclEAK|h zL+8OMp0bpnXopWSqA07H$Q@nVB4X#oAH#wBK@T*<11^AbW6{wFT3jWSenGT)+=DC{ zjlc;g75Jk-lt$%xmEYc;)DtrWjjxDMIC*pt4w*2LKBJb$3Lr|<=x~@ifq7Xa;KS!k2g?~hvn&&ZWt)3vFqH@CoW36pi4z)59IntlVPUi&l zGpuGfLK<4sv7}^EZEti4PT?d0 zi+K?75k9nre+edR|6^<9znP}~x%>Mc3do0dxQ!MA7%hlM1dPq5h_1tb_G77iv(j(`6rAEi8h ztjQlkB!5|xHU8brV?=VS_hC)uJo#7w|2rjA^^p=caK#b6HfLrFJ)fY$Fhrg-5(I zJ-_;T_IeV%b+DGn%WknVdf9UhxWTk~LD#Cjx>yq$D_j$Q)lz5SM5@Ck70KUhjg6#> zoW!VPb}6v2sp5)ver@Mny;#qz>-Xt-vpqZZ#fgNWCP(af+qrxaqpnqxa`)QF14O z-TiWlq%=JqjEAi>pL2)LAr$V`_;dpGnY0|dxs*p9+lx-1f{TSW#t22glR;_4XHGJK zA@{{c{lKrBlpv!gfb0> zIGin5u7#f@my(PT0OYvEC~yzN*dyL1g3wVfieDz=%x({S*FI0W|6bk|!yhI8$`uwz z`E#26WSUkhXLIQzCuBL85&hO5Qp#3qG26rYyfC+)syNc$Uh3bcjB5w)t(6-fGc?~s zh31>HYk=guqEyWl&q5;?{3&MX_GFD}0>huKez|JV&`hL`5g^NM@=YC8ryA=A@pkCF z)Q>{YjJn3y6@;0FofEpb6>XR7h65|ynNTdH{;#C;ieEs;FdJo}FuL{2dtiWyS?YlI zkK1kcRb@Rrr?ap5r<3XADpM!<2MuriWL|U>61yZ~r0fZOT%v?yM0lfMFmD(fw*&#O zumK?#2wisJA^=jR4PM{dOeFLZaQ^)%)%pW~BF6TQ(R zWsZwc6Y3Wg8~ky#E%Cd>+=9slLpU2<<+BSEdCb80&HX$>zb$l#79$4yRHF6qT~1?e z%348;fF|&jp|a&x<1ORJRP;6hdo%tWGaT^!D&!aI!$PS%&~ z+cB3LFF*;xLIi#)_i$@knSx-AiFx|AYcwd8|MAR17+-xh_OfwwrZ@@%cPeUck^5*8xRJr^uFmDjS-cbE!t% zVL4D`&C4UuKIU`p`^GM2u0up1oKEC|!e=yedH{5%GX^I(pSeBh!&=P7`u@%C!wT05 z6+ZEDpg9IonB+i3s~kM`ldusu>nP(pb!JpZ}9%IC!T6u9x9XK5E++d7-ZYfES~a9rrmi-3sfuH zctBo-rXH%C(()s0s0C?jnL_P0Ya!FjZ3Md;hKBbYwG*dslKz}=&%T-%Xv+CEZaB{C zsi#OgJ~ZFSQ5r{+_k8;AkFXdim;gw_$5j$GovleJs95EZECl zSI9OyiEzVnZ$Dv*sneJOw?ttNXE|O7QozFQO|#b3==NY|>$jg_!KSheg7r58Vd}?q zJ1*QgdAbJ}dSecLO*)QwLzlJ`_$sKqrPdX9SH2v;o}7 zM$A#I@-M~Z8Ins+&D?y}bYN?)nJ>Y&*SZ->WsR?JFsU{)0p&4dA(`O9NZ+hzg=5!u z>A=JfOn+Y&-Q12&O)ASed5nF+<}>?!T*I?ag4f3d z9;`oN7=kY~rE!`v{x=-n4qe=e_>K=e@AhcIpA5?{{1xlkG7fr(r(Ja_K%qDe>{@xe_cs2 zfV&+?gdf)3KmBzLe4R*K@mPNXLw^NxIN7=W)u77qF}U^T`2$V@=&1m68vkf0oltuG zNF&WglV^R_zc@uZqdx@WNBfhJ9sD&|T&WjJVyrPA!THmsxvTpm_T%+?ip@EcqvS8T zeSp&II%W>!dVO|#?tSyHdtAOP>f+?r9~i>*GPg6Qs#Us{!hiHY<6-xr`(oZNj(4C3 zK=_jRo2M8#g0%KO1Ew#Vt=nVUuPD`A1*M3oldUcL$35Qs(Xxc=qjZA&8P8NxdOSM4O`DB? zcsxAs?5?b37Ki3cSG3Z%mW(poTeckhxaM%MM9FBG=#g+TND`TE6QkC6KU<`Pfac!9 zVT$c6yNKjZ&5anZc;O#8*&`ZDGHf2321q0#U#|$_0&faLr_XTtI-rwj{0RKv8IJcH z&c%G!4DTWUThdf=Pf{0)9>oll@y%3{%_ z7@p&c(ZgUJtD&!b&IDftw+CgK;9z4{)8oAVrv{y9z%zs%>&=c&oS}(3{7q`|`KTBE zj;!5?Hx=!ht~y0+ex)(+>fPf@x7Phv4?~@B{6JkN?L%5`VPiz^q2J2bIQQ!t=Q$F6 zX(Kj;Dq2DzE#&k~QT@j{EOOIKTH;NvJw_p9piC8T%B5ittt=8h4TJaj6*oppC(wVB zF-S&)0qD9$6@Mg*)|v@cz8Xah3SxDbyuhxkt3DnrxewRuC|yo%%6eG_D6BhndG6TW zYMq^0XPqoH!qOx2DBq*-;=2iU4WpN1D67sFyFwOBf0O$efvx%Oa4OiB+tXa=0q?D` zm(!+m9~<}>xKhNM@FGppX-br9Xm076#DTfL4X8~BqHTDwFWWlpHgoEY;J=>-na7cW zF{szJ_D)#NTkRynLG3+}8RU2INPQr}C+08XK+_qr=snSPhd7DYS1o3)az%ZMbD!E5 zeMo*Ajii8koLWi{lTlJbXSXvSK`8*L%-KEM%4hH2VSzWeMy=!6t%LSFd4DbnkODVo z1?a9VgHnLWcR7(dvs5@bON2Z4KiCysMiQ4}+3Lk;`^i;Rinwy>pjVV`iOB zA3{^`LJfnro)n*Ibv=#05>zrBw)bOX`4m$=I`PL!n}u{3HHVV?dR1TYeVI*>Yzc*24ri8B74p-LuerXSZFW+AB=>}p~ zXe`EN=*oRtb=5XpYf7hM>;v|q&Z+Kem$@6K{vxK=SAk=oMJpq$m+s7j;@9Md2OJq; z*WM5fZ~VUe%#`+io|wPAKW#SS;SzCJ0FsXZc^GwAfEl&qwMU8BZg`P?!z}@d*mIO3 zhc7!_wgWM@CzLsLZ1L1-7dq+caJ@;hXh;l1D%K7a42H#3ltr_V2(i@^-Q!LrkC}@J z_V4QkN(*Gul@%uL-y+G8kC00h0sOJY!1pQ5t0pWJeKnu^qHR7at~}Z>6WS{>%y=PXU(-F$Zbr9(M7GH;``DC{yqD(oe`3R5IL(>^IrH?mGxlyKz9$ zkcaKBcCgkVm^)}1Sb17dnDi{lJhDk>ZK6v#{2X|6vmF*NP)oL4i4H=s)aK|A3; z!Zc7HITSDEmco1)1D#GuTW?#4kDqy#{Yz()kHZIBqvo9Do9Tzx`E=RAFjk`lzPGg| zt*p|YcJx?u){NJ!!{m=Q*nOV1#1YT_TtPvN5*6k8`&!}Pemp*l8DQEnIpgMz zW?2ly;FY<1t9YKI_(C7_x&FJN;snYtSsGD#%TaeFh_IHt@Nj{)r{a&bmVlZ>7B_vI z$c<~O8gXB)Z`7{BDik!#@aU?kLV+A6$|h231gJcYdBb(I78a=DgiNRpzgVMf(ABQ5 zIGV538nFs`4mD#{Gcn$ao**~g1J~9~LWrJmz_vCz=yhQ7fci?vco587Oe{b@C1f;U zYCbvQKhr+{?M-I?-+gZ^?Ck#-D0nRWQvx;f5Q4X=c`A(l-D z_)1aPaNsj*xm)(Z>j~o3&HhAWTEZ{Q*Q%#$^vpLvxZKs&#!tbqgE7iiT)HE8i2TAo zU9nb1q|&x#wzkZ_looKcJB{!=Y+WXPB8unRrMvwuk3<-h{8L*&l%dxL6sNvZl{&KP zl?Kx#s7y}?@8^%=LcD$P&F%I~JlGxJLu`cln0LE+o!P%^t%CiQY~>3oX}!8j(!0q( zx&#=EJ2^X}mvA}$JOs*4j#(sV7ax6C&#CI0NrmuP4N~5s6;77}JOzX-C3GR_;%LbQ zos1@>ox=!pFH-4u4!P~m1#$pGs`ERU2Bf7FM_IxeiysnG+YqGd#VMn96Waia`sq%!{d>|_8#HyL1pzB_%nqt}xdKxz>cdU>HT%tcXG zPdKoaig;;t4F#BA6AQ_>KeSd(>?uIcDG;G(I+xcA{#KhT!|I+C>0RW%q`bo%T#>G@ z-fLFEhyqDO>y9kCX08V35w|fsehC}SIpW4S93LbvM881)3*qdCZ`k~}L|SZ$e;I%k zRaGRy?q}Z^?6~IYV7lzgLx6Lf=5m^A_QR)N(Dp)6VO=KQ$`#_f=RxXxhkP+H+?A<` zKu7NozqHfP-vi36o9qRXp*B9n_a^FctC=aDCGSTyK~p;=Q6UviOol3#Ts8>sLEH8k zQVa+=73);D9#6&=ZT~XOtjD}2&#?kX#?uv@O?s@<>TWt{tU1Usz(qE^m0JN0VswzJ zy1&&%`H|C((G8O`noaLImABH4G-<<~FR(njHEZu`W2?BO#jc&Xrf!%wqr9ZZ$SR!x zOxw$hVZ04VgG6_9Ku$RlDxEboVIIiJWY`zkXsQnS^Vk^j4WZ7HWh%aN*|h;cIVFMz z=sCIblNxHjO`qLp8mkl53b~tl5IpiH9T*xKPT?+C3mB80n*5B@Bi^88U6Ei>0hnSQ zmH1q@B-*6bl3d{awCSh2QAL%Yd_x*6X%3<_Q5hQB|54rSM6PW*W|=|x2q#Tm`TT0gmdUnSm#OKjIcECK_re;Cu#LnMP^n-yaJ z8_neNY2jX}-+f*sXWq!ebG6M4mM*-oTgWLx-T=kI!bZ<&@`lQmc}f-_s5vlg=3LX? zTS@Pi%y9^}arkSAxAs~wG2L%ha}{+3cpg<;G0Vf3?t-`WcduL7=!4>9^tB};9_s7@ z^+sv&_*yfbZu1XWxm%;;Wz`w(mn9aQj~LP;%dAd8nipFk0R&;Shs9O&6Lx0`s~9^7 zN>75S9_S!lD#*u2U`BxAb3P&|SsrieMRX$~4kK8{;CgF8;zE@iBNXYBL2S+YI!$l* zuq3*m0%J*sjMAbd5sRPT>0V39cC&B4)iecc9*%zxe#|OPOdFEv7~9@TbHr+wOI4VN z3UuMtZoex~Ab3{a2A#}LcRT#ZH5Bs~8@nuAf9ce#ib1?m^5_D@cSbi4m<*i6l}$aLHLcgGo({)5bpghB93?`2ZQ+@v92sd!`5yH^BqU3=-i!lB|SfP zBx57fdE7H9xW5&#lpPMmJ?6bSBd<=6Svhr;HBq4E)~h~0(NVVGooyOE5uJya+w)i8 zh9Clcyk-hDp;@^>pZA@CeZD{|5>A$(bHLSS8kjGTa`HLK(D3+nXDwpLbs2g0%sBX} z^GJsj$OBbT?F39qk*u^QY>*cGb0HLgh`olFm`nA@*0S3(XB}MOjK}@SI^S{{nEo`9 zqGM3L{&{22F#kmZ*_r;;VZ_4z|H}f|{|^iN*tqy>67M<+h43F67nfDAcp*~JC=F84 zs4^(R`-|5Kzn?RBn2H6cDZ}i&4%Xg}q89DT) zpCQk+F0~wnI$u-{F?y!bHlfBde>QI8NrC4J@t05eaIMi#=_l;30(%WZ#!APtEgvH? zSZ%FuO#H8Py!>n)=9s30clv-og`biDCR0WbxD;s!|mq=bW_nW3EdA zZsHMGABlgK;>a((HoiW7mtZ5hm3&%K!d|}rDdwMW81L{l-Gx{}p2E2L9?c>!b@7!eTBeV|?=lP)UOP7C-#yizrhZA7YU zA#Ioyoy)8vZy{%Buqq^nbj_^K2w|4l!SJ*M@q$LA-QrI!dPLAs*DZ$DicL9D0e^VY zzV=uHWRkG(IusD7*%tnG$eLYB+s?Ywu&@4VTw{9|=H)^4TfF1baVZr85kVB%r&JK= z-7|AO(%%`+rz51s_FjO_G(1a1isNMC6!m^=aURESv2c^M{V903BT57KMe9)>X7dV| z_*L(UJ_}dM^LX!)i=?q%6+q@0S~Dd5OCj@%VYS6gTU5UW6$x#`&cW0roTlriieY%@E1%p!LAKhum3@G$h# zA#aRAwQd5ev+30cW~xU@Q13)#cO@G{ynA4@!5NpMS>%Dblm@T~dmFM{GPbI!relyJ zm#1D&_969;uZA)1@N^>~TwK_qs5qpaAVb2EUc;-hb9moL+~qEJJ-Xdbfe+iGiWyt-baI5Uaw z#B!HDa5{jMw|@!~0C_o56pJdY^s- z3H>I;=I*Ch3ERNHo&y3Sh?Xi%O8IPyl-CTXygWK;rFg}FEW-Z9_?1+!VdI#VY6kkp z!q6_1F*69OAZ&+rAN9W zJuV5a0+B(q!D@{E*vGnbQoNt@du_)iL?c+ooyO5q`RWE~@6|{V2Ty(iH-j+Bh3_YT zKaoFi-EpkTT#pJ@bKCWSc=`$LM0i1sZ7Mx3kz408YAs-_?&j-HJSD|~ncj6;TSd!n z+YN@LskPAE5VtpKAJ@ZPdED9lv8e}M_80HkSfC=VZKGJv0z2>xSthj`?<&=@Z4a!+ zak$*DEL~+^b7-lN87c({Y=DVjOnOwK>l~wjdE7tum#{Yx@vY9sK^65+OiK{qhu)=V zR9~b(3%1CH5b@&+BB1p)_P^XyIsRBi{@gm5Ik^9=IdZW5r*ZYaQ8$kNL)|$3N!=QY zQU6KZ5KB-S5KGX`VE;wkIJnsUBG ztMFNVs|L3&YdCIM16HyZDt>a{@rBy`O@YKSpnAi^mn6$4qtsL9^LUp}Yr9$y@T ztQbZk=r}`=YmqYr7@DPfJlEGF`2Rvulmag)#Jt-h=sXA2&q^Zr>H)_838d#NOcO`k z2aq=}zmdSqm1LjC&c)}YBKPH#Ad{zQQuK_Jfz5m^Dos@eO*#DF~1g$)?k4*#^4it?}>$;$}@&o04(tCP3|ip2$xEs^0=E02c7eA8bd zT{2(X9nIA<02AKZq_kss(_(8^dYq}^!$qGxIZ-eabck>f&O)IX$T>40LqTBUO;wh3 z1j2LU6Lj{NY6SUZY%hY{laNY_4RpR=lp%mp&D4=*h<%SuZb@q15)1nYUm>+RspB#e zoqO_aZbZ9gazN?V4@uPik8TKAezJ5dE2yAl19<{^cz`}ktoPf@10x!L;gjcSk6MAaO}^AA{J#I_Q+c=DHwAZ^Z0usDJL#vrEAF#-y}Y;*T|A0 zi@AZZ#5JvNTk&nxG*vZU!+K!o)aw=2`Iv`97;%6p+i!;X(H(R70mZN~v?^7Kg5XCT z$9@pP#;`v{1!KTUNSs$MC){T!#vR-`d5Ycd-fx%Y~}15#5>S&3qa|k2H+ak z7J%ho{8kjKvp!qA_;by}5q{jhIJjK;LL`;(lgvZGh~NZ+-dulzAZ4Rf(B4Nn-w%6BuTvnTet^HMW4_JaJNU zDnQ{tD#tE|uc@Srs-monBNY}NWNq6hWs`kghG|>&rsN?+p&-+j!t;R|!ogIp+%D`l z-NT}KSu;d<>qPuLZJXx3S;y;)M9Rv&D=#t5vk!-dF+`b%XcI0&+x9y$h47@XWR0cG z7WvJJGFw-9xjA}%DvQis*Le^kO?ES58^9_w#nITbq@OD+`+f#bne@l?Qg#Wc4inf$ z$**?h*0!VrQ7dhqk9UhYe^X52TIMY<2fWyn6>Tr|gy#S8byiVvZR@ti-Mw&k2<{Nv z-JReBg1Z*M-Jx)I5AN;|JV0=F39dDjQrI?#>&!g25CEZiof#auK&a*^JeTU`O1@XEDW=|wYABE zq7OQ5#vw*$=lHM6xBnZJ@c&$G|JT^U`{&rg4L-N}!_^nQ*@e@(*@e4L`0uy^u6N}F zu_dCgL4lb{kSn-MUS}~;zf`c#jW^nWI$_==Qvd#p7&#!uke+k%FR<{nKhpPbdoG*E^ zhsS|v$UN`Kz{PUnNyegV+EQR0*8@Jjx={73!)!5MMm7X5@fHjZ{q z$_Ae+5|IrxMFzx^mtt)d6M(yIb>mTKamJo?a~7jpw^H|CSKYtl8G+YlS@8O-8;09z zhdP!38OtynawLgenfpv!g?ZJ|98?Yp~El#spP)^R+Uaa{4%bN8e0atns`A;C$7L9pi`U=9TJ9$0<~Z z5@A|A6U~L35F-!@H;KQl*c}3xN$#1ImGkd)02<% zdA=iqD)$}}wK9ZLQ8vgfrlau(ug>ZuwhDO=Nzb{Kzqsmvu3unPls^Ymd)s_$KDRde zWj|pEJ55tk>3!3d@#p|0s>gKXAvfJY+X(}!z_I$q9#8*qgQ1S8%e$A6e$l$1M-VB; z;dzGRAYaX-h(wDTI-+HrQVzwrqTi*^k;OizsiF8l``oIb18uTn*h07{NCP|^RGn>o zUo+mZqsg5D3gLaRM?yoLI)F_LcMM+dOfqyr)1bg1dgGZrAzTdc;xFvH1mg7W-N|pv zn0^o>?}5LrdO9%IwH& zqYQ=e=AHl@35BlRd<jj)WhP$`)h_7Ox98LQeaA_TjQG$ z>5(%%PymU^hfyHH1uqK0*hbxxhxg25NzdBL%R9ZH3B;@AVurC`b$~UI3}InzI#@Vc zrZbr2xi6WU)AWv|9?7Vuxj=EF6IW->B5JVh@e_Bli?@k;9pjaO$NA6Xy*)$$nLZzZ z#1Z%PdCQu27(c&A41_+?HLA)+Mg8#z;$&a~7M~iIB&2Ovh7!~;7p0lZp%j0*EN%N- zMXqq_?E&KEeL}*wA(xw1^=Nh7RGB2K=Zq&DNJld`)8{+eDQ@%fE~7uP(5?6oXCx9) z-O!6iu=b<;t)sCi>UVfnj94uHA=pR=svIn>MzpmbkE9Sx#Dpm=A3cQilnC14d3>S- z3hZT?jnhki067;9(VZrX;YzHw!T8{R_qFr$WqGWSH535_Ln<-{Ws#4cwIeYgMlP13 zNM&mnh7|ow?iD^Y6yZn8baCRb6G&%lcjMhYw)Z%xprl)72E?=p%?M9(4bR6|s2aYu zM~&(oze(W-!1F8;HusB|Fm=qjG?s1^*REBmUpjs2qQ?e*UOn1I;YsvO{u% zJhpI|{wiT>-NGdYp(IjEg%fY%wi0jSy@})h<0R+f;O6^xfcOK%gZ)Pb11|Umx>Wd# zP`rOuFyMhQsqo4E>||@brouktCIE-t>aG6b0!f3BK={xce1ARLKw{IZ^q@{Xd>o)K2{kVj^8SaXl$B{y z-p~HyTPj*#q6+C-xE3C&J%ypgu%z&!hkg7kz5w}8y5gIOY}SW{NDy*j{7y-Y)c(0keO(syBYwR0i_<|AzK^ z#rF%1|1D@g_VyEaNAl*#cLG=(nU@|OdnFhg>+|yCFcC;CEoZ%*#}>Zf_uuOcV0)HTSiV*+JP%;Tl3Xx;umf5{{g{*--bTj`qOQjK); zFg2MYIuh$_cnlrf{01lfHa|>iM2s_ww&}OHt!W90g7LeS0!jKjy#sXq=Pn-mi?^%;{Znb>6M3)NB zcGA8+ZjT3;qi#E6|HWvViRVtv^oribv6uDryW}gDOq-^Z9FJrC)MVz$5;E!Oc$RDM zn_nxMADcokwbuJjb!2@{#3|5q=Nl^(Dc*WG6I0S+_to>|3`%w%p*GrU1jJGLmRRHT z?X=Na>GMaNAdt)quWeu24R2!HV=QS#d^#$kmtr4*bfvf;pS#O8)ZQ$*hwKW1u%3qb z8_y~Qgn`Ryz1+y9)L6v4nYZ;H5nG{Xgt2KoggsnqFv44DJYlbWFSPjPl(|&PGsJk@ z;X{jeYmZt7Yf9BYE1dAru4uLcoP|;i10)I>o>Y_{BklNHjdsX+)vwGKEGJpMkvLDY zMx3$%QGL>Wg<5e=s<+xsOrx}7RdYVC-wj{XD^0dOEaIIGnh-X()}~WZNUsgiej7Uks!!pQVH%k!a?~_1#A&Fr9&50;u*#;$ z=)sl4|KbvVlePkpD@d9Zk?7=FTpN9{!TG4gj2+J-kAldBXYX14@hH@|#GjS0VxzEN zO=MWmt~(NLHA|05l9vJ%K1nn7PQQr@U;2dK#k-Q?0~JNlf&&?st`0G==^Ig2nL&s& z@Zci@T>z`0Lk4_?<+rSDLzp6{`a|BIYg{(Lvw}%$o`cedQv*ACL|?hwPrYdQZ_2IG z_65<}rjME612>g z+S2|NimGuAvg=z*Q1pjLj3o{@N>Hf@FgrlM?sFO~Y1RK>JT(&|2trX@kCJ;R# zlkaoCbe9x5jKkXn0zFJK^Lecjk=vHlvvExtCi0CH4BVYP^zso8ci%*zGW+`@e-Wf8 z(cG)>$kaI>)jk5JE{_9e187|87hfN?Yw^|_qey%+whwQatg-x@U*poOM&|^R2ys`_ z1L&fTt+Kn5zoWYL&r~MoeU&kFm<2{)SnZWI(@#L}IN(>;b0inEm7rr~Gy6FR{*))_ zB4WjMWC=R>GP^HChDastBr%5iq%z!+mW#6YJpv!nh*vq;u*>r%?*R3Sy zHi5d4x86c@m8i`M1dRkE9MbLeo2B02(@#j)=&gBbi&h^a$X z10oo5Tw+XLY>8f$h9bpSAXQ=kONe(+WdT~^nFkffez0rdCtfjorNKsVSw4@!_xMz{ zmCaV|^jG-wXDLop^%0y95HUc~gv6X!3dJ&sKuRMx=a<8}JjLwV-z1iVHxmtg(bTBF z(DZ%wbXYI--$YhR&v%z%`Ay#V?5n7?TzMNh4eUD%GU_~198pELQ5mld-Oz2@pssF( z1*L13@M`f;qg^FYk%O_mb*BOR`ri;SjMP?(@$oz~W*iop)Cyj$?n)@*!)qqLBFbQ0 z8i4dsDzrD#$}jIzp-ma)i_yFLEaCONy&Br%%hz$q=k&YR))<@Q} zj;7xFaLK~K3aL6y;-u>Nh-piByaSX;2T?AO7(^{N0LuLQ@hTgb%NRC{_ux3|@{{&8 zzTB_(*ZPMdltE-sjwd0DXl?iTpRNm`tW}V{6>-h;QIZS`+E*}VjxLAkjU4?cKZ9sm zo4x@BiLCMx7%RNrr`)wdKPosY4j}FnkE+{xFcnlL><2k!vRZ&PU0cR&c^xLJMuz|?O!=N76Vl%4)xfHg?Fak?;-G9Xzs%a-<=xtEg zvAjHx&0VsrJZ0CndPF+Ru6xT9d^?K}Wd>^pg0APWl>~qp3ma0lAWJ*@W(^uqDUW80 zEByo`3m+xC3aeMk@M^IPcm^{vCwk98hV7|aomRc@kz5p(|c`Dk~8de?R#F;Zmo4!XJ zu%QduQWGt@&vs*2yxy)`!lLcCPq<&#FMsLzR3Zl<*qv9HWn;)qt(^%*7qEgmAKC-k z+dveb>>;7H55d)lQ`(;5`L*3ch2B7pv!|=E8ZZ_BbIHZ-WZc6wYpce0FRARJOXuT)IW2RjhlMrIJiS6I7KB#J0H1_MKkYNF3L~4v7c9(aODTSN zZ=KM^AE^22cn39Fdy!8}7R{wTEAtaJ# zux#28V;g@`B}I1v(r-+qKyZm;|ELq!NBGx$!Sf^Ck;ODOik$*C@JfW%jaR z&JY^r-&w_#)GZ4OS*FrJMy4ln_25BWo&ApDLY(E{jpk)L3(IR0PK4r9eM*Ee&?`R9 zKo-~6UEaTaZPMVvw!aH5`2HCfIQV$}4gK)_ z6H*0dRQdiI7dZI-XI7Q>zk8kkzUp6M5yzkR_#atSzWP8u4OCFBro71tVnDqwfH)W8<+Qha!}nOJ#n+iuRTo_}hW3KYG^Wam zV=37{_j(64F>g}KJy*tj08zh;^gi2G{^H!<;W05&eJf9!mh@#Jn@?zm#;Uj zNJ}96B!(|A{cLKT%@7}P$n(?{1L-dIVkKe=kJ#<9x;zP=6M%&+z`1mT zCFxI^rBnUvEmMFH^>z;Yqvx9t2Y;*;5=fH!Wm-Bnws-6y_VnrvuRh2oOVRc<+ZEY< z&NdjiS4(l|ZIa>*@5pR4pl&8tXdH?qwm5Y@l&;FAVTK^4&znKiBwQh z@3OxfEUqec{%vbU$Qw{rA00X4Fi%xqlqc!v4c<=2`ct30F>>+Dr3IFd4Kdw;GM zlnd1l?KwDuvpt4BA}rG^5j+p0T{Rt!Vx_;t3D4yra~j0bHjlB{eolEhG%c z0DF2He3uV`S5g;`l}4y#G>CNAUfJ|0XlV3i#_xK6`af4-XQ1w}(C0Rj0(r6`QJiVG z*dlzx=`CihTuNFWDv=`nbMn()$^Cj>S?wrrl|$tY>?0(2ka6NIKhr9w@xN5OJ$PrQ zL3c=7ctIGMhZ4_nf8;1x{yt93F&7G585o{#p|_QkaOhdCc{#*f+_3y5h%}C(Ns(3! zch&a@FP)~g`f>3bvLSZ+9O%~20TXgz93-ms0sVUz#wR+9CQ~YI0&CJFXAT(?K_d^> z`THdG`wdGc?s!vmj_;qs)#voA@O_86f2M!>G+lYoHf}2r8MDlj`$BeOuC!K^c^IyO z>}Abmf}6_b*wdOLgIlM4A$nXnw}~k_yyC?3BcWaNS`M?ziUhswWFDB7g&wSWlPgGk z&fgVuk5M{*h(!7rqhXxqFF7Ol(fdFczgQh>Bq{9#V4Q?|#{;6Zt2%I05L1OMeJ{?~ zB06#|3TU>5(k5f*iEXu``GVY5k*3O1A!1#K|swLa*cD~z|@m2Idgk8Tb6m?V?XO1a&GvirHuO`5q+B`hYgj? ze%h2AMkd<=-BhNu^G%Nu$lLzuDaAW33k|*G^x%#?ZFlT%8ZPJMDFCT)Q5>^oh4B%F zaoKD#4h6kbgh*ffm3lG7XI^knB&k|D@#WGoXLNmrIXlvO2Ke=uC;#KJ&45Fdr;@*J zN9qsg%zPh0ayd7TmMiA3bU>^}HMRUN;dYg!Ip3N^NNpqsioHI3n`(XF%AT5IzBOvn zJc&GaH6RF*GVVk$mQy)6z=-%xz4lS@egs7;kK7QQ9*SWNPMAM>ReM&EHe-bu&zBKb z#-@_{iV;^V9RfISQ5bPXd`DD&X0v91CC_q)u09L#`MvEzrIef~b6Gh>M95F)@r|z4 zfG}GMv9DQXajQ#5)XfTe%hA|@QJh}<@C0UlpGz?{!wvkfFk>yJgRd71vdnylhBn~B zF+BGks?f>87P)LuU3k#Q;+NROY?v|26xEr-Xqu39M{$8-a_8*IQ7{0?0+;6pl{|NS z(%;-nrKRqhNNj?b^sONfrFEK4BcFE~PJ;x!vJBXrk6ZFq5;Za_^b0bP78{;OQy0_} zH|>00QZ!VxZ+hx$_VA$O*%kO% zlylTak`n%u^#S~9xAoq91Zq=Jj-*1r5caow8*_aJUWmUhE7Tn35xoXIfpX(G5y6C$#M7+!_<^|X^C{;@sVZ~` zpswHQo(MBk1!EDA52r_#qbfoSAh5+BS8^e6b-8@7*hhw@Lf*|IS5h2AoK`cuTiGrA z9qZ2sOvD6&pvP~Itt-38cz=mGZ6G-CHp@-vU_vO(jWg~`-W@|2V?Z{4$%1SD<->wi z8 zII`sveM@-W^_(G|xvqJ}(GuTRQvpVx&zLJJP^`LClTP6*`dimwVbKFT_hgDP-oCsE zB+$cnR!X+Y7Y6T&kT2=wI!Fvcl85(le>Z&1&J6^YGqyX!TGw3QHeUAXw?LD3@8Wh) zDY)%4h|A67CcZh*W;W#XE-c+FN}&rxZA7vzzCaX29bq*ln2TMEN)zOk=SVr`({3IF zv|&JbHsdWop%JSlH~Q);gtp0zqSoSz>BnNO`6Nfu%}f7DNH+61{ew{L<)|xKFx~r- z&2CR{JkzPCMpGqE-Jp>+prB9x;b;v)kTCVi%f4jyOck3fq%2}~W@sQ437^b)XYGe` z6XP|-)(C@+S@ug4^)S9o1ZJ?)w?Rk~U`U^v;=$gK9aTVgTB1irU~AE|195;iV|=3b zx^bo!VLYL@pwYHOmwIr@FbbwtBF|F~NoJAjRBzWe{t;pbrSFz!094I!QqA3{YBQkW zY4iPEeR&siSzxmv)Muh2M--R8)d9o}+J2m=n?Ia5WuZ-$G!VzTWV z8D@OVwHvdSwY2`f@Il<(?JP6Op~u1y1HHc?249BI{vU3W|6)(_{>Q>k$MGkP>kktO zSY!T8w*;S${z(G)w-1H?|F1FsCVGKYr-Y+}o27%BD>+!_{ZE<*SPK53G4(e8)R<~V zw0x}%oA^!M{}!UKvvG5Q_&4z>Kq>IJ00%$+-(DGRZl3>`PC42B zE?eVrBp?Sh>Jsp^Uha}gBjd6CV;ueO`eSa8+8{G6G$%M9f$2-Yg!hm8Mo5VKouZ|K zwVMq&=ilTnkhd=ZGEh?{#o{Lf_)LgHZmye&@WZ<(Or5I~< zYNe>YYuPlLs6vr|!uwZ9+F0P$EQ^3Dd+7WK!)qw zu~{GFU}7gI?5`JaNNRG-|NCY6?s2deT^}I0cG5Yh@_w(;1bEFL^0sA4bvQbR{?s~G ztb-Awg;}^%Usr6S;FvHBqF;+V!>t{$0Q?rp&PC75jp+|qxOH^#5M`>8kRKnsdvkv| zF{rsvv%9#C1HpAc8PnCEZp?U%`s8oLd-3Y>lqkPX@#z!Ue*arY`1i$+r>=SM5v^-T zEKSYr1fA^M70^Jvsia_XSAqF^B$a|gwbk8(Ek-vIq72EpkeDhLx=rnH;Og$dkIZO_ zM#u`KfwM779}i-}$TY=X9yjg@qRzKkTF0~HZ$OW4KK^t7LIq0_xJic#(JE3j}0S9I(W%ynB~XDrC+@IwPK|@C*xim6mtw}v{+4#e|}$u-S-iptgn|d zSDzk9VN+&=Hx07*GLULYEaLwgx9ITseNlRrcEkxAoQ$pbb~B8=t68=$BXjYt-iW9f z^Bwk=MInSK6xdf6qOU86uty@okZqS=5l*N1%zzRSxOnM!7^odlYcbG$RW`G>rlis4 zchlwmW{IlO(o|CiqxOY?O-n5YZH~o*iRKO^R5(fg%RB z{=s`Ft%6>{ftw^~b|l&fEp_?w-B%zn{RNQyp`=9Iyeaz(xqiO_=AEWzVu04#(s_|; zUh2r})X_za;!xNLn!|-=wlh&kTky&Z@#y-PGxGti4cXy&4bG@sllfDR?Ru^heg0EK!7dre*(r0{|hSS=}98-7pVfW}` zZkeO|ym{O+}6u_ zxyFOPQ!z*f>*_&kYCu6uaXJU57^iz-zH6)eV)Lk$zNvrUU-@{n0#3>CSDUE=?NVum z0vT*WX>8FDu9t>5QWT*sVyQ8#iUNJ6pMnhZ$hq)r$lj~;D`jUj+~0~SVdYG!&%Cl5 zU=)Z;gdv6{9W3;JB$;@*BhW2EK}51De?Dy-yb-RJk-tirS?$x6-H(&26UmOn`h-{; zoixr7RO1wjjShMC)5T1IVbjvb2kV2gnC1e z-4o-0muwiWf+rl_kb_YmuP{2ml-oLtvh)M4(CVm)q(Ztgd~CD}b)UdydoG}W5!1{; zx@t)?U?k(whgxjcOsY4=seocLD60dL5In_g>`xeRmY~W=8mKhRA(?~%KW%0NFx8vY zr~1T}YgmF(cXHE5+RdhM_s(_g9cK8l#*1yrXeJM*3NSylm-!?OE2P!h;WetuE!Y&q z1(|MrDJuWu+ih>_L5|dU44hFeSvAP+9&5_*L~Ea^=$_Fv#a|wX&Nf4F&0@F=bErfT zXK81zP&803a6=zlXjViAa(W{NsTDE0H&NS@B83$C4 z+6qrT6vTOtU0BIr^H>9qXRKfxTf=eh_dB79o(a^g-U6=HP3$two9KIv{TB7t<2R0~ z+tFtys54bb>VS1XtJff75khD~{yu9SIJq{pslzQ^S-VVB%BXi7WBTjv!Q_756SG;!TlqvIE2xeI*>B&et%YagB>GRumhId-rc z3Tuc!(O6Ve8t>k|O$lt-Mjk%Nwkz<+&FPDhtI6J7iE)%nx*edN?QiHF%&c=f2$;cW zJ&J`a;=JV2f2#UEiYyGEPgk2ervLz#L_T$c=K+3_+^ddx#o>Xb{ zzKD|8uxKe)>|ye?vj510{yI7gwLcHqOTwHHT%1yGvESXD|2XQ4-gWIo!P-v78qOeV zM^x!mJh{ImawGg}uL_g?gaE{?LygZCwI109X?Jt-LtEq=tVsEdLNu z|9>w7=YMc=pe=AUAlQmf6-w~8m*G5=;GbcVMWas*;t@r0MSU^%e6a3AI1=HDE%U=ju z5WsKS1R8*w?9BEKR0Iu>Namr#C*WlN=l%Y-bOby8z#&*#;DzCM+@x4W~W@OQebWw5MTk?Ef_3a7gCQSmF%e$czq9b+MF-(;Ldo@o3682AMp zJ)M~>Y?1!@2_&~F!598{vU6lI(AXPZwzC5y>u~t>@I08}a4wJ=G z?T#;wc>g_vp+tMg*33@jK)1Z*ZpwtE4b{coyM@tSA)pYj`Z#IiYjiRRUGta5`*}g% zjO1!o#hk6p!SPbTZHrNE8pc{V>!WYsdUaMA`vSqlP@Npmp=c)9Jql(P7UYZ9JHCCs zULFJ-h>E4nsA7uV=Bd51<7=nfCo@tF#wK!MQ<+q#(2Z5NvY(;!u5!?CQSjOV%@Eox zUdr+waesi_#}5au71`RpL8N69DMOSHUTB3!vq`j`Z}aL5Iy zX}gi_Gv%rNq8^17LH2=`EOZ|-E2L*MTd`F;-egLig6=A^sQXRMV&&3Iz#x0nm}lcJmre;nIw zt*08lM6ue(7*I4EppfJy<`M#wR34Jaf@rPD7=P~uM#;+hT(J9q#k?2nknum(^PJirAgvSd!5c@Q*zlCPcXO=c_VhotTJlfyz>6Z&)8LEBex zTs(5zFVl>pBsN4%vEPLff(2Thx6!c2@4}cFQf~tyLnG0?UKodV zq?&d^h5hI-hl2H1c<+aTmUvEF&)?J!+uNBNn%I_~9MSQ>$ATOpWAQyx`yGn>7(5o$ zEoX|KpK=P>>1>J+{IWwKkgLU;P{SwwAYxnXE^XfF*dRRl;Xb>-8DUR|yGGqmF zO%mdouZ9+p7c=u#kBEOC0x$Rt`kpz#Upz=vyKs1o@ktJFT~6e=t!~B7`pR)HrXV}T z2YruFMo*>m%=}p=_5z1RqC+U2i={gI1+!!;d2O?$9kRI>HvWsd}{ry7IGP+pMb7ETmEg zUac!{q~wx2=I_*j?QWDb@`k9?2klNlsjMv zHsJ=gw~8j&YbFz;vq*I7>Rq@B%69?-=ow+Ii4(oZHR1xI*09hv2nl_{r%&|zf%BiUrlp7!Eb9cxBKWuNnn9$n?3%4vLXB`YL zde?y^%Hkz#K&_Jag7{Y%uI@tLAq^a{PL89s-LFpk)Y|K(^JZCATAa+6q*C#I24__@ z93Vpk1F2#C?2zJ%gd7;5<)iMW_wvq4S*R3aRET0T_M+JP?SXLL1D(6RLY4G0oKuCF zzJ!HuY+wO_Ra*|Q-YmCzKtRtY0*^9LXz44~>lJA=T~`Z#^n8q(f}cS>>__-D?%lFA zS5iJ_>SOnd)Xo-00ahJ{;2PS8_ToK7uJ3p)D~gPxq}-;g6a5ty-NU}z)Skehf{1;iY1+xEMtq>x6?P*G zIK0U$v^C0k>*kl>5k>m2pALm1bZ;o^0ez%FdJ<)6ATB{1O3ef$UO;*0PvIyoMhEq6 z2(vbz_kch=j{GVorU6d4&3dCC1IZ^`DYK39>q`-R^W{!Avz!Z(+{eKU-KyCvi04kz z8c)|BR*|6-aI>V@1z#HBt#Nqo7a&W>e!c0vTUY=}hi~{D98TdlS+H5iDjmdH!?rx6 z_(8*930)Q17{Dd@(6NhDNqPf}rsJCUiErdc5-MW7$yZ6u_%j|1=hK>_RyCzC!!kBH znp%T?D}z8N08dCp5IQPA1Srikmm~b?W27tDkD>`&O2|Om>6buN4B`5MZOmx~oK_7FtU_%w{Hmhi}zxv*~?xW=Fg(W@f|GqyqJP^VklbkUq&o*7jT3e40dm ziI(I(0Kkj-9Aj-_Ch!c1pRp%cP27tl-X*+zvO$^}GKMVCJLr+*YUNcfI5~j>tizOT z`5|Q`_^>moL!{F&W_yK``BNE!i@2>pw26S(3vae8a!Pd>% zV!XZOMt()l0r6s}K*lXz>k3ciZQY$)&pTdw{(c{K1?-SIy;rP!VYJV%0cSZw$oApnhuqc(A zTOIU^Mfj}<^%ji$vL%0^jtw}-&Xwc8L*@$@#ke|Lc|i(GsZ69ee_#z;+_>PBjMS5% zYeV6q@(&imWN(#`s^hPghfi)n0~elK9NFUuG%aSwROC}R44|pBvLj>-(i^CHfX2!O zsoW^xN6S3p2v+v>6Mq4Dik73U1{Kc**4pmY67`wg(wFFz`?NInS&}gs52Wn) z;~$vB}vN{EsTxhVaQqlu^c zocZeek5*n7BpWb`1|CXJB*&^Xi;U#4B}Nr;mxJM4sc%1mY_=^0KL!b9-z*RY64k3~ zeT4beQ%KjG8;Zsrf!HszMN9d5%-7)&(BcF^7U;f(X3Ua6)c&&6x!XJI)oOfKTg~|T zn&tHx^t-p<(kH_RMYFJ#96{ta^m$&mGe`{+fX&7JPvV}Flj}b|T~79YwnPn(IR7NO zsU!Vo#}=%Z|BLqgZ>&Yk!NC!{JNwt){@<Qgc9&Vfs^)3QcMh>7%Tt-xIhLG z8^DxY5QYbm#|Dst-M`?>i#;genScRwXuv1{62t)zA^ow}`^O$KnCQ$1z6unG1O8!) z4fsdDbAWat>2X@$;{rkh@cx-6I61%#*#8U^JfMPICh+Dg_{E`908AiT8~{E@Dh2RY zoDr0r0tm(Vr-X*{-|qnYb}F#(Knkhg3(Zshem(GAxLY4m0jU%q@>BpKG#@t)Xf_!< z?XrO;S^vGruYRS~cZf7Xxz z&E^8$(JC|AXP{Dg`A*BJ)A8f=|RlFR2Pa&WSk5R86fpt)jNrhi{%(cPWEoG}cSQhaT`NuBg)psrWMGlwb5d^nYO|aN=@c1gFAjM;PrD()m~qquo1-u zZ1-xQm7c~PzfB|@Qbhrg`$fIC01DVfl=-to8wt$8BA{;$hS7J$CTZDW8J|BwdPsL@ z8K`>KQ^`$8T~Z2LHVV_{sG=9U&qt{?!F@`hR5!`j87+(3y5Ac`*jWoV#P*%QW6}7! zH?`L^T;A%p5G9BBQ_n~ap2n9k%7bChxS=7^PJ86;t7S=5aoP(|6<#((V0V5(EwR9{ z)}XhL-lai+FL%ZgDdnX-MrUGP7Xc|WlnreuxdbgprtqL00tO0cp48{X?)@>ND6nU- zH`Vf6>@UqrgLYBUcG$cG_?{`6GzE>*5L1Va>z3!8cUbJR2{_#**?etTBFpX9;Vq zmm2jkY7U<<4o?l&jOC3ctfUJdIZ!JYwi^nTJ}WYq>A9N+&4c44DtkM#2vWSg|DNUM zo%~Nm1I++3Z|)DW@pl$}8*Z%QtIgxtsx@6_WNTX!yxCR2oR3;bcUr3x;;w`Wtvybx z=hB&yff~^WclA6uvEE)5{i8|nJyvNp;h{w zu_!?x`9z;E%d#f#hgQloJ|9VhSp?mN)%FQi{yJxXCnj}dzvL@bLFA34ndeNMW-YQK z^hcdT-7~#SU`C?kRdYG*t?Rd3E>_M-5rCqy*e`G%?2BpF-Yp<3l~(CGv#LG(G!G}Vf-fF3vEib)=n zf_u`3iD`KFkl|hxsCL~~tYk;9)YY1u{)4hdrWOig4laAD%Oy&WOag$r@6yLOsxwhQ z$eNU}0sOL}=f`I?gc0YD-%W=gsQ)Qhe*U-Fhdn=Hyd;GtNl9y}{XRMmz;@@>jrFzyYXQ0R2!NjXCdWV9OE`5lssUm1|dW4rcwXpjk=pg;+?lx)1eqay9?(7&x3h zD?ID4S;ga6h?@aZXo*kb=k-;=b95keU3P0B<^8AqutdZ8l(FfaUw#+26}B{D4C_7m z&Xqv1k{iQ;@MU~ui{q0L&WcKiGZSK{ZGC*_HB{c8#Qy;=*z4Me6{&j^%YwEQ z^kn_*jPzLW@ku)VaymO+oxL)RL!6&iW2p>yD2v`8GKuEa|B$p^UDfZclnJF=rA|9X zr-Ayo&=!`mXGMC%4ey~sm?GbTIMw&7fZ{dW+PSZC&d3?!Yi3~+2HCIPOn+we2xrQ$ zJ1$wmW+lYa-=&C-6Vmu%ZQDk9pXd&ZkW9S4O3E5f9ihJJrp`S8T7qzRYF7*ko?SSA zK^a>Cudffc5j`uCVoAn{U&H#*s~s_MIbBX&_hXJ%?^9+yei-}W5v11&t-^R~bYK-D z7w~LdtuaszO|#i)A`Hy=Zxt(m&Kqe=kP=Z27C{1*oJRSI@xH^YHy5AY0dCvMdm)26 zY^vaHOtByv|f_PHmt&+l`#WnNo;dI!_TF56u8nsBzZl^;b8eQHSZGH0WE>%a0e zRN>-gV1PGPq3EG2PofXG zN+-I347A?XQF1bM1`;6@_uYWfVxM%=)kv_dNF8XgM{n1pIabGO2eW?){>N*Q>*r16 z(pQH6CSIyINWx#F?a8?Pa}Akp?(ue>##^lC7-(eel*=^F{t`K}-Tl#8n$_B2v~cct z;_`*KEtvB~-^OLmbMOa*KaS2z5uHkeV^G$qrPr`nRmxBeU5 z?}F9}yNjA1)<;>h@!^#zl-x?h*hzL?yi64Xc1&xGMxUlrWbTK@Dk84=`oN2BJn<*< zb>L9i!unh#=4iex9k!mzcf&&n7EUxBjQrhJlhrYi#lUBq?QZjc3E+D6=6qKrMYaD3 zt8nm1RCymB7N?q2^%U4-h5CH1!8F(v>D+v8tF)BxT&)H(PPlN3>Fc*9%oD7)n~bf@ z4K)Of0KKZ}mJyP3cyFUT&9z_geOIth9#~v-sN9ikAOmHMKM}pENy}p!xesrOcOm%V zZFOw0iDu?JSly`St*tbQ@Fwmh?<&p2Wm5C|G+%Z+oNkbI(s(zvmCCH(;++|ZoCT^U z)MX+COtH`D?YzzAvfeN%XCh4hM&=+@yPO8U8hpnN8}V_8wXJQ?XVTB2UmBGpt%21w zx~d;zKWyW20#HKcm?1C^l_h7>IFps#f=CP%+j&WfFlp3r@0hiu+Ei4;SRQgJz4amY zqm`v=Mg@&{gpf*(O7iIj)&1pp4-J*mdaT^I>)>I%Vf@W`k^s;f6-TZoC$^3GA(vxw zoS$a8a?MiHO-LI|^<*A&mR$rDMu0X=_>l_AKVHv^pSx$RDIR=D?rJiXr&)9RByVOF zF-DtRgH&Kt(jfT7z@HR)h_MTfOpvyv$bAOo9O<2&4{wb7 zP!a#{r466C`c8B8H*Vu_XYH?#63gPBo36C{|gqzy}0)?qKcx=g1~)6v3` zZroOM!JzLdN@jb~@Fi-h>n5DHm&0jHR9xhA3@@GI2S9wu9q*Ysc~oW+Tu8EM8s)_}m_$nQh+VvNC-)TWH=DVX%YQ+9z7 ztGT2%{1&jl61wvXhZ}&4^=OLfpqjlA@9)bR?``tlc;)jiDTMxJ1C?WELu43Sf`K=O z=^fI6x#y`ZQujD(Qbg46>?us7+a75M1jWcc%3X$Y11N2MZ3j!Ii#b3QwO!(G@UX|v z@~-6rCZAF6#aXy-t(q3wE+^ypQeUnuKEfDcFF6Z$p=6nFLNZx)qGqD~h!<;DXMT~P zusOiD4?Gco99?8(J1eTUtHQrfk8@@@O~zJdeHzHf1MfQKcHKL#gtBu>u%VRPXG z8$-&V{=xqIFDvz5_xK+})8FWzf2*y*1V8p6Fw^g76d?7d37P<;_JtWIxsRCyLm1VG%(3;{cIA(AJQg$&gfRpzU$+ zDDsDSnv;tSBsu}W`2z<;2d=>$k6Y)#=zatrG!#0S1b%?1@D&hskcJ!U|G^NM6HLC{E-A4LY zyh*D}lXs5Wt%=3Bs*J*LfRKbEac%!=eDwv@039c80Le3wIIa z2DA%_)a)nG#_){A(g2&Ci=sK;JRQa>-&Gp__K&2=I+;x(s$%%kkcr}OhuQQnf;Jz2 z49}*+o7;Z=wxwi5WjfaMg<^$%3vg4G13w=5~hSeGXeqM*}caeqYE)wz&~3VUU|@kEN($7IW9{VY1NXGPs-!msbMFnA^v!evd1RbX&M* z(-q7=eG-|ayE1TI@Z~`7QE5k)@}AnGuEK4wIq@&%iK4Y+bWLK2rAr;hS()CFzj(wl zm7?Punu|ivql+OF^bN_-7zDi!f5iR7GtD~@FXH_^EElLX7RoH+vQdDh*FxI1nAWsf z5j}xjFm`m3swc}xG3iHAdDksgUG;0h(58iRp9TQazGwmCViFg$??YHbdh-1sKt6>{ z2<3yl^mPNmWsKx-3hfSQmD3k$f+nIxq}L6QC%xbN5|`;$B%K{2!5ET>Y3%h8J(~G! zVkQUn>}?=lIVX~UPo){=QHKU?v=-c}{14(&MJ^CT{%fF`PSQOB3QHfE-2Wl$EQ8|Q zwr&j}KyY{0;O-U(8r#>{RV;P9Tv1SOq_nh5wPfU}lMIwqho#ypRPkK+42zK#EIo(F?imVYq+|nG$8Fmnb|?57v=E| zTkber-1mvekIT(x34^j<54f!i=i@yhBITDpA5Fxv#YQ9WJ(_)<#a4$D!J9iE>jKPR_GGA9)3U}Mu4L~B{f>tBu$HX< zO=ZUC5vus8Vc{Z`8qOJgL<7Tz;(Co`69X%j)yIl-8M}-(^_;5yWTM-OvHRzs&wh{L zWYc82Y{nu^JxbS34bP-W(vhU+Pc{r1vs%S2Njcv~vDdZoky+AsEDutFW-CKGk6Xq4a3<76ug=BJvQtUdM)QW$f!jA&{$)`7 zO7#|jfk$<#x9-%vRjfy{n07C`^&?}W%bvdb;u{9Vv@5rcaPP$D!K}%EQlm4nNxA_r z8TS5$-JTb_-Gen&ECAoA*YFT7Yr!c@o~`oz1tAQKf~v7B-CBdu+Wyxy3zqEUM@fVt ztQ~a3K75MRaD_+~33>Qipr!EBmskY;)Qp+0*FS`K?74G=7=0!lgZVdtEBwWb7SENy zn44L<9qAG#U@Nef*gHjQx6U!>T^z-mbGDB%X{Sc@C;&+mL;#>GD$}Ch7b#BMpyTuD zW{rSrcCRF1Y72+zg&XNK=1)!=y$>HvV#^fbp&K7Klnho) zJCm(tZy~dsd$VgtILchlf(!3qH?y`FA{q#&6?U7Fkw|0s( z6;l@@<_tEpUhk=PJ)a9k^Hfqy6Y-6OHZ!p_LLPSVcm%lF#ui$!NPyl5r6K2LjVzca zD`L)@+~eupc(eN>$dtcUt&Z~Ma+2N-UnzRo`je{l5Z?Ihq?w_y>9uR8(*1sb!)QCH zxadbG%p|HLNAyI*_mAv1%MTkd@4Py5E>guEz45AlR9wsDC z$kYOifE*bwTB2a8F(}|#K>2htnu$~yR}x%z84IHqfx{w zjvT|NXQtVfC8>e*(w{jPb#);mFGSy_ap&d3Od(fIE$_VUDasXfvs$1c_)Fe$u9O@u zj4mvi<-QNZXRSguE#yxV9yt>57|lMB)2UdqGek3hEk&_rI$W@uMhpdik$xka>dHV z2K3)1!~_n{fd;QA)$X(BB2S{I2 zx6%b`{5qQ=?lAlRlMG%*?|8Iv4$s{{C0U1B-2S6Cmbxpr&%^trgpn8D&)2Rc6jS9l zsmkJBbj875TUt~9LMNh$#=Q^HQd~zAe?9=W#l37t|H0d5f>pq&yW^GlrQ_KNS`yDJ zn8=mrlLBC)dR{6MaBgZSaI!vUSM;Tt*fO6K6hx<5hciao@ZBr%wEq@X%_ux_!!2a& z>{P=ljZ@p#sxvSL{%d0V(AE{3w>Px@9oS}R0d#DZ;1sx^lSe`PO5BE=vSr!vEL2P( zfd%4Ih+N-Z@sC5688{ndhVAkALC-z3qJw7oqysH%O!% zaRC7v{n>xqg`F7Nla9X%n*}d+h}`o|alh*|FOJLPY0b|v5exBAMn;#T%Id|s?Dj>d z&YUjEMO~uZ0?Y#Ox3jB3Zd7o{AN-)|H>7IlFGv*^C(fg~F8AtHitvb-tq7TuJ0LQN zTSq=Gp_OlH3=Q{@TVL&6!<1fn#%zx_0&=wQa=gqbVYhV9gn~V*Y?cn*!v5>cCK;p4 zzJxqw$`%4ly6bN8EX~u;U@ZPL zlvK}~2feGL;FV@27}STOv9Eay!aOJ&$kyAE5vnLHSa#5I&MaM#whFM|PuIQHCGW|| z+sQ;6ynT7Hub5^Ac zA$kuMJ)temh#pH=9WS!>hWqfEefU`=NbeGjY*&eQrmbU(Jb^+*+qQTj5N2rkLjfk( zn+?166PNfC>{ncElbirjHrTGOpS2tT@l-NOsW#}%>WZw?X1%2q&@9js_+B}t2U-3~ zgM?|dG7ASQjQz!D35y+u<^$!#ZCQA0Yt^R8tx{9@+rQ!{MT~q*eSE3uGVpOIF{JD* z`d@G%T_Dd=BP_WyP_MT78&5(RS{#{F%RXev#GJ!sg!`?q@}J@MwV%U*!e(&bM|tDYEU2%XyA~0EO?64}byf+J0%`E}Su9AzX%(ps28qHK4DPt!cUJWBM~cIu=6tJ}tM z1;|LQENBL5!m1{wf2ONf`3{NK(%7EWPY`>;1U=j%Ict1D|GgjJLol(dT?OIne`nr>rNyzW;G8$PW*9POfv`>FqKet%0RC^}m-o@S zJ$@fZWV`tYx*YkXlXYdHGPKq5BQIp<;1UOl#$}VSRHu(ir$-LQUQ#{1b6ww_jGi7Z z_Jv>zE3a`jF6S;^s2wl3EW{VYOf< zc)a*>2@tM-q6&H~8rnEo2B&VgVHSg)+nKvLl$7)#D|CJs6n`f`n4O;(zV7xg=$Uiw zUBoq$=Vt+ zBZHR685byxcZ0HbZ`&4W8%|*|h2fi8k_ZLe05A6&7=-j^{5%Xwd)A2?UHT4ibc0=<+C8ufW5BysmmSOw-Ez5E_0%8O3mC3Us+OZiII4f!*~yi)XMtJs_c#y zHu9+u*R4K{qXiVkhQ&Ev;{}d_H0`mgqO=?6!dq}BPKu2-DDwW4#!FohOC%**hBaAQ zBY7YnRcZYNq*av6M`PqwP)ms|dAz43 zc7yX_Wf3JvFxT9g}GZKi{_)#h!YH1tXRj|2|wuw?YaJ0<1l3DF!sZnLORJkvpQ`$(OaX_hk zl1;_vfLh1JF0HLRS-W9YgxUD}{fy)}%bxMNDv`=Im1uh&?DaYZCvS<_-pptujgWU} z)mFx8d`e-_bKGc(EW?M^Pp53LPR^fWOdE!WRr44IT?|F+sk5Be)ObyZ8_!N}s4uLdlL`yd;aqx{<^$ zPv|dgbYohfjf(1owpL%mBV1MR6_>gPBIIQris~I@$`D`t*Ej=wVSberpGG8qlasBK z3VW8XW4B>l!$zrC7Z2mCGaK~+O_{_Lsc(0lpX;U7#xBB=N~P=5rszMy8k_<|%$BU1 zp1<`>I?%+%z01HX$dx8Lu>Gu}H)XIH<))Et`JmV1stJ93Yq^^!NlU^$YSMuRcZXlx zVbs_1!jBg|=G34nJ44lHLqV|F+cp|08E`@M>le-kTB4b^WLIyMC|QTJ;2csvl1E0A zmhEn8d(r#O%}v!^AN&^?=^uRneG?SVVlG4S3j8`|M2G@ngJ)^-3cfRD1PaAlZK{lb zX2C6?G?J_LUi%HfcLz|2Q1^(zlkde*$IURlb3+c(d}$g7%lII<^UfkU4ybi_Y3ZJJ_YL3)i>6(A~649KkM9fbQaOm3t8> zL9x^R9z4^pBh3>C4>Tcj6Qd97z!o-F=;0Wi(iZ(5l0iO4#c&mZEs6GqUuu3tkthme zOh~pB2JgZx@g)3NozeZ@2yS9WFk_EUI7xm&nH;03@<5EJZkBPovpI8FBtYDKj9)*z z#mLOic9Tv;+_3OZU0b(V1bj~8$XB_{)ACRLF=YG6vYnTnOqtxs=)s0To`oR1crPA^ z;1^{@+sGmza+2Wp(j|mBYaCnV9w(&fx(cO$>XX>9f=HLZu@ZbAf?=#SAT@GKIGB}u z03qy^-2;XG05HUp=WAbPywYX&xbY#BOU7P=i%IPgSwtu#DB^FL0Vt75C%Ja5Q+dv& z1np-S@r{k{eC)K96;tj)*Yp~=N1>dA15W4RwFd27V-nO;98@m9I3J`>3Xjf|PGQgW z-lF@v-dt05J5}vUzxvklu*I6hFF)+c2l5+v4M7AdE!~A*?NzSj-_>-Ph{qxyN|?)j zF#+dwgbje~DOOIL2ArDmtSr9l)lWA44DJbuv^0j;gg^{kL|f3koSho;)fBN?R^r-K zS`~&w*xgPz{DthCG%CqM!qbk^YxgZgvshK^{c82R$$ChXXi}?#nWdktCBme*yu%f# zJIh`j%-G;*PnK9{iBF_hh!7ErC(l@bN;j3Zpc&^R{F6mm31w}0wcv-1+QCh?dMi;) zowK6t?v@|)CkswZ$0F4v$ggkUyR=s8Kp_G=bojsL#h`3%7T~5O;r{?C{VycozjnUg z*?oUYT0mPg$nb~-JaHr>`VRpfXyQ!B@moBD3ruh#l>bNf4$Ax>SOl&Vk(RjWj&eFhq>;Rg;d+VG*PID~f-Lv(}oV|E{lPf`(#>ayK$ z%zQ<-T|x2{ipXT{mMC)M(>LBE!5`d?a}!QVL2ZeT5q_6rgepPJ(H819G@05N~O zjHX8Mk=^Hr*>2gBgM#gc*Nl#?AWTL$_kK?ClwNUmm)huAk|KE5Rz90IsW+k|&Wk`V z=dRU@PXI`YpmaU&G_g1>7E+vDJOx%IFbrP5F!!Oy~IWuS%L zarm*i3gPIw&vPpfwE4zyt(q5~3ofDtk6DxpAGeMUkLCGvg4=sXN=N7%80B z<@;hQ0f3rTNyjWV;5M13CkVvp6bZPYp?(t3x8VDzU{Zcy!?9K~i=SRE%OmD4_3~9X ztC{Bf-*c9OF`0H66|g~nZgbiri8K#298~)@=U4QzHIIfYgL~mAvL2uD zIl`)+JJ0H?Q1ns!_ZvngKA~~VSyP{iHd|$k2|#~`-rZ{qA0{lcxm9juH$I}}<%_CE zdVbq(X5az&4^|R*Y+%pzt5}XE{!vy3@m7=sCEZtJFjA%M51g3nS>i`D85^bNZTzlyq)t)rD zN%|4LbP2!_7$uo0SB4N9oM?(wOW{0!BV1Ydg5!ly;hMe-O3!nbKeV{=ebPli7ODXJ zzJ7+z*d-iDZzf#6&tZ&|uAU^WcQ3e`c zzaPRjN-IJ-W>k}hDdoF(Ggt%(hwf35h-ANZ>b_(mu)Ib@Dz+?h_E3fF3 zzf+~Av2#)eb~q7d7I=O9iD>%xGk+;7>Q;Ofu_L8R9jB9BCH3-XX8Ar>RF3})9jxoOJ z&!Rz0Ff){;Rc(&#l!5|nkT#fjDd;_{;#5_lC5|TLvn?N#6y_;8yP%Y0_Wt#RJE348 z{tlDJ3pHb$?nRP9NaH-`Kw59{Id%YX7&86sULMfY%r(RKl2GDq;iUe=s=5RyTxxaF zNZF2UR$4>&Q3G<~johTx=^7pe7nRE(DHxVRva1B87(?%M5g7BpYsdXENy@%Hk?uuv z`1)0VT1zEmSPc5&RwXso42)~`=Db^`+gZFt{fDqi<+GC3&^OWquum9yYc`?pu(if$ zS{9f`ZetTh)Q}MLbm{}raHUfLs`e;3O=(K+#D{AiXD_ZSoU4&(XSSc;%di#C^DAXa z3t}@Q5|t9c`fmftzh+jpVI15)Z zEf`_U{@@y|1jYHp1*UaCVZ!gKL$2C7!=EO=p_g@N$WuDsb4Bq< zEg@lswEWm{((rQvA6Y2g>WsA7Ahtng=LJVyst zx9x+f=!8kPpchw2lOGN&OZRKJWX=Y%Gt$6A>S$8=@dS#=!+9!Z`w1zc8Paz-uP9 z4QcNf*nzc0WiA-=0+Qo>mkKfVp|39O>N;18wQ0B3pL?C|P&0G@icLpGF4SHyDt$+o zd1QlbF-q7*A0D6L`gsQ}Se)39i`Vym4ISQcCAHBxJi1R~c&G(fj~@mEsEh?#;W>zW z!9q-*sMgPkpZn1{vm523Y3^SD7A!XRw7U`FLiDp?`l~BaG?kZ5y-7fIhaoE@V zz07=@PD%51(%4Tnzj{!H9)(yY{n=A4a|8)o~nGvbReq&kHBl z7W{B414~@cl_12;Ce8Ha+9Ak8GNk66d>z$phc;E%?#T?DEEFf z=AM4mH8`fTpZ@ZEN9lgW#+_n|fI+*fBH~rJ5&PtBkE6x*-m|h}gbvBSw^DpsN=1}0 zp6hYiXr{4+sND^&>yvz@jF@oQynXPZ+GSU~o1e)9_gq?Db%!YjUZAo5mWfA~O>Chh zbEt%XacpDDF#rl2*9^|^+214Y<|~Y!G1Yyg!7gSN zrYK*%nAB$TUILU(;BbnGKf_sJc2UKpcLBk@^W^vBaDbq!{y+iC{3+H>vv8%i1L{T6 zX(jL&WZ`@(qqX^U9mLU6(|?zv!2E?5`8^6eb8}hqxZ2ji-LM{!OH~X$`h-iLl^BP< zY$@x;v6TS9j+I~+bbjpqK=X`V;{*A|5j&L;SC8k8Xq@l^#KZJTX;gZ`xte9xy#WbQ zA;x+Nu38k*L*}5Ghexw+Jw~#rNC;=?64r;heuH9`OiY>swAUemLhf%YXRw1}8}m5eg(ZBm6gunuVS1 zAGtLvs5JbqV}So9i<FM&L&SqR$D%^w_@{2wDv2?^rn#wopC-yA6oo0VShA2^6Y#71nk$>*2Tu zxd)|F!n35UH|Idsj9fADM#lx$_}FYm78l@{%TfMo zx?b?mISBNI*Vd3eWU+wovGO`UhG+6EB_R($Pp9L%-aBH+wQ1bPp3k8@S5G7?U5;pr z>x?ag7^V~CKUy?YXf_%t0`)mPC5zQZ_($s)IaBHi2RiE>TKNkJMYd|S1^{uy(}&`a z1(Z+BW7@1j3<0}qlwqW4R`(4CUHGt+YMNUMUmacGetBhHRDD(3GWq%2wb@d)mfk6$P<2DUvvr}4FoD~fWdn5(yu+FsH72PyDWzY>dYK0)ob65Igq zAwE(5OStcW<|ovxDA@z2WQx(KJ=VAmLDzeYuC%dlp@b!`|5I8p11uU+O1syhb&Zj!Xfwc}~9eyG~g_X8lbC4ui0;7TN_>!qn0HO3zYb z2%R4gy4u=&&e)xT$7O`ClP{|#Gb_bIzc(~RlTAHR=QAkFY70$X!K>YDrirBBEkT>7 zti$)1ESvH~EFO^s?Rx&G_+~K>fSxRk)KSk`rkc^w`d#TWmc!ZY5If$L4G$8)e-`#D z&B@U(Y?qoT#ha%2Ddd=%DUdbr$*uxhymH5sTo`{i;(W;{MeMxC(VD7%gI|wc0ofSW zyka-B(DU6^Fr*u%Q(ewfd5#?ij%v+A{1M}mfC@;0TCv$HI?ranR3NytuVm!wWM1e_ z0;BzYub0jItesO>k&nvIF;)d2l%#Iyj$^Gy&?K3?W^x_0bfUVz2P}+)LC^J^Fk;kl zSvI<+w|t!ui2CWrB8Bp9Rg0PMsusl}vQi>7KD8pNWDb~wmNt#tL{V68Y#+U{-0tEE z+K?lR=mJ>HXv{`{>b+Tz0l%P~8LM0wJ=2D87IJ1OE9!~oFW73LKl1_LP^wwSzUgSH zY--}5dTYS)2z?~V02{^j7YuBnKqT@ddTR+5j+D%oZg;L+$ZgUMqjwIOX;Z~gBvGy& zQ)MpzyYI-1Cz*kZHK-~nW*d_*#VN6yt5)ExbSZb6vUk3~(@l_#Hii16Bp-V)5T}W1 z$m0k@A0wb8g1iG9Ruuvy4Z66(A6k;<2m~9P)h)Q>e{5a(`0y$*oyaLy5j3XS4@MmW z)&%h|mX^H2txGcGn^nwprn*#>?~>i82e(DCgQLZ8tf(FultiCRdOCI-JB7jN^>!o1}C$*dFb#$nsYFD@SpG%P^q zdPldsT1C}ewSRQicqey)H)%z%AXT1Z7rzP;s&z5zTn~Zpmf~o^K^kf1zg^w#c|Jme;+SqsNzw3i0l< zVC3!52Mbj}GDj|e(Mm?}d+C4;O1G{6Tz5%VXsHXm$m}uIC z>J6(?$3EO0gQ{9-tmAK2DK<$s`AFgFX-uvcwxPDpXb&-fuR}I83LY56)!knE+xEZY z&O=FU{k&ETLE$*W*Qk_w+aFR0Q+`pLV;R#xW=;y7=#2F`l<99&c&qp;H?qLNM2`qi=^Cbs7& z;*Q{YU#s^FN2nJeKP=4t*m@bEAEPP-E(czvt}K0+me|DKAN48|ve!q*c$^yCHp2OW zqo!WPN}uQ)2-EfbqOQ4o8ueo*`RM*ht#m)jC{{5O;29(uzK1=>8#b@B71E)BRJh1392O{OSZiH-n5w<37ZqJlDj#8LBset7hS|>B_IgP zfL~=4FmX9EFPr?6NlDq};BFRS@#`!vMZAxvGv|UX^}g&=57c7#b)lj^V;qb6d;Zz| zvzpQZ{2f!yR_-{r8unDCrA6`Ep4GdvG+dhZadw4ZIfO4W>@|(lhLD#Yb1tc;_U;~E z3a*QvK46)^p;C#%d5?*#F$uAPuh(1nJsr{B16rl~V!2|Lo?+lM(n*E;_hsOh5#2IL zU_NQ^`DXEC2nD(xa}=%-mdGY%ITj6s9d_>CDf}$nW#G1$_)x{+xbSi%>l}0s4Nr8D9zK7@9Pc^L>xJ)k+0pX5D(6S0$*t*jP?p95 znXkak3K`Pk=!txo0Hn~xJyFS30_$HEKF+_7*H}OpkbfqGoPW>kKnf0yzZ4t)Dm6fZ z!a|C_)u?~2{3FZw+rsznEB}P9v2y&S_V}+EB+#w{9SeAAMMMlli9zLVmb4}^0sX>& zHBiLp?>ISsqdq9#fv8kxP{cIA0VrZBa5kV2H0Uul^q&;tqk|};u z38|0oA*7*x2~5*_rWi@jed@;dWjtkqY-6W4)ojBAHFplq5O^0VlxDlZ2+q%>uj4nZ zZ@Vbjhdz(D*@ydm03=gD6GO}4d5E6xfZMO$=7+1PzUXne5TZ-Fp)XU!>YU=CgWqf@ zRrJz?K6M5C1q-R4esJdM#1|0Iir6UvZZx=l8=tJ8E`%%sGR`pqSO*EBnBmqhS^O5m z7e}zA?pfDs2@dYj*69US*GvhWcsH@t34JqleYyBL*}VN@08XJb2?f_<9pn-Yt@K~M z1Cy(WHb_UJ@xM5JWVgaC71I96zE!41M5%5z3lUxpJI&R>r%M7C4=Le;sylQgeI6}L z5;u0!WgtSev9xRFcx7{{d9qKbb#6y4Y%nq2_w7^@qRv=QN|JetX9fZSC)i&e;UI-S znlHTC>5k*N;xpeB^DlC{9f9JLsQC|7k+}k0k%y6}pK+vik{v6<1@KNFAx>Wxi;<{+Wi zx2k9?e&;g9je;U!gx&8-{6U1PF$Qv$Dvo=<3%+;?!X0A?orm(ic+c^j@}|n>B4%h( ze+dYEie7@S%5`SOVLml7k8K$;t*@!gl&Zp0LKRS#mJ zXD9Xtk(eyLcj#7T!En~%N^%ouuWhKeG5`SFN6*A@knXulPX?NA%=vDsm!lc0G>-5+ zhn((C8HB^8>tnZildZ=v4@lfxok@@w1fOzupkLDxpOu)6AVcmZiZB7eh)CV zOM>RwG|d=R7@7nd@%s7 zRtldX5{{#bun5`QL1b0H0%nh625dPO?SK)^u!y~WC~vu-!Rcf$Xl)IeY_cbKa_jGi$B{ITg zKMC>0RG$OgC)_H6KeVfF{UEu8vu%fiA9}9wL9}sC%Ch(d0)9rV3GvIp5GLCrAer0^wh}fP(GU-oE7$pD=HjRm< zA*-4|A3e$ie_b&b9?MY6U|WJS!^69DTMjwtdgwakc0_1ahNbw_BF9jGD@?H%k34?7FJnn=` z3hw)>qD*%!H;HYXrE*1s+lfsl{CcwOLo#}ag71{_^R}V|6sU`>F@;lYw>IO#qIU!- zu%CEZ?tYTDe;E*>=@bz5JK;OAK0|#lLjib0*+1K(BFhDn#uTZlf>Lu8N^9u0;zh}J z?|s8ke?lJJFZt_rPa@{H`1mqDX^zh8Z0=+>)#rH;PJ68A7aTdVd$mI-v5Fzz(|bF4 zg;8LX5AnYFBCx7QfPeBtm_Jb&NOuEauMddH5rfFyT}26Q`hjb>C!fEw3Z|xQZAS6x zfE?^mj|@O5Og~xltAzqc&*6RI&Z)+Afvu7257cp8iMNBy+)$RW5b**x0)9d!W(2Nfy<}{4z*D?hI-T#su9IDhlTd8K zl9eu9b+lK(V2Tif%k%V6l;p>i0vKRviTdc<&rwo#D?f1j3)J3M6!{&xz6ASUsJ^DV zhU-S2W9UGtNI`6X0z$=*DiYap?5`z)y&bS_N5npdRce={IAYZ!$H9H&&UI_`1Awm} z8|oV3*OWE|ro{|q-5I|-f4tF4SR6QwQOiYc&zJPJ54dpEw9QmrX}bzTynQG_BcN~1 zZex6(TPw2j!*rj+${9?)O#i^RJnzz}{rJviWFJ;E^C3TQ0h0B zTR1hH2i}_8g_6o_qXV+W1D^-QWJSGod4y?d-k7IQ4w++owKoxs=0WVS?58RE$q99p zf?XZ_NRr&=mO^z)?X+4ZsQ%^%qR4!?1tyML9aP21p~9AR5w-6s1minH)m*6`ckg)G zEkrf~NS>bILPb;){#1mZgWSIrRBUYj>Zks=j()qBKoue9f3&=u|EUatGgd^{z^p&jmV4cIZII0On(Yu0_Wn{wvV|f|ZN4Sq_W1L>%kyPzGi$*8h?wgR;F^Rc>-g?CSSX_VSSTHmF>&)W%1E<3PTI+*W5YhX01?)yw`VAZ85$HLP2ZQB8@TB5fgTff zQmjvr=ClEv_6jR|S{NAxQiOhEAJaYpV@|C!E>X^QngNWz+@Gcr&{w$XU-k~4iLN>U zKieOF+R5QD0RT@7zigOrP?T2ye*q@GaA0M90|6$M!Pi2Wn9&SgUYuVqZcZZ>Jbqkl zePke@2#O;q-2HyFHFx#OOFbbfd3enZC>YI-{iH_Cu5I_2HiY5bypt zYVp+dB0UC%u2ztGw^nr&?upy4e=a2#(*p;%ULl@NE&T9S(tbqE_=}7qef

$3X7_L;0mu`f7+D?=FFCB*luy_in45jO z90R*XDZK8Y&|%=lwu{ANNjMm%YKMh;1Sak%rMimKaVHJ21_^psOu25pPO$1_a*fTIptZA zF4vk<0f8TEf$StTCrq(Fh%jj=D{o$4qrT9)Srq zeX(`qr;Uq9yNF%+xmGldq@3ZN{?@QcRR_-gv@}@*etu5Lb)nv@>KB;|I)7&YFl!?- ztMJsEKE|I?le`rQJK@U1h>;?g)~*=aL4c_IcIql5jHZf3$GtU-)W_7k`1agQv8rM! zbWtq{Ro~at{R&0(6kDe(o~`lZ_+;L@LMg@1v`MTR1K0+VKi_dH%Vq&y7q66HuGk4y z`aSX^KNQ90nNEE|?TUxuu(moZMmwCsyc}k^#VNK1baOR#tj1vN=0}|6%e(WLCj&Ak zvzHWU6cu}U>b#l}i#;PoCHy{(nG2pdx%FeaB80HkBhdR2z} z((Aijp0#+L4e2Hl(QD4e^UQZ%Vb#|0;oSs`R_bQ4_L^RZC-wGci6O`NmYKXDwT3Dt zKz)x}8GQcLM+4GL!&`5M&AbIyZ$OJnuNYg?q2~p`Vp*3AhTQ=mG(W|1hM}=^wegu6 zXQ|WdYl1!9c8AqH&^~6N*=&u6PqWzLN@_}?E=j*ZKwj)8R|Tr9nE;P2KCdg@Dn$W* zN=Zfhfks}ZBMrio3h`mRm3CI?!gPGS%8-KMzl6xKqp7NBLNI=y zp`jY#?v=F2jSSY#kD`i`7Hctt{}fm%=M6V_0v>{0@9{iPQyu)>WC3^2vQCev+D4xA zDMiQA#6tSBr#(Rgs%j90$*)rxitWSIZeK^w)>k*x?{deXKm>Z@Z@7Tbx=v+RQO2i$ z#sh7YvB^;6Mq35Jt&XQpq|A#Hz-v4} z;*i2!rW@yP4$3E|C|-b{MS)l&%jliQT3yFq&Ny`XD;^qCQyZ7z0?00%M519Y2FUcc zsxT++o&3?iy2aY`bNL{idTBDpdEj1XE5}lAoPQiiXZ<_?kr%39qSq%2P0lZJXWT%@ zLr~zf;{TQ@&yo1GM1I57p+PPKgV-WHs=fF77T9-f%JzOmt^!oRWlN*!z;%<;?&`yE zybKwq7nntiGV34$8sU#;qP<{lR^-w4U*~H~W@9`w_DI&`5o~q|<>_^bA`dw0Db+X7 z`1`>=>)Di?+PN>`YZpjcjlpe@Cv|*vZ0AF4OODY_qp(QLS8A2lB~=ZH=rdg$qHIDE zC3?8!y6L3JGMt5gQ1jF@y4jklGtWNmz%Co6Zw z5{$(+IV6%>`JOViVXPt9@c;lDju8`KQa{<_F7Vq6Uq}P@p2MKo7)+B1_tZ!3U2BDM zzOxKuYMwVUagQ6FsABQNq?D{4>$+(0H;u8+UWA`;*&P!A2w!;YyQH%|aVxvu#I}#a zLHxjS^`?y@{IS?D%d>ZI(vr;5t2@Yxn&GC^!>|5pY->nmuz;HH>eoQ?r$zPLrBvny z?Iu2)ctN;HT}SDNm~d2V()<%p8+%^}8~_#oxy)zq2=z3B=! zkJGZfI`lBog73cN>!?6|EEqVtNo48T@i6YTV$t^zVYZNpujH+8iAP}{pp1rRgN{R~ z@v+_at)tcX;$_Ro5I{L!EUjLQr66GzG^7{D#v4-rxOP`$x+6t*=YN|Ni? z(NRt&2y^zQz)KtwuSHOR0n%md=ai_+J$CJ)47`17H>Ykp2FIe45piQ;&{GW*^AX{s#lj#-i?RpJ|sTjTxHK{*#V1rc)VJawIL3ay9W5wdLp$ ztxD?KS}x1^I?mPUOXb8)ygk*JHHst+MCS}GZ8wg+>I4$5238Vudq;9S`+t&rkE3xd)#%ZeZtewLM6p8b#Z-PN8X?-(>>h z+zf4-AnQEq^UfGi6D|VXZf=4}sQ39rYxdy}mEeSzrZ|}a#t5J+3r$$1bs-0|Nf1xZ z5c>N^s<%yAY;o=p+w$9`*pclunkA#x!hWvtYL1CFBS-t{CX+$c!rZetSs;^j16j+w zL6g&`@X8y*_fJr+68(*NUEs~%!#{e7VQW{1oE>Cb zO`W?$Q%{8!wRz345;mwV%(Gy@x}~hjFZ->VTacjc#}?Vdvj_M?&#e%oU8v;Y7m4Yo%t=%m4*HyuCqZUeFZ( z=?&TcfwF+Y=l<;tx&Orhvi=9J^6zN@sI&VoLC3#+;NQmuf8P9m`8xl!N&iE#{2xY3 zD<;W50o`-hB>#~t{|csp1u{U>Ap+Ny-gN>wut{*?{!YSXVPOTPVv>*my|GCMfQy(U z_J1VI?^wD2itgsdApzZ@-CRL^y*GF|e7s0~Hb^`CemgvZ z$UpkQjJ;EEf-CXc$V)GxC;z^O;}eJ)fRSyU0eBU7b${3)4w|jc>f-i(2sHwH+Mz-O zIg0!qw=VeK=t14x`@$e-Mv4=%h?`?9%V;9l^viwC!a^qOCS7?ZHc3O|!ni@IV`@ z8T_#n{p=qe7ED9C;C4(Oj4qcZO~P>zHZAfth}#(09UFB?&emzr)ss+7r65J5wOoXY zB?Ye|dGK@2gPJ7AM*T@DI8@+Jpm53k(Ix>XMn*I%H`~OupV$z`0}#5#O*3+zAWmeu z*3>k6bU=8as=<55b5speFE6`c_~BT2+jy>RU)xh(infLJV|6sb*0s<1!$l(0r|qw< zo}MA!p8S^*JaIOb(0&P9XNs@N3^t#F!;p|$W}x{Gz9t5|}$5GgYv|}1rj?H=91bI6FN-sE4khMKHz0@2b zj_jL^RWF;i#XS~gLNlkJ+eEGdh^a5=j|*+TeQ%zs&zuBQaj#FO$^@q81ux@7rO^*6 zW-cx1C{o2oX|jDa^PSG2VGGuzAWKD{2;ySvWKBf+jvTL`ObO_$k1J&Q;Arl=%|u0x zZ+Os`8|UbvEl?36tQF4aRS8r%%CIMQaV-=_oNzAV%%Ik)CYTTv}< zmWH!R!}5Rcr8Q&xy_C;whU9qx4(4F1W0$TDXN68&1baS%sD&J$Al^<$;UKS z(LfO{&*+6{{Ms!eEb4idZ|0qM=zaVhwwj z%xFU#pjprKlLVz=e#uX(-t(}CM|a2(OTvLh!r+0vX;px=4n!pEbM7yKY~KY2N|~cO z=P$kE9SDbNV=V-V=4=k5*+L`%C+%!b(qshEN_z-?y6^8XMNzm~^ztcr3Wl8fncW16 zLuzs|8;dS&Y;z;EHw0_##S6LB6j`M5{5~!C8s?9ZTZ&B^E7}>E@Ex%djgZBdH2ut{ z5oe=fl&k;*Q)IK5ks@gBDZk6_!%-LsP`_u(CN(C2eQ7W^c^Hphax=WbbB;hCSIJ|= zQ^}W!GpW+pNw0O{PsXmU7!v4U)I`t?RTH|obmn47^xcdtDaf&p7Wad;SWY~@_}*Es0!x-j!yA_ z!%klQH=dnw0CFS3sA~!7W2s6knQ7<`xv=Px<%aGx_CzXq`p^=(PXeP3`-P^h7+ah0 zLXzEt1Url0T3d4G53aO@d$x(y+F?gloQZfdG2QOmzBpt8N z-)b>+Q0ZG@o=bxGwA9Gw$(_~}Yd;vc)JFD1)K;u&0X&N}&6*7Vm7nUbi9anRM%IZdBGFw5{XaY2yUUe_?0rIgZT;fcm_^`-FSOe7Sk<FtWO$PWB4h2UOPtXU$lP}bI?`41BXCv?W*9`aQF(GyE&9IE|=GK0|ocED1lex}XQomnpfmQ2)PE%n+KLuC{j zBL)XWWESD{xOG|Vy3%iN+!jjRTyJ4#VbK`M2fCFvAL1eSz_nCex?yCp>c7RBPB_WdHyr41)U`pr8`(f~BLR?woBpEi-- zo&l<@@wQdQ`CZmcpqQgUy|je&+0B2$K zg1JGWlcxle} zx{h{e34SOH9{k`btIP*PI)!kSnouszB|6*m*ctX9mo=VHF4WzRx#OqKo^al8H`;Ux zKduv42FdnqzJ)L<2aA?-(A5C4-D+;|I_+ zi$7CPA8rDV;m@Y9dqgXu|C zQt*b%2BcK;olvIcV*3IXg{(rtK7YAbhaOYlL=UzRRfrpMELK!RAb+%#F!&>VC{cPe zTkEEmPErchJ~MP7kNr+IZ=w~^2Z8!@^&u@zD=2}2h2*Hf$~0nVcxEP!|7_HK4ve7F z;r!dM{~r+Zf9!eI|LmmvlL}#F{I};`SwKt#%t6EE3V|pjZiXl%xsU>8<`YAKbF#8C zaU`s=|0AI|fGk%eG$4$huKRy-8eUfep)43u+_$QUY z%Jer$40w`@3J)MTp(E1hiCz-*hHd+c%wd~AP)8Rp{l`x-eAa^1*n8J#jt--q#Y@r0 zk7S>LCx!K+Gf&+qM`>)XI;Ndr7BQiCDNkJ9@Q6un(BK$+Xjg%__!O4s=w9RS_}KY% z4?Wvbr|TodZ{@vpZcM|zn9%K#|M#*zXLtbMQq9C~6VTb;jZR4#U{E0y+Yo#zW$nkdZ#(Td%gM;KV7_F=prpidHa!b{y9evm) zhyBc+03e#RbvA7Vwo(v=#w?MWn_p1H1X4v^Ij8A~)5k}Vj5hYb#OGnQsP?@mp2}os zd0LfvYh}OM>BjfH?PW@}DQioTJ*hAyB&tT6JC+y{hO0Qz$q3BL2@bxCYr0DWPZW)R z7{&HwUUYBmMsjAz8G#(96t$uvmrHIDP7Ydc5ir|EB!6~P-=F0;&E%*1FedVfMwC$; zPtm?e=cb9Go&Q^v-_y-5G~0bV0I`zQp>X0Z{DaP`wfGLEzIt__uPnl%fBG@y$jMC> zBMLPK>6us6=}^>>N^(UtFb=+qRodHqAXT`eXmJg1?MQWuKt8RDa_6odyA4Q%2*&sGPO;OM8Y)ElX-`Nks7YU(Z3Xd@JV$t0H= z2EFwrMv(OALJ0`VY(X8BFtmV`EC7CAHIUJ-{OVfnOHoE*aus8QY`GZPp$(tJo{nl0yUZfnr68 z19&Dq#FYb)P$X4KIN;s)Wn_qcv$d%?h6XIa+IDqzYHYPLU^&tnh!9`RH8O;wj2DpD z>p!$ZRz&d`WK~3D_^7fjk1s^*(*dhN$){>PEsq`&lbY4XpP!0uRPFfv6Hts-O5b+t zluBMbu8^@`4nAB6x|kOs#=*4z5agm`!CN(H&3K(7Ti>M3dy}=GXejq3lI-i*??!{N zUL3Oxbo@!~tvW+{L z`_)-}4sl~k+y$M~I_=__#W%jnOC85umXgu-f7+D$5vil{Swkx_aRBl2)@c{v!7+Zb ze2MHpaEEQ7`3ki7gq;O>g%RE)^jS80rIGk`(;%$MZwtCESk zLTQ$wtgD{@fs#G*;ehh%nthC}KF8zf znoPyl3YA281XcXwNaUlui`D(dTWimXy4jaUT*g>xT}^`E8?gNj4B|;0x|?c{BsQdzbub` zz3l(AJXo3jx+ed(@DH;&^ii8S;i4Dl; zM*8phGDkO3A|PBGnP|wO8)@^R8(BTve>QB{IsfX5E4q=QB}fyJ1E&JWM87g6)DmK1 ze%c=YKKTFFQJR(cuO~1S0CzNczv=p;ONie9;Sn4Q?rM>!72A#ovcH(j4S@yvOxllM z)g(zmF>!uQuG!l^SPMlIBcf3~?s6$1jqJ0e-E5H*$|MVTb$Ur!UD&&7vWQE#)M`NQ z#qFRz|E>I$^7${4XW#qYou}Uf>GxfCub0ESrMYf7C5zpOyW%-O41aHPcfb16Q}!Ip z1Y+^!wtbLfOwO#B2zsH)umroWWAMX0`H0(ZufWt4uwCHX{_)**E?Z=JmY-c~egPwM zL1IT*R+kLFU*c>=VIskWgCw~6k5iJup;!2e>8h(|1b6t$wuVt_OLa!EXL){Ec>vc& zHiic^Zcv1ksH8EV^GdStD296FZm_VqnjzN&5`nrkFD)6itn^4!qUOY4qrhL_`L1vb(u)p>eD>nHats$D6_>EYuJ9KIIrg3`PCkwKwO z+~I%G_8XxqOuCw+dnPo%C0Vv(?Xr!CrzxyULlWt`bfn3~UVSk7R#7MuK3;m|$p=3S z>|yZ_Po)D4LzSBZ-Bk%{kSn;`I1YOCls~eQ-!ez0L%T8Az1YH@v=h5tG>Wvgd^zGIT`u(`GO!2buTWAZcH!))9d`w#Mp9 zHeL(=aHNf`*DL!T&Xgz8fa33FDdL2sC^A=stc4+4mdheC{&nHk@^((eB9gC#b`@UO z6V3u4$4WZd!pKt%ag67iD4Wlr<6X3(YIG!HhlsNSaSAKq<2F?2q%gldqI~1i4m!W= zFIhs!aeGOKf*QV2dT}ybxVnS6L^L@drXwX;7!}vLav&pVN*W`R%fQ|n*|fVTBbHl1 z6U!mvd<@plE!O@hV-Tx%OBf6$HA_E`b{h+TkJ&_2%2;GW5*OVj3+P3Z0TIg&8X7YS zMz?_r-6E{kk!u(O)b*gJn#fh$svt1C_9^K0q6kdIM9mP%s@OEH z5H+Fv*dl3c95YMrs%@fWX95i~%BwNty|X*~WmN+mvF|$-h;6A(#(3Qm7zJ_6BD+lU z9W^!rLETHfU?^sYh!-Z;JSJgp`4ve}Ex9`r*yj(Iu+dpw<0RP6Zkt-O0AHUA(2(>u zYj>uULk8*hFm{gzk1-Z?w_w8|MB-7N0(g_k++w@}RE+#fiPxhz@%jkhQ}4 ze6DK27(@&L!TvO<%2^+k%C40HXbI4KK%JmTOz=LXM1LkTE6Z(A51~}{GXdaue+Q}f}oN7rl)5wSM@Dli1}r*{lcWZkX&HMmNn z8`*i#ka6l&<3QnKfShCv;6aydWPeSa-U#~8TJr{-yq80_*O7V}hZ3)>251@GGAsDO zghR$vd08%yKg~O;U{L-o}OdvQnpSk^Of66|GSTy3S7UO3T9g*qe z=}sa!IMj9K`>pyQ?dfCAJb0wA6Z0UJkoR5?tu9ybO;oJIEMX~PCaIDR(oxJP z@6p-}6Ar^Eb(l5_Ab33Y&R6XhEp;Y?U=VXaZPtr3imagj9_nYDFYJza$U`+P6OfvQKBRft_eM1 zY_=;jNy;swf&fvE;=@gs9G(3jtqLbb+}JIZLz**CB&d2UM+_!X$xcW4=s%8!m&+f9(l{ON_f&Mn|K{8 zH_)hpNVxIq1uR~SC|)}uQ0U;#(eS84)w8<2l0vn^i`{h&n-}AOTVJD;9OrwoSfv?= z_9*2@8R!0vHLjX`Y_Dp+SAHRoXfZ~uV>O+{xjB>g_Pa58xiSiLRqmv@K+EmGvB*lh zy|yWIdpRo`8e&+xiW2NH*Z}K#jbW08mk|SKn1oAD3h?HaCa|p~)qKdQ%My$*NXS&ZurQb1Jc4&Bq8W z$n8d4yuo_OCqB>9cSdoMyoIo>AFXPwtxkw?kH*Az^1=}C!cq>THsi^L5KU6vB@s;p zh{l2`0`%!u2~i8&=)|Q@P9vb|z?$We=!hYNkexxta!}Lf;>5^T10d@Q`6MO}_)h)2 zt!lP9p_-Rz^d&MOU)Li(Y`A~zy41+_9@IohtNEsR+kNA=PvXOQb=#aTafwtrqERwpF)oK(^*G(Qjp`z$SgH>ta8VMU*d zC{4;FSDbZZ2y{swv7qL$OChi)iT3+de|tJ!%_vR6^Ds-%+SlTK%hmI;E1uZgLIrEu zJo8gNwp-t)I3P2C*C47d8&l&qjsU$b;mtZ=Fj!P-%WvG0Zg9itcwn1 z*ucOTqO--dUe3sbd5w8>L8x~|BEb%46W#QxNd}ag)5|AGkO1x5GlkGi5aPF21`Sa% ztG_)mR_1?1J~PMv41lpR|Ch-Bd+_YP=FC1t`u|@S>R+$@UrPUPx&41Aec;Rr11j+9 z7Y#bd=e6>u^EYbH&rIpR@~vmFWJF)tLO$hxGkhF*BozEVw^nuzHg+c9yDKTvUoToo zC8zzn_{5S%E&_Bw`G)!V797C1G;)`}2>qWT|DTBK|0(jnGT=}F{whB;A$L7iPZQQ8 zUqaSs?={ve5kFF`2OjYJ>7O88)xJDcHnMZvq3!lBD?4){nML--q zW-IJ?yS+Vj$61OP?l%*mGsI%Di|XAG#6@hT;TnX*>ghMnDo)m4v(&t%-7K!xPX=W8 z@suuT*pmahGyI;AFWzA<`X>KmxtVsxE~TDwNkG{(}V0M)z8p(nU~nRi;8Ecv-`|~2d|UPT~y_&(~kp` z&LGDFv{JQo_{2~^I+cuOE=I0e$QIWO!6}I!V&bbefR>a~D`-A(Jw2C=3*7tv3^d4$zX z|GFUZibHg!teCKK!soVvvX=i_nE!caorr(S9+gnTa)i{eafW{DYwdXyvyyn&7PHa^ zsGTv$nb=hlsn&5uIV)2Y_GNM5rkT}nA7^agceKIdJ52(^Yr5;c95dr`u70goRW3+B zz?S%WT8916SKwF5fk(%01P(xBrpsl;ZfsScrgpM5&dY<#Imx^i@z)xaB(qMl0p#|h zh2s{1AA3*&sMAyYZ}%){`0{^JP1iemr6J{&tp}1|vc#z@=>wgbwE`H^(7^&7L&OD? zoGYmwwjr1i6Zx*td6W6)#BBuXO>d>D05cM?b+KZBFa}a&GkqPhuc(5K&%Rn|3Rx#i zVm7xbt_mkCw9JA>_nM_MV5{jOk7pffJVNA+L1YWUBvr`5Br;<24w||b=m_G|ZG{cx zur$>?YJ<$%m%~hd=*EQ@f2$q7F+osaUvN6NsNB3oMM~q?*M1@0bxeM0)9KEm1=M*q zVlg1(M6Bge`lHH=#uGSvky0;5mL}3M2V))7F5Pn}>^;N+CJ#yJyy6VQPob&W@c!~m zIYBo%AEi--DFB_h)A01SAg<_Yu=vjLs|uY-y3&Ip`WO1kUEyNFK7pg!g}MKbb7lZl zi1S@*3=6(2qZUqKeTic0=0M|ACcv#+_!#?T@h};IC5|1R?^b9R^?RJ%c5fU+X}PQD z!qw&CC3aI}jR;q3oJ`n+{Gt8+FqM|`d*D%*51J8Qf|rwinT|Sons{sPZcmy5XXN5u zv85GTqYb}nQp?k}Yt`3u$n)$lzA;?f;~;d!d|6PT^x($Wk$AzYJ+CUG7(lsEJt3*V z+jV^;^AYeHSSp(*{l|IN?rI=OQ{vL`*TxQRWjS4)i0~Rz#@=s$H}B_CFx8+)T^;O! zyZAEW3@1|+=@&PYL~Q@r^-{jRP&P9*m|TeRm6e@kSEv}5R|K>ZS)I!rpH2#XMpyol z98j;83n`I_+Cce1AhT#FC*aV6=1ruJsUV4ZY`KwP??a`XEm6W{3Wk---77q9Sn0?C zEW&#b5?Q~xK;_0(nAZS*pyL`N$}szG0CqT#6h}Qgh&GDfwc8vU>m0|v?Y5X6fB)jR zb-WhVH@|0`_aGe$C$>IZQ&n9szBgokRpnmhBu9ZGDSe}@xxA)z3qS^s4JxP3xI8rE zOHpGmg-N7*T@5-(?7}huixXs45jxGNT2R7FA8(h7EWrY%boM1Jj_h*Ds#}ymZ}^Kj z)HlVsU87Y*TXksjA6m%3y4E*-L+-iP&;_Fc^;2P}&`pqlNA!$RH` zyls$QP<~s`_9%tSY%(zos*2ACxz34_3&fl`i`&WF$^tVw3ILYG-=Ig??MCeyk|@2- zw6(~Lb+gNLAww2{NV(*gAUAJ7>fFz-FC)6X!W#7UQmsknDkILat~_lhhlWiEO57lh z6I^n&8x6%=;w-V`1U;5y6txiQDP<~bS+ez=bF-%gk8Yo{D_i(ck75ctIq@7)u6aeU z0QG8+T}|+nI_{qd?2i+f^PB6BB8PB9dF`sp#synmH2vzj!&TSZ+0};!U)0U20QI0O z&_7lxt-yL#(xTcZBBpQI)K?)5h!P4h+-%qZ;#-Z!y$HP8Y9E9A^sF(y*XxJC*QFQd zCjNYM=)7Vh#T}og#q75tmyeNLgpSTa=Zn}vHD#dC8c$Ip&6$jLiVwxl0pakmDl2_b z2NM~Y&Pz7SjijDiX5*BhA|J*tfX>EFP%hd8Pnx^@hhJPXtLR!mGDAYx%yD|cE48o> zs(iWv?>y(l6^XLy3-@<5!9M0kNo~Kv8WB%Kn^?+=1>Y);n5}|rz{kFVYh*q;;F=5U ztDVMv5}`!dnWB^JUbSi?qWFWW0t9`Sh%bReJKU0s6-M?2o*1u9fvpv8fMZ)w`2_AW zhyJ})TMHBWzB_IG8GY8XG@lYMHS-==-L%sRPS!_jG+EJb++0j0(CU-0qll=Ws^;Q9W_4omCEmyH(tF(R?0u5sgJ}OVB132BF{AgL zu-C{4I;LysM0tE1(($?KQmbiiOkIrR_Q}wFppwEEtE7u~I6ELz9w0bo2dkA(wo(UO zcIO4vS*dzq9CTMIO1yKU3$qg6UX64mqHQoG&zfJqtcc9X>b4WY0ELq4dA8y1 z-5S@-YI?BI@hulW#lJgpV^{8H#uPb;naRaG;+V|Pw-140Rq)oiZ#_LNXD_$t=U9#@ z2zrYA)~nuwf5cP3r~p$^=169C+t4a4S3UXrenLw`JGy$P*5UUrb0DI5M`_}RR#*5V z&=rg{K+ilhd;5r+T;!{O9C5-GUr)N2YLU4Q9>vd+i-6{E>_FjyXro$c_aK#mN|$mA ztauFhpPLeAeJ2CfC9l~Dm+4LUy(UK@_N2yj|KeD6m9*=OCP1fLp)U18MvkCw?X|n` z6Yf=Rr84gN4K54Yny*)as3iws3Ir_wc@M$p9(fgYG6$yEV#Nb<)BIx9m{>`lZCVBO z#)u6h>egXMV7o}K?BcdHBcJ=dF0zn5w3!7cL2h{p&3E~4K)}wc2t{-AiZJC14&3(E zpD!%nkqnR~anG5=?7mX+ln3-{BAX8u<@>r?*y?I`~ziO2dW z6aOoTC-=WQTK{^J|1DPkRq^_t;y&x&<5Yirfd3Yfp9@u=nKvrnSuQFZ;1LuGqh`tN z6QKQVS~ylYqAA2CBID&zT4^s*jC5@Uo_)oLiDxk)uOx<$dJ>qK%KFyXtNtLiuT!)| z;I7}YDf3mo9EWePYRD@ouOC?ZHLZ-3j-jMyDgSP6?(ms1>M8R4?X>!MX=970vI5rb z#q#m`GnkK1IsJ5LM|#i?xZr!UWj#h`T`aY!TRdorm(|b6rTZ?vBBxVbgOyj8 z*;RcHF_hx*XzBH2>h7g+Z0e&%oE}A<8AF4i?9^d9TEsx#N%wsi5TD7}us39-R$XD{ zq*B^bmVlTb3G?`Mf(=)J$AgzQ7bhMr)E9==o8EZM&w|V)b(5n3YoAB>qljV)bICtD z-KvvUB6vut;IlTr_@xdiM?;FfM((QTN6$3H#Ftg|7~z<_Lyb<^Y}}ZRYW|tElBrxT zQZ<}mU5CVVPc!0%d4`kMrtXRu}1FQ2V>V2+KG{=fE~l)Zj+RRq~;UQx*D>EO;E zzH?vo(%+vI`^U`TA~o6Nq-l-6D8avj+U9@VVD7OfohcK5??;Om1!;OySrc8~U+~b7 z$wXhOmmz|+YVx7WU}dda^Qx$o*0Lo0lI<+}jpmi;BN{Mx#K$>w%W6l_Qsy{!$*iOw zQ(3yCb%+zHmtAZ4<>|{FYT+tcYCTal=p9|f^zIq`k8`EH!jAW6CfQ+t*w5w)3)BZX zh$NI?l^WE9LO#ZoOlumFf?$z@*mXwPuR@Ii!51zZ<^l4O~~6^=`GwntN$@U!>a3Y};JFU365==w63K9Fg&v3J1o}C)~zp|IF%Kzh6N% zn}^<*CRW5$jjgTdjMY;Ira`vn=`;!OZ$?8Zhbsdhd-uAs*CF@og|pdquQQ~O-LZZh z%gV0AnjY|G&oMer`TSmVorQ!}Y=Igk(N^pxE&llp_qCbXEO?g{Y0a^ndLNc8Gry}; z67`YSorPg?(kW~>g~|L~?pwq@_g+8gxZUY)ke3`ael;xD{K(I^@fHYo0A!e=n3Y|6 z$!RNKZ5Lf2y!2XBcpxtH_llm6gYNmLUoE9<-}?D-R-GG+3Kkn9#WFTS(Qt&aYGQQ4 z(vQreZ7O&#Hgn7J>LY#}6US^PaIRH{p~<+Ph^F$rFj30NK4<3OJa~YLf?IO}NM>6N zzp1B@`&_nN=igq#!yyAV_d7h}nqmRfkRe9^=l%sOuKNov3=W(rD&M}7J+P>_v{>3W zPKI5{)AyV7ik3r9C0*)VkyxW)FWy1!dHvObNbV==HN>FF2X71~BT{^vLM^OuGM_<4 z<}$h6pt#`(Lzu@1`27NKe-Y_P|23|LquwF%kumD~4y+6lDBny>izyc%j;@GZz2pIa zF%950J_)@hTCMYg6R92-2m5*KYM{VkmnFV9>TLrzAL&$-k_W8FjR$Z4EF*Ugd5$0ttn{7A^5;TYT|@Rkb6vx#L0=8>_8Nb{1;{3C z1Q&&d$%At1v$fqj)!=SJLf^Ba;Qdwfh8>(zUEYkoVQe8Sb^-PGaUyHSC3vGoCy(Go zLj!E(wCRmNH`+M*r6VUMUUM3)mlV2 zEFwom{ntbJzaO{%_JN+N$UjLrHiTTjkXmxKzfs-IIo0IGUt#|-ggz&gfXh|n#6X5x zazY?hHTmC_Xgm-W){xa&^5)fAiUo>K+;`0~#b<8)|K$d;06*OzO2E0cwEbQS^53&a z6@S@5jME!-kfXM>5KbCr)T0z3j{cM60Djd$nz+Q0BkoIiAPj^7j=ZGV}d%B%p+bi-1dwd1>{Ln8+%EG(aSXtdS zq1Pu2L-mbHa12u?LO?eU|Ay}$3%G{Q+ple9{(_C_1Q#UVXNsNwPfj8qbs>n(b}{Q4 z$=fd}?2hj}=wob~`rB5&R}z_BsrPYKj~xDt-`y3rpDF_=fo_%$lJ=~Yx+%hoTtUXN z?5>VS=eydhttSX0nea{1E)QsN&H=U76qH-XbsM zgvkv@DvX80l)7rh38ijK-?iQj6)GOx<8$lG;y?M^ouJq*IkC=TjB=p@G10jV`glhw zBnYJ8eux0_)p=voIG|I+jF{^$a-3QPZMy(hyk^Z$K==A5p!;L62;j7g52-s`J?_Uo z(**`)tvYXVdxz0}muahbH7&oXi<5Xb?6(6-TY2y=K6g(22Y6DVQZi8Lh%lC&qQ?$w zt4V}5(-~Vf(s}67lBT+_`MsADOZu4#q4)v7uxt5k(_Ie4im3?))@1nTUUICfi$uWg)j%ot5a#QR58?xmXtYFepe;f;r8Q*N{NJ%1;&o ze&h5JZJ(B|&+Wmx!Z!sxsMVleE$Uz+%7Y_TD&v!q6eXPU8QL2JlR0Hzx4T+eDFrOq z;+iQA6yV4Vz1;n(%Yc8)+iI<2NNn%Gt*_?GP~;maIoWfJKH4)>$Wx0M4Ac891efe9 zpPt$^(uUkI)PyW!p(|}e}G5Sk;x%V;36nM6{ph5Km8t>Yq8Oyh*~C5i$v4F+u!LZQ;8R1 zWhPZ5-P*;>b{o_-!=_>-{x0dQ|5{kC&ki8D;8o6%{z`9`V zLl%y(>-IMDBzVSr#(xxLontdywr|Pq$o3X~vwyHBBc~X}qsBYq<*hAL z&cX;0%b3o2aWr6!eir@U#V;zZ_Bk`%M6<@s!B~rfd^s7Q=XFI3I>_R=icN zNTeWo55tylNMbPrn8P4Yiy+o3GCV`Vde|F(T)e<^QhiD=mboYyHS!R9l*wMZW!G0< zWi726?a5hA$iB-(cKIhK6tRIRnNmHj25}Xo)TjH0piJHvvlr;4zz_18=Q~CBc5q^I zmy=`niG<{Q4!*GS#x+R-hV;(HcV?&_h9!p?fAHIF{@i(KY>}Niq1X~RVZxIOBjEAA z`?&Hy%U|3;EBQE13OIW8td!J?^YxiQ#xuuXEL`yvM{ZPr2E@8X;>-wDGrAb2^lf5( zV$`NmjrEwrot61jt;o*S#_+$Fmhk8DZoB60BM=QgI;YwscY+ zlC}!H^qnIX9^FqEH09{@w;bYFU({Y4?bt zHel`vl0l`h6I}~-RdgD5Wj?s5#a18|*@+KyPl`~Gvu@9QZMwD-I8K}<7qa7!3{%U1 z(IsYx*U{spbHv~DT&&Zm-PG{ia~H?0?r3VONRmp26_2U_bi&N*a2g5as;wfdLR2w8 ze^(|a_qLLvll+k764`VfRWP740@9kYG{xb7>S z?AR~QR9)^wi!tv+s5NL0jm0LyToL8mp)V(Xm_!-QH>uSyNZaWtF`0xixR+m$nq2o- z7cMNt>_t@C8p5&ds|B|I8#N9zbJ`q$B7 znM-o5r_Y?`P*;1lTKbHiE6UO&MZS}2A%^Uak!$`s;&Y57bALnM-I~f3DzFw8E)S=Q zSgj7&5Vqf=WbfbA2OUqS6)&K$90q=BI0G4KPobF|(E9s5H=000j>hD$ZXg6DP zFvN~q>2X~3h06&$qFkNQ%`ik1S6ufp?P6^MvBmABQ`h2Iw-qY)`&ZVgIXjW0{0i;r zC-y$D6*60!z&LFWG3PzZ66g*sHU7-Ywi`FdOV9-G<5%j_3xU3|){)c!EJT|UW#6Sr zz-Zx)!j1%wSdVayIAr;j{`e_;QNw%p*VQlW6Ek$NWT(NC$80M$Bvg6$cj*o;yf>d< zpEXiQL1$t(vjWgb8w@2;E_nO zX>@eHIZf3%`|d+6tf@zkBDVYN1oJI{+fz+_Gf#N!q5_D3n@D zbHlEC{~@voznb(u-wArte|ya}DC}Ze#x6d4eC?hg3A|`x=W)qnAV&Il$IK_{ZvI<; z0;`uPpb(f@x&Fhp`CQ5TuR-yDYfqH_%j)?gE(TL!0xx$d2tNytiiZ?-f9X!L=Kf_6 zvad~v5aeHhCkGU`u>a^=c1CvKuU!gaAk!fQArN7Y;_tZ_ywAYmb{G{}^VJ~*L?`?| z`!%ebET4Esq{GjDCo7~R1S%X-{5=>W3X8@1_d49)CfDa=%s+~mi4myS|BVual>_*- zmXZdY_5Y<|lRxj7K#N++Wx%mtjJplwi|r$0{8&`RL+Fc{R) zQqliH9*X-Fxer&xkC6Js;ukf1!lp7<4G-hnc_nO_cl=r6Ujm&=BS8fou6d5ii_j~d%s~B|j@GR$)ddZP_ zK&Meo<)76(G5iVR9}X8%X%$&nb@b9k)@bXE3Fe2vPoC}TPYy|GzGRV~YnbRL+FgGI z{GR^`_&MQ{7;yl8+HZisDACLYGE#UEhTLeC=wc4#eKS=;F)w1cQK9W3%fbfJv{^9M z5EiNs;c<4B##45oPNF_yn$Gve8D0QO$5Vf=!aa#l{LJ^6wAu(W$S<)QR2-6mz?QRm zx)2hz8Z;m|P>KRbQbwbnU)tq2;U58a59VpcI0v;|KR@jNtB zki$StlrUcGFUMTPvQ;@8_X|(Ia!d|%=zY<|mdfjp<~`?Yq?v*luRS|NrxCs)laLk~ z8REfe!g?c<+c4vf$R+kq_fx7^^`9MMnDKx;q)et^RNAv}TeGD#D$UYGxZ06lf@eWr+vxW;W>(-88$PLX$HrO54h(wXN6qYcjBP9l##t^d)P zY|iU8g(5(@sC5sWUbxF5H%W9}Qr|l1gnj`0&_Q{qF(uWih9=ZR%&62~;H##VJ*hfXru@@U3@g!ar-n)l^alqHXZ=0dUlH91rtM3w{hD~=AfEy2g_GTdr) z?UsD;yxJqNV#Lu8xV0u!p2ghD>tCc2N*`(ZB#ZJkwZ;U*qn zdU*sok-VVMt#@vPoCt-!1@{X+zOe_600i!3qGF`sP|B9a=)e)r&h%QQUWY`f-DGES zLCLgBOS#k^X`)Weu+Gu4VdhV@_4gmONs?%Ei*y2X-E7PrMJc1s{b+;uiQplG+W zpz{U~->0@+2n)l|{2+8Tl)PbOVd|tW`d&zj=$(%XDv3g%7*NVxt*vtFkxv8SG?<;SKvf7A6fXp1j4s_N5dLKa~-;%AVZhz1t86m8?>_fY) z84-UFQ0n(upCJd1QlXfsq$&tc3I?#Fd|mH*dCq*5UUC8*XKv< zX5Dhpoi}!pSZT_3yya{(i6AQOiQfnz53C}uj5L*%(2R&YF6doYSzXJ@Y=lcn)ENdB zd+JrZG+}C&i^!+OwvDzbYnMwBWC|Veed<6AjcXXzq<^!!Y>806wS>r}9I}Q*^z5on zqh+{BI**=7v6S(1Uz)fCEHDS5dUfSZQLj+w7fp#*$=|+3e2`p*(TcJ@tVdmD6b?GC zu&1F)A&$SZRTV7X=lX&JbjKZ^kEQSki;d!}Gccrvc zE9Z<4d&b+nGm=M>H?O8BXIfdgbLxOfD3+a|zQqDN97gGjL@K+7Xj~T{>_{?cXoVm@ z+VOkxhr%CO^v~&-A+{i*tf(9q;iA|RPFj6+(Lve>fy2~?Ur^t>lh-|4@{-`~K7Q`0 zuc3we!rwDUWEChJ;$wDf+OA-0atjr$dBm13=IbstfFxtucTVP(Kcc0ya;ga}p^V`Q zq|V3SlHPAIjQ|mi}G)k zq68$YrNjh!b)dlktJ^7wJ{4Ck8a79Bbug7S2r#RS5*n24vm_bQPDu=m>G~8yQ|*-h zcJJ62o4LCww>$rXnqcK**%Jz>L_}|AlHlW}#`lqj_ zIr#~s_?$bZz5_9QVnEUOW^lRsze<(*-7NjNoOveJyG9(^IgDUwdk(A_{C;@8E2)D0 zm+YyyIiM-Hv_&P8q^*v}(f@LI~ z!raE`W>cB1Bu7wdnT~fx-nRyx?-P5>-%DdBgc5#<1JLV7sqL(lrgs)iA8};e&J+@0 zD>eD%(j*n5u-*7x7*iB;@db1fKpF#v`%%M5B!5aIY_B*I9zK*y^~D|=3#%7))QsCp z-PHN%zMCso9J zHtUuB>{VJSWVzo4AXbRTF+YbKzk`D5KY^L*Pr2O;`k9okLcXSXHvt-VvkrUg8A~e6 z2^q<;Q;l;A9k1}6k($i8ai0KIZ?R@x{8jFgfJ+Goy;HgU?tSrk9l0$b6nwkYH4Ao* zV`}g#&hy_ryLR+H7dj5?KFn6HtPT_B z35Y3`ZZNSXdE$zJLC8VO4zBJ-By5j$jnEOi^P(5<3^{nvv3WsB8*}S0$Qdxt?j1XT zdjl0ooc{5=VcsW8auY=9MRN5=IBL4L-V zjx06$9`;f+K6mivHx{^7#CGi=CDY|e0f5ca@_V2E?}X>jx^Ml0U{9H`k2YD>l5&;nqsOk4L7=H5EnYi!E%8)ar8>qu!X-UnusA*l<#Df7UZ=45PXP{8 zxJi6^O1E%`lT$i;nS*T*T|L6`qG5rGv)FJEq8lPArtfh(qY<=wG za1cJPA&06BR*K}F=`u7y3`nL*CqR)21Tntts5>T71L6qS*8W-9qeWa*aYpG?5e8bp z>PqD{yxeb=LRuV8ifAd3eY1sSqH>T!gA_z&TA%+CvtB7ie=?A|@xfT^BaT{QjusuHo`lph7v&cHD0*u&&M&=eehkVAPo4nI zYT1ZNYfnki|D0ZlaJK8ba|BR`9(nprPYQQR86UN4eJ~UO{I_XUtavfd5iuABy1pwf zLdEb9I#Oiur0etg*+pcyr0tOZY|q2Ga% zukANEBiy{ER6MAFzq1$;Cng1MGSgZ1gV$Vq-{&i;5ahScI_%s~IaGjAsjIr8GkMK9 zXKNO7q!+qSP-j{Qs|LhVJuhsILy!Sg8WEqHP(PDKcN;7e5pIBBWHM3 zT(OR@I430aQu`7^WZlZ2_~WlGqGMIpu~b<{Ij(-uqQz2NOK&trRY_NE@|n2uI@YJ? z!_ALNCnY^ev$SK60fhkdH;z8C=r5W_>$=VnJwOa#1VxSOy^*mLlIC~M>qLr2=H?$` zA-IbFA6Z`+7RRR5PXv7&7zd8s85=A!)bOcCJ1_jWgQPW3&jwjyYGl9u0o0^LXNjJ2MD z;)<|dzhFK@UEgzv7M5m(3G1R~$y#t_k{Pz(^;UO>A1FIOs)qh5sPZ(_=h|4jTYpn8XZ+%!I{-6zk#DRkvl!u_ zZ5)XHizqxar1FeGcHoof$*(B1prrMgcb4hOckiLLFG*{Y(PsZNMliX!{xu2a;rlm& zjFamh?lmc1@T1Ck%B&j(fxx9_?mYj=;~YE{nLEm}YCBK*&QYtWYJu|NZ+b}0QuUHsOF6v>X%UG3y`egh5 zq9DC!NzwjPOr3N@rQlpd#TDcF@*PooT>0b2=j*;>6?;%rsKhKqa;2TBrAK;Lum-oM zh0ABuT1Zq<>kOR@&@AV!(B1B`)7YotO%+qkm6QE3;OwJFe`mI-)7k3_=a=V)JEd1b zu&gIhzS_u#e6Kd%o^dV6cq7lAwn{stTN1x3i#evaS-Wtjeg7KQk(geK$pGPF!q{&3 z{tHXU4=eJ1oS17`^VjcBZ3wwrUZgR#hP}@cf@NNnuIVjf=j@Ylt8JvoL)G9sv~NZ; zPxC%}K(f+_CPy*2E@H}&!QPqYeI{AY9f%P~E^30gf=w;lowCf@D0jdTd9Nk}7XL5B z*JTuQDD=UQCxKnAyUb!TF>pXHIYOe!*-dzwpI#TuZ$6FF`%H7Bg*5k+5W`bZBqD3% zp754QydWGR30ZxjqYO$^3Wm1}w}uEn)Nq?05NSGj(7=^bJb#WCeh@DMoY33Ai+kYk zc+ioJR5{iv0PM|sBt*PD97MC7R=%<5mCo8~juAdp+bA)8 z$4>HBhqKiD7R9~h73E3;qrO0~1CbiqZY;a@OHI*0t`oov=OkKgb3PG5`;IzQZ=4IL zHCb&`$X8(z^@J$MGYWI*qz;k;su^-SZpw&|=FFC89k#>zTgnoWE(|mGtfG|Ed3tac zVY>tyd~=VgBj;X19})0*Uac19tzG-gwrVdSkwmjGRk84yu^B_G4Eg;US23xixu_98 ziN{$&oFp~|Spf_G@4&sUqf~Oo^0wN*@5E~OvL1Uv@#Mn^&zy~`f>{^E7xk$0Tr*!o zn$rjh@4jGE-YgX#!U#~qOxFdK{Ib@%vrWOG=-v=ArVAx8sI&XUYHa;VQ~v@o;Am!c-`6Zq z@{iK&_F)>Tkg9plIQ%@xW(JI@K$%4dTk6Pf`)kG`EL{a96YX3uRi3Jm{S1ypsqgc% zm)Pd^4eeBrvO^}4z85+8hp#4H!TG7De9Ti7|8U^z4AL48+p`+g5GcAP9-tDLr$c*d zdfM&hL0haNG_CkePOC_k4}3c1VD*m3#)Pxzz;dhiYBSwjx888rfyLB`D1_}*(`dfL zu}WsC8!A%$beY@&5W_r9sBz8HxQ<-d#)~Gd@;go0d5zQ$>pqN-e6|t11qo$1MFit6 zXEJiE4OH|E1 z<}6aoN=0mI`Dn5$vtF6=q?@V#z?qpWmX@}0C#6cr00_05~;%ci&xg(-;m=E&S znjQO;CBi1Q@-}QgiGUdZc_o%3K!U9O!-4dyeS5Puy$7kq;Fozm#cE4#rG3;^Mfp6Q zcF%l;l4$@YXEGLEzTm>=dYk%|y{ua8Xuo)&VDBGU4#NqN4pJ9@*6X_SO&#dQ(3JZCYSg;@54D^Vrp%kuK^nwp~|{RgzJg?#j8pOBwdzCRQOG+}cFpr3e5FOoZs;}ZD$W}EAOGgncQKZ_7l zE_boBSrG0KI{{9E&U8rR40CNsIt|LooR!f&Md}N(>Yyg8mZ7tE;Z*YJST3c62o;j6 zE8cFoz015%?c@Ttkcwz)-=ajDkFUq@YVFsxX^t~__Cm%y@8aZ$(~Jh|$I^`kn|Ef4 zF&K@T*Uc0WgdilIXg(cn7zfjD9TyeI6Bx(|UpIasfB+%}DAi{}$`YqRd<5}8&P4hW z#HP$2-Mua=$HoOYI5MuDMGYR#RU(a8umrCo>-j`~aD`q$p+7xG49{Z9{A6p<^f7tD z<}@!hVWBV{XP-ax?17R27{%r@@S9SRyV>#h+dj7&a#RS;XA4w9g`- z>1O@?*eS3x)?`vMe&k)IXcT$+7Fs#>s+i|j2xB%PfBd_jbdM~_uTL)N8t+}9)#)PW zet*X5A8nD8a&8xtlNka4{TIA__Eo22AKAG2$l~BDL_Q!g*g&`MxyfH0xwwubxuAFx zJW+Kh>{fHXSL)_{F-HKx3D5C2vX?i%DJywqd(IfC$sY#UQ2CKrY*hv!Md^1y)9uo+ zzYF~JgIZbbRP#uufbg8wZAQIClBln}#*xG**};+>ZKGoJdpVjMLcj`EyMVbiccQ;~ z60^uoKEr#Sm9rla!lB|4NL0AT?LZMb!Yg+>QqV? zymeG+MZ)pfCC^O~uy>z^l-x_q6i*I8>pb`}``=T)1tP6~aq&S&CgjX28g>0ZNU(KK zI2z4sM>Ycjvj19-QDK(?e-UU_OQFT=fwp9gq7Y{HKdYBmXk4|z;$TRoMaMF zA2=UWh_F@4M$YKhUy_x%o%Ci`I)icUtb%@;hoqh=hpC1~c@G%4vU<4gvMHuZ(sgmb z{mSNb{n)c)Gb-E?{FK}We)U-EI=Wh_73x}q6kz?^iljZKt3a8v&G-G)! zey#-~jS7Un7ExakE^-BMPx!o$>B1^l5o*mil8A;u(-mN0o+q&Xa5I7U$rkuPy~~?_ ztk02y0_*?vb0vep>qf|FUf?57T&dt{7GDrThDue+A5N$B%U&(`U56g{AySsN%Vo$0^3 zu!utG;CO3!d>_+yX-K%yT>k2pb76ULX?bl=uBF}AIYeJP~i7 z*g1gKW^&wP4nH31;a8Y&U@rbK1id;?5Micv2dfUs^K@s9r$fd1DJ{TE;hF>d`76{t z23VEZY%`dw?9Fff4Y428Hyn3BM)ZjRTXvuQfMskRsq1|V!H%e1Av@&uaI}hdyB5dy z7>fzU+lJn*B<+1)Z*>FM<%nuKVA9;mKxNWpEvlRI0$;`L83Rjq29`;rTruVLtVgat zOIAQ=HXv8Yj&az-yjV9GJCvrCxp*nO&Y%v(y&y+<+cmi-@k9QVD@^N!2xpi6anh0s zSjisuTj18JILGYQ-Ez$@uL5~nZ{vda{5Icx`Pf^d(8}^fsx*bUB470gAipuQF`o2A z97c$5)>ylP^l8p8bjL?GvSIz%eVCt~zS zl=Ra1YO-JrctY*0@xH2U*;2V{s&?DZ;82-*zr@z0=cO^f_*R~Y#R?C05-CR(qD*t8 zs;KPIM^SfI!*7|dMtdcVKxK2ZZ}yGE7RHWcjiwqE}Sh zK4da(xrxq;wr;^xoi|^MImNgdD-GO_YV|y9YwO2h)?grlW@F<6VQ4a7gVGAA(f(r|Nb_$I{NI)Kuf^|wt+YI#+F5)Y z5Q{#;9|z}J8UQcI&XD0RB`baNfj$EyA$U`LE8r029s?+??sa^>bXRW-)?c@)Y&>RH7oxaf6!|3~E)=QjPt(7befX zx2>ka8F5uADOIe~M_RV)r$=Z%Z>AKmEA`M~@uos&3X0!^>yvpKQE6&DQfCO~wW?$E zuJ(9fb+t~kO4odL>7dh9`|HogyXNBGf`c>lcC1sgN|yg|++?n&KY4lx|~SM^EU+UOcmU{>erKJfU? z0uJ;e3!eoiMPr4XQ1a?QkVZ}yk6+0sc%+K2dk@MkeyWD1-GaGaRltE}8*f}fQd^2l z`#OJj$Xt>JMm!Z=Bs@OMDx5q%(86b9>q{aJ&2xQhJCh;hTL$dueT4ZJ#$*$`n)Qr8 zD)iWQJ>k5Q!$EKe!N}@}N3ndUyg&wjVJpYosSrw${p@as(s4F7N^>O56H$D_6L(2^ z#Zu&Z`)A&n0E#7SsPkuS_`U*lUzm>oq!g-By90}jB{ih1!UXMbxUFZK&Z`04lN?jm zpOC-O_lq(z^aI~=amI6OaSwmY5FNWCv_^*xK~eDBtcFZfqDc+K?HP@k$OGYd)1Lhj z1~EgRiqm4qan{6x<4$a%-J3?EQ}#Y|8^N<3n_!x%Ic6$4!7rB15goK6t&`N{<8+6x z>ncix7!Fw>KCH(uD^dPTuU&%E?bD&Iv4`n{xQz@#@_ zxJ6uJ3Aw6u!KpbD%grlqa;-#a_aRgekI&q=z$`7_Tc(xw5K%s>odY9`U6LXP>KHbH zMP>b>l9&Dqnkkg!eSjXSTF68vJP}9HU0(spjK9TX}`^i&0t|q-dJ96_o@h`4u+?q4rPK*j7 zkDHdER6}~15nE=5=;c+g45|>S>h5=3Bwcv(w1sz_D@+%}l746?Yw$#sr9N9UnW zZRVJf$A1`0HQHcljkTkA&1ty!9*I#6P9?Z{bt2>Zr-EfojkWpHqE7`ll`#Y zAT4CmQxHDL2RALUAUSaazj2SG%9oh(FuinaqU}lU#_Aq|wB56vehfLwcdbre)Wv zM&S1r%`jqUXJk@9_>rB9#u3g%6t<;~OuMZU9j9O0xy!VGx%Q?_DD9O7GdZ$1YVT|} zW_sb0NjDK2a zA3dC*pVr_2@mV4(IJ7W#cc5Yyq~OC2awrC1t;%1!oIkM%&qd%Rkj0XGy*0_O!#`YK zl0ZO&XY(8;$y!wMUfIS@H&!!@jErBl8pf-6p#QC-`oJ-m9yJ9&W-!XWz=0;+*1iA5 z!rYqXn&ZF$F}$op-V0t5X)Qw;MvaioBD4i6*_)#rm?Meb%c34_oJ2;*YlW4=-7YnV zobM2-Onr;m6k;k_G|~*qlhWis^H|1@HuLsN_Av=j!ySenC)=83)dty^gY(7}FK|KF z*10sf3zL*U2lwGxuZu&iwD=9 z@1~~+m_8h_SCKK!3o*0c>6(FvIZwax!5NpyH{O2wi;Vs1568@JG{&2o!1u`TvvlT>Uo zdFSfA7J9VB7eWIr@iW(?RSm|AL_`vw0i~-!DW6dNX+WZq(i$NmL64%I7x;frD1TxK zbUt9J6vvFB{(V<@D&nNz&hcf^8qXm%>MLkyGm=f54KVRxme*oR?#aL!=oNeUsMuMp zQqYDK#RZ&Ro`>2w%u;6bfw$2h`0@f|C_cQ$?cA8^-wAs5jn*jX^Y@S?&6qy(cp_bI z)5(BT&9?JV47N;nZ>WbblCy-^H)22nzM-gvKus@xU(1(i7L~yG?xNaJd#y3r2%Qhk zB2ejESUcS>z*Y~mBnJbG2EiNz0_dYXu)Utdzk)avJr<_ zS6LZAK}9l~#(Ik^LeN%teN!#o$FIz7Wi&*Qu`z7~5&~$~ErL(JBsUlW1>%Xx%C+&wQOgT)=phR-T_0eeS>CnFJCw+!Fz|JgL_;1<^pWDA^-s{4<31<@_X}BWhG6i z2E5mC4S2^iS4FOU#L!Q&XA3Vi^b)vm2j&zQd+8EuCY*2%>ucDmsiuMYODU_ECtV^V z-D*TcM^&%UCO+phW_c#nsKy_2ls88P28Rl#wc9!6xA#_?X`l9XddFsy&MY}AanDCv z)6Z~Q_-cyvkH2{|R}fs)OHqWw#p4ad(6EGA zEzF?j$PTzhH0iOdFD(Om*Ytb&Z*l{)_iT9bzUx&#y=@@?i5o?e8FSGPeuRK9dSmL_;rK9M5b1+%t{3Yshxwj}<_OSCMv!3V2uAJnmhr z$qGpkYQ`XmEq&=yVHXPGYgaJhjjSqM&(BHEeJ4`i{JGxe28an5bb?+ZlyLAM?LwP# z{M?4tcsb++$gOxPU<%2=?y+;)6tE|MC((33TQdt15&__f3yQuzxBC;q62%PP`UD9+ zucf{Ua@a)CpSSo8%MLp4((4ci#mkWo1F)j#IaO)*5wH6WjM-UJ;=f0_QfVA6yk3Hm zMF2KX2HhY?Q2-85k}T)iOj;2$irtRvOZKA~1d{g_BvkwqU6ILULgI&rn?ZDq9T$WJ zvHAdZvdU1t#2Jov+wKi|kn=m?gCIrX{LOdSx6t-j?}F=iG*ja zk;&5IIL|k=-cv^Of7rs-<)qO$)|>As;|-IR`67mgu#=}5lgC=efFy0M$f@FL6l|N8 z;w1U5wTiI)KF0;Cm}_tI{s+3$&*FOfs&Og1&6-#8`nrB?J3mT&fuVM7R)Fo&Cbhx( zr2itXdOy>5VAM;4Nm%CjZjIZT9-s3<`Zofjo$eORxvk1QPxc&*&vK&83A^~;<9~lR z_||JgmBxdQ(PF>QHrF}!oZEMh|@sSxn;2Bkse0#EZR+B2%^vmKw6rQ}!!1*L^19fEn zEszrEUs^A8gJ3;OP)D>as=;!8!X!SC+nu&wiEbS#KV>b7yJ5}VL9KzI8ny#7S_$Jo z&*%ruImg$3RI+mq;F^57B1bJ07UbZ9+Q=_~eQFCE>A@$2$66?bEFgVpMx`If!%3cC z8RHLh&x9GuGcs9_F zV9hvycmjzSE+{1yJXw1j01?~)VOs#u0tv^W_nNMSjdMv^CqQ)XS>cXSk5S{(<6vD` z{aj5CYtp+r_a6Hgv{VPe=j!V>?r8*$k|g5>OgqYD-+r3lWd809Qn-^INJ-8X7JQXI zx76L9X*i;GXIdy{;%&ieJJ5#BI8bm59D~0o20h;6$h3z)x<5|sJE8sd`7zo$4`c%R zJZ%rXUR;7>u%ENTA;*nLJEwrqG(w*T`LUJeR@P+H?n3eRcJ*V=yYCS=*boO<&{Qr- zIy-dJhnOw{lGnh(ypF=e&$=7_Iss40cAuv8!u=*h9B!P(x@aqz42*BMnh9IF4$3E{ zni`G|XB=5O&uE=_MN z_S5zcnf0=bWsCaNzVu0+>p|9$iINwl-0;`KRgvMXRA>e=OYMs0Up=Zd0F^dFq=iBH zKe*3sH5xDozU8z17@AE{rkjvA`)H7}NwbyTO@d%u-6dQ(>UhBw=6s2ih^6ARt$=Lb z@cGmJUcDLgliwzP=XfFhy`MZuMTFATB{OX8OSHSU#@Ac?!4OuH4Jh@NAEmIIosWcC z*!k5=3YCjgLQjDB`qlA*b|9pPS@ZHzOq_Chq&t7wR9sWeT>4J)5BU9G8wr;bzhN%l z9$NbM+wv&NH|B?zJ~vDvPnC0btegx8STNB^Utzl~sL!an!^LU2VZf2H))z#!zt`jP znecO}TGy$sCZPL#zL#_=_}p;|Bl7{W^eD8M2Hrfv7S6s8xkvDLa|&poT}NL!F#G=3 zFkdh9i^Ck8nZJz$k+v9gR*XHUAKAt=*J?W42FZlcKM$0 zfoEWCaVpDQw3Z_xjXHJ(pFu;&6x&?uWBMe0;dp0&vU{cEIRicJjsaE6PKW<8HC!QR z2*U3%MS}bTRkB(Cmwn(vpi{gWYcv~-TNSV4@K(-QxAZuWWReu=QI zheYoVOAcoH%n!R>=PDsqE2}k5L`>cEO2V$FA-?!6ZG}N?nrXn`Emqa8>8}n3Y%82H zL}v1yI=LZ|weklzHXh$bvfRzGzsjOq>?BBvG}+V!1J~)9J%(xuMmsyO)?f}3VlN!m zwa~CsF#UZdJ;~dYX#$*3Xu`H+sqsGAEEF6QY_(70KbqPU*viok?X0oYzmK1|3e+cG zW5gd`Pn4NGS^o;maAczAF5Y-3J4A#C7jCT}?jzAYB+kRfbvwyUh;1McNN+|Og{*v6 z&IpKbR+%pmSpWW&WP$91w2J!^rYR#%CBx+p5)wCvz^o{|nAG{XbRrIBiSgPylucoZ zeQVFJvN6kM_eGIj1H74%4E3A_vWrTKtgT53(az+TPv^KmHp4GSh2vY|L&s;BL5Qv2 z)PoMDYO2xz7d73ebi3p^dhPpz8HO-zuMaW%Rw#`M(QKnuB$54f8&hmE9@25!^mMMe z`-b)j6;504UTsN2nVyX0@?MkavCI`f7RPHnSY(&DaGx@)o-?eHbm^z^5PA9RF-0MX z*f=vDkNF0H+$^q2H09OyE7q%Vo; z3%R=ml@Lc%U`YlBZw&BH@;(tCzK+xhgLK z`o4qhZ|^38nS*K}|IXFsr;NNc+atzvjB{B;0i>)j*;BucZYLZw!We>ZW2V~7_+)>k zbcx$6;hDvs-x4YWT9gnUx8*Cpk)Qo+DlxyTmk>`=q_QD5&N;ti+vSn15_$e%HGW>~ z<3@{KuV%DuktOdHZ`JWN$rFd_gIr2eCtmGLO1h36(FD~Fz}B#YyU2OQRqb&mt-t9# z2hh6n(Zz(AB@|h@(NnCz8cDN(s&u)I741!-Y)zq&n}O`DzID8YRSql93VEMF-08Cv z_n6coO{Uf0$PVob`vY4?AObMtYatXp`&YV|CsJnINoPR}97=ixaWa=aHm!<73)}^U zud3ayFK-1$sZ2w%o(nN!os8e9s1`$Idx897FBsByLKSQE0%)eXC_F3^hElL$0Qh$G z`t#eqj3bL|QqTko73*MoR;=%_V+nuf0s<=05po#6Jar402& zzds29F}6p}9@O46{ub6xB}Plr5kvE;Jps=(6wcty3|BmH3w#aX&bk^*H?1*ROQ5CB zJ59@CB>azAoTL1b#)6i5#jA2sm&ZpT=x=;;uO5muU@_xr~oi#fk<54THI5lJe z5$pIm{Y?4%m0tPI;-?-tf?%8kMYw=)&O8XS6Ux1Wl4nJCX}O_C7FAEa zzuEyw0w3hAj<#xZshsFb`nXqS0~s=lxmi5Z%L&qNP>Hy^gJ6i8>*&ZN9pv|kyDPFT z%Iqc$%Rdu!3tgs_jm*t6h5&%ExoeAikGd&2HR(f?G)w1S5gj$lk?9>T&<@4OR$j!1 zegjAhqJ><|?zKUca!ux9#lpdrRYS$r_zU63}?XS(`wti5-APNL@5o%IcZf;p7#1@=EePMBxCQWq>Im8 z;HcPZ=S2{5Dt60U!O}7LoY|Wr16L!b=;?#Ng}wgIw0*;0R-0?}Hi9?Kt_|d+d#XjV zM9I?*h#}V*NAFka%Zh1%PlWkUiOe6vefa66;H_b-SIcoOh}eG1FB0Ah$w?`$%@s`x z5Gi`eFxV2uP$x|Gxa@pbd6_xa*i0Zkt7=mmzIUqZqKA(c39HI{;;<_v4}%5GltDE{EOo& zQ_FRmWJkyap~&kF0?&S5hsx_i)f0W9m)~sh2PWRNqfOf-6504kxDB z*GC07iisyg(5d#@@f{ZYKc5-Kk?mY7!PxX9N^lv_0uzsUsC9aOGR5R8P0p&% z(#nXX3kJ<0E8S^MHJh6QCy|+NC+-FdZeMcJ>+tnOHd4zi*O15X^$F+Yq@Le9CPw~# z$Ay@VrSAuWQc`jy8qi)6Q~31i=L) z=GJYw@1{jS0FdF)cdz!hB+6E>`sxD|HZ5jDMCAy|wQ5b>w7+fiMfK~ge&%hGm83c% z;QT9G(E+5#SX6LQv8yr9y4=29tGK_;j3~|Zt{tr+emdq+b5_}vHkm}_2mLShzA6)b z*`0fZbD&Q;W?Q!!XvN$PdfeDNWQCRC7J)~K4gO!pQbnUz)jkJ@?&4KU=WgQ-Qe#uV zDE6ofioF{cm-0stKkA#oYHnN5bjLPs*x9A^n;G4b&RWOLV+lfkeZyUdrQ873!Z2bA zu>M2Ca&U0}t0DqRsQ)b)xmf>J5pl8p9~BWe(C)t>c>kY<=_+$MeA>#Nqa*vBGAvX*M>7oP)g_$!GRTzK*|6XgLi z;86b25wUS|@qkow!F=(4379XA%LQ}gf~A0P%zyXJ|947|*fRw#=)4p>B~0nRQ?hY1 zr<4Ik5Rw1Uce8_c&5xG?C_vE-V162<48RB4Zvgm%Od0@)P#kO^hX(M~q8q_it8Do1 zEIiG;O@LiElz$$Djfb5VvO55Ae~9)@0Op^-B3zJuC-{_A$G@|% zvo*tZ0b1XISUUj-prLVa2`t$O9(Ohl9_P~uoiL6pRC4g6s3Y z5{&dGz$G@uUrMWS>Zsp=wW5!TDzR%QXtBZD>hEdcouCfm7s2M{iL#NE$tzjQsRKF1 z`mgG>I`{sXSof>JX;i=bWsH01FvK%{J?wAuFl#3iRu@SsR{5c$e4i3gVF7x#v~#)w=`lmz z*%rzPRhCzQdv5!2G0(K#`nGP98?vGDZltzmEh<)tqprp$hfllZ z*9kpFY(F-DN)1r$8_*#N>NDmZ9#gFi!Ii34MValboK#b2OxN2C_eZo9F0-F_b@b!p zUFpZtECzV1>BYPbbqk>d{g?XUUgF;hCpw{o^Q=3L4QlxkAC7G*!?o5qSsR!U5Sv@q~0Aiba5ktU_;A{k64XvK+cQOCkE5-4q%43t4VIRJWqY6wJQo z1;XTFqCadUk~7a6nZ@M<&MjHRWGZ) zS<(-pM8neTC*>q`0H@uia;Rk^;tWxN$v6wTD|h0&uIM{g&wxf?WK67kaPNW6K86#b zszF9y{@yP8%OqX9xPwWz^v7sYk4@xvdkb0??kSWd;O1}Qz7>WR#|OBOIbI99URk(G z%SGc#im6ummD(y%!m45rFX$Bmry8Z`qX4+V9_PIFanuanut&`XQ8& zj2Ap1=FnbH+c~x|#GcQ)w3ZL@HQ@{${XF06Ql8(4rCesEx5F4fAU>#M_1I9E55Dj< z#M6jgS*7y}&VtOP=kJZ3c4%JG{Z7`fuqi0gtXuHS11CZo_{l2H)i{q?#3+wBhWf;* z%(THtagGgbaeUfQc`ixX3hi!om|6RiZ^R18)cn*?gwNR6(4L_C!lS|zRn_G{WvgZW z6Z)%QhI~9MZLL72(MlDPxvz)w`*U6y&@O~b*D;D`!E8=2YtYgp%T)PJKs?EP7qMvl z@0)s-8#DiBprAL0|CCX{%8qS}O~}|0d;1%lez0ary5Fw716wntfre&K+_KO$t!#_R z4~sP=K9D78SUF*wliR&ML(5dr^v}wo;*$&|yU@jUj96LzGb)?iFGwSpeMa$cZLxQx zhkIsaBt9oEg|;0nz0)BK9oWXfK%VAM72T_;788ZtLrZP8o?lLr{ElFyXzxLA0ysm40zZ zsF{5W*0v^T?|pmD*Z{Gg#(@9K=x@`@@M68w6Zx3w>oKy_E!T_2RWFoM`>qq8Z9eV_ zISL*CmvcEfjkBm-%vc;dtlp8*P?IQAzd#as^`g9S=vik?_pVP_f0U8;^WclN*9T_e z@6GBSb>ksnj(v`CK?amRj4jvr(T`5oR0e!0r41;Bg8WDJkQ&FTc3gLGQt?8O-02%}U z;TfONEkA!6Ug0vx&e?GD-+R$~JA858Nm3pUTVtNj1Ys*b-YdMY*W^O7*A@Hq!W! z!yP}vlKC63Qa+TlrlM&Ex>n8{^zHLMZ~|DZU0g2ineZxAvk5j~WpKl2B=Iza0WrQp zFla}tw~iHQTMCY=68CzjYsXkg^0!0I0)Ml;&18-jF%M#ppP3o-eYJCxfx=_D3u&87 zU$GHLlynGWPfyMg+~8-OMa=az{yvimt#DH7#*^H(7+4Ik%%3 zc>!t&(bnWq0Mo?8gCr}A+k-aiKt*~;cl++6rL7P5s%*fcX1?1@f7n%!L9*ooZ;Moa zj>dpV@7bQESupfFk_0|c9fZ*(nr<&WgG=V#{kqhir2z#KF$TDR7~8o{=LI7#`bDnA zMz^IMS`-pP#csPW0=XUv)|7ge#8kYbpw*I7pD^cBI0m#7Ns@m3cWMi@Ks|#ZfmPYm zn}}67@1&U^A#(Dw@5ZCp5ju9-c~iMX#{IIJ$){zS=}g7#QL~VK%X?<5&67wG=%;2; zWSZX43d)p6feEiT3ZItmPP*I6%7^MH!Y?RUn`KLoLjoN78#a( zFihS#d?zT5!RIqZ+hVfC0Aj#)XlhTN&)4F=2v$-_Afw~4Z})5E=rpU1ki<>)YxxtDl=2FM^R>}pX%B8s%PrzS*(0;NT%N~bN zMx_MtvavR-8Pw)V^jL9P*Cyx*x=E#6Q_o&!I!-M1CSxTkhDq4~RjaI8;`*XWn$KIf zp!W`j3kG$OyeX&=@*WZFlbWlM;)itoOzoN%x`T?vg72nk<+Vm1mr7b@qO9G_>1{iOm?jxsa4a)+j4!0)CrZ6q_=NF`%3}9tvO$D#TGm~4r zW1^Boob39`qeL(BH++U(?i<&fLc&nvu)eA2rv(IgjlCLN^4{bpz)0E9x?x5;PQBi% zTKOK(S&s{tu+E4+aj1 zOe88*p&fi56%7~sb##l^wKsGKeEP5@#_^#05h>BLo61d$((d}JkhK!Q(cdw(MX15T z^!#$&wI{ft`7)V|X&d*I$nEe+0asj(Gq( z7>;}HfVnZS0T&H47ufB6bO%5Wrn@jfCOZIZ@L8f=0OQ|e*QZ^82iJdxsTL=6aO%Y@rEi!N5KnXdULN48pY zX{dES@U-SUR`Y$z_#C&6#waai7v0FAEAg`~*6DMsdD6TOKD*^7)L4i>emmdOpT~?J zpn-T_Pa$(8YItktx-vdD);{)A824qHI0R&y)kr_ZD+t0psCtdV$X8wK@K*H=P@Vgl zut4xP8e4b(zP1lwM7MpWNoe$~8uK=DV`&*4nJt9$4&X=gyI_DVoykvZWQgmjcAYQV zKxX>|+Xf?6v6U%-(wRnld)Sq3Jf-a0DFfVOG0Mphtk5iZ?;%CbaFmao(6okoWR(BW z`NIBSoV8WDS<4nT+6VKSSO+S)<;$+(tQ-W%Zm00TnBO_Y=@PBbX<{c9XG>a$9tfUo zwyo+o*Xi|MKi^~bxA^R>!qN2+ORJXLMFWLb6}D3udd`b;3)=Aebtsu;h*g!3t6Mf`g>tog*(T5=%1HcZNbg1u&x{R>g3#;I^4{W$<$ya#@4pO1hU0d}or0R(I(r zMRxc$haMyo`?mP716&2W2v%DQ(a6A2w}%*0g!_y6uPFD>oomg(?C)Hc5p~9gDn{m` zW^PKn$Dup=38t!g@zqMaGyJ`s`v}K*SGpd|`F9g++@@DM?)4+_5BZsQEG454Q>r#@+f>tDtEIl(c|BUeA0x znR)yMHzgI=)9tnlhygk2km(VEk7>4PKK&1VzhCfOo7%pt1e`WScjg}P%85gwZK+J~q{Gt^O> z8qUkF69XBis<9rQF9vgsnxvPZb;&U!!s0TqNOdZe3i{OC|Cb5JPvlSXTvK)Hl}tp{ ziod*Cj&h0?5e7g~#{f|vZtIvsjdQj+5$noh1no(6s|js_Tfu^S++r|qfE`#6!P_dz zO^|_=k4&N`-*)C#1NCWbbIGFTr&bW{5lYwEzWR9G;a`t2TzOf!!Xz5JH)KIvLmPNRz!M9f>kGhk3>#%HO`loha;qsgNlA@#@{rZFs z%NJSX3FqZzet81Nj4j@I&*X2PG)8cVq?5OdTh0aakp)zoe(7=X8BJXN>I`J8F<1bH zXNSx)+d`tXsG}WQ@YyXRckfE^wK3L~i)B3s%gsnLBkj~RpbbVp; z)eKj7GK2MCk5uw!mfiZO4S^iQHj=G(c{F7(Pb`4AlwUXys6dzGsLGEbMu*|Zup3xt zx>%nle_=GtG(}rLal_AcC?_EDV$?!HGpiCF~G@#A*W3ymxjI?_m zRvF{U% zPhl5Y8_6M{(KokAFdZ#=Ro$X?&{r+73j5MSrp~c|U3Vie8~qaZfJ960lZ2f&4e7UA zNnK!tOBPn4-GDcxtX6^s%^2ZTq>FH;0m8+xMdyzBm;0op4s`vjF&Q%fZqmTqBOg1fs1 zch}$=+})i7*MZ<}0fM``ySqDsy9ReB-{d*xyzlw$z5i`yS6A2W*-ZbsYSmh-*_f^L z(MNJ*G8(D>#DdRixXwXStjMfx=USgNVUg;eMH;&Gf^=c~LoHBQ zJ^Up5+d!IedM`6Y=Ny#E)2m8}KBp%`d=voEjM+?rTPgd*X6N1yE0MF^E!CO;4 z&gpRxkT@Zd7B=GAz=^X{$S&w9K(|4y&J@)9g#NVUoUl0(*L=6oNy?Pvnx7L7kUT00 zw>?x9jHq0>3mp81X;NY?=y4I(RZzalY#@{pY+sM2)Lqb1fup1>U(i#6$ELhr(9;6) zS+hWI+E8MiOJSN|rT2fL*NOVGguCd|X+O|)(}rWfEpp(Ty{c;7XaUhcYj9H9IZJ6P z|F22qxBUwY{xojN{&tYw0E<Sa$jCK@@)D}Qz6Ie<9@Yj z8R?i~np z9g>asJk7=i{-rJ|>8BbooH&({bbM_>-k39lGCZyd$ZN?>hKL$O$O0ZcXlDBn02HG2 zKf|eS`-edxP5$+|GQlkApynCzPY@!cpTQ|!Z?`3qXxO@y>(mbp{4^U&ZqyDBE_Brm zE?`e0J}X&nrnUdQF^x>k6aCTfHBq7Vb8zz&}u1`7()z2ldz zxNvNfK+5Sn+&iq%j$hWJOSzWDF_h2Mzd5Sf^Y#Pe`17z9ENz&VpJA4MDK_~!79+Q( z-)Xd}HHE&cz^s>c?Xo87i>A3OlHhq^f3z)dH-9UU6mmr78xHX@1qRA=)_xxXqiU%j zDYJ^zI`IBJzNSTvg4Nz7_9$q#Cm^g&mjNh3J#`rMWxc5r8`AF-OIU2j{Jy#F8Vo1J z@>3ANo;e$peUfL=>CCn!5#u`i(TT^y3f9#B=$8jR91i;w!}!ap=XwMGnux$U{ln2} zFX)x1rfbC{{VUBo_7n~c`_m|#Pu(Bz$3XWamcBo{JW0Ji;e5##0j}*V2GHyj=Aa~sQf278t@=+S;>pwL=KKB0rLfLt_ z|A*I_ljA>?D&0?2tkGkJKk}3b) z(aH%D|NUENg5dZ^{0!7$nK@nsI>3fJ9)TDZ^RK8Qpzx6hv*rBHVCF@z1GN>{M)UZ4 z%o2epkp&e9=GskEiBxLbh$J$Y3Q1kNhM3GRx51jA11x-3)NUhd)64ttc=k8pYWyes zbK|6}Gqf^?#vo=Ue0N&2;Wp-G$SyyZ6RrFAw_@Qve!^J5dpqFebsS)z#b`!R-re=~ zlFt8t;=Vxiwk4M^$;>R={zpcp2zp;^DTH9?>0S(Zu8$d!`;zXAvfVm>-*iaeDqug)VcEA{s4hHv{j;m3#5 zR-b)jO>AfI`#K=A{~owi<_Pe9e&YI!qgmvDsKh{*RMmK}bbOjjSP(2n;;?BtD&uA} zI$-l7x?(80O82y?OCAOWdYesk%P3Ph zE9z}AK_KNcCcAual_Wvibg>JO-GLiM8cbwW$8^J$4+UTchB%bYE>aDWN6mjb67(Q= zCG^J;v2&b&wWOqx316 z&~C%oa45B~aa&!{jT!0Z(NXPHYdgm*+Qz~JU;B8(Efvzqyr&_7-4Z#r1_P%0y#v?O zNlI;Ea3DZEypS+iRo2}xinc2wF53A!M#Sh_sZ+vt^-uL0&qQ0H{5;19Z?}WEj==-D z(i%?ZHmNnvK3!!WuhYL{m3jSs{OCEVgIBXRQgx>eqp|Z}ptUpv+uVqs6+B_${8`*p zP1!HcgdNn}+*6xk{-yvg0be_~K*>P)EYwnAHe3W4k{uY2X++R!n)_yM2Sg3y`dLA>!)ST9@x$#W#=B8(>r64z?UwsazzH9ka;1BkAu}lGHkOZRC9yN zqfxjLkfVDXk8k*W;FI92v^d5|`oP5bivOv_P0E4#Wdh}5^?KDa;ME9ke(a6dM@o9;>T9|ewSK{8fIbf?QQs$<<>w{?9BkC0;hqLW+{>SC zKT#7JK#eWf)+soA4Eoxfk9ymENjVdjy#&(yc5=Wgm9OPlKPJYTXS&+1w3-vW`W|qX zlfjD}cK|_JiLQadIR=7*AK@*3zGt@MqUQu9i9z__R>Q*|W><*e=>{UJh_QBWIbgy4 z0#vJ)mobweTBWggiFN5CLBp+480o@f0NWw3gNex^C~uERJ4+7$+832&*E_Ob4)EIP-Txk2zRM`dA`;E&? zeHljg@OCqzEXktlPmYAT{5_ZGx;2;`X%up%Ztsh#v;I-%o3UfQyeC}Dl-}R@FEI&{ zbM7t~RWt6r(0#SU;(C6M>#AGEe*oTER7YdC9=ngEZ^@!3fnza=k}7T>LuEH(Tc7tY z{}{FxcfyBO(eYne|7cNUku+ez0!p#jQtHd^vkTwegm{guINTOHNgH| zQ+Exw5>+Yo^_1Zmv|-qt7DOEqbq~?|J_ncK#UX z`Thy}tJ|n~wBx_*vUAUX*<%>puseSjO3f|fKMN%n5+lCL*zGJN3Ix1AJsqf&^863pu{Rh_q^){V7>R>9eCiW z)TM?m7#)4p&+2Y$!<{(blvB5YFTeV2N%93hbkrEqI~ZZ{T>vZbs$_~?d~WGG0Fm`b zj-lq?LG2kavAy5O8ibbXK&vYOe$1mk-^7i$Q-b>U8{Hhq1AZ%^w%@9HwD-dJt>7Ws zz3Y21a4PD-7&xZ8d315)>O|TaDJgo&Yq;d~vm;??kK(!Fey#DtUU!c`Q!MDv{Rqhv z5^alXPwJpD3?*#EltmXN^>r8kOY2$q1V%Cn%!a0oVOF8qyx~96uyJ(M@Fssi9Z2py zVAKJr6bnmg$Q)fOcNCpFRyDmR4TEHMMP(uFDeY36beB z1_l*SCtC|96ww?GjbDWtV@dY;w%w`A3KSFE-@1j240i;~Pf?!L;F5{UK3xHluxZGt zeAuN*7U>D%pcS?eAE!zq(7U(`daLsRc!5X@dB+}9poy;}BZPI>oeFm`>W7V*5ZOr7 zeLye&Uo-ka?k6iM5K`|7`xL6t7nEnuyB0q|qh$;ltWQwdLT;%zgTtfLmy$ZtXmdd7 zi>LV~PLUM}pFL(;{=5Bt{y#>qI&xY6UTSwop?@zA7XU5b5UFpLX7DSoQ$~(P;3Oj> zjP_PQnNm%nEv&p761Jjoiy=k|;@4f98WA4HQ0I<{%rHsAKNkd2+fc1X#T#7yRwVvj zdhi$h_c?3=V5_kxn1sIqZgun8{Mhv#HQrtVKT_oA4;Efq(SnoNvu0{3sTpd^Ds^KM z?D>A~4+A_G)n*>tALDCjl0w_iHMDUYcdY$G5g)!xmz_0FpQJkpo&6xz2EW8}_<|3< z#aA?+>lbqOfidsW*%H?ci#N8HLV=8$%^XaijzAKk#p|ptq;rjdzAiPqb<80$ti(S$ z|Bkw@Ya6}f?0Hjyx9y=!kP*Kz9ZRHft78isvjY&qTQj}+URmcO+-l9mK0SKVa=r?* zJH)2dF~xmvy)mDAG4mfbI+dIndVWjbQ1Ym25+abxsjKR82xhlokm>exR+i*d(4SAC z)?T!9N~o?(VR#t$5n=YclVO1Y6FjR{7h$THUuqU(m1{8{uDZ{Wu1GzPY17n{<5j6J zL;=9D;YjC^P^@1u`>L61u_tgH(ZLomU`Ku(Sz&=80~9L_Q4O~=GS|(WaBu2>!^&7z};iHi$uh57U`tFK1#7c zSDAmWtSLKEK_k;9Dc@}h@u#S>;uoE`PPFM4oFZ@r(&-V=jemKjdu#N|Fz8RQS9eU> z@C4`krF*&?Y1H>#aTA8f8P@(>bb^r^cD~_?sIaKlYRj_3KA*B~0fd;I_+)8sag^^+ zqSqHj4w!SMgJ?qq>X#Hjvod5(kE+0EPg>Nt2u)8sP1x{67T$VVM93-jTl+PqXBBrM zD#|MnKExoE(?L73u-CC^wL0n5Z?rHDFxR(S@X6xph=2(>Zj5GLegZ4kVYD?pYp}^ui(QupQY_qXRBJoT z(=H)_mC5DkR{&VF)Lj7!ZJlAK6BCD46f9df6SZZ=p26e+#)ksHL(C(H&QNXE4Jhtk zz&*!{LKiOtLo112_r2nRP zGvLNTn{)TfnC~8Pxw62;n$Lo-1+VF5lPQ=kz>WWD^N~z`e27CHZ3X6zOgPhJ ztnY(0-<-cpcU(51nz_C!#7UY24wHW_>p~wi_M}>A`>T+5P5aN=Ui#*c_8`61SL2{c z9V;sqmK`U9QlIRpgrqu)x<(Bdc4uT<{pSb@-sz=Pt6#U}7E^(RLQev}Wl+(NVs+*X zD)nJyaKFe^Y;_!EDb&ebuBeA)DU&|^0l<&bSpGdQ|E~xsJ2%V!Vq`h~ea zqNy<~17=DY94SId7CHlLiU=A5V@ggSB}+;s8iP1EM`A4r4mf8DSr`N6Kegu1=nRto zv|8Gtfx@bQBZdDvjv?h04b+GE47!<;TLdaZhoXZfcfnv_PZ>i8-B`k4kVp~608t}@ znls@bK4=5@BAlyFM(9pt+!&H;_dMJO7JA+8S(K0m*g4~C#>pzlX! z46jp`13%qE-pHvgBTs{}CF(Yb zDHSa4rN4YR8v;WI%jxAk^%3rbvH^qHc7beM+Ys-jVZI7Ai;5N75lO)CxzeG|AOvb|7s!$=Zv4h+5@$86h_d68;ldOc%JUA zZF18J1yY1RphazORElWX6gZzDW0s1D!oYTeuix=nV+eXKW9D8XImTxW0Jb^f zO2#*gM#;>5@_J9H9XH*Dp*2gr0SOKoqcl=hp=ZPXXQp86p7^L5VuBzJNQvoijYK09}Rc`y}u zVD*2FKJ-g8TAzGBH1eWw7IqpK1T<~81>St1`#?IQ=wR1b?Z^LmozH9CB70>eeZ}nw zx`%$=6{aiQ!%YxOo$P1zUypUh1n2 zO3i*$gpT-S0L-tBQg$c#ba*CVQjgH9Nm9c0dr8{OHX(fjqAMe!p9SgZya3GYBf8}_w?GahS{)M1-na?sbcaa*a<#^hg`gJWf*n9h(#mcf#s;>d- zLtcnFfoHOwVGC{Uvh56eV5qxIX&~}y`h8u=_x)9<^mb_}>k*WagS`o@*2hCc@ z=)(rAx$Zk@oj2R0yc@S5?R*i6eJjn{I_3MT=*rUaf}bIK8(Ncs`};-h!Fnp)#K4QOahLeLtn%eTiLq(aw4j;MAd9@_=gTpkW+Ya7sPC7fT%<>f zA!MQMGD#GG2)_07bw)Xu&!cmXNoGZDr^orm2hUf}x-+W8m9B!*n<-hZ*Chfo zC#)VEO4EGAoj6Nh#1(rHY6p7~7MLU;YJK3LL;4M*BJQ9gTyjcq+H4S~pl(!JQp-PY z0vH-D8tbQcsjZm!Vy}0vO807taH3xM`e*F?3v+5|0}kJaZ=DfYhPl~I!FU-lv$Xw& zd0|rQOHu;o^ZnhBuYMRBx{rG%owpqE*Sj{8>P;y*>pOSW|0*|2i>^3Xos%mCx*#kS zIT=UPm)WXFqtNxY?3zFNN4hUuBMYo+0}6mf#adjFL#6|QM2U!uqRB;0No2`8)-z_- z2r1ROpP(#UGfj0vM^?$Ieh=|!Es66nA4U#ina(jaA7m{bl2!4EuhuGE3joNBU21TTrl_tEJQBmMQ7BL zQS4;9=cDL1X`Q&ctu>6MY)QhQfVLK~Uu@hIzc5iY%BLj6fXZL3682>se#w7yi4WqPF3_;Z(6`CDp=Z$q#zhSWWHcAJ_ao{ztc#4zyBK2~&@swjyof`PzF91S0q71ZDOd)i zX9?*EZ}zk*>bfZR;sPT?4bgkiKP<3^OM_>qYCcOIZ*c8 zfUUP|!PHZ$-!`$e0Wyz)gMNFJi5l!!hWR;Wf#&cv)<349MU;>XZvGfiUjs=7 z9QYU6sXBJBi)4gs;NB=F{rM>QJNl@@Yty za!@I%Y5KEKdee7gE1_felZP(EJaZ^f4-82|95Uvk*6FpocdZBJEvn^X&2+m?<+++} z2hy+L$Bo%@?B=V46ERYPt*tf`TfgMwFu)tkrzMg;*}Nu1WQc0l1!_z1v)wp^KOh|M z&cl;5HRt5@R5Koj0f4$6_CKHHX=ZqiR13OFRovM|=PT?!E$thGvNkv!k_;C&jmVkm zZQkh}%eq=4Tl|L2r;Zlsq_h5s{d;d&j6cTy{D^}}C|vPIZ52ihetsN?H>0r#RMH+Y zXTmq4dJ|`}^33&uRS3h<_$9YOUxSg*9A0ekG&gXJUA1Ht1F(fKn5U$I{bCcHu3yF* zjUYME_rznSYU-0#gwLOn;W$*qGT~`9mU(iO;}-&>S!O{+Rz#D*Ss^HnV5D)@xM@`X zd$*t&rf7GRI5lf6?zS^buJW}v7w0_9tl}Qow(;tGTB!wj3WJ$_a?z9*zM=DHlaBdZ z1B23e*%QG%GC+sg=sb>-EN2iCqFDQA=oJY)c$Uj{N?PalQEO3oa>wrFcJ!N|*+BAv zAT@IJy?e%SW>o9=q>vDIQN6)0d0p}n9CnVI=nraE3GVMupuA-g&FpiW#MYqDpZd)BsPUK(C;9!@8K}F`)+dvW3-B zo!V&QqoN5l-6W@b(h$>G`PpCY=TjWG1u_-h4UKVbf7%PvmxRe%nq@?&Cuy z09ZuF=oRg+B|ojEK40O*`0u#lS*x&|o(w_#nvB z9vhf$k`~#1S}y_g7m3nXdHHgafggwP!KCu>W;v=_fn~)7Zuw<-HVCJKy`i_B2R*ff zyCWE^Y(_tROz2}Xjp|)?YHu-bS`c4I!zgV)UjXu-Ew7v5wvPR3JWFrMfdmJReM#1v z;y;gW;sya%N}azx0sG6&`wqXhoh#$5$syj&uoEUX^~-j!cFliyO(7juuii_qW?xK} z;%86l+ zjtlaUykbyrBi23v<=oVmO9g98b2Kc76@5hQ%GG)jjE-_mz<9pwA(kUoE7TA)bI8nm z-Y-kjQ)%=!Rp{C_b)y-H!jUyJ;{C>gO$Z=iy}6a`lR*H+h|ZDItMqJU{BFIE-fc$g z;xDqh6=d>Y*xSVomKGZP>I2RfEb|~d9If*qe}kaXu-m8%vwLUo{bB~(T{*YsUUfn& zEWmFy!h67nQezRh`(D3N6QjsMfcQ(QT0I9`M{OEg7|e*d^)(RK?%@- zIq18)k7^5Z7G&srjMip{O~S1yoGAiC;|ey?aFF*mKCGQXn+V5rCcdPK_S)42dVui0jf z3qA(5897Tt!tx&O-+U3UVpEzBmn;yEAGSSGp{|Y_s^KfCtQbq+3;2Fc<4=X=2Zk6e z%Bbe(YF|3v!p&S%wI7M_1+qLr@A&o#~+z5C z{((X;{EuE02OHb}$PaLG{#W}m=U-Ta$|p`v))W>h1}wz?q00K3#rZE41^WL<0R5XQ z>t9~}4eJ-7eMQawb?K%R(B&yhk%!yuU0OM?r}l~QW~ zO0(qBFo^&CJLQNPl+*!r4q*NrPeaQ<_gAWlIR!uqO5T7v15(~-K*^iGsTk16zuJ{4 z{7`s^0P!6V*V7=_hP}K0IyN3@=wMdD*?tXy(L+KviAHGzgAiq-U@a>+M1M^?Rl@yK znHGwyVfJ0Y+%(Cyl9LxQnIhoz{Dj`Ong#_>=D5(nv4zWB9T_aV`s8Aru6Uh&2mSUO zeW^NM{cK2hO|Mt{=Y#kC{=`tphHGi61x5XA)vVt-`GikgVFW3^nS*~$ z_tSs5PbXFOcT$q?#(6;mGJPQn7;R|E)uJUqd{&he!UjfVtJZCjP<}CsO@+ja#uO>4Z8ZnD5OxaRdfO+f^a&-n1NjWaQuyqEOa$ zA$`U^z0^5;y3NzOncj@v7%$2dSQuJ?NxX2k;K0P{K$1adcQZb+#9;svjg>sb2T-SR z!30H650hBs&kP=sO~B3ZVTS!Ccot8S6LvI3T>g_e1pN)=S@B)WcEzG&^U&Y8CQEzB z7Eh{S=8c7969)k$l|O#ujzXN55`{z!CgsB|Up>ZLXh(W7@K$wHhfLGG~zEeTX6bMc!iN$Bxc zc<>!zm)YSwLk6!abyx|0%aTiMmP2RG&vr~M)oXg(cF-fFrh^IPG;7Ls#Q@Qn&N(fG zsX#kAgxGVrA$kt?O>~cO|JSNuuFW(jp=7Mlp^_z;$+dNx)VI0tjgVec_}57*JE4lK@m56ZS5xGEQpI5c#3mqz zW2*O)ZB3|8Ye-RmE+C=m`}CWq{s8HC%wY-_MYI0-*!oIg+HOQSs{a?4lR6#EW=o2Z zX`!it&y70WM3&YOVNC4EM~N2%=DoSH=G`Dk-zRp$Hk7`uP&52Ne8{&B{mp{g@~4dJ zE9)D-goHTJ)Tb zUD4**2lBz_cTN8~Pab#Xso8GMQ zh)B4@c88kk?Ent^{?c#pcC0oM)|{s)m%I_=jkonxSk~1v%KJw01QK1;pBs`;(00B0 znH(K#j<*aTtK;eJBsabY_zssNsqCHME(6(HkRK>xIEiJRy=RE6S)iTTxVP#tp?7&> zEPMSYGe3&)5&f43LZ&wa&q-sn&g_ho*k)s_eC-Ky^8uNfsJk{H^F%9BV?PL_EFCQ$ zW@DeRUq{f+z{|ecc1^5UD-A)-WwMwoUo2Baj8NbNIA+grRTYtZg6GKy)SDVM51S3b z&^Y4i2No-s$>=yB!`A|%c@Fq!59l-fX1xe$X;#hSE0!m9fXUV+U=&UKD*zkb4QiUGj4{` zhz>&~iX@Fjn@LP()>ih#UmrUvSfK54sI5Ks%%M?4`?NK`Qqi}g$IzuurzXk78H+Hp9l?oHal+iIqT-c=s0zk912e5v+Tb9%4vfG z!xXF0y%~|>52m0`2XHaHc+he22OKB+9pK_4R!|Z9rcfy6cYXX#k(eI*C%+ZRh z`m0SC_x;IPMOsPaq!}Vj3OFHb%Bhos+2l;!wMxiT(&FG?>LR~ae_ z&{0w4$V`h0BQ=Ic^vZta3&7s><{`3?t^6M2h$W-RmJI%2;7gu-$}1)OTj$$osx2PC z{;EqAVI-4D^S4a~=?I_X)^95{56wbk(NSoz&iiAVIy(G~dJ#Ie)MBU9eDXmcc|t*M znv4MNM?1%o3!|+@USTWG`mW8H0cX}uy!s!pyoP&M_-wt;CY&Q>9Na`Qz8SQ5LXWN{ z*R6G=a#@dzdrJ+#k+U`BmX$P3{j4*!0L6pwk5TB=}O1aX3PUNVSE z(w6cWESYb&-|^xc^o3Ezk)I<4KlzFo%Rfpu);WXdI}*t844o@7QYgQXdq22VNZ-4{ zK0{2(<(YCN8DW^Z>d?fR7SkjEI^77%iH4mS7={Q%gHG5mJ#RSI>tqa^ASn6ezhpz} zRh(fAk#8Y#a)r`Y&z7T2L7$hz-;RuRf4d<2DlkUlOuVi&%dK63ebpMHPQ^%hRHyJM zf+fzg_U;zKL7Jw*RkcvXG_0toz)MF8weEDuQ$(RRY7vvbY-}z_r4=y`;3%e0TOv0) z7f$&lK6n<_to)l0eqQyx6wwcbuq&TA#*je5}&l!K>pqh z3cC4kIzzrggqf;EiR_ff!6+poJErp+@kj9?mL_Igb0IIVxmJrWD>6py#HAwVI{`tT zqb|RmMxA0le_aV8qfeXg4xj7C8_%)VNf905j2ZK7GMySz)iZXO0GK@54F=x(@3g|q zc7A!u)M^h<^X&?Vbem~@d6z2`ZohlcebUUr?8OQkt5W|#AR7~ML*=`1#FqW}^Yk`2 zJiX94Wbv2=ieTlS9Z~m9jpCN%rUP-jFl{)`R{ov0*VJ}A`jC{6t-qfrAN`>+PvH8d z147nK+<`=reX6+^3835x&#LZxK~DHl?3G;N_wl*Hq+*pB=jSp+m7fU2f(4ZBWShn< zOS;dlXck=-mSu|AGhGoJqeQ5O9p`vW3axK_%FEIXBsP1g_~}-jfwlbQ7M92@qv@Q$ zpDo0}vg^X@c{M4UQyQGgON<0_9l<~NP>5Hryfzz>^IB%ty#SV%i8TTYK~-WeKu!}*fwugXPs=-p|;L5Gz)1$kx%jy#O9P1 z9wA-{NuUyuPXQG5V_?Xfcp>iR{+z*mFFy8mId)tK-d&*8R43rbWlPIH&WH03>eKgw z8!hg;FOxc4iSL}vxroYQLL|w`O$MbsqwQI02PDH-6g{Syw6Yut%NFA!4s>1~N! zO>x0(*LcH@nAd2y9PZHlB}P|^oO#&WKk+D#y-dhX{26zMFxn}bXIAvf&xAhzMR#Nf z^DVr{bjBZ zQy%J+Oo2BQXJPhgu@$vo)>MWJd-GQO%ymb{MFMe*`wvfv9CVA(r{g;H^l2ndUHM3)xas1+gA z>G?H)LYZ%h5yu`OE|M1bp?tnq67GU(<$i>L@|#ION}bMcg<)JHsiaQwLh0(hkZ1if zTrm_m>{(UC^m!UlfolOo&{FuGq;j zJFkk^$G#`2p5x*kYQ>Fvr!j4I&Ng)a#p;xQoJdMze(6FSJMK4%(t#?{Rm) z#uuNux_!!vC57|*fXrl$%>7HG;GEI~Lb^!&BO&>hh@69s<$qb&xc?8z|}WZw1T$&AEVb9{-hdq4~dZF8?_DKXNWyoGF6T47}hxDIa_wfv{O!CQ$B# zJ8=h%7NGkdDaj{jjm2y#1TCmvqe=mr*hn+|CQA0rf|SrrDP4XtvUPO2rrsG7l#!WG zA(CtostZ!_2`7&Hi_%Yj{3R@tj@xG5K002LSJmfDlp98dqIDXuHt`sKI_zke(Kv%6 zX$e^Jtq9x;mkwiWDs_K2_^rLyCyJ#n0XT#&g#ZEGfFAvp=Pif6L*NF@Q?@i4_E&2P zzD1eRQc zS{_Pc9v{@Haz~@=zgQIN;od|h=wSo#gd)`5BRN`kXq`?pz7IyTYjwb$_l6YN%PPS@s@c7#ge>e9iMM02N0_n1MKD z(kKwMjl1`*t7IvCyfAo(!7>=8HzO z3i}NH2kwF^XY7I^YGfH8NUy=mN|`7;e73AV@a$sx44%f6e|y|}Ve2DM!KY@e4d zmIgo4HxYy(KKfG;$q%oROj_$v%erN7oZ_HKG1=d8s>Y%#TApKA!Pf|tY)@}>*zoxb zqV%vZSOlS6P0x?hhql*uC{G?8F<(Yqx36EGW%k#4)I`Fs3q#8V0N{j7@2K#M+Y{U) z%51#Up*O|LK<%GmiD(C5*T1!h*b)S4$rSJn)Ri#+=wlvmfjLH$F4q^B?*3Hv!Z`|C zR9@;xIuJaB{*II4PViqn$?bF$Rr;w_>0YZrG$%hS;sRIl{+uLeRMkY?uB9Skm@Qc_ z6{SkldrA$7=7vth0{~c8$E}1ORrtHJNw_Xlc-Ixi7`x*i<*350k@jF1mt4m#zwzW~ zCUHpyUk8B$WnuNnPGr%(nr_>PklWr6sf6OIyor#!;-R9Jn#r>Q`$SH@#R!4dL?fIL zZ&f=}oa?E|YEU&gkVY6zB(UZ}Cj07p5&dZ` zCPW6+-v-I804p^`jKH`(AF^RSsP476{%(hgoulBiK{ms!5K%>ZgPb0}q;?pxwZOP% z*Y_9dD_CEQ5%Hh5mNs?QeHeLPvVBZ09Px1vhrXnx)m62@Fg1n1!F$64GHA_y zw`%Y-y$TW=bhUBxd*97By2={pd;3vFYy$8WLgWdu&Y zTFQIz6$aq+c+*p;_m~tGX!AAe`srj{6-D^i;D1pIBF&Fo(o9t!Tw~Xy`#}_N6q&yY zvEu`T(Q}B_$QVVf@yILl9BL|xi4G2|Jok?bT@R&@f56ne<=FD!x;4N1@f_Kc?1J_R zfmDGFZ(J+3In>0T1t!ZX$tf5Gww4M{L`Ef0jUifXMBcvzE*H~1Y2PPDS!h@fW zU938e1iW=)|KXZR~NswmFDmy+uWs$?{a{|9L*Ck`rQ_|D_N{zaAMsDk!u z{Yi(jmf4M0x)P512nU?Eswc3%7$HcDEt3U47gZzRX-RmDO&kZ#TPBDqYT ztn3wM z%+5awZ}>g!&99*>wlv)KAG=b>oE91D-0c>d%Jel}&t6Y^Q;w!ZCfF5Ns8MmA7aJS& zsZKj|oOp)aq7JA-mDoLf+p*MxPKCm)*6y2j{^0j}VE9CrhS-cGA@*#NBuqF8{LOhX zy;CD(C?jr+WR3V^W$}|)9)tp5d^hDPqZeYh1XngzA|OjMG7>w<&p^{w_0lMQYsoOb zvC!5)!#2v%CjBxT*y4ktDl2&Cz2~d?k(&EnP(uWL@Nk;^jip`?GH9((IpGQ@c&oy_ zl((Im+zw+-^C)AaJOF95=Lzi{s)=r7tIK3`;YgGrni>b`O#ZGl6TD46mw6D!4YNsV-;ba!`mcXxOBjtbY`|K9f-XU=~1 z${nn|&sv35Z1{;lIXGRz8h9gwNxw`9vqR2X`FG2bDFs}y*&bCyqkQWs3zO2-Y+*@I_MgwP&y4*jOiB%!*=*b_KTkz1&SDB}GiFGnP?^aXuFvN-J}s97G9Rtc zN>2|RdPXr`#LS%U%I^RN?l&#rr!d|(wjdihq+N`u2|x!kl zh=q&K0^g3^iSUx3PBsu-x_(GyZ{a(h4)^-d0pqHs(0ZA#3yTp3Bj1wAZ;q^<@p_!_ z^q!SV(X-%%Qp5}~fqrmvX@+JQQ1n!e{45upi}p-ilIEn*lVxYb-%y@;5>A|U0v8|8 zk$rFE04W=B09*3P!-GM%}V)C8-Fu`*ZCeB%$apqs4U>fTgqUsUJd{ zKp)0K)N4rW#ww+lZD&31N}&SaWpPaAv-b=FJoKNdV6TQ>U@i`ajfcSk`H066q1Z;GXtaMt_|=l zJTvi|sv<->YA`ndRiMhQoRz<%unR}vZ&R#T`9Qi7dShm)+qX*^0?xDcAVlI3q}daD zr{6jH#kR~RWQJ2-)Vara-K zq{tA5x_51T+vBrcoy^|O%2Ghv9pS{58pbAceix;Z(ODe#y_Yd&f=^2GGuCp^W=1oy z{q~&auzbpyoAc3Iif2Hdy=#?s1TmH?(*Y~F_KmOykks!jhCK3*KfG2r)RZ~NO-E;R z1+1`=ND+q5*6ehsxpA8Mu}9NkE9~WfC)a#W_n_}Rw`Hk5+c2&Ls+seg#)t2FD^*q z-fT#6R*1a$Vq%(EZS0MIjK8k2CyWB;UVyv~8@uV%w6fR(q5lOx4Rl3ZO{w-El}P_J z*o!I=haIh!+pUV#47<`*R~2Fu#o>20`P8f}tgjqoJ~evj={YnX zC`97*W=@pEzA0Y=n^E>rSTERlg5IHXM}&tJdd?@lk=VseBj_A`3%fLst;zR3t9sQz zOX4)0_Ela%hEs1V!otW@G!NcZmA6n|lHmY>X@Ef6H#kWbJ4AMPfsKB~uSM{#G^f2+ zIB;~PyfgzJNx1-v<+K68oMK7+y}w{ z-u$L`TWBj+m@=tcNKUSw0lfFfj2J>0Yu{BV__OcO@k#jTw`CbzBXM62`LV0dezA#% z%9QzfX_ye_JYDAVMit^Y7Z-=Fq|9PNqj`R+L9}DiN9AQ6RqXbBAl1G;UF~6gqU1MB z{?^6XWs%p#=0IdEM-=u7tLCL=Xpqqb>&YnDMhNca-P`)|1%np>e#(R=nNEq|fa)8_8XoouCWP zSOHEkB7*uZT)9Qw5MJV;n++bRjga*c6*pie?E6#A7Xja(t&TJuWMqqeo*O7|U{5Z7 z*6zkg=ZmWh?-S{LYLEZgJD9NmX{F4MSIUkFKlqO88;pQUh)r73jPr} zGoMSP#GO>frK@yI7CEkYf-QM+1wJ;l`nW*sppqYfpGGNhO1|z+%wWrGA}1gb~sK7MsGA3^7W-kCdHG zar?;uP1Y5$*EuuNB*`LXS7GXi5Ouv*lo{XC4j09I7__RlP6&Ea=J$olUF$0W7R=Gl zps4)O2E08Hjb1*V7PqcS+-lAhG7^rN)`(cSasKFAS$&tAZ;GFh>k(-=Vdwj$J%G0f z3AQr#NJgf0p{4+Ex`zP~!GBtS7vrwf{{dKu*YFO!O z*%GAX?*7ZWvU@*77AK(jAxoW8O&XnA)%8EH46 z!pqTm-cY+mtJ%Vax~PF-7~FV==yTVH%z#0@KH^BCmwJgZN}J#K2uTe`cV;VY$u~f@6McJ3nX_a@nj`gDK4@UW&z7#++b9+d2;XYOkCC zM@sx%_*lBDgGs?)K5-iFY<43eVA%Qf;56&Y4QU*ygV9I-KAqZO7Q zfQ96rGh0CrDm~IF4(!C1N0?1~R=MNz(DmtUly1v>clF->)~ke$ zJAGATG21GA7zbJH&66DE#TK2|=3VER$+>9yZ!qcBd!7+vHskd8PV^FTKmqGhIZvJiN}!=GQugs0^v zpjx5jtbOu|WXt8Y4211euEuS@Bb+l+e`b#ziIF|vY+$$|il{7wlYr(go?V^QNrK6T ztQZ*^(3&I{RD`h5Wa6w{3B>GE9#@$Q5txaGAyzM)Mo* zBHqOLhkZy*dS_IE-Nf7#WZtr5tCD@AxW>AmU8r(O`7*^9YyDqtOM$b9pT>4(r94bg zHn}3CN%@)BXBm)V1E#5{qq#*|91kf!zuv_U#zGq%Q1pKX0p}~(29o}Q@29D>%Q#i+ zW3b$bAgt<*;x9heJSE#LRn8uZ?pK!(By3lBDhEt>UO9$3fu}NFVpLK@IIK>sgFWrN zXN9ySS_1b*RgvkbxZL`D`4Agv-LQz2Tjtsr&fDpk)%~F}wL$Gty_Wb3khDY9L6%3(@}?cu*X_AmEdFE*n;DR$ohX);;bhmvf-zId=V*!O zm1Z%1H%~%NODo|)+lwoA^1uQNf@W=xe|W}E^xDqyEu-Wlbls(dr4$=6ge*-4%8SP$d_)W6qkYBw0M*~+SwfI@?BZ+F&mW}7KYP%X)IR-517SsV65y0 z0*wm`B6j+@3mr3^-E(;Ht~W%oXVvK1%XMtCl%f|Bw?AKv@u>vlzxN!WUMmmX8Ylg@ z)zsuedL1S|k1%~pl`1PukXaWcf_gr~qU;x07*|z>rw(MG_oNymbHbio)rDy%SCB4+ z6c30-hlDcH93(V`_z|>N;(cN2?$&ed)2z{+;HRu+vN{j_#-Wt><^<`eQuSr&rnD4* z6P#v+;Co`vYPf1(uWHfykJ~Rph-32ko!qgfFU`I8n4?t8CglW=UzF}Zf3z!!E9y0@ z^GZhZ(@_GJQbtjJy~|=uQGPh^)h4{oYR}ktdD!nHeEUuPAn+FcdtQiyjZaBGmad!n zBw!>qK*h8{oRYEey$Ekc@0WZihy>cG%#%AmJ|YLE+Fm4?=Bn3RQS`S*2F98qhLPWK zsDw2nXdxk~a@O!1YWl-;xQB$iY3#!_UtnE)UmxZM?j|S~a9>ucs)#vhR1xLje)%Du z0MpNIBb2ufwH>g+K$@Y;9$fT2^YiuAkwjM#@Z8^<5{p)0OC`_A=2Vw=n#tCH9-q3Z z4Kc<1f=T;h)I*6~JhK@(bRc5{J zt$Kbx;0h;NU)klLwF{xI68-h*M`w7dA+7_ff}M7*h`HHY&lYyKT_rz5ejmyqN1Y92*|#yrPb`tqpRSkREnk4VgF&=? ztK5Z;O?QA~rWHHV7u%*qF#b ze7E=eOd$^X*#o0}dfp44h7qxcJFUQo_rUZNa~Gc3W(BRKE4%v_u<$q2vykKW_yxR) zqoz|nErb+MZ{A=zP_{q@)Q}#K#IP)G!pD``&Rm7~I22^)22*utqpJJoJS$~UEq<<$ zM08H~ETmyf-WOH^?l@@L!+ZE<*UT)KVg3PtdhW45K=(%6(0)=7u8|t+SS+@VNDpWS zXr0-JYk=Z8D83&QSh7^}=kkm=D{(INelY9Y%qv*)!~8z$Ha$x)lVnSeEfO*cX!Gi} z5 zT4rjim2! z8(cG-I+Or4YC{MoG%EfCDT3c>Un%sO>~HDajO*>0LDRqD8}g@V$rhQkK?DIqXLTHB z($C|A)lB`&CTt^U8nRFLRLnQ|^Ja6)bqnZ@<0*J#(zLPpR38vF0S?GnL-WJl1OpBX|f4@hjsBb zSzeu}zJ5|Vi`|bnE?}@~0 ziX5yKWehOjC9d{=nBP>(WVFG$VAoWVpO&7MKRZVkYzZWG-ss%wfM_$kx-V=TBhH@X zoo>FR@GPk>v{AhGck;x=P_B~o0f(SJB*2R@EC*kmAnD}V2&e)xd4M6n$@Rqtms@bu z8b0{p=xkMfxwO$wzBS;d2YTGC1Fisi?6=#ZW;#3Jv5khb>2-AUo1Hr9o~lJ<49DQ6 z%=S|S`=7yr*aCU8`ysF;+OaTDzy*r1nraX4w$tYkEkU1*IGuIq_c<{#Ija(#Uxml8 z+`6leEbR?U=^!8#elp7iUcz7+Xy|L}u}J^G`bl8I+}~Pu@FHr=ppV1`q>O$%uE2iD zD~-YHKzduorl!UrTqyZvEXKF0HexlJtQZ&*87MVODwSw^6uiIdtkAFWN@DL+G>WhG zg}>S0VNbHt^43+i0I}mm5-;)xb&s@fMqy3l{67^Gbl)iGOe}u016tTuy(RoAEo2^0 z3`Wv50Hr$#U~po9yt+wsUxwJrd+0p{RLJ4jDT_ z=(oYD*J{%)vS?+OZ&GSu2>U&H8LyM~Z0zeBjFfF9BzTi&`<#wXNo!g%-gRAV@;P+G zT5j&W4QwzFJO&?oe1XoTYxsTc!NTz?LFTWk4D8Ize^Y{RJSFM?UuEE6`F(}q@6`p+ zI|*2P@W&o6LeSIyQ&=6GhC>n-1B;F0PqDrKsgTFP@h4Lz2j{QE8q~nvka>3G`NhH` z)G@5{GA})^+gPZ1Sfb>wBtI~%aU{L-Zf>z?6vB;Ncw-DcVMDhzxGDr}zKz`2MWBp& z@NAki(ASCP^tiFV=~-vwa?h6c(}VsO+VKN*t8Rd9;jp0af~ zwVuNveFx|E&*~lB?i9~gY~0?yoZv||NfQnlZaq6QzB!VkaZr)EK9dXmbmn`e6*+R% zknxfB*Ih0pP)s2=HV};vgBTEttbHYT=l+QvlM9PF&C7kS_LP+~1QBx(( z)e6(4KPj8t!lBy&*e1+4_iR?}VDuY0;bpsLV$x8Myze}zS)ne$e4dYsBuSp^i|&m{ z%e#`7Rs^u9E+-ok$$W>C1iB~a-_n=Gw^B=zN>P=c_i)z^P2KIwIAM9F5ioIv_7u(P zR9BbADb|OqI$?LLzxVhaAGfePS>YEJEs??Ok`dg%#Dx(<3Vbntv}g95kJ4d0MyA23 zw4{_mE4f2s)`~mU)Vj!Q_uGzG`Ztr2%X=qUR+X8$RDI3pMDzD#GU_VKaNQqCW-CG~ z>T+l=UZk$zuaQiqd@>hdzivK+)X>O!n>#x3Qby?Y$&O96Y0UglUXYxo+{4OJiK<+K zYVdibh59V2A`0km=;IT7|5tw~BSRvOnB=hK} zcQ7SWzNSO)n?u~DLwD)XaAI3GSdr0yIAjo6kwD^A3N2Qf{0KP?Br}Dm6Q@>wNLl@P8jZR{2ENWc^=dLvmn22k49VQ<*y^1$vx&~ z@&XKEz|CUHCJLI7yg2FBy2SPbexa5t!3c^oot?VcIE7lcy{|NfDwS!h$HmP`AI8+Q z>Cj$9n@mt{De|c2T)l{W3(WZ@szRrM-_xFs!7fzLtBzOtLyx95TurpPr9i4IR#}kQ z0ynsFM6x#e==t1koyxE+uHS%n01cG&CyT>$BA`hyq>)F7Ber}jeP{H6GhW^FLS?3R zO|5i|q>xjln%#Rx^|%o%`O{NnRo>m@t(JU3zfnYP5EZH@_YqyYh&{{5+?TgnnS_mx24ii`jU|G-gTmq!T0{s(OzWr0&w! zHUaNt(QB2B2-EfR<+8PxuHFxx=9dJ>sai9ebDGl?i=JUy%u&0&F}paJ+QS1;B9%IN z2EOBw>g90PRJju{3QzSNeAfE{Kp3En!GbP^V#a_hyZ5I0>1^7Z?gITR zFvbgRj&YaGyO*l^WB(4L*Pl*vlLFYA zf}nO1UME4IAG=|RF6Cp*0+&TJ!}ogmCq%$R`oy-;+D(GS9e-YAMr054B!o__X49xu zd`lgSuL39{U+Abnd*fM0Uym|Fhh2GJ=+=02m;5YycJ!8|UK%KL$Bt=MN-ooPVwbk}wF7FtLD=O~8q$ z`mh0l8h_ipwKsMGxBK(WCdm`*&r(dnAZBjw@T;3Yi!2F)jQ;P(Kgq617({FxZ9b3y zm=S*y_?`M1RBK9y5AwnRurU0k{>aP|Oo)W}554~tgAKHT1CS>D3wq@2i8L7Wn=26g zF9IHTfM+0ETmapFMR^kWk?3!(zzjZ#@<)OHi1JJI;Omc1qOkoIh53&ty5@ft9*He__F_{r(dqVDSgQ%=owMqZm(Q|3JB(^esff@|#~!|05J01c?uz z2CMvu)+7Fj)*~J?hzB45(OS}>{!#@z$PFLB@;?mz0sTYekzY=b6g~hSRA>H(iY5Rs zq5qo_7ZYd@+zN_~6SRy2_A7e=0KMRU{N;(eM|Pfc{-|WGr`Lb{g^LxmL;%40T~YY| zBsB+@2ZJXB@ME)aK3VCpzu%q$IwSyKL$h(QfgA||ykM0+HF}ipiO3`7>8%jSpD&O> zN!DO%9N+-pK#)WLHva$MpWN{g|JTvj{`CAm-U*iA0}((J?9xwE9(j0r1QY%9=l_D3 zL0d$CV)_3l?+Nrs_{lY%l=tM4kIH+p{NrF_0}T=ZutA?~z(#yd3}7H-1Lwf~mfkDmWw`H{ybfBBrKMJ7@_9ENl2H03H8dJw5XJG`JpleR2md-9J+N9X9Mt zOuq!ce4GdWQTSs=PYQn$df^p-5QOFUN44;vAc|k};J-jjPvjn@W&>$ZfM?HLyC)Pn z<*$kG-za9#61W-I16V)~lmHHae~;sT+CTF0;M1s0v3(=KTgH`xJ!bf1u&!kh5oStxZhzK zutRMBBh^P*z{~&Y@?Qj>yz1B9>bF%uKo_tsf2rjyD+!5=v8lcliMfr5t-ZDW2Xk8+ z8t_)(t-if6c+2!3g*|ELkHVP$PIpXyDvE$N+;l9^OyC5mBXj^N5RNNY|L_0+9(YxJ zV&ji2%ukO(B(NU0AQ1fo%zwMV5LjGQ8fMlZv4k)|J#@ibTmwl z4RWLhphB~QbFrpi;t_(9`~b)x!4Z0x%2Im3(OU-a3-I#uYsbpW$ic|@m(;KRe*MhL z3!Y+)ZA?FyfrfPhyx;HQ6(e_>o8$OZ;pkgXSh51O5Y5me{}z=LQ1T~ky+ z8|3FnM+`dj0+fImVg>jBQ5uFcJa(4HQS(pYDsn19GQO(A31iZn*^WOpls%9y zMsk!hXMBVRyFvFwyORYcsLX?O+@jB7yb}GC0ELj%c2(e9@fy4FBD< zjb5wA#}ISkhXoPhXLqt>0Y3zo-rtQkcl_}B>DN_np|>UTlYFqg{#*7LYH~H(%dc;M z=glda%|F$Hc2@)=*Ea6ZS0|YoNIl*6=yAGXLEOjo&x6lIhZdn9I5Mz1$v;xWGZ=B` zH9#0z13B$^FSe81S37Z4Mf_Qqn7P>rQc=U z>euQzUD}SBs{>7d+_yfJhps#~PF=?|H7|HkhgVr;bu)mJx79Mb%MWr=!^j>!%t@$2 zo!cx$_dI7}_?MEdz7O8Wf=Lh`3b$}BhhRHAfwBDJy2+9?Zw5z*-E?030Bose$b84Y zi${zEwPib_g$oGDUb(aRtU|VBzf8J3`mCPOKb%ac(2(P+4)U1imfR45=U*<7aH@80_=oX2fA+0 zX=B9K)SJpInw`%-9mk$I7YZ4R{aBbIgOz`~E00Xe_9jNi9_yVeflA4Ds9{4_y&<(} z!sh|a!>P4wY3Kd;(pb@a-;yTtI68qR6RM+cboiUx1(RiEK2K=EKucNb-TDd_w49HN z1rENYg(@Lpx!Y`kIK!4`k=dT>8{6xXTTg|oTd9z z(ProQEn=!Gt33}dj&}&gS~h%}TRJgxiQj7MvhRCO7q4L`J3x-~N0=4;x^rycd%%}x zuIdg)c^^9rBa=~a+xZP~sGa8T4MWNC)R?ln^_g8%Sm+OsOa@%nc`y<4y;{1xP`uHw zIVWHgRmSoP*{w?m(Vi1WSibx!!3W)0wpNY1>ze4OHzG*r9eBr-Ys8E;4m~VU)*ZQE zb>&u-mM9{`j#glapicc2f<-P7h*0TUmsvVn`>9`niEm_usWq{~7l4cg>822YUnb<0 za&8Xi2Onh~aUZG}?jWg9o%+&hMGX7PUPL9Yv10G477dTP&@jMT1@v?F>pJ=9=! z$RLK z1N=JID+PVL@2_@&GRoutT%fi_;pUa<^2H1l5q9C$_bbedWR;F!$WZ=f&pk|weyA%qtk zZyH}~KWG8H6|%v({CwYJcvZv9XbDBYroMHiBq{wmtZ3j)HhIWI(;>9XaSqh`8ERV|H=7uFQ(E%Y|Px^E#+mEKJx;56% zNaj&`r`N|1S8iDhEuaOg$2I0Xydm1&xEYYL9A4Y+7O7Fa#Q(A068#dFULDH-VQ4KP zFOXhZnHeuC05gPI;8UBR%4v1j@UqW~dVYAs4x^Hq?50@^8!^(zb$Q$1TOC|%C$@85nl1!vg}x!brE zk>p(#a7gHh5=K#FTS5XXu6TSdeOJ2QOX$#;#i*~tFrQ#EW8Pz%#cS)WZ5LCL>)M82 z!3Ekf9#5kurE#Qqr6F3LtV#Gg##4&PiH7k)(WoqoMfm|GtSy|dJ13A5b^Yr&d2Pj8 zuM>BX%sI7eVdv?mTLcf8J8sDoP~&aGIkHmo8H}n%poB(D)`W!%C?GNO`+VGdjdnx| zL_ffJclep|8u_~04=*KSCY#&%IPJ~`?@1||sAOnmQ%2GaTV6YXwDs4N^!4Gi3mAYkhN3>wOYv-ZCGru*G=&Bja6 zog%&w66&}g!B1x0<--J7(~J!{F}|`%)rf)DN5*kV$L32qrKoh>XoCyccOXxki)y7< zigmpi>NZiR@us!GXJU&cEF#fg-zjuBP_m0_=s+|A(_YE+^ZTPm9Va_=xdrqIoX8la zq0{z5`P$)%S6Px)gbjD6|9Ec)H>z9E@?lUSBXw}7<$lY!#jatyQjXfqV-D#cqH^U3 z&d=&w_Ht9lvBhv$`p9~ej7sq?dLS~Hi=^JNupDq7Lat;CaHQ7-h=b(f ze1RXsVdRzy+t+6qX@YUI?>LZDsRQC|eBAE4XhYXJ)E5os0kRM5-8;q5CMA#KIrn-UEG#+fHfe^}9vSLbPq~lkJc=T&~`t7-Mh^MS0L@+J#%OF}NSXdd`;(YwiIG znxwsU@{j3m>h}RnH5cx)P=+7)mIo3{9Y$nU&LD0Fx*eGf4=jdt zw)cAKZ;a4R18=SBt}o+$9>q{FRBJHx`R#u~IC4Vh%1B*rT)dl@m)0pcatK{1c?T>} zjAR&*yFnW;^P3ymWzcH{(hjyT!|5#D}D3y|-%@hq{7mXNG8 zFGk=K(pNE4NCoRZh+!%Og$y&^6I$C8#B~gzDqdeSgopU-gN7ziJJ5#p{8> zr7lgdP1&ZDHft7kBgi3~W_G_co*k1xaYY1af;Plx-6TW4hMFRPFbC1j#L<(6dxLw! za~$%iB| zX6Bob8uzN5x`8oc%X`g7VtfW1Cc@s&$8GnHD$Pn>H=D_Qx%6y0JY{!hbZ}uP8(~$a zPr}OAgK1Grap1FYPREb<7AxXe%iAl~PB8;HyL1COH)Pi~PD<+`NmtXbYyat5p6>!3 zm`rUoAP=JTN)vOVQHvnr^)3`)93FoMr!JQmDxW1nKO9$xP9`dcY$OLD^pMr(axFR$ z=A3favu9JW!)ISKNwvky`9rs};57lIbUo~n4?I)A`_ntSd^l)ce%M*79scNqr7qNx zbAl$C)5%DOSQgC!#t!@r7fPYo9Jr*>yJ-jnq{$gQ$pT1s|BvkumUJxpeW^9UB{~Qp zfp`g?7wx#FnxD@nre;`x@sK8M9V6;yiqdoNnv@O{Ev~8#d#|)xdL}F`Rv8N;mYn12 zJfb7NvxOAx!OYU<6RvV~kN3JxE$DogG{g>wnWQRgKFhMs_Eh~QeZ+~F$RG40KQFqx zRjZP_en1!rjw?y7H0j1UiD9F3G&;ja3)0`YFiv?}V^KPh)IV1c*yyw{prKWh)9Q9^ zz0nXA4mUFH5JWx+TFCjTu2<-pufxM^FQ{QK!`!hu?RfX<@SG)Hfc$8Lak8?@5y0la zz|8QYkDJY)UiOE~73LvDsg0ENzS)fZt97))iQUa?mlkBrZ!r-zhhaj!y;RlVz1+NJ z*DeQb+r_+qeOv=1pnMh|pFrskF76lpX)5$unm#xO{<*M@UORvuVct?Crj$m#4KJhr)BS!kgLoAVv&55EPZb#l*iF zkcVMI-@3ScR?c#ILsdjnKX8VE-_I%3R~0vnRyx6Ac~pe;6KGL!o9@Uxvndo`>e=+A zJYmqplOj*CM@wiSt8er@X9D0wY^@(%o+a>;b9z0d{%pn}Tz)KZ`Gpm6I&tMi&s@Ds z0m;XT7!Ku%kjYSnrGx8Bv^Vb7{-LSvGsyivI3{PVP)@24QJIru>z$*1=)7IGT4Y#@ z)?wMT$egdxIR)CLWj=SH3MXo{D7YoBlLERD_JXq*H1@#<3(w3+T3XEa*0kke>?(0b z$DFqX=?7ySzgwUYF(=xq=Mw-e)T^?{yN>qsVVHW#4Z5t9IqtgJ+Lg(}x&#na@M821 zk@VPBZ^Y|{c&9R~BICIQ#9AdnysK#9zpl_#>?aT7ZUIJ_9ycQUU)L4M$8!-+XU)VX zG4J-c7rZOLF{yI}%;E?D$tMGMy33x4!((tl|+z@;FLGzTuz76Fhri|dj?R6cC@rUJloK>{R8kG@e zI`RGFMI@klIESE+4mpJRyv%fai7m7f>W!Bu6<4hHbZD{fM>{sw$yp@&Q`-dgU3XTt zeMlW?O-|h(>^j2}d+b3qB*03xS@>ydI{6)jNn2xQTa7^#=~1zZZ;^Dy>>_D=yx~*# zTRcLO{kt3`{h1YR)BY-baTPFX(A6h!y($rMH=95&HgdyP=Mg{iSxuG6Z}m7VSvDI@ z&Ju>SdKUerU-Hv%H%+%hJC$BSMGvNzyE(I(M&ad{REW7M-z38xS)0|4H0-Wa=18mh zmt8mTbe%dVWqJfp)W+~lHj}g9_w(*7t94IXypGnk>TFR)wWoypp8E}OAZIG^+O#mI zJ|O|Pflq`N`+c{2%4EmDwEP0atu4}E@UW81BVRXtl3BBjvo2A5@qFP z2EHfaj=)E&RsTVW(@pS!c%^(0=0Yy83IqeJKE6oP7Ib*)!zn6WJx}vtCCBN`g zKJeR^z5IM6C)4)SLH&>#y_}Z=`9gvjLYeq*L9z!iAnwbNjUdk#C*oKKC$SG%D)|L* za6=$k8e=_Mi%}D#suc8=OSuEBOl&>?$|!*uqKW`(b%|Dir!hxacE#A`1B|%N_~u^OPW6dCmY-?u2@i#dE0+ zh4t6U5oLx+?GDmDuz9RFpJk#;YQA;f2~QKtDvzJM5#MPd#rU4L_^z_pE@sOsiW<@k z<*L}HV;Ga{g{W4lSHa4Cjqe-QsLv-Y!2Fz%5^fB&iaw~N=LoDBUotF{pu;MCnJUwx z3-y*Zz%{Fj-;G_JT8<|@(B(ettq12isgIF|oQLTq+2_3_IBi3DCvBt9u5#wLRrz^F zDMn*d&8ryA(EtMg>BMrwe8v$dOH;Yi_^N``8=NtOR#YJO`kO%=C4B=WeH~>%1CY{0 z2Ll(s@blNg&q#%ze-wU>QC&OAP$d|%ZPX|T0ZO|gCEVmn)X^sXyx~5-E}XP=(^&)N zuUqzj;}D9A{eXg9XLX3PA35dajt7y^Ct2Kzfn&})20aqmvh|5Qagy~h3I|EC_v333 zShc&ECb~)PBl&4Rqk!EJ)uN8_(ZEBMLX@wQ@SIt$10aw1@DL!M(ryR0}d5>`D&HqujR@K?V~>xmgT(z(7aatI*e}IqB}9A zB|e=hv7||k-}bpmo|m-XGfq2!fyx@zH|iGHa>$Goe%DV0LtoF}`W19^4phn|XzZyO zXc@RoqSOOQlZ*I!)eqn9z>f0(xp_+4Ft38coh%N`nWbQF4l)Cc%Nv}?XU0GL6z4Cg z>2{WQF#Kfb?(V2))&pCU?5%O8*Y&;|$ZQbi?kv`ML`1|w2uaR^7K%IV+M7*C_sxgG z6$v6uqD9p8D`O9oU7C#TaqtZ@aaR$xmSG9T#V(AvKPc&<}ktzSMfHk84hdOeI^F_v2%m%5V)h#LpQxsCN}PGqZcG&WrK z_lGDpv?nrMK*x6KCUZIgvj_5sED|jPzT}`48T5%W1_n;`6c;ny0)o$`3(G`0uys4* zjXQ7O=0n@nExpf-?j8LJ+Wh=fQ2Hj6Fyu@bz{o}8eO#c&Yk8WV#gBO0Sq;F_j;gTQET=fLeyX5*?ppb-%OqxsVW65W3 zQu5wsta9@4*=W4BmK&)FWTOiSj<0Hwv%fQQr_(Ga%5a*`3?XQj%9|-3$k9EkU7VZ7 zk+5@|`N`dza6Nx`d+@Pwvi_qJY7+zrkXTFz?r>pahwUftQHERC(C4v>fm;7^;`oO+ z$~FPmw|Vp55?lF-J6JydL?D@+9|e~2oK+GNLUi3?8ppP1HxETUds*kZ>bN)Q`)$df z8hYv{)&-6qD(a#&EWf@G|3coqPlAwp$nw?exi78FwdBzSdBI&D^>qp0Umj>&EdxI$ zHa~ECRX-#58D8F|uJg*3v-32{etj}|i9OKCKLFbA(Fj{F(JHP>oy+>rBme2S-VM&E zt%v93X3F5~TB8>_L`710DZdlWtsxrDE%b!Qk|tdjN-=qu>;9+1R<0|qJDxk!Pwf4e zCWaZGJ-g$Nu<$(~A7B^!7n`a2TK0f>K`u*|+NiCDQ1t_p8CJs8jFfy(gT;g2ZW*td z0w(S>ZbYtiVoncz3Y)m^=9(yQB&eqMM_zFDH_%+Ct84?dhn4tCTjQE8nxkI>nQRjQy*uw8;jM>@vCQ#(cMRQvzq-U_0^FyoW zR>vkRXP2B1l{?n`Y`;_n5C%sEDADL^+al^ztMyDbBDBPGxRs3k^98SO+JY|vXHK0x zIoH+CUEryLXCfcNEwejnh@o2~Ukv+g4FdWP#&}@;!^G2A9!}=j9yZdAgNO z{X5FzuT_2)hUbgz67P4rujtZt%AK z3|)8E+1x3kwyv(u+HVV#ap_pyav@ZW62eOQu_M_9@BSL^9yajdC6ShE)9R1SFP)@E z1t@X})gP8h88>a>C6P}8Zg?Mb>c?;_Y&&0^zl_U#R-lBWC~@hmQ!u!?+oSyscD33C z{uC|6$|xSzMjs~K3%FVZ1DyDTrCgJy0HVFCJKtWw*oWsm&qm-Hcx7tuYC#tT%h~rv z4w{LtH_LRiPNVSP`ObS`g2LD@!Ll>SY4ywkYY4Emon5fQ9d==_@0PJ&iVN88>&vRX z<(i+97{1d7Y%DJy1oUXIbCq-jmOBv^}{Wb;BMxbpc%eIA`CdJM!b$ zhR&%mGpV7RElP9X(AwIX!t8zDp%A#B@nR*GHcgJsJL9WYP-qs1s}2{i)d>&$0H@Ti zRz&0k5@W<2Z7|@Z&vYB8E_W$UoJ>p0ZAlInd%nNN_b9|Z~ z8rxa*clmT~L%xq7RhtYXX|`o%vuQ?AO14ahMUl;aiJ8A%S)lRRaYErZa#clReCRu2 zh9<4mOTfj8t-SfuxZdr^*?6KNS;Vmt|9`cWjh*y;&yo^0V zBN_$z$rt4n)l72vgq0-hiFIK`?=6`+$*g$Aw95mJu3+dGHpg8IMv#c6hQ)2I*>3PZ zRIM)M7qq#TISfR0$CQRTh{?(sIM+Tib&1z;+}N6E4{RjUEFL9Z$Sz&kEviLsI5;cs zvB~Kd4AXc?JPx$1=3Pfi^ z`H=ChJ9=dtAov>^&>W{GCCm(2!Nsf6(Qjkm9uJ4`)8XGCg&gl^@tTZ6tM<;XGu3vc zSZCX?fS_gMq#*!uM(Lp?NZXKOD=d! zATdg5`N5AJ#v2K;{*W{r;-bN0jbj=%i0wi`x5xBZWy`=ySARZ3J-mhg?4W>Sa;4nW zq3&A2d+ntuFdkF9M^TNG3z_mn9nv9mHJg%5zGV2Auy8gER}`U8fBD#>JLi(fDxvEc zq1eZGv)7F(-=CRz#kRd>Bq%w;dO>_zFRC66HKpQ>ga1wCJ_EGiijaF;;i_C+Kd0l( z;lDy0sDyzU8yp=-&?v5N0EimGtI3*n~zAL*Xf3Imi z)2VYmS$lnYe%tCDit2G=ed{xE`&EQZ?j#+vW81cE+uE_wvF)UjbZpzUZM&n>?|bieaZc5$ z+J9!PpS#w4=9pvrfs`sjf|v?4;#A+U!A@ZfsIvGd0(v+Z<^3dfcwk1_dLTo{?o|6) zc@SfT0)8UKdIsPBx^h^6`|W?UBFT}vetLq2ZR`9Eh5lgH4IfJ*05X=J!iJPr9%kYZ z+mF+ehoBo3d;gU2Zg202gDhZ{ur%fdzo!~97Nf6N5VR%fEqpzOQlct{Vk&z}!!OGBpF_s*YNq!jfc4OWycI?aL$}h$7=FDf zs1tYEVTf%Ad2(C)t^7wP9+@zXP7vmIf_@x;M=&{VTz;HCWqQ|_N0%h#-krk;;dkJo zLX-vj!(MYcaZ1)7ug1)Tw#fG!H<3pDbneJmCzmf zIwvypowg%e?kDbW0OIheV2XZW0Zbg$vSlbJ9NIAW=u&(^_{m}gO7X~IOh&8kPW2Cf zIlCuvOR^@GLIRyu^j#G*)5Dd-OS( zgGr*2jMizt5GQo>iqVne4@$+Yb1)x`qVSOpO~{eefVidX!>_@Psn{ti?W7AJQb8{`Vw8M zO@v>fjr4%~*(uG#M+JJ-P>j1iHgpiCup-P%p$&~s#W#OKw2Y|lXZA`BD(j>>qVZP6 z08cvMgHkdn9;PFxmM2nz9-AKL^o5(%HP}Qg-PZY-4DKM1=RbZs+m`C*}~(?ClV zr+p!>1y2vhBX}vH*4oK>(k8>J#e~0V$$GiWvFls-#E-^Inj&=(&+sR}u?zk=l7nJ8 z6BFgsZq4=Ys50~1X|k^9Dl95ugjQNBxOsJGG0vM9R`z$FSmB0gH$}4`iG9Vh{OrLU zRrqXolZ&(Q0XVrU>{*co8S77q<0CAd(gsf)F1K{9hS!M5E8&cIntG?Uli+KtW9_=W z8uzD+WY5d{#Y-cni zQ}o86f(uqzA>a{KutIkPKb-a7_(#M^qy+D>hpW{uUWseXxE0?FYt<6$O?{xc>SEe7 zt=2p)-%0G`0QlZ$2I&aJaJkISh7YrnxaC>#b_a$S=3?{h!wM$Ajg$E)z0h+Z+Lv|F ze!lv_U9msxAj0J6Pvp~wU`8?b?6p)mau_srKvP;&n& z*|l2>&iYuX6u074O34$c-(kp)Cdgy9S=@Ge7#e7qf!Ze`8GIEWCWwSQHU@yIk= zK~Gv}HQ{;7mI3WMOZis>MRDuX$4JOqiGP^C(^eH?J5K79BGIjh^2%+7O^YR2m%N^j znD?*o9tZ;f&>?$7qEEDg*TW=D%$T+cEnM*35<8^}$&rM=_ zuS9bv618J^jJA0xTNY~F+n|mgC%+?gUIJH%@Jj$HNaHfmFi`W(Sbwuu&ZimMN0JTs z@HCeG3!g$*YZv3`$RKaKj{a3BLwgcxTkd= zs#&AC1==2DKH0pUBg{t97eI9S2#%3;22oOfrMyZjaxLRyK<>L2_gY)X-aVs9r7~Hp zTh;*WQGVrPNfO7bk@mBs4~YB{*CwZT^^!$Hbn{azIR(_sl$q$cN`(v5dWUAkf~&vi z0fdNEAXRz}uLaA7wez)D>c=TUgSa?jUpi)qLmGh}vG=bW^7yXib$PPBuNqDEan1Fryx(Vh>{+ z!XX*YfMi&0Kifol-_%q@gG*7N2NnY@0EBnHLM%G6#XASYITDhx4*plByn&(5C@Lr> zEn|;}9ld(J0||dF|2W0bKmLZF%bf~w;6au9qRB?lC4ljLS+oadxYoQh*KqLm$QJ;+ zSf%mbX7Hb;=&3V>O4Ddan^wSQpex3S+#ehSTe$=~z`mu7snN6bkEo#LD`TlnfuDMZ z1cMPKKr4e1#lJ-rt|9F*j|2I%1yiBL6qq<*B&`{`zk{G;q!JQ~;m-b7sR~D`-KXIb z6RB)ANPuW0aa%0*xiXMox`Ay822}M^FjwiIGf<)Aji}wT(3oKU35s;Al|ff1Nrufz z<=^LQ{ZXD4ptP51k7;l$2QGtev0t}%o5cfH&6=Yu_MPJD5mq3GL!(v7pKKgbqea4> z$vMdy5meo0mIM@&;b)IXSA9uY^{=WyRK2LfcFZWvLD&AY7>r)70a3?dMc~jZKz)*? zJiV)^qT8=VM)#4h{eW6|yW2(?h(f6Ls*y^ZFWgF~^n7Ji*G&JLIqq3;IW|g@@a%MO z*E)W8@USp2%%+aO*J=B8=&<-c+-`c-em<`9LBj1DpWQYxrEj8)h2!=JfAIk6b_T`` z1jy>{Y|`-?*L2j1`hLVP0j>|MPq=(*+uUZ+_p*CM+Cd0 zqp05DjQ4eA>r{rQF`aS0@pH7VwG7eQtwz(c_(e&*TO-yw^U9Rr8?=qQ@f&dy(M5Bm ze70-lw)p$ND$}E+P2$Q_L3w8L%3@~iW?&UzCer#6o$~^hjoyGZu(ZC&YOQ;=_DJvg z!Ij#Em|MD>NOpLB{|EVMqowxfk~{r*3g3KkG@LMgi_m0C@Y~QUXaY6iPLq4?*;2cy zy}X>_B&n_p5{k8Q_%%*x`jhL&`CJP0Dgi}Yc2Wa$3E{5>;Vog*V4M%u(DnYi+V9$~ zna@qe%`sag3x568z}+p8A1TrBSFk6=3J1BI`=sJ@%f*@TN>|urdo|DFwQk5+;R`^dto&r7rf-K${9g&p)H8bRLP8pDP4eVc%!+Bw=1OOs z#ftgj@=AO?@xuKi;Rp^ff@6ZZe$|4t+JGq5wl!Up)Q*Z@fFLG~(Tn%=xoE| zmGKK-wn?Wb5bGM;rkyLFZ&N*-9ynWJmLrpst&(&JktV06r>34-87UZYqqX$v7~@W= z30Re{ka)CXP?~E!2Ev6QvIT6Lvmgj`+O8D+=J!jtd#uR_US*c&ok%>4`d`eS`UHjd z>*Q6G^A*h5z=vg~-Qky~05bI;2EkDG$shW+M_PcR%-r*=utRx-JETMTWI4*CbVPaD zC6)ho`!6mXO-`P^;W!m?7Ba=8X3DeTsOLgTjz0TeB<%N*VmNoV7=hMhEQ{Ze@Z5() z!__U>SoXdC+U@L_seiIBd`?IH|41ppe;4-HSpK7_{tt6xWn}w*R@~WGQXS{9(7^tE z{~Ip&e^F7E|Dme?A1ca{`g4_m_aB_JUu9qdXJg^`FAs%gWBIRIKJ_D%_WOU7&lvwI z{;o14{O88lH3q8G=1^Kh*#8k&v;K4G{~reW-!-WJoq_&KW&AG#6-oUSOjks~#`=Ft z&HrBp`hRRc{j2#e15I@Zp+g4y7Y-1gPxD{!$p*yWRt65i+n%dx*J{-)wFQ8H+RD;B zuB%ZsG-}zZt`#WJB-=}u!;OCOGu!Le%|3m-U72mab$H$%O=iAs^7Z8nCd3jLJT-CyN~DW z^xBaHKvuvn_^EwaycE59$*j^2|CzWZ!H*FPY4+dPd}qQO&xPVBxVMe6c+EDG%X!eq ze}4!~+pl#P&F{C%`+OU=^a$tL{SMc|Knd`~fcb#EqKp9$-Dob`-Q+-EPN_GTdR|T+ zQoi}jy$6C9VP~{nZ|P12)(q%Ej9;ve1(O%Lt?;%0_pfkF;ZXt$J|9TRFDS%=c0~d& z{Gm%n7wj{=Xgd+;c&xX3K$Jayy=A*kwV4>NLI7<>rvYU%hG9%UA|>znU+B4p3xLuF zX4^;bn)1&3`gSj0aGejnF@5hVAuQPNtt;#v!1BxeBE>~i_d(;0-WqQ>`Px4M|J(wl<%@kO2E6U82l)kW^|m=sf0=xu!{uqQ5ag8NeZW0CefY9J zv-gL?GD`I;z)jhR@QZx~#qME$!md)D2zCC#tRC+U;ITm0g6Qf(`ekTB`ij_$GwLv`c%vJ;)Z@VqQPmxm2zU_9j-p(_ ze!jhH);4@;Y#}ly2y6>&i}CledCb9V1$KvS4*VEwu&2_45b-NOO7kQ!IX})QOSr6eJ zMEjuo2>T0ceqH*^LO=kq<03Nl0( zh&jvZ&@`iXH3qH2Zg+0vzI+FQr~5gU;gMkCV5ecWvGh>nBHA8U0i4mATt z1;G0Y{Gh^V+<3-4slw5=+uYXZe-j>0vYP`_lt7HZvS50i?tJFU_#$-?a18) zC3dIw4)aNP{)Tib%_wERE1y5jhHBdFLNF%t1ld!t@7O6(>5%4#PxaT&Qt^Qre^7e@(OJaDj`cS(9UxU?g%e96 zNMHCD885j7iSN=kHr;_KH7Kq=q^~Lqvv$!Ea96L)c0Y0t^n|Y+u8kuA{EqiAq>AIGBWSRsyur6Y zR*`m#Qv}2U_Tor;hws!bNLD@TGvX&yd69;F7)CJ~fq>xBP4+-cJIZcuNU8L$)C4S{ z3(b^MTzGCm^K9}7OijUa3z5Ke+%F=h`WNLspAKBg9jFF^#$$W2WjMNb`y1+k-EWci z!~X5I`)99|7xrM)br2qFe0f(vJ<#M1^?3tGY0fCX&LGJSa$L_d@eUe~!3=KRZB#(i z2b^mZyd;tq*~(7`g5a0szsH4xucb5nuc{}J?;jQ%H$eoiwljOoC*Up&UQpXh0PkAx zn7`9jKNhU=D2kz#AIIqr`$Witfc$&-LV{txho3$G3^W!DLxJ2UT>}W~@%7jj;-7Y0 zj*EWa#EAu*AguJAdt+Cq8jJN|nq2p_e1qI$>v^{0eg4(ycfsQ8qXnWDtgk%kj1m>B zb5?(Mz;P#G)%W{*?N*ErYXkhN5Jic83tiavW5llP?vOA1O-Pn6kef_h0rqLoLxnZ* zQ)#>PHdE)fk{ERxjc&WkjKxZ|>K2}LCr2~F**?yCilR&gj_%Wr+j2)^adx(aI9yG3 zBM;j;GmTWUXu2N&8CxS=f^@^-i^xSEeAxW{iV~%UmdZ>@l)&slzN=F_n;IQcgBh+> z^}Et!gC;Yt0vcXC`2tG^8xtAkC_xT>)Wgo;y%tE9x6^Od1n$ut&2L+y26#QQlsd@i zd-}Yb3H`S5RITOeRaaSaY&aR`a|@ESk~9mujb+k{APXSC*sD=y+n;N&36Q-^rmm>( zt5Dfvkj9Cn2Vk}T6gZspe5R*&8ylW<%H&}MvrD^;oIIAA7kBuPvJ;#Mzu__l>=Mx^ z4u801j^O@fIhm4Bx3xdk<%MeNpetvHqtkCIBKv3XT>R`nzT1`P+;txN291>OxLlM% zFla8UbBz84WWexVTx_6>US=#S`LIbfS5?hG=}7M>uXC05Rb2-PX0?6?b0=+XY&y8H zbr^^7Mg6OCbYB%Nn@?tIP0C5e_Oq$Y*%nVm_78K|(Lc);f^Q{A2XXm3|JuF26b+VT z{K!W7Z2YUcys6jaE7&5^fPC}NWj7o-XM^^OSg^cxATj_0{1|(nLfPWzJs^ItLA>_V zAGvj;4(6eV3~HJs)=n*2ID33ZkoBd(sD-*2-^@f-E`4FiGP?Be;cpf)yHWfUK-}ZM zGAbXMLQ9tv?zpcX9*8avrGPM{?LePCvACds9#7tzB}BwvFkBe6thXp^R4Xe6;l}7= zK_7hy%q`uzGQQ7JX76x&&z(4NjJQr%|BNF&xtp;|s+Mj#lECAgx6Y>06q$DUmrhP^ z9|cP8PFjJQdT=n%liPpOR{EPTw}0y^cb;bAo*8SvY)X3rHGOVX4SPKuJ`6woco-pz zMu79V2$Nm}4jI<*g7*7iLcrKVp6K+g;|m%Npu}(;Z_*>B7D%e>EVdqU)TA0C_7#Wq zcpyJpxng%yC&cc&pC}wRjpxKulxY%XPnGws$f9_=GZ!ENH8U9w=zwNg+evmOgzM~%)++{mez%uJu zk#ui5I{Kk)+&Z3EYw_C@l1F;kqevX9Nfs~{xM-(gPFg1-KM{ToiQK;LnMBEPnbU)OE23C* zByK!$3D!&NuiY@MwJvx#bxL1pRHTePB2tfQSbvOA^H!3#~% zawbUCb`>`4iRcw3pf~*&a3kY6V&1b^-F(%Ab0roackb3|`gLnJ^M>CQY`02u?zfDM z&U}rewANvHoG>-$A2+K*)#;c|PAQm)(;%@HN7uwH z;qvFY`&78BCL-$zQt*{U+HVUJ&s3{;Is#yErhgGUO!*YaCFunwQMRX^F(Kw?Db(p) zI}uzpWzPHxVvSH9)`zFv1NWmbU?n}}T%!rS4d%}5Zyh%JgnyvK_~8+ZlHjH!YpJR9 zE)&;S2=`&N1fnIX2N)D4B*N_QsOv;bL!;Q5f7p&E<4V4SoWHLLS($uoagzN6Yc60; z&j_s0UFv`=lW^JQXFpa|&0nT2&Oe38#GMe^ob|Ulmd^P*9~1GO()A*8`fbE5|<0Rlb#GE8Qo- z!L6GeuR?+BgXw{htC3;}-i7&-L>VBpsYngofq^pQ;PGTV!`H*e@M@2^Vi#i}sd z7r4S$lPkx7powOt2Srwu0s`W59l5`LjOpA*#<@K4ni_0i5QgEYC{8NB1yv zFNi|vV!>LNqzx}*!FB(d7$zV%MJRh5h?}WvgrTbuu)w%bUnXfl{M&NH9Ly%5t^R_t z=J756$uF2~JA5WZ9C__8l#sgc`f^vq3|kqn4}Mg$PY~P%HSK+F7u-|{vssHDpcyp7 z>+s?0jM7GwbN`7M+~o+CZ!bhd^a7R-4MfifWA$CT1{1>eAzi(W{M$St4B^_|ik@-L zyZDJ+It=RfehuS`HFP40y-u=jQK3OA1X+J8*A5Vx){<0 zQ}jiT5p5P?LwG3x!2zhbcWx%ro(qsQK@&II)ePRjCJ{75Q-Qn5yn3QA#~ZF63M;c) z8dW4!6l-j^Lr=#W3sC##$ocG#>y+}4E zl(y5+?q+fMR*O?Yjud$BoGaG5r8dAJ_l{o8v}GGvb2n%g%DdQ?$KP;W&H zj+{7{3UTBeiCBpO@dOc7QLx>g@hmu_)Z#4IwS-K3m)KN0xH zMTk@sj*Oh^&q5X=%ia!c+=Z*GlMpJKPy%Zyvm_|kiQ$I7M9V0ElJ#9mkcW8Cmjdl& zXpnfYFNsPvJORy*4s!z|d5TpEZ&Wtl)ZSz|G=Ml-V$Gfrv3D!?0Q8qhp`=|Ov-2k; zv-7`jTfw4|fO$dzpU>xgt#*+L%$Xo%Zx;%JHDya8kOD(@wz1Gn3l+*DT(&}#bY2VD zSlkF%Mp#^@wQ`jn>}4n=o)6VvC9vo>J_i4-B#BZ1=i&o6`AB(hB^RluAy8ULGHCv) z2EcTqi!)Q?a@B+hLN=0Qx>v;j$1DK482L4GcR!fI#-TV1!QTd93W_4u=p``=sYA#w zuDB~~bos9AdV*@#V4LwyZ5wHtSA_4qB^NrDjTs1J*k=$RD@*dgVf#C#*b+>;S0|*V zSyZ*N1dEr9k)bn}$iF2Uwh1fX#TO#g54dO_dTGD(Ig^jXImIAF!^t~L!pXr*JJZ2V zAThYw!q6k=lj577r5VABAKk*=c?- zD~ZgHkc`SZs>97AO3p;WFkVI;6!Rv6nZ_7X9dp=Dy0dXsBDoFc|K?5SnU@nRqaz1;XGK&=)QFu@34edQ1#~|Fk-We=LDRl7#lmJYU3=v<0h2sY zYOC>jJ;%Ox0bZ&x;(1HkSLf$+%m_TR`o6tgZo^-yG2_CI``b_m^(YRO+@vh?uYW3%uCs|+UB;Hc}NS*g^$l@a_>6f?dMIitC?{?)j_0fH5hvIC zZkH)_-M(2~$HeJR!*W%KaEEc-z8dr~%r_p0bFv+U6k;Vx-lg3cgwpY168as-_I>(A zaA?HM-G%*(vssqKOQ+K|@;NAl6_~`fn{h+bE8t?_gQ751zSj8(cMw6=`ZsGW3Lx;BpEzLi|`wX8^sHl}2eYI&WH<|X*K8HV` z;T@I-F$v%vD+>@N5+F1fF;=D?(f5}B9N0=os_!%DsnYz;H|FBl#L9UPl#pKyvfR&F zwD<@bCak(@p+vtilT}pL6`3%s@pllxuEu^s!yO!xOiGyDbE?v|ZRS`8M(Zn7eM?p? ziQ;Q+v)fPTaKtu!=u>qXcQHBNHCCys?RuBSehYBJ&~$$~W{|Pb6)1ES zmy!SD8XfkC)t}JHvQQokVN|-aIp{YTsF!jL5D~q3C_7g>|N8aac(`SbhX7pq%A`%J zyigey4dKQ#u%7qtK@Mu@iyS{^pzM1UNR_b3%^`WxI~5)~11R>P4HKF1^ExHDaR99J zzP=_a`Og?T0+5*&xgu6LPSQ5dg64I*KWLq)k(qQf-zWQr@Fa)q%D@D0&kn;@dmVr_l|TpLCN6=2nUL^I6O~sT@b`OOoSZl_OYST~HTxK;DoZ&)$a9k;!b)LPRl`Xeeeuv-Z!Zi_rgKw2+ zy+kJ8PsGa>JI|1m4&*n4p+S&HCFGS={61~7;>i9EYj%b5i)Jn{H%G6W>mojvi%g*= z;G7&1w!wB3@gBcG&qIYG=IZ9PmBSR1z#m z#Dx>zja!M={2QKKy=|TA3P;aT>AaOmY$SUHdpQL5Z9xOma0EM-y%Q=0@7y=gX4uSN z!~nFsJ3XHvUo$dgDX@Au^LoFTvRwx36Yv*d6DK`q>F%48e{=sIhbHR;^5UPAtKMMGe9hXi%%M1fR)B4@@mDcB z$G}4+yK({z(zp?tp6DKa!nNcK$8oNbDECG1aw!zF-6U*F+=e| zN0-o791g8DFHQi(ii%Zm+;^|8MO4bCcf28BaAb194L)iyBOQz|^QUf)n^m)}-Bs|o7(QSd{$rDYkNbj-Z}z!re@Z`r z<}abwC8MV26G5Hrbt|bqg9Veti)!BPx&p{?d1zzJfIJW}i10gNwmayq34(P+IKz-OJ#}A0J*QCCNpP3^hYc@%RdaH zF<$(^!VR%vi-7;7Q@|UNMTDEOQZa>z|N5z$ReBqC>T@v)P;EExcsl9 z{{jV}FFHT%-MhAdyPhk8b@%I5+hM!CA=PJ{#jnz?e&7w4zQ1W%-}_^k-}^E(FKz>> z?4QquK{A^3gcVQCR15;)@QauqYPY}ssQsbnAQerWkux_2-k!>!q*@&m zM`QMK2dy^$d0d}TRtdQsPZzJ~(4R4-7U8sDDHA^jNU5RVuL7s%f)8F*;)NoICiEZ_jR&U?m=8oCdJSI94Eq~rb&SKNhOy&%-x<`4 zO*Bec|Jjiqo9d;+N?c2OMl}C^t|L+IjK0OruEos0P5!=5W>7Ir$Fx}P+Vc&#?;CqwRQ7vaQ?t6r;UHShhZo_1c@P?t7hnUgPQW=6=QXHej4~ zp2Z%p(w!!yQ}cC4QPXw*m)HsrWdR>@1zn6i7*DIZtS_6k2L%Nok2ZDI)Mcqr6?G_p ziN)$o7IpXyQ%xCIDC#f}IKR&16H;o_!6clnlU}Z&SS#Bq-r9Ia_55tDziSi8aPl0J zrTJDO4-+pMjQyzb$Pk}ODZN0phUyz(Th*baSy{cfbY^Y48F57|u37-pRXDArjSX5= zHu2PUcv;(6n~EPUFN#0DRTBOFI2xf?G=HS1$oajM_@ddTjlePTtD+Cg=wDVi=x;>P zAUODNO@U&_Bqio;)f6pS^+DHUBr#O74z>c872zCdbqFh*Gw2L(XRuUAJs5o0@K^y) z1LK_!5PiZHb^$Pcupw0dOeWy9XO2*7v&T~An-4l#FoH~(yiMHj`iPJ5!ejVtI9c(z zw4`N=$etzpi71HANDC3o}U3}n+e*Gj(ZkV zNVnKwA^SH+M)ubunU?DOirTiee8xHVnIy04iz>+yl?h`g!OzkFS|gB4V#ud?IWf4q z)yxPLt~8?^10*mjf(7Woqo{_kR*Q=UEW}L~3~X@;Zsyp?XB0azXMYT6F$^BZ)hEr; zr~}(M|Bs_psF`+vigArHkjIoO_#7F)WJ2mAX1Vqcr0cP846TB z+0MCtVJPEZl6^Zmk^_0l4vL&vr1@L1YOrH@ibPWEPQW5?q0cV_4-rIGEW=It(^NxO z*ctUjC5Ty7+;`-vRxfd0g{(sC*KCaOkkahYW1vG}N9n-+eP45QT82o0XY+pSd9yAv z*XN@;Q4X`A&ZIBA-OH?zx!iW0*}7IuGri0CIFi{?hgW}m^X==dYo@vkAOBI@vV6Da zQ-gKocp?^{*FDA$jK0^_I+SZy*`)ok9o-wI{{(fY3`0gG_)dgiN58M;6l2EZ1=bT_ zM5;5D3rd4WuGh4l)$=3m8Vdx}--R{A1&f!C!$nRoZNy-LM3cCJT#2&?2VIv%Al0`a z#q4`xqzp!Xq(l}oDJtXWXul13n~;5tQ#z;1wLfN)7O9&S99A$Cw!~jna8gH?hSaZl=yEkZl zNk!VMf+69X6LFBq9NU1MibCjbGnA5Pr?x8=3=I|yBR&~7#-wz}flJinxF-4D=EWZhW;Nd1?gtG*~6;4L5$D;}V%K#8F8w>ss z;$d=dJl-Gm{3H$OZj7F0arYf+029c!U6-a&Bqc%-?nj9}#Xl!E9x0I*C7|AEenCT{ zi3{wU7B7Jpx#{yVZ}o@b64SYm(Y|Q$@0km31I*4`S(Wr`^tP|lZ5PzMqrau_G?Hh5 zq)xVsx&YNydz&#m{*(akFyYf1p^>uW1U_c^aw-rp^q2)$H_g#6I&__ISTAOVk;RyPb?p%qM1%Ob7pA{(Z%{y?jiif_sY8oT&MXG zuo0iVodZ(1E~}NyEveK=)wr$u;_ob*qL23yWDFYrR6lqvXIG22gk8o3=l04cm}hm@ zx5q<6BE+E_1axe#>t-7TGAd<*v0~m6yQfcujCl-7aG2$ZKom***)R_wfPMh*^@%Ua z%zPqXG>F?ULXvQ7M*`|hrQxjfQcm6LW0*m*_(s1mP?#PFV6NJ5$F%ND_GtCe?-GRO z-{%c3y4M_IY$EhrJqjd;7)WIgs?^FK7-J;&$mu2{Jo*Hrf+4vo>W#a1JNbRd^K-0z zEj8=)BcZ|s%e0DQ2fRp`_8iO1?fLkDjfHrO2g8eAqr;t zP4W`$y-hv8R@wa)zXpL4^%7p7Kl3d(=(ug`lK**tcjZalZmM;Y;kxr3<08L4@B0s# zFii(%Iu}fGixEmAE=2eevFgJnhC9c0D7bRyP6bL0J}1AO-?lS`mrvRuV+O>>?2QE( zGJPT7E0ytv)rMD&9<=Oogr(8-G0kyqF|L*L?2|YSvoW2&C=CFbizqahxpPY>!GW=# zEx7ts@euqn6-!nZu*=wf9Xxu4bb6Ew2laQTwzaUYbd%T~*) z)o!|%TT|{**=Ovpgr42!s-IYQ+V9tg&6oCVe0%aE6co8%-?%7 z<)Fdq|JHpXfl<^`)Pqdq)EV7{trF8O5%eLuQzJO^4)0Qmv_`s5Bzv7G(4Z}wsdxzZ zGvgG@1_ueisY58a0hEUYGAv0>ncTRgD_Bya82RWEzSma{k)q?{{Z#H;S$jL?_1?a+ zcB#wmUB3a4fm;yEg(DnLYP%4c;?v^U`=8V?Y?jm^Fd;6@NMjp(l!eHk7G*&F%JXWZ zptmgpwZQ0%U#83Q^UWXoBzukR1fim$D^ zld^=*>;wE)$FECuw&_mn?Irf}jbjl!J})~>ekj07H{mP%3s0ghegi_RN{-PPdJ{K- zgu|}afJz%N#2*p@G);joiAl4^8hI&l#{mWZFWhXPlQn3Iz|dm4=?0S@d~@>gzG`8s ziU%sYZd;j))a$`mxhi~%nx*9FzduCFr9t9n5LHz&CUUcXFrU)qUv2PP+K{f4{)Evg0jc<%2_UHCe$ zBT8qj08V}k;cRse+Ju6D1>#?(B$E7cjgdNr!XGn?Jkhw_3!SXdd`M`f{eh2)xTRBbz7+Gi?$~OZVS1Am|jnq-3q>&Gf;IOccen{lh&GRtpEvA2f_ZhPR&m8U{ zlgo@T1qC@}fn9WyVZr(lBP#ycvlA%k)kxQ<+jd)>wqJSK2yB&}I@jm7pRB<9x{aaW z+os2N;rX(;x?SLScat7=U7z-Q1O9%!GWw0T>|I4s*roHBs!lYsa6Uy~-~n|${|r6W zuRD&9q>orwepm9@U#qGUuGT%)EL8p__|+RF2*oWRoIUA6tX_mKp8!e(L#``VI1ym7 zjT~cc!K5g-FPW;0q1ao}tz@_sQJ}OaVmJkB3c{Ie;CX5g`Af?|4EN~07;UsiQiRum(iMZkjfV0~I6QRj50ZpvqhhomJeIF9c=XI&Vk#h&}{JLR+_?A#)EWBA z+c1k9i>C+2{U6Z~(cFvGa=5+}Kkp~Po}@@htkvHqd;47lGmj1ByYncf++D-^B@E1|5;IMck{a%(Z7>PH*i}^@{R+yAXgIXB1`%Z5o*b0~D z5*pAG7=O2db(IO?n*1LG6(tPq8HHjQctjqRvSD`{u%*4ix;pBau{q-o_Wy0D zBLqKoUQ;a&!|U?yltQaaKzMv~g+xSuPA5h&w{Zz_{#~E{12EIF9DvbVaC!Z^rft7j z?_R^Lsr&R|JOoM8C7rSvQvCSgzP<0)G?j@c8mKp&2GF~4ls$aG+_7OS(o_lZJ4?PEl`Wl62zJhcWwiSk^)Jdex5&WrO|Ca6U5 zk4i;?Em3HBY4&K#32W@nhE=~Y>%bUeT<1?^zq--x@!b38zn=W!xKbUMVYgcNL)NDi zdYB3P^Or7|=&6Bn%4w5A*g2Erd+=913>Lvy!1q2Eyqr^3ne$I44WMb7L;1IV2L6Ey z8hn>Y*wnG)kd8$lKm783;LJm$oM^HtEIJ|SF8U?$g@P`NU7&33ax93ckmX>BmnyAO zU}YFyt9PeCs6YmE|BH;{V+x1yl$f%&>($Fu@^uq0-?Box^^$JOp(Wq#4!knkWJPyA zU=P3QHy8^0+`aXT>W~`oZ%`Nqsy(KFfHQPcEISV&UxoRsQzUyEE@he5peF5MWlUuo zZ6393su(*bG)-82GYSgHTH9%k1R%T^>S*>L$<1+E*Xsvv0;Uvirz7RgFDn3Ot z6~Vglvdp*iOyuFZR=zTeuSR1|xUH=c=(mHN7brv#Bvr(delM}yMm-~DFG3*3cPmq- z?rS@vvkYf16RvYm@rQw##b)Lel6<0;R(zkb%^sG?}MtJ@k9aR_M&tff-b z_^dh(+;)*(?~D^n#&ROseQ#b@48MsR;n2A2Bpne7z@SCPF5#ty0MQiLMv+*uiMPgpY$Svo58#mAEOwmLdsYE@3!5I0A`&)|A=GG(Qo);v1 zQ*T)m*_9Qhjk9)IipC1q zTQ`Az@>_p7ji2wc^fGVb9#XsC`)$Ac=AX;-{3dVrnR>6JX_s-lkW=YmOW%{W3r0&)hG3tAH2dzd5`nr8yJHc5gdN`r|f9^ z;++Wty)m|s`1+v6ubzwI*@cuG;e03kR(?(G`LsRd#`#xxYi1{Fr{WHB&*U!QuaM8y z%ljf{n?iznF?K64=3f6OEjL>;= zn`UX7RqP`#q;}DZH$S3zOlh2sqTXoEXag(j!z-$h4c*PosP!@9!i#ilFe6TCRmkFs zBhc4y;!!SLUPQA2zO0c1E0Juz^brzTlJP{e^zxYy zWhFJt{df5WP|P#NqVDfDcIi-M55+siV@BxWOo;k*H^RuPrlqf>&sFQ9Y+^1#c#TJt zv73ovmCP`k`jQg7GLIs~=dreJ^2oa6p+4FzbsZ8&#aX#60$9eOE>r^T6!V=uX5 zjvgF>UfY&>LzuKY?l4T5I!0J$H9`7@LKQ$VtYIJk6a_N}j1^L|Ei|l^xRv7u4_59WVQ-%z9m4fP~bR0?@uP#18r8`+(9FB1}W4b%}a%#0qfNJvoCw2)Uw zeP@JXVU?3Bk_bDV9Do1n+mEij^8M=<-#fzj)K%Af|LLt;y871$KkA$}@2>nqPxPO? z^P=&6XSpX2AA0R~ul@2ZM8Kp#LMvE760(y{X2F(%-SB@|ks@%e$hG-dkzw*#kzq2B zAt+lb?Cb0U6^mWIZZ!w2QdjNla@aI2irYoET%xVe(2W_H`5SFEo zq${XK_}SjHpi-$F#-T&@Lx*+<<{q@1f%JueM19`D$iag%jUX-l-AIz>U zS?u9PrSek-N!IZagTEDC`aQuV2u2lw7mQMzHdGLHvZp-r&fd; zgoA%yOvNwtPbQ1;0|&0Y`%d71+d-2lr&&U%l(-lNydDd>qf!_xOc8|U@?1G7FNaIR z6T>t&Rvho9iLw`YFA8SmtgXe7^npZGor{Do!L z1|mY8qs}u@Xm+H9iyU)>mBKrv|Kh(ebfbU7&Wi+vC@WM;fED_O7w6BAsFEPqWh8HH-aebkzSu&n0ENcy9xHuvInP2u>>vAo|sTVO$*AT|}*_RoopD}+< zkI6X8rVU;4TEsY6*>RRX`z7v4nP>B08f}4nV%4yhFm2ne@uHbUD@w%7qD@g2N|R_~ zAj5%umXQ(z#VV>ek8hB9;^9wsZ|=JMh4ys+KYsMmI?}l4zN>!ly(_Q!fpD+xUT(7u|XIwWF^d277;XZvF&!5+rVrET!1?5?C;&EJmnWj8L%v$G{?D z3!qa}ytcH_ev<<`sN|$ecR9qn!kXj{^BT67NHX)y>1I+g^U9gaADG^A_>EVY3)wi7 zt*5_HBSYzFijK>}liZVh3)~BQZSFSTx5&4+M{G|TPX=|_7EsrcHQZX^N}WzF4|+=9 ztL#(v>bh6ouK$H__KM3K8yz=+O~tIq#7IOtMeWI*XcyS%r;vgi4h;?VF$`Uwp#H}M za7V^bX=f?-_KFZ<`B$flwBx@IRF~1dEfyAxsfR|Oh~Zh9A=!ltwSo+_riV-9ftUTh zEhZUP37BYD^Jiy$9ds+kXU?V)X}@-2B4hp>^wj`r9{O`5Mf?NSL^TRO(|N^L&a{Ttl(| zQL^N&G_X_>=RYqpa6TUp(L!O3sRY14)w5=(g;g!UU`Gk0jOPeqFCtWW$d)}3Q;6*s zBbd~2m@Wf&FJ@6PwqBBXmE=O0>>pNi?9W!b?3@)QGyQ{zwkYp=xHwP-vJXu5rG@#Z2he-UOE!6Td6&(=Ej53^fY;A1+NHQ%)I-=NI||;u zn^7_g`_Mj3MJgvadbp9@>jep0!lB^mbDD}ZbM(>hRf%&)_l><*{cvXYmFwo--2`Ib zANOaUe6;T}^7xMH7u<70-;40rw}1pVM&AaF_nWv7q%W2LWFQf@x6wh}!2y@2G3myY zvfHa;w^x4?k?IU{8fx$kK>=?O3V4gWz}~puM{yv?=H18U-RELXwgJb1kBzWz!2j#> z6x?lv8TaXQtbG(CY;?TyKHTfWzS%*R4@f6Icq&LX1$PB=!F-UX(xLFy1Z%o=69M*6 zj2xJD1tTS*9951fykhzDm4Ss=1!Pni3esD%30HsEgez>qmDzzI6JD6ruR3|nMOjSt zH<^pE*klKJ!)|lfh-gN-Inm(t5VFb65TX-bQ**PK_yiQLV$KQkz7I@%uc(@9^b2%u zuB@p_urxm(%kvvUVXk@G?=F2}j-hpH&MW54yXU;_N4qcFFlY1@a(~~RyGKo%x8UB} zNy>kU42TKP17h4pW{oIlPV zSN`PtAR_!uI1?d0ITBO+p@_n9v9hpOLcM>t5*A}%!>C8&cxQZ9oWy;;pgq3RiJd%K zOU72SI2n1cwPc>clKB&9A7w&L!d8^A1)5IgQFB_a6dGJt)`PQq{Fb6NuqMi1P@ruY ze|%>MhuED$19u9sJB8>{11G&xh_Sm6(=VX3-z3KnBgc>>y+UxSeTX!~teeCv@{4~J zyceEKERK&NOjR#J*OATv<&ZYV^lxOCD`Xg#W&SnfEpXYQ)sG&=ZdvTCus}6X8SlZ@ z?1@er>i9O5aB~@CQ6Y246>;D`mZJ#TH+!*CGpOxgSUP>hc?)*k<4$;VX9&A&B^EQb z-cj>kZNs!`s6mx3uGC5x$!e0qiDiE*JTtJ$GTGcbWTmCoM>oY$nj$v-c>PmruX?cj z#$SHtxjnHZ7i{`QcgymNZXVAkzB&7{%UceqjPZ-$GAqG0843j;aag1IuLC;x9Vhg---G#t_9 zf^oVD%u_*=6$?j|0Z&WBR0Mx)(B=O}Zj+htHlPB0HN{D#x!Z%{fbo4Q{2AVvaTgZn zYhte5RB8v$Qev(5b8-1&`*mld9DxmDYwbskq?k5oNlUk!o|=Lo?A4dv6N)@z|T#OPw#UBSZac$0G3ejv#x>HbQNN7nq}IU%Pw?I zMp*jt>$y@U;$jdoG3igRf6ny_2hZNj-}J>)zT<3%nY+gK0k+scw-{l*8*YVj zorlacW_m6$F7fc1UPj;X;Pca5HYB@-WIeft$ee+fV!0CXi^kV`)NzlIjQz!lX$9XA%T1#T(nvJw1b84VjSRbg*82Nf|K9(L{@d~O zFMTI_(WqPd?+^~!T`Tr&c(K2)?*|;d>!u~Ql-MY}x)CBB!f%leUuurON5PIjU0`G& z9oQ83mj0;iS(_ZRRoj1Zf!+WgU`f)!^2Sox#_5i*ic3h+aSq?HM($k&#z2T;8}7;Xs-#)J)qb-}kVwuVw>Z!6ZR# zVeJ1=7<*4)>_4{Tz=zCpL}z0^n~f*@ftT<>6h&vSia-n$#bB2Y$1N;>=9_V{J&QJn z_9E5mrsmb~?)99gI#@Cp7{1KDZKyYycx-gT=*F>wOXf>TXgbgC#~yPBZ@KECC82Tk z^CurU!aZ_V`?|)d7rVZ#PHnsVu5&BtEZqW|u{4VZ-~81ao&jU@KVVL?? z`BJ`3QLA6cuGyavSQ3qYKqqhPxJI^b9BuALjxzTZakfv#!BB93On6LqU*PA!=9Ivd zvlp=B1s?1Zm2jq+MO$KMPy*xh7{a~i6tIZ@^cI9K3OYe?`h|%FasdS_OF5sn2(pk; zgJ$zX?Jx5^C1zF)3vX}!58`?cQcX*$U`5^1O&zmzW`fjC2HQw~CCg0jm^}2oPZPfM zz9}XM2i`qJyaNM`io8YgpofjFI4bs@`2-oMvWP_$35qOWB2+T*R+oJDu<`C;XF~&h zqXSFw+dmpC@d{^3rCi?TOetPhxKXAX;OHOkfjutlRjQXNWzj}d4I375QegU!7dCM8 zPWr~`5sfGU8;3rB3{@)$HHAj27ouru24_gC+@h?+E6EypjdBgT8edJWm9J5*R(IeX zWIK0cKa+^7BkeOLVv+Anpfzd%1z-$B1qKS6&{&!W?6Ej*6uM_#oWCDgI% z9F$fSA?@-u3Lvx_3(52fJP&>VARyq`- z^x)9mG~h2007@$nlExL<$G@Rib&|d~s4pAzpFEx|yeDX2fr~RWbd_W)dw&%k8bv_a z)&Z?Cv`;X9=h}SR8nxpF->`;%*uVbA$K&Px5rE8hUD zwBx@seu2NLg@JT)^^jl4#D(i!KDzShS7`+Rd_fso2lYyN1^~lIwNhuKa7vwyr;@4i zbY+gQ1TQ2D<;BWeV?AC*mdR_C>+x3kdgV@hn|z0V@;N?DLIF8}hsjALC4WzT3rhxl z=cG~6NNQblxy>8tm@9?Jcts_$s>U&aQ74!NlgLsbDT$)G6zGG_r@~%bm9(p*2RpiD zSrWt-$t4IOiN5NDxiu?nyFf2e=UE1ta&ar+6VSFREyNri@a9fvMtPHBz4*mc}$hORC9}TUz?( zaLfCCvhmur_;2@fvUva1eV1OZd<%x-0nonwYQB#J)!_F`-wqGMH;g4F@>64(*vi-z zA_m)V?kt=SsF~)fJRvMe_q`1RaG$g9~L*T z^(&?tSo|J_fprd4D>V9=SmFo6Ma+_aJ(z(R+O4>1ST?)Mg;CjmUdF)EItuzCTaF^p zt)0YhwBB6I07@gA8+!pU;Ri_Knb)?gTy@*M7kB*RuKus%^KTwEW9HPaeW(8qc*CWM zNsGrX{N`Q#&kF}z53IQKsfMbTI#zYHjpF7zy(?!;-#F~-E=eD^ZtDDNN5L?EIe-uS zFYqCQBu(jP8~7m=1YuqN_E0oBU}T)FT9(z+yjGaXx=9NO=2VF#Ev z>teoDYSmio z58{W^hqV2qNB_C@OZ)4_JKXPolwaHaV0@suT!SkxT~3GJW>_mQDdb=)FjbX^UtNK@ zQWQCJ4W_8DRhSNkK^I{<95!QM1*V~j4&qRaSJA5qG2#PjFkiJ{TfBG~rf9HbnCcuC zc1^e4s8^_trJ{0US_J{LKP}D`J6Je8`Hq@4hp>|F5Kf8ux!bZREs;v;BJCukei4{w&Q9dK@7EuoL-F1-XgYG*Opk z$F4Eq#pNsI4duMTUb7;ztz;BleACOw)@Hul#$*UqMA`&gJ^4THaRnP;e`-%fRil$0 zmsT|zg}tM&hx5PRQ<^Z(Lw%#Lr{~kt0f^gYgl9w+XiLHy!kd*6YwXuLZc}e}JZO8? z(c?H_{|J}8&MhE+alW@`4HxLl%q8wa(F$fM`+-pGa@i18SXRUe%Pdy7H&d3dKPp;p zun{dzCeolzfbm%Ns!1^$soYfAQOQ+S_{mosh6C);|9>@@#sBIbiP4vw4+hM$rkk#* zu%IY#++X02CJr+WnN0S z5V!Cy!}rp`K*|Zs#6?#P1NIsf9{82RZ@>Z43T!t(mkXt}^_P*)Ef;?g1Z?yev)5Tj zKKeN6JoNf?zx?g2>O~jjPrtJ0ii?LwXZ{I4e%k}HAAF*Jq;PP~&#!&-&C+<~>?`}* z@u*wx8mCEpS8@$wubsAfJN*w0`HzHmg*T8Lg|PW{{h2`r_81;d$QKInyuo`kpBCbu z_3g92YUg}De~3g%)6Rc6?m516utjK5E;bf9FLN*UUFOdOFAm-5dzcu3GLCbVX-dfu z{3$8IpArjy_GL;E5eZ8_E_z9=1jtlab@O*?jm;@*jm^i_YYb2Sf9<^sd{o8xFg!Eo zoIPjn_su4o?4FQhNk|}(03i^~1`;50Nk~E@#XVxIaEh#1;Wzxhvba8fG5|d*VErxAc z)W_Ce=EhqUq_Tg^_4Vs~d+Cn!_$JmFU4;zrrcMeHZ&SesbGyqQ51_@LGKDbJ3su{)6bw{lCJCe-Zsx z^c6e-`}(l)N70XI5AJiG4!Bq=I~%NY(Rf7=Lj4Z{{w3 z=BkaOFHFnE+0psW;IFXdvv&r+eYNhUI}ZIk8i>khM2Xh35}7hdrj6(gHjEs4S|-B| z4#RyP+QD6Fp*XF|TPr4$EXs)i%=2l;3Vgu=t0jM6A(kf`YN;ty&nY>!>a~(P(*UzZ z2jQ1bMQm+k@G6*XjJk02rmLP=JolC8e0&mr_{`IH+_d=hZwKG`Ec*ATM9aMexU8?} z`5K%2rM8~vA=vRgy?u);B^K1nY@sp z8*5l(*uZre-r@eJ6Cc*$G+j{4kP3B^^~L52a~)r&TO`)$FXuN3cj;fyz0SX-JEi+X z{Fm-)$?Y&01dihgJ={YN^GgAy@)^pJ9vpwqXDG*f0Mo(o5}+$D=tK!f3Nr98#S8(j z#>65=N%YArGx-^tLY}q*S2lc}hqk6^G^rw&#talQ^i8z4B&HQjGBDn*nO~#ZS{IzN zt)(nvIavR6#98o6G?_n4ow*`@1525z^(g|lD5;?1F?Y-_|XJ5apmJp#*2S8 z3D`J}T~*fBJX?hG^fi4?p%v zbTvNwe)Rq;g@b3F!9CISgH0r`DSC-=P7OZ>`jLPhQu;q8Jm(jX#Kd>VBl|_dX%v{V z#8zN63e5f2Q^POMVS04u(|c#EI!ps8u|=FVy<=M}*=o&F-FG}kUKb92^N)YsloBK& zB(A5tFZq`;-->N_11gf(`mZHUAITcG{>zPsF>J;sCa28n;?|w}wKdLu#axdD8O=j+ zU$qlri8D}djav$hH>GMCdIPf2bT?IhD8Duf1?^dmptlfBvKKlgdCSpEd%0t#cM)1> zU*uTmwcRP*X(e_qJ@z2EHsufuM#T4kHvWwU?T9eR`GbqiLz z&4dUPN#MLTt5vV8K@zXSVYeeGDIp=rR-(uAkqi){grNVkC39wZ=XuQ+f5EeRtktu7?85@ny$*vHFkP|t zmI3d^#M^sARE$tH5@&xIe$i(N2_b4;95b6f9rfruo9Mof+2oJ}+GLoxB~_-HntF>{ zA%&YmStwO)=HUCHm%aEwdeUSAPWa2~6=}(1KRz05JrsR;w3y(Ez68{u_$RmjHJy8Z zFe&=!KW^T~J^9THzJ9A5p84&AK(V37_6=8@x6?)EU`cop08 zQLI6d80pbGM3Y|R{-g9F(WDo-{~*11pqBESgzex=zEIi8$)BLy$L1;b%q-=ertI+a zrTCS*H%Zy?8DoF8O^}7{!X6lhp$njGXea7Hd@hr&{Q!M|1cwZP+Y!g2vt4=QRmtY3 z8rl3zBb#4_l;M$?kwg#hZ^iAO)7e~aH^MM9P}S(zbWh#Qi9?z`jdZG%^YC+Q3kRbX z?h|~W@Df1kM%J#u6XG95vR6DRlD|v%N7DTgiAYyVWPyJahVCd~$;ct;agmy0@MG?4 z=%Gebgc0G2kT_WyjS%aNrh7LkT@l7fL^*F4|S}AKfG?8Z=Zz3ZiW)S zW-A~RC0wFnk74t(f$U3iCQan{uU`Av^;73(&Gam-Mr7y#U-UF{?848MVN!2~+ue;d((xkOV>GdBO`$1iTXA zTqfQw7{xg4`81|IZ`6s&cI$Q*b^%d?d0d9Uu-%9Q#$scIk)w7=Lj_C)T(MKin%~HT zevE#m9l)fTj1toeU@WRJ(dpWKwvZ2FlIS6LOo)F670;z&_1R2xC#E}Z&(FUBxFJQ9 zN3vOilFi`5dW)!t*HKZPk;G@OUF_%bLi!fF)flf-pRG0$@mux16zzFn~d>J zC9{9YMw71CU7R>Oal3z~Uzp&W;4Ai*I!k@k&T3zyv(dNA-|c@x_om}x-6y8Mcx_o^ zlquU?NG6)f$qdtC(oEhqz3cs>=aa;beP@Uj^JZ64vQf0?T*Yi ztQ?J~X>%*KSwq%k)^02BXUfrjrpRGs%F%z;m~yn0DMwp9szu_cGVQc_Xp>nr1Ia8p z<9)21=dpyZO0g%@+SAXmUVfJBdMLA2OlLX*O#NA8>d&G_VcC^a$5Q-fD?Y1w&v8o6 zn#waZ-*L9?nxeXfc^6Y%@=fo-^hr3w|E`yzPI) z6~A2fz+mbVKZrcMXMNX$(Pkn|orlL^acA_Jhj0C6I=B1y@uM%k@z#r!qeDY~B84y~ z-B=i5n)r#S56utgC_ESt#FZs^(iqogg*+Yq~S?p(P7J7}L z=FizL8L@Ve>VAMvKXV_KedbN>TDpEw{6y5uxa|)RBHs!}kO5m5{WT09ccFjj>}fSe zn#Z6aJ5mW~YAqLndDj~LO0tdICGk)2SdVl9;q(GF5q!+RL?R5SX%q60sxfdwX z3PH&XRu&a>UxX5w{0p15sy4-wOhU+PRfcB^O-sPC5E6)xXgr9E@O4Nz&~sh(2tj4# z?9gJms;ccd#nd{WYUYAw8uWX1`1DGvQVm!SAPU z{mB-1<7Weazn>g}G8qyQH0@qUS}_8k%A_-BpD#CRD4XU-b9-LVQJN}D)g2NJ>kf%8 zN-rgg<)%7Qjb)9g$+F3@$$6vW8OKLSANjsWG95NPa*BT-TCjf0j(ERuixeBHc zHat0GvhOb@OGz8KlJMjpNk;*^ZST$M&JiF3r5oAWh9orvVOP#TQkK~*sutx1c?t^; zF!kAzZ7DXtP4|nTFOWENl1a1Z!BMSAcE(U0rK%lubw1j(W}km3nu)S`NlY#?+thXm zT4%K914yYtx;r&kFiADP#x&8HL@YJ0C&E83o+%&+84uq5`L4SzyZRpdwDYUiPJB7* zk>?&*;@`cyq^R-870-XPV$F~4xykv;+ke@;=&@%W+R{LGC~Jp4<~@Lk+4xI_i3(yE z6TNI~^Clx^f-QfWo@Iu!(hO#+$?7*4vfTb;-k+5$WSP^@ZYj;04+2g6B_>m`=J?1j=^=7`zzRrQ_J(&*;B2gySyk*o^op>zTi z&+Hh17v~k14B2ZPk)?8*Ok{Q#lH4th_(+WVNR0bPj07nn zA5ZR0Jb@45$#k9qc+z|c!ohd8a7_Zt8lV}=fZQKq=2SvOG8 zt5=XY<#2zjI%e^89YrEw|M@hN*=k_AkydMRmSXll%be)-Cc70&2f_%;Zu#R2&$j#z zSS1z=57#P!#dge5+NfadzQFBkuH5s$<@s}54r8SM`qj-_UHel1^5hSWty$4@_4eqW z-+FckU*o;&hMuc8-|xDQ{NVD&tFOIQ-v8pt-ll)0_l)!Z{MIAUe|}7NDU;|4r7*4< zkeMVaYc;0fV+75*kxQ|0i?jOJ*b-Z=)y5WGY@CgaHkwD&g#2Tw>!P-gB1y40>d+)< z9zlv(yHGuP&7+QEp@Le+BGW3<-KIxPFPVfn+#K_ddCmbO3z>ABC>V^KNH=QC$2i`_ zaXf!#M#N<1VMag>Aqf$@Gh{$K4^hxD1K&?pJS7N*P)cBeK^x|%sRYe1wsOF&p&u87 z%wlL%+61vXb)vZ4N|b4&YXTxRA~RcuCp8m?rw%Ytu>F>Pyp^Her&Q>Zj&iEN^~Y>- zR%|<6^ktED3epYAvZ>W-)y_FH1KZ_bCg^`d#{5EV)Yw9fPf00Kmq6L3qRSLA7Mi*% z3r(S5p=ney+*M2Ub>~wAK-s;KWJnv67TQCy6bUTl7AYSFX6Y$XC zj}kmpFLdyE8dE7&SMs< z%i?rdtY)BdAt%i`WZ7vU7K=6H#%g~tp0e`z1f_v67>4Y$K=!4!Hrti9Z8qNaU(+xz zqhVg)er;aj)o7S^yX<%dPefLHJH(vaYuSH3S{4{d%SKSM`XZznO`;oSKxyiM_@BB# zQud`${D8SnpC{xQ=@ERKdIVn`6A7$~F*^l7Obm)x_+8_+Z-aI~CqBpRKa1{eI?eOJrX`*v?#xHa?9TglslPgPud+Yu~v z-g5d^gSgvv)6LI6aCdJ-v4{NaiRk(z(Jx|L!CgD5S+~8N6 z+#Q~dgiYf%)0 zawLUxC3W~n7rM+tZc4buM;>)Q=^@wnZ<5JPhHH|^%km4O$#KtfK5{VW1s7?abkIYZ z6Tkf1MJCTQ$f|JZQ`1M+!1DQ<1qmuP#`psKN}Kt{PJd(`%0Qp{mv7@O zAp;BcwU?9QEjE8%_xh#}uU)g}$;N4~+cTs2NkMQ*-I}i z9W{H*;6!}a!rRO1j)dv_=^=#J84gA~Lz&x})sq`|&aZzr>@>V;AO?XDqa+E^2uTEK z#I9ycl0}k5QAbA!Rgy(j_|Y-Wj0v>yx^%8ki$|TR%4RH^E6wznQl2UR)vt?F0WOM{a2cTkR9LSng;3L>26_mynF=Rh ziJo*4uWo;n8`}}03O8_l=sEJubI%RxgoA?*lf~c6Abo>#fo#zc!Vdhd4W-~{9LH-& z^7DerZ#F0BHCZ4%vvtN)uI-3f>p&hwf?&kCK*v>S3hf-A5h*D~JoL&K&ODzf=F=ca zWoD|*QW@mpj~Y_a49(+pH;^sHE!LMTf?hOwNtu6hj{D-o>Ao8068DnCD&HD$jj_?$ z;$D-u%(szj(5*LavfiM(Q@q3WlJ_0*mhLU%yVj&wkw%OQBkf=hj|&MAJsTIO>aR^t z^vsgOIfAWE)#|qg&R(*Lo$J_FYmYG0F~vrV*y=z`f<-fcj<)+>yg7C%re=E~rvE)G ztfGI789F)9mP`ePiER7@3>_YD4scd*leKwP9n7%ynq6$5fWae4IUG}nlWbvD7Ca8O zjW9alw6XI)Y?Kq$Em(76=lb5xX{%4%|Hj7Ko_=)m=0_jBV)MoI`?C+X5{F>kT!^vtUD zJ|i_`(T|h%@qVjbKcah&G%g?O-uj@y@L$k@zFH}0)QsU7HO9oK2)r=QpEVOR(GXiF zG}g;bECcwaGNrP{qV?t9tIJNa9S5`xhyj~=;EHOWQ*Ew+>|(ld=-Yn} z6BE6aXH#3Kqq1>3a@wIR6fANjpeH|pR0TJ>SvGRo!(uWr$?^f{(dI0|JdsH z5sbOj(03D|?-L}WWl&`j#R%+$n-nRe!WSP%{ah%a<)}D zHtvlQKo2)KuP>_~Dz3DN-D?oz12 zQ+~zXlv)s-Qjk-OI#@L(UCp0{aa3Vv6b+==(l6bNb9A$s4%bi14D!OcY5_Dy$;aG@ z9-QSV_ml@eHhq#O==1R9=yJT7@08XVJ4{{XO$j%noA6ftdg&_TwWjOMwKrJ>p+0|&Mh%_pO_r1BanZ7zOK)RknDL+7nUlmUmnFt2>q&947V)C+R*fOiws}qeVVn1W96{LFPZC3VgF(*` zf+R~n@rLv!eO1WNP0wS;`$J}<(Ig|Ts)B4|#|G^W(ftRP#$A6Yb`e!3gId8+2r=;< zFk954guYbRB+7sfDz}dntxcH3+ZD+Mn89aDz=aFU)*JQRKrOu4;4-@>p?Y>ta-3~9 z$)q>&2cfreL#LG~n6mT3PMp?{N-UJX@lf@OeIVGIf9OHW!bb#aq=GqpCW6yxzQP$H%GSPJ!kp`e}q(yjZ%GTV|nrL zYWY*f0L)u6>|TW-)zLY)B2w$6TL77qW_s<6XxbT%W%t^1vW=O3Dw9}Y;Vc#xs>GNr zZ<=j(7>wy8dK6t&rj+3M?E3L^?m94@5d)y7l)&4Lzj1%u_TDdJE9h~B7+wenjbSSR zb~a9YN3J9DIxDN(_>4j`rzFksgwihYEKFO$wRqMhtxVgLbh&?P(#`(6J&z_mlk^wQ z$MTo5^Fq&kp4}d9N>-DOWcn*COX-S1GR*{^sHA*6S+$R58z_lC+&2(MmjiLOI|8^6 z8ROy^Vb~H^`)+^F=7#FaD<|Peht?iAgT)uN4P3VA zZx1~24te=!oj>S(bo1r+<7(Tc);U-HuHEFVU4y0HeSmFuNBE=??z7dvX?HC&U}B&~Kd$(>SH z@^#Yn$!|$-cbh-v4v>G$$$fqelZG|Y0Fw?Wyaz4}nTr#aCbT77 znZPI5G^Kl+w%3}#nA`+56HVwR>3!L;$%eA(9=~#>9Q>#(y~iWl({w9m-)K2aPVLv$ zsTc*UNA(Qd1cO;YH?!Htuxww8%j{Eh6n*ES8y2axf>CtuhOVd8tJi)QJ$3Jmf8PDxU_r&L^Ew`S;Id7R@zs{qd2{nF z`t0|O%cB2!{icB{@NB#pKl<#h=gz!W|5#oBeRl%FVbp*S?-3pW*x$@%Czj{Un1_EN z@p{gT=n`ih!MxsNj&K~I%~HYk$2fnIWR)WNzoH7j%B6%WhFcrH5@th*7Ii6*ou^zh z_w>L#+n03rl>+Lb@je|dK1SEq3LbGyrR3wL`PEHI>hP3v`G#}K?Apc zYjj}tBP3(^(^+l0%wO~O`gt8hEIn|la7 z&b^7=^n8RqO8Cf|lq_VUF~WaTfv*>S=)EKOW{}VDj0sNg6b8$^<;i7%vb5R3T4|Ae zfqQZC;*{FJLV4k+W?_YUP4Kedt;x3r-}U}Jm}vB3HnPHk*;#teu!FD6OynC>xTOsckoG<>pIOl2iCC>yacrm13N8puYbsbWmb8rD=9 zR#efB;~q8yYEMuFmVmQC_I%q*wwLPFV_8whI%XZz7jg>-iB@kgnC{Q?c+#yT#BpLe z(`pg*RzK5fv8q}v`O1GreQw;+Azdt_D}vM@f9#MWs`LV(lT>XNJ5_xt2#)6ec|%9x zefK{2%NL{1?CHT}FHsGc*1?Z=t$lpsC%3&F{R8&>e$|po!uQr^-%xnjB}ed*cizTL z2cM1p?4AA54{ph=zXuogV#AN3zl}oV=x;_(O{C+%rCcA`z@&e#jp#?pdLSD5N;M<# zRcKT&Yl2Z{5Cz0zBnUd=XL?D34vIvn$Y53U@~Ix2**Zc0KIZr$f~i~uPBg81M1eAu zW}u4R%+>=Ff*qs>8OI-^!q(d{FB*`bBN9?ftJ-O=-$G&&L@jR{% zCw%cm^b_SuYf*pA{evtPPCy+J&^N4CE!mN{Cy{(Ee(oe6h#xq~E8;6oa#%dh+r%ryZ6YUP=VVur#cV3FS}mc3qzRTTEKYxx01~K(-5?oF!g_!OQ6=%&op3lyc z!tOL`>!ct7=zD6MAG@BF$6S(AFoDBA)_nZ)ul?-$qROlp370G!_5s!E=Kg`_Gg$tZ zL2}Y%(pDphm?bQV$(mUyl+1-#wvPF9dXI|Y6E%R9Bn3EW_f%it3x)=Wn*F!blFIB zzzj*$J;@6h80nM+WGd@K7oDGw?p>v-3_+uuE>g~Z(T=_Ynl7*rmP)0u5tfM|s>^zI z0JuHheKWoVCdbX<{M)~N`*uiwa&)vA?_(ulbKFn@Pi-yOIFgO9LnjEBJjrtz^xTI} zsi_nw%@g{cE~Zk<=Pv>#tO(em86p@i0#2Ps&E2HpebLC$89C&7+)3NoWQJ~HfewOIG2J{SrVBWME!H9v^pVl#go}VC z(=KA}A~DHbD!99v^$-Aj5tG_w-Q$!d!8@SH?`rpv9VFH_7`m_yB8j5QX)>c>G0|8D ziI?!rkMl3oqY(S* zL5mYojx&>^KfjqAgUf9Gg_`ciS|Co>bgVRwAxX1xLZhjRE;wC(6J|~yX-UUH^%j|i zWz3XLZoCa0)ksWwu7Jm&OfJKaYsxb%GuXclMO-3eW zURXk57B)HIOQ&_DFIUcCd;o8%(E1!*gKvwJcj_N4hSjg30C4^ba)q$W1BDf|IIk2;3U z0o1A|UrSu8AnNq32Hx z?`;8=hf4d5&u6E$W>9;_c15<6;OIKM?J>M9x^4jfa2LJrinh`o(2oAVPvwsy9onq$ z*4<@QLfgmnFcT=6 zJAe{%lLp|=o1_k`%7HDu5Kt|hP9QK_4+%^oWIS+Xz?rd?Q9P0U^Ha}EY;}Kg%%7SjS(YU(K)9U&dc%xQV~X zV0U;DO`MUy&8i~?SF&g{TS$Il2KH$io4&Y>%~P7B>Bm|~RNEK%jO`sDy2Qv%?Lj_^ zVsvd#GrbiP*!^pWokoR{mifAUq{nx}M_%=v^bw!clwmeggFWXc(tf2W(w<@Yu@IUc zcs^%;X5K99XbUUz3@Y0=CoTAhv z;VDJ(yNGE+sY~GW+)w}z6=QM%4}G9TG$!gSs%hOq#jG-hp-v~V9kkJQYJK0OZS8R1 z+&>|IMtyZCZ%S|PgswT2S4^PC>|Igm&q_^yym&Aw{5*QmKX0p9bn|KaOK8t5n3?v_ zRcKs&jxDjXMY?)x9TrMAtia>W!ZW2=dd?sj^(rL_Sxm@c#zwyh2#;S!sPaki;PWa` z%6^>39K|`kftL)1U`pzQOauPffDJO{T@Y@_G$v2L^g`E(`{2&g^ALwS(M&CsLlexUww0)CHcXJ$(Lwc0 zjGZWg`7vz-CYZjCDx6tts$N+~9h)^XB~d*Ym0F0ssmy%R{=`BuiY>=xvoqj*y%-so za5qpO%96nRTBlk%&sI$%O)5}KBc-~3v&iR_v(Eg6Pdf8b9k**A_juFAyLX=vSMDAu zb6?N;RXWC_T!jeSoj}Cd*#HEs=ZFiYA2D(Je*EZDY0^*q4|BVWyUb7Lz2SJn^KR0+ z{x|dAnq+C0KJ3zG-P7kQdQZ_~8W*w6ag((Zu+NsGe$QXkISwTmJB}g*-K~K<-p$jHu zc7kKrt7o&GQ|9teWU%iZ1|6)h<#AA=(oChDoIS)S?eZA%~ z|IwR!e&6);hU8DG5<#lFonIqVvT!g*VYmv=C2ZX)NSZZL%jdHDjWGIp0!dDfgD9&Pbn8 zKC`yQvMI~r$;iS%{g{+s*2JVqh0`-?y>%&dY-M_Mr;T1qsT|@+gCh!OghBZqcn0~NH0&s z6B8FL+^X^#1A|n~uYTb4AU$1v001#iPmgDVA-%Z%)PO^?+D^BQd?DYMF}YK$qjRkm zYjw5J=$SH`laR+VT?$N5k;P6O#TZB7_~QIrRbo#$dM!AAQ9T2rAfHq4pCN(eUd7 zzbjkdGDkgsSJzD5yl&PF4WV_bLl4frWYzDk+JTFAY<+WZCSCCE#?Hp}8{4*>Y^;rK zJ9%T z;J%P}Ew27`m^=GUaM@b$noYyQ-}ZTYo#XpcyYAyord=)&o2Qz7bY7VdSH@8vj6 z)5fp*PY&~Mwiuh!O@I#8#_5}J3gblW=lyGSx zTYk{yAfKf#{KPwpK{v=tgi$jf9dR$Pk64s0qK&GiUl!~0s7;`wX+i5jVU(iIn($@+ zgz4dHsj-y*({y@A8aLtSZxYjcz$5Z)!3i(xW=PlS{UkZAQh(?_`dJ|)s-USGENW!S zS$uEs$s=TGAiAz7_f4wsi;I0Oh{0gn@Ju#R7wE<(lKM|un3wB$^n%8?A)}qS+emY` zfo_luR(nk4Zbcqe=;w3dXz8z5N(CPvLXjJow-eG_3)EcO&ky;RFPyMcaQeh#C}Nt) zpLga#c5Xr*?8;EPthqb`+KMFJ&#W!|H#Ts-4ksYcz+AC&@NMOPP&*MlivcpA&3?Qn{ii@JL3KKvhKi;Zm7$m zKRdaC_&aN-EJ-YpN3nK-k-@P@652AbdF+s%G9$h!K`}yJD8_+J-2`I^MBcC~U?L81D+2x`-}q2O{3&JJ=tuJ6)5+A3W%)4e zop*#rC`3R~>Q%A}_HOh(5d4PWfJXtGmle&IEUB2bI`^)NtLeQpfX;3G*mnIBM<2F0Ktv(ZvP5vGNDhR}Hhua{ z6L_ieo>CQOyFJO0hQ5j0rDR$$YL zGPc+^=FV;_8y-oOi>@x7j?|rgxQ0<_g;8Ph7&$Pe_~Uif6<6MZeu0DkH$n^oJT)3r zrbe~}y%m`a-Oc#rW^MBZvLMdZrnS(W7Gc-C}(Z`*zytOs?FRK>DnxieL4IY85{>7V9mVYn| zUFzK)Di5phaF%kS{j<+7rGY1$6vkpzh+K8Yy9D<1&TFeqsNVC?(_EHzd1w-&926>C6iH(4U9PXv-N)s6uK=8x@5z0*$O0b1YC;Y|0%BwJ-Z5sXrUIA;0l%}&klxMSCt2NAprhJ z=iAwwsg@`2l_oX)E^cmU(6X{Tok>fo_{W2%I#jIIXcD5l>?!#d_7IUBl=UzFMtKt* zelaU|eZb=phMEk*7@&?jv{4r9o}=8|o_>?Rox-^OHgd@cb}SgEmo`h1F^nUg-Cw1O zOB5w+PBt*Jby#6R&u2wDqU3eW*cdiIRFZrIG;8=|kl^5Ytztbgw-}*fverc~kmj+_ zKsD77isYt7G4zThdWa8C#lsTs4U~CUWVvZ@%B-*rtu>-~b2r6y?9ZKUb@usBmKSd0|0{7Sc z8Sq#Zf*g8itBwTiOV13{uudDaRE1zgf0LXZcjpho9@-hQ&4_nU0m|ILidKdwr(VsF zVgHpzGxq~;X2t6bum}Y1--L;?#P0-<}LK(-J)p|3v+^K_Sl%TN@m?{>Z3pHivjQ3|~!$E%p$qzat%*unC5 zvO5}(zwr!rO}xR|X@^6n5(P3)vx>F5E!& zMfAd{NuE=h*R-Kjp@Nt06~Nq>z`CedQKgbm)v*K^G{*lpRD6G(p75kO-;EF_YW{*R0b`!aEAbiS+Jg{ij~0^DkV^YD*zAuN7&Ly^ zy@e%2Jo);s%^mjz3wH+f2BlPTr`)?0^IrY(@ZN%eaGb38!`oclSnXuwrIE9>H2Ym< zRyUTo<>@Uf;wv-MYtEuZRCgs?jC@)wvaH#sVHvKW?c!+G`m5O_;(FQhjf$C2z2!sfB}m@*+WTfbqOOZTN-W-R_CC@nxzu^&<&~cU%G&43tRYR z@jm54Nlx0(W%{2SY{8ia7-TB8us{hMo{V)12u%XH-KmhHl#!N%n4^%Cikq>Tln_J& z9A%BXs*tgCr%YU)HS-$H#@!g`@8BsNU4lr~X7O-1G3z)U9-NFmD_riS5gVE=+>Say z$bq?-5QNEUn!^Zmn!KVrjn)bq52s4r+ExcuZ5a_#i5lyZ3v*j*+qgl zcsPPoqHS$mJ0rh@W=)Ido4V5G_uX1Zv?Jz1Yoc%}3IVy5Z=@8&1Wd61}w%{Op6)+%UFxeW+cx_{CWo}V4UY5p3+iF~#>o1p##c<0>Twga$ z_1pzo4*o85FmCXRJ>eP+a8ZZ82oR#u=$WlsENJ;#Rm7*ZT3FM+h zVAamr;wqx~I$FtMk%L;W_L_t+TAR|jI2*SOk|fg3-wF>8mL!j?RiLbcv6!}u46X)L(a*orM%TZM|*fo=Pe12DYYV-2reTv zUn~eCirnc-*Q;ymsSU>nG1?&@z{3T1Av}P*gMR~*FW%qFxVt0|Z}#uxk@OlT^3w0T ztseo5-i`HzAVl*?0ATHjpDygmd`m^X5!pg|AC^7@9c=En&3L|BlTscl<5OWN5H z>AD4tpi&2JnFfa*8|1Gg0^3lnN}1hUCLJ0|n=D@_oPloGU(wX~uOy}c*V&~(*r1Qr zzl5Erw~Ds1KRO#H0AZhr2&l|*?yii6W~Z^$#E-`z{NuCmcSv%mYyFdf>@PhrH8#QO zlu(I>jgXcISK!PAAlpwi=}q`pmHVw5?8l|u-?4+U2y8YunToN4JImJkih`z&hAI_; z-%1G6g-!>-hireTF&0LU3M-6t<+62{MCjAiDW>Uj)iVI8bQM+9l{G0e6$f{%$xdVA zL{;8f4_t842i|#MB!qZE>mp@EP+#AiYi;30%nSO z>fs2P>fs_95laO%kq4~&OF;k`==LOyvQmCtibMmnX#O-@#dyfLl9n1X)Lcag;jjb& z6t6sPCIj1_^fkwCNOyyyIvi8_+&`L$Pc>TE$3WJ)Rw-0Bj^@;NE;p==`3<3~@PDb# zCGZnI-2#um?XQJ@t)2PTZpK~P@vpuTNB`dOv4h!x-8A>W^B&+Y38?{1d+%L z9u_m@`)fBd@vABGnOEP?qj&s_Yd7;RV3P=HbJJ|k5cK7%h$2Y z`g2{|>34Yic)q|lnN{A8)X8WeF?+)KN*S03r*t17yPZX|7FuT%yxn@wzsjHrPKB*W zTjlz;&=I#uoM7)4U|# zCpE}rNL#3u#0P}bKCb#3}6iQytd>v*M9nr}_Z@rc-3gzaaaBjuP)5>%H-DMUG&|nbb`= zL8zIxfJf)^Ic-lFQ3I>~08Klm-ft4M z^_?8JYlu5*y*2=&iXlZP5X2Rv<>-6F>L9jMEjByO2aMQ@>uC0ZB%w1*FhUc$4>0Wl zQ3P>{<}O5NS%}b-^D_2h!8?KDh#nGgK>=jaQvAO|t z=l{tii~s5OiofsrVhArga|%~p)IQF6d4>onGF8T4f)>E<>%X!$3i&3Yci&(waEZ?X zN#yEA$zvs(_fB->3+bl5dj)0hhUKQ`-(K6dDc16?w2>X%p6Jj_=oV`KOw60ErO})3 z10aAC(cSQYce@_iMBcz3*u-w(!FL;PAzDyS@*2Nit&ZA!lQu66d=JJ1d8|uN@iV91 z@umjO1ohkdKx`m+!3vRjB%yH4DqI)74WZFY@0Uc`1ztI!@$;(Z7fVs00aq##x8{u75VV_XnnTzolZI z$~+vX)ki1T*8lFbJO9Ly-Ri88VcH-{O+-V>y9c+Ab{i!cpU=v$h3Z< z1o5I?4BPWi{w-6WP(ZxkA1cs=V7R(M&=InO0!^iuhOd$`W#}7=lmXnJ)k9VUM1uV# z>YO_`^j8F*<3*yB?_yOf8px3B=0X|?Br&GhVp3eGGET9`AQXOrAg9+*oQYKrb{yat z5Hk$sl)_^;3M)4hltuO_kZ}Y`vX7FalLnGXafhdd1(9MlR;J1==Id~mD3tD>CY$-< z=BpQ&Zz0nnJ2Ra*IQ|{IIJ0nfosJi0^=jR?H*wJtV4u+202Imf^Tn*1yG}ObjIRED zAIz`F3AkA0Gdv`Vd@}U5!Vh|iqx-~Kq&*uJVLjp2i#6iry98aYZ65?mT&FK@DyfHd zkww;~d5PP{cQDx0)FKei=brq;Fxs8kZ2YLI$)aQfqeWF1vpjU6h)9C8uEKfTF)QuqTRg@WMIFOe8N3 z8M%KdKCF>tlw*ridQ5^r#)Vz*lBkK66_lWvWSlinuz)Brs#>^#73l~V5AW}HNJ!>9 zO4P!;mkM)=iH^U1gHsrv8uC#-$4N~Jo*zpoP7dy+J8$*)q_zVpJUeP`t!qf=jqvH% zuig!*K5QXfmCL}TKCzZ0k9n2Sb4{O zY`llf{xEN!NYw&zOd45rhwa`hs9d9W1@^RE2oGJf5h$-U5U_B*Sz3>-puEAhVfdK% z>sP*wc?~Y8YzuYB_?QIhd#$l1ZLXr93UAdPDIE%c0+AM0#XxFw{sOiip{~a~g%OJ5 z<%3M0qsntOm3e`;1)T-Z`Qzrvo+ai6;zpYPW{}D3@xX!d`TlsAk&^up=4raM;=IkD z?F&3S6{VR35g2#-@@VDzo*#d$pcR8M1{IgdA~Es7hazIo2jdVr zXSuCv{>nU-|2a{)9!tHE5(SgGhy|tLfvl5Hp#?L0N)RK%utiCQLk<3s3iVuPPYCyX zYfm_R7=owS`$Ga2)U%vOpEU_m^tXkeOd~z+CxcDouqv#Ht+A7{qltmdf0CV{B`iA| zD-k2ne-aBj6BFzIRkQqWH7_p_gRF_InX@?&dupySGG!_Nm7X1*osI4P*m0)nN6@0B zo?|hxq{fcZL#6&jrKbdAXX8k%^`pbaU}xj}uBr4JmY@IsKAki5R)?Paf2xDZsif`* zGlYCwFf|XN(MN+|e%tDk02Ss3KGfR(=%7a7y6NEjLaRrnh zH8+?JKGg+>o*kHPi2kNVXGkZb2yYJ8s8YsJw$yIdi-F$w%kr0Y@aAe<>f1rJ zAFLIk8;xJB2$#p;nSUiazgY+7*m1tMs+pS=9EnXNa($NnUC1Jx`s0c0hGtL+qM+uL zrRL^WPeHgRA%e31kqwfn3MAf0~eimZ+W z_oUdP8iL#h!jI-0o*0p3$d_uv2i%TX z-V*|}9R%En8i})7d8gtJJP%M0$Zu%p_83#W96Mky3gC&42Qu=A`7_ERv8IJu&JbFm zH4=d*1gt?hptfHz6Z;XZE;@eSq(;>4;6*?erWt31wa~9HU4I{^9nJ*luPKk#%vL@7 zX{X#?X_6OQkY}_i5-9U5xNU9?puokwsWa3YmnZn81eAXc>WRV$-2=TMA3|*(?#<_m z)CbpBg1Zz6718EFDXAc0Lbv1WhIdWQ`C*g8daGGWst1oiWbMKA{`0-v8MBMoKnidt zu(vz7LKq?cTtont58IRb!STe%SP&zR2*xB2amR(B$_ikAw|vrk!w2d30qKd_8NCHj zF0vA3bc@CdwY&?_g8ID6bCdl-@nZV{^+Cdf!V^xiSQJA0{S_M>aHdXA?u2*<49 z)Ey{#!L^~kJ8-unf&S0?1|Mi&e7+#LJ-|MO-Q`=Jm)aMR4<>#Y&OLI11fb~LC`x^R zbeS+WO-x+#w-LTB0yJ!i)unrK%Lu?z&cwpy6K6)TD zvqDb^!p`9Tf~xjDUU0G^`9*{p6khn+B+3r;U{r5oLygN?GnkAxqQI;Mnw=&;f@TVK zFx^>>NxJuyMonInyMV#Y;K1n0TjM)=4&>)VkPq~&&`%(4==;1gh!6yL`AQU!t z?3aJY3!#$$^k=XaDQ7Nka6&N6jEw%QvpGLk*uQXHNUt~TI9R@w4T)FY2hQc-DaT3U zG@Ty3IEIOG0XkYNBy=MJiHDEkTpmQ7H6YhNGe&}8A-+h93-wC=z?g?@A&#{H_ z@<6&6q|s-a5`14jahlomn$ZR?#MzwoyZd`$p|PE+5sR3obAzomQ#_wP{hI3M7Mril z73Iqyeqwsp49wex4jo80cQdD6d8B6ru@QN{&HSK$(C&)uPKQz`Lt`jYhc(kxV>I&o zYAE+mCRZ5CPD{*APLI!F@4c3hlaiNy7|RKIX<5N)9>>N<$KL%T!(KUP&5ZLaoA&(ta`Qfy>%!rPzj6FDz zpyLP2%yKYC%5@_wmB1T?Ic@jj1&T~6+EG8K_a1Aav_1d=R+r%+PUbg_^uZ6?6d5oR zD|Kr+8+!lSr;MmUslm&k3HXL(bI=)Z#h&zqznDB`hLA{Rrw^Urr*wjEL&R{ORLrb;+{swEK;D~1~nKNg4CZli-%c$1RI-dNb?(uffMGaULh#tr2%JL4uPah zp(8Oyzc^?#i>8OP>Cl=%J!82<0x{TAeg@>p5+@(7`_<#z?@k*HA(?!n71nMcx^Z)h z3nZobd0eof^d>ySW>LC4y39Vh!p+P?L7+FG2&;Vz?1{3(zty6XusQU3FwVE{v-QQN zv9_YI*GSxhx#~$AJDT(1sl=QbPSvcXIg%-;ejr?9{-hkSlU#Gq9e!0Rr3eWZeZi| zpEAOE3r^>hC&Sf2+qDGH`T5~gvnZO_!l{mAFwa*NCCzU4bG7}JCgwlCw3}1NMoqLc zo15T6+3DmGii@hDh*W5|)|A)qwmx@fde;rXOqx0RNYr*tiB`cU*D`~?@j@zh=v*Rk z5qmU+x0JRS!Sl@>?yH76M`xDo1%Z(56P7U5#mNms^Pm+2d1xY{(>F#xdkid4tqwII zXqpj?2F@OKxudi~!?h^NQH$%t(d_lB`#aVOg6cfV<||z?Rjj*1szWitoA5;SpOCFo z1Qx2$mN}x5@k`>O(+i2GnCUJC?-J&O{4G8&3tp@5Kx*{QAl$LJtF!Ny;VLDXe9+rm$YUIr|oC za0X!!XtFobwwS^w+(G>QUAgxr*v=^>^OzZ)3WXOX@!?#^x>H^>qglSYCXRMD0IX}+ z9eMaH90XDk52Q$@AS@(e(^>tn-d_V$17V&LkQRXN_;cg-mpXSfG@t@XRsgxaK-Pk( z6M7rYJZwjnwoDC%9kKR6`wX8uZmaeR{gv>~+in!MQ#b4!VW01c#i-YWb0WZ%AEkE?bed5E`Cbi9C~y~XQQ1_g1Io(dd(5(ZZ+P;pq?Rai>iiQ%9>9nw-zIurw-l3b}FN14)D`+*`hEZX@91d3}#oU$dO zMF<4!0iq}*W?}&up7Rm{(Wp*}COyBQU`TVO9`|$;cx+RdS3^65O@RX>R^}k3Qw8uW(VClEijDP7yccLqm{0+ z%a8n={Jzcf;dEtYGy=Xi|Blc9Y%LMoPHu}0O!kU=x6YDMa@-6~*o&o2^3FN|&$$eQ z&7k2@Jbl2HO)<_+NMX?|oyaLrmM*=R#b1smYvXb80y-1p$2up-FD;%-oq=yM)~FO5 za^hj8)BXx26H)ng=NM5;7*))&l05b`&3_UI1p`h`S>+~r*G<7F2k- z*rf#rnS5E}T{18)j#%-&iyT-4bSmaJPGZR7~EUVPl8Zu+!)_3g)1 z?e@n^fXffvE@Insmu`Iz>mt`q^?wjrZ|}zhDqDG46Y)O4s0IY){tY}}O#cSky_0*( z+_WdD5BK0T+r2uDahSfbvoH0?&RvH-7K=fO$2_5nE@L^-nI+ZwbAC}kDz-j-q98@s zHhp$MVWhA-|G~rkRrC__RrHC#0Tgu;^~CNT1Kul~{9KLQDdhd<_a)RXQUkRC@=;W_ zZoG~5ZseQkn`uB%Yi0l2MB{p`Xr0tH!8!a&$+s!r--?k{{1oM}98y!d$xOVs&6$cc zIMGA%=Bz_7d~~3_ppc7WMd#OaW*p@Wc@;p1HH3Z3vSFako+odc z^qDcKKz1~6*8ik`7B3>Dz~sl27~Cw>s1CTA^)(#5YO_M_IS*ZSm)0Ambqme=1{Y&Q zFhH(T-!sz_j$Qlj++*JSNV3n`)yT9$Dexz*H@kLupLQ`og_hn|Q5p5>@^a7zn$+a1 zsGMqplqq~3Ty%kqtVBMYm(9>?=u)c1a4RQDFp4b(Gt12k?+U3|t!- zJT;0UNF2Y4iMZiB5byM@Y*@X=?e{&u4{3%6&PS5Zp8UW-2~TvV61q!rmp0iK9%mk3 z+*6II!=UPK-#j3XXrgDSOq*)@Ge#ClxS(F@oxY_zE_% z9iW|i<@w?2KLm8K#hQ-6T-l+;^*~$?DtWdeGgfT>mKV=-heW+XatAW$)tXirE6PAN z8k-b%E_tJ|A1`wR7l#*UHM)U+EulX^tHHN(s2ZUBMA36)8vlr z#c`84CV~{g#?&H38)Mc8wz~=sFh*6P|EygnQ@LrkCJ`?n9W#t^*We`%5&h+SuHMi# zN6c(-mhE=cXECx1Q<1>eM+*o-dWdYdzj)Ybr*YVL*seVVrft1P6@s7FW?A4L6Q zc)sc2o6h7{xWP&4xaTB|l9Fhj@JzMBEQ&)+Oa1F2^cF_ek!Yo&7mr?YfY#gGseHEI zLSKymfk=FcExJe#o`4>0r^nXQCXZ7ZSW$Y{pfU;xgp~X-)K4?{Za;I@|XLF+)(LlPt-rCE*oEd z9LEfbePss5!a&J{+IipQ-X>yxP`^w-(rz&^eO;d7N?U%=<0vfk%=sd=59V7XL6eU3 z<^56SB+fzsPQe-q_JA%Pjz^Rl!$p4QM-m7j?CK8JI+)#HW`)~lMcI?Wi=Is863FP- z{KytIM%uN+`a~q)3cUrf^v4c-6Q83}hVA#(mzBIe&j!yIMaT(44PbzU>ZS#wY6HE{ zhgs<*Wkvp{r0J9VFC`7v&@N{E3=@yHG;x-6>VPs5NEKdvV-w!G=tguD%Vam$arn-= zh;})&ny7m?Wt=<8#dhlbX11Dpc#^m|j~^X_I1KyDb_I44p2jgsQ=`6VX2}2GbI{D* zayxLqN#9Go#&j2w;Bdznqh`40xR=zD(}LnR{grVpG@f7#g{BEuE}&@d7&K_r>Z4 z68(z7x%^2LyD^Mv8Wn{71U;=oi3N?-n1(UxVTf9TBOFld3CY%b43(_awZfIDvg!xI z%OUaHa=`KJkEcA<<_{k{0LPvZ8I&t-wgyy7ob!3%CK7;{>M=&!NOz zS^A%4wSkIt>WS)ASog@JRaitE=6A5BbYu*6O7w~9f9&M#DiliJdON;%rEK#d`En}N zdb(RGAHFxTQxrh=-7p`e44N1JYzFVVs}jA>qg;k0?jw~6s{u~(&qvMGLzalQ`@&IR z_iwigZ~Nc!$6npp+DaTgrkaO^+E-hI25#u2W|Oq={A6c_j)@H8bad92KT3=PKB~Z9 zM|(t|6qQcUL=T#TQ{iKi3>Y^x*LJbuqHAU zX*?Jv#Gz!P#V2GbnbOLkxC|gUkwhi}|B_Q26(^BT$^WX)m^oZ}E6X_1IxaYPTOh+` z(>$NEliIf3#BXHrF%u^`Z!J46_*qIg`r;;A<(W?9g_@Y4tV9=Y5jHFz3%9OAu#BSK z9O?G!)}C{3jzkP5keziu`-PFO$KD}gvbVH2U59LSO4zJ15vVSqe@GQ?@5tV#@*s(@78vsvY?o0=WnvMfNs5A$Wc~-7z#j&ulr!D* z&9guNV$bP>UvMXF?HEt3ho)|#SH@3&@XGd|C`CCT1p5x8S=|{pns#K&F=JkME)5PU zC;LgW^o)A^l4;rDSdhNiX)jlpCOE4k+6!h%+1F{3XR>sL2&9`fS#xcO(drJ`=+*T#wLdMzs}m5ps0|DsGtXTvxW}F z=CihQ`t24Q<)sIAJ^yJ!z$-)MujZqgZeDoPDh%G0+Fo*QMbNuKxG`BSAOb_u4gH7A z^i)H?g?HvF{U*a~IIHik0TPA3r`9;Rws+}Hb1l%_6usXY;nUwR1hEoqQSrzN=bS#n zpDPUS3U5+P-D`w5girUr3cQrBb;Ho)^`Rst+&uv#qlc-FoZ8#f6mQ1~pY}^)YNOQJ zPF0gj_)8*cvz{gjIPx-_KuO;qUQ%owlr+qQK(s%Hc+_crSx}?4_0SgNm^w=H$8tV^ z1)6gD(}1J+jPHy+kQrGfRabB+G?ncEVI5gIry3T2MnX~SDGG;*cY~U+`wIJnW{j$5 zvu?_>4YkI@n62pbfPbFPFJ8~KRKHB!Yu|6gvK|H+le3p0t}zgCfHslMzkMIOXs2VE zGR4*GX;{|oN|Q5Pj(t|MA<$*YByhkd`JirP;ByLf#2%Es;QLMD@8R!B2$6!I3pYg{ z=rJAJKSr(-_Q!tnIq>vK;{L*8Cjl(2KO|(fkh;l6a1HX)mGGMSH*R%J)K9FL>PakV zKwUJi#6k}jnPt@`0260x2eYEVI-~4GMY*l<80?jTu+R+}xh}d9e8Q{ZUS;o%fa#mB|Z z<>VY@9Jtrz$8NpJvnr+8;lAMBMKM;7iEM#KEFC|MV4KpD1aegWU?swJMxN(8H))4- znKKCMfnCgm_EbZr*A>~ZxXB+zZv(oTeVKMT4|pDgxkd#8_~k?MX30I9y)Y?jFVrWJY&V&O1MZ2 zE;|E^gLwM26JAJ(*W*gZQ88g7oipLro!1ATTz*EFal%3Khr;Xlfw%M@FuDa~?eG)l z+IxcTG9UFwk&x))5BD!{XVVr)YO#!59)RKv|2jW#1}Yqdw4x1;^@rz%>J}guaNoqc zA)H$F!fzF?h}tLF*Hl5CUC%`7D>6V*ogxjDX9#!C9iMg@xddfYdH&2*tO=PzRFx;a=`f`0#|y38Jn~W zWl4pJxvHJ-tScuaMW}Pj#TlERS92&9rM`QZ4`W zdc)b}(-%*GSq}y;zNf;AusinDhlsQqTg6a>YTa$Y{HZKQ5$-%-JlJyGT3;WaIq{7+ zX2+tz=%`(3BO~~NxF-=G$Xkjfr^8MSem+91br@1{+5z&~eoHJ{af{Lc2Kst#<7;Ug z{>Vi}kQ*`V`0Z#w-Nu_9mUu_66Sr22g%HIfUwD0c1>alJn7eqcESl1cqe_C-pS4Br}_F|l8=VTao${W zyTs+-_1?_bMx{EB+)-}(69QaB41dLe_!3$W z^6(xVoBqOUmVQONFM`28$hFA1n1DH2nrCcO5$v`3l5vVa)4U!6B;&VsUm2^$tgWj~ zZDHQG9wz}vWYJ@W<*!<^V9C8vUd(06)~{l~psySzY*Nl$ij)6cJ!I#e1Lrh7vo<|Q zk!>`^pqkEMHXq7O6EP*pjLi%OPG;HAvpUs#6sD(zO4S&;E~>THy~kkvou7Pg%5jyd z%oyx?h`)iLLzlNiXV{w5Vl&x->D`JXgN(N`V zYo%~GLvOiTJ>miAqj14TyD_F6q+4%)7;5iWhTLwYuQB)BeS%*Fdfeax`CY6;4x13> zeO-4`5AG(Jl;PCrGdw6cF`xdx5nn+|Ho-MhcK-;Z=orpL5qYU9<00;{zU`dH|jHp{}~1aA3Dp_cP$jVCousspl8yu=H2e044;dVZI*_jD*mF9Ks^$| zY{1n#S4EQ|T_{;Eipx0)w2kbMA+e_fm6#!-?K2ys@8jBqKc0i*wfiG80&~o@g#-gp zWdwzak0>;9C33O1CMUwdn5OR;4XG=PvIQ1@Kowrd;UaS4;T@;aL&bILP?Lh0yNpj7 zF6kGCDm+ZaJ9<iwh?AoqxEOsYSL)_; zYHii;Rk5neDGX32&MMFJbh=-xycs`YuwAiwF0_bVAbpInWyK&?4EJ+l;S1@(;1*lq zUg3%#A9uHxPN-Hn>WDiC*HM(R zX+g=F212x@29WOqr4QM;e%iTirODkTRL~}et6F$h%;&No%k_1vr$mfeAJ4A*_1IXt zkn@N&y&OBTx?6hny0evDxxg$jn~b58p`13ihv{+#E;WQ%Tzxnbvlt4~JEY5zb6~`+ znoK!6*ec6fS6Mqs2%A({1h5TNk4r_OP`p%$!;A`|6kuxrIq0!rEX}9nFV$8Ah$pRB zB~_I=qwJ55IAgsV zeuI~T@j~7N=IfRsl@EQ~EDEDSEwUf0Fqj=`dQ=I34AKm(!VJzcJK_S{_RWTy zyt^z+^a}{JLs-m~bUqcwd9HUMDy?XMiXJYL9q0207TB7rTL&%aUARsh9iPV(w+-2R zmkQb4m&u>m=yy*($ODbOM%ywnUf(bT4pb+{v@;68x2?XAtzQ{Rk`WH|yOhr7XFh&0I5-6)VWr`4^R_=$Yy2+#>nfCSS0)Ex9bcChxii4R#AgJNJI8`TDoWkp|gg z(1f6pD$uT2Cfh(8N*Om|BlN#4NBEZ>A;&y(H#w!smCqVTg`P&j7Zgf(wbSmII-t7e z@jR^n>o%ne)3_8=*8f~0j*34*OIUe2dj<`@m#*3zmwCXy+p>ulx&Bgk&Wr5?DM*o< zhsFPE#>`%7x6b0^n#y1_#RoS(Rz~b~>oYB}ltYmVcLjE(0~Id|rBTbeQK`ctlLb&+ zIUaP?P?M|#wC!tC!0+L|;m`791v&`GuHbtCiJmm?4c_ZkNx13USPVbCiE!5QpU}pdakBvS2;qdB1^^FfkQ|Ml#c~ zJi(q$$R}{#M&&%pdnK;4qb7x9?W@?_=bZb8tkmVQTF!+}zyHj=u|U+1vY*{1?QEr% zCMLg1o++xIYkBRj<^{C4J#ix7D2i08=q=i>Pj^O!x&t8KeSVbgtA!bgaoUOjX&xyM zG?o1Qhlq9Uip&gY@aj4JDfFvhN}+zY2r-TBiN`O5Q3&DY z4E!4Ly`h*J)t=r`^L8j3&;&VU^w6JV2qG4TdF#fQVkQwYj!JiuPulP^Pq z=>T@Ew4geR=w9ArbkFSA38@qhCF0>DHu+X}IMOK8asLSIthTm>ca|0#F!~S=AcC&I zmC_>K$7ANegXbR7_b(Dc{cE!qy7ARP@s7}S{0?Y2>qFwZ)di#2%IsMJ4itQjHLPf4 znc9*@Z&24qw1?>Q|E7BwunXS|Bp`2Z)f?o+;|U_9P*?%GMLr#}D9W;5iLOG#ZQo#O z_30Qj>JLpbB&7~UtXspoW zcD7VrGcXqmcl;dk`1dcZHkhBL1m17+0s;{f*L?LXU3I?wnAz&|R)xH+P=*@{uPVlU zC14}qZ(uqEi1^Al_^*xnSaj+Ua8dT4QKIFPFr{#b_7K!VaKHF$f&HKEonPYK0&SSr zM9&V-SXWG2HaHEuQJ^UTII-#S8iw&?ptWM>a?g2}?i`-r|B$=GqlvVvlCflgYcrCu zQFzRK2J^;2oa#`a)JQ`>D#Y0GnTCOZU|Fnm(wp?GaGc{X9GSnx!+n~P)uFftBli#J za0{81&Xa8fSTMq6z|tEBWAae@U*8x+2U49Ea|jm4o>ll2H73Ybz43q%vS`NE0)`wS zw8uF?@--J$SOo-mZ_AQTdRnpeO2 zV8{CoslC)5*kfTqh-D6X8<3rA*0N-u#$!p?siSCz%js!Tmv~{E`jgw!Cmuh&be!o} z;|{NQkM`Yh)AL;+_;QZkH}%hW*LWSpUCS%{s~=B)u7ggTPG+$7WhP1MYMa;xiT}Kl zsaF)K#MBa>Ai<8SIKTLl69tLf8e2#|a@Uakj1@c-Fd_)2ebt}|3#P!(Ii%h>+_9KUAS}|AtCD^zhZ1g`U-jQ(-iM z?x1H8@uCILw`0#uBA5rP(e*$pYY!AcBaUm&3wFHN{B{J?ctU}OR110m7|Dy?-%Oy{ z{E%jWOo@40!84aK4|2sJM4;ONhaEfR;I#ir$bq|d%k-19lR3I6)AW*4dbk@J?Y{`> z7>3y6w#4JMjt!PCMgp%?QS4lI%grMS=UPYVMw}SW{O(uF%nA_*LPgq*qU~qARCwkn z{&+253F}En*$OaAiyKD$A}YAu6CqO0DIJLg#s!iBCW2fx2Fs(;{v5@iE^T#1?p17M z#&|ddXDqD$;-^lSX~y|qOxoJlVqy%b%qu1kR()xW_RU*K++RxvxFM)~!;2M<=*Y6?8xS>kt} zoxD?cn7V2sKtF$;_7lY^j41P*$(3o}vygMme;`KTpWoeG814G`m*_@U7Xc*-l*n8( zd`cDD=ifFiHUjJuR^03TPLOWN$ak>T4|wr}VABiEM}DCHV4fBd_zTlFddli1)|T1NpkcrDw#;m_k|o3j z;)}n5B7Wm8_eU$=F4yCWuHE~H-~s!YdnI#5MjF;RJZA`b$Vg@((ShR(Qy71AR`|+w zfC%1(=o%g)(-andEbz<}#$PZxH2~(C+^$h)gqf;4K}>pL+T)1?HA) z(aDxAXNlOzx*mm}f)5#Iekh6#d4&=LyvZT+6f8t>Ah$+Q?ejbd2;0Bo(Nx&ej{O`XGDgcxg^S2^VWTd`&ur$`5w)+f~pn+3xR zZg4*1+lM?K#J+H4$nfJcAZSmX=A1MjR)nfO@=bX<3=Jb!J&Ybgp zwlwt~+i!y!;B=EY&qgJLAF3_ zAvmKp-^a~RCaC3y(lqSCAcM+^kfbFMW9J~-Ii@xtZs*wb<{6N^P*SzTm>motxgHW~ zzuy#w))WJ`-%fN#yvd7oRSXfBn_I(OOkW9>T{>IRChXI>=Qbu*6ci?n&pqba5|*pM zt9eHF@lOX|RKdAaFWu_{4Px6kbv`^J=Hs;nb5a)_>#2!d)!1c2T)g0pVgnZx`a` z0I>3cUiuAGeLB+&cc~_@;*>buezv8gUKYy5(K{fqw=^O4b*?T{bzZph0e;W#*!M-o zCJW(qG2kbk>Cti2Z<}&bUb~D<=2$NcwM{%LIw$Q01Dyxa9e=g*bm+Y-# zj(Thu>k|_p)yF{mVy~caSIQLLOcxVj&>vYAc0dbq1*Qj-&t=%Okt!e80Q5*ygv>$pKGu{KX@s)(JMysDcN~W+o0y zn~m%B*Rywzuh*QcGOPT=`?vNh$-_rF!+^cF;N~(;c`=b53f`|)dxt4%qgy;ou8VGK z=4TmxoU)^jc;)v`M2+aNh5aaEH1W4gsSov1#S2z?mM4y&yKT>Hkvjk~LRC0yd-3da z<1Wrx6dgG$f2old@8Vf?rI|F?=&`EjezXzMZV_JMXl8q_dLc?T)2#L7Ladz;1Kxs8 z&AOziOQ8*79eNyR-+9l6=_2*kHoCa;Fjn~oub?iTH^{=%ipU>D|8)Iti)a(>U=A@G-+-9 z{idiOAY%h}cJHnGJli3#GFVkbbY?R$c_*b*ZRW54Cb~6R&s&h>0vgGDV8?21pv}Fd zFw%mMKEvB(zb1arX?b<9hc-#z4amWE3P$hB9PYT&m5losFg&6F2J+4+fP|5a)W6-& znKdepwiT_H3NKsfPV4hM^UIH%^cSYZReB}9I}Idn00X$mhgQ72t{pc+=mN!cdP{W~ z#Hsa`9wIYhp$0??waRbESb>`DQBPa+Tgk(qwxB0Ik61l#E%A<|^>z-bCJtszh(PRE zsh9L37#Ott)@8Z{eec|)0eab-*S+Q zHeX&P0*_fnqmN2u_}|Hv6$-qrkT{u>AGaX(cH7h$j<^Mi5lR6L;wC)0tV#K1Pw)fo zAnnm3R#Q8ziW*Zpx=>FK=F(UQ0k`y4E$oCFtHKqD$EXFFkD0k)3{7lyl2lGxmYX`f z8-jwIcu_)7p&|<=(6}(HSs|!7r6t)R@1p{7EhO6{1%u zaiKfKr#&ry>WI~(CcMeGkyUtM!TfD>)yiOqsv*?`y8(MOPF@y2#W3x;-o4UIBcbq1 zZo=h-j^2P8GY}bHUL3ZGYc2TpwMV5EmpxCV^HkdEy)~%`AW3&~qftux{LNwVwzI1f zcIB~oa(FvHcsqa@l6c!d*HzW(hyG@R|FBrE{^ri^PFrg?vqG!R#3tyWr7oq)Z=>tf zCclIEtZNl%EO&cSQ15W%GVea1IYBXUHk0bdg1v2o{^r7ZlLU*?iS31>0d$L7r?&g} zq20#wiQ+vYAn<%R)9{<=>@vm5gX!Yj+TkR%1t0teAx+v#*XmtMt7NOav4Mh7*Uz%5 znr>y1g0u2+&>zp{R4jm-n47A;4+E2oRI<3dDpx8@eqW_R}o zE9`rZX7`!XOZyZ?7(Folsic!?ags%fmp>lO4<3u_04#7pKM6Q3o)e33R4UE>EU||8*_X-|TKKR;WF-HkjXD zU&tq4ZAQBDX!dL#^I(pJe!CfZwdp8c8h^9%^%W2_{yW*(1=Dyia>;#jntp#nU_1zB z!B>+G3v?c?^gN^oKOl9~6!*gNkV`tA*rxbB3ly|qt89=voq+r{UbMWBkouezRyi#r zbp^nX>p25VjPY~HLyzngq{mNA&K$oj3M7)NtA_O8H!FKX=&W^O*Eh1K)`ZFlcIpYP zHmi0Zs_$+ucNji+DXO%2A_>G^8!S~Oi#@Hc0z1l2()@ug5E}vR)%dZH?I27YAgu!h`aK1L5&h`D92U0|jyjkYUtcyJ zlvI>PF8@|4>GCVBGp7@D^1ms%8E^N6cpkU>tb^uTOebeOgB*rFu`!rmXd_xt^g;R= zLL7eS`lzO|#xjwLV`)F&`jB52g0Zv!?Cv6GNgfv0ba_nMt|j3t)401sSiQOrxm2Z{ zaoOG8KuN296V!C@AZ7VZTX%-1BVIKc^(}(NG4T1mjdh_+wircqICD*qgIEo1nJg7I2q zbY-JnMyb1@jb;GO6oQBAi3Hu4$Dx$lpT>%49I*SA*q;5}ashIat(5tv>mvoq8)n4VTcde!_G z@5n#TYKsk3`{fMP(TATJe)z=}LBu93+h@smTR4}zTj-Z)LXQ+c=H|HY_*?YmC~?q2 zM$c~W{l_Ju5T(Ly@6e0r74^If#%o511T8S!@y9*}`yP$l z7FzDvc?s`EV3Tx^R(VwGw=-s<5-C(pK%##gZnZZvR)cpM% zK&V80ndSoyz-u?uwexxXCa;CK z2y##Ud>wqTbHh``ekoH_y*>YKdwE;oV~U_?4|69WOGr+<+KE`*MNYxnH8wdNT*l8f zn|mz;b;4JYk|+{P1!mx;!c$@^JDunmyOL+#jpaDg1HX9U@74@GyKa^2Y8OpaO9aCb z37{N`u>_bNtDy|0H#r`@AgR^<7CUD1@sp*W&g}bRdQ+HaCYZFw44l2}Q!2`u;v5)}- zkw3X24P^87@gszd*PCn~WVi*(GRTZ__4l5F(*GVt!`>h#u1MHMq(ajpC!;2V_MO8e zy%dA&k3{&#n@Yy|3?e$o9m3pcsq!XwY5jyr>SY$i?0*CoC_&EW!kBhJ=J}BkhYZEF zqB~9m;yum`KxFK8lgd7YBsC_eMv(%lP2skm0aB)E3}d}vcjP4?vYOB(XdCpBj>Kqk z0~i~Gk_tS2rC&`Me8;;@ghNClV?prcL5uL|n{xcBb;6WV;Ar~Ntz#mF<8 z-(-0G^B|!A)(>d?bAr;Rp_m5@60>>-A|Csttb=VXeg+{2C~t7hqArTzH5L#|oE4mH zG|LDHvtcQ&UYM*I?3nx{*3*6oJhxHq+F3jgy$PI6ZswXTsy4~tIH(A>0drwV#kqXc z7&yS*7;0Kv5T<7HVJ~$XQ$MhxWMG5PG8G2-DEnE0A*(m#-6_gt|H=e1JT_8NwNB;E zgM#y}ndSMUL%FaS#x4ooCGS-7 zp2hig=e-BO6v;fxK5P4P9A8~XpHgL=ct0QDGocT?Gs-@kEkK>YXN3|4!__8htV|;) zD%26-NVWu5oTPyVOdkTse(XKPNh>fYZABBMu6wtgB_{Scy?kU;(*xi#)RoowhX{$x zgLuolL8*%R)6;(`|$?N&&^{;sts-yM8csSe#IV@6phVic*bX{;r$7wiGp6BUA0{LL)(Rs^1+ zBOr&QiWC%3&}gR#2vM$IqN}4d2#m&&9lJsG!x9DBh9PwekI9h z2%);D35iaF&EAvUun8rciARi@MYpv{vM{eRP9o957otQgCWVdc5%npka2Qk5R_O@- z#fT0EQC*bi1iJ$~iLLMD=u`J*vJKiYdv!VwsP>P+q zz^UIpf|XyY7vej0+Z)SF>PV(-nR+Cqi%at|6*-tLGf_uKzOcHGlB@fg^<{7|8p}hB z8Xe1n5S)45Sal+JOt+TC3Y6(eIAPs`Xe5d#r>;9|V}yY*%AYZD#u2tLE2+Avx?i%z zj%-rW-esKiQqDT@ch*9^K`T_^EyPFNOBl~DunRAvTKX7gqAz6HHZtFzXG^K^0+AIU z-yrW6jr1TsU{ox~YHB&y;@*FWs4^kbO;i8+=#N--A*$N6V-wp^TUe_LSb$wY>icqs zs;I0%W~%~_nX8Z)s*vfZkg2JVDXR1ZGwyX`J8vWWbAZlig&^^>N=h1b6Vw%I3+)%| z3s4$B_=1fPhK0cA4*jzO!XyM@M>qJN1bADmSWscfuq4!l8R}Jui=t^*+!I%kyAHbp zUXY@LUP}DS;Bs+3IF_Z}^9OWK{^R?96o>yH68Qg1k>L3sE`pthho2qf9LI!%@IPt< z4;QFV9i0Y*qR&Ew%fs_O-UJ8R|KlKdK52&@Rw2+973+WD4QQZTeHIEx9v+_mA|&8= zc>d!-s2PA56PZXsS3%6!|9vT`0Za1dRcxS7Hi8tiXu#qNsz_i$`Tsrh{a4RzF@`K5 zpQ;4Ue;|tgqAqypXs71z84xNDvLfk75Wl!{cosv+eaHn-RUSH)5^?_}XNpnE9UY z@~8j4gZMhTr|DOiTX-tu(_-RmcEI4Y!sP;osI+w+A^Dc`+t_YJ}_ zrcBnA+f_1m;RDs<5qjRKv2y=t(_V|M8=0Ow|v z;^1GfU#3os%LYS2v&P59u^1?{G3}c*pe&hr5rq5eZ0(xTC;2 zlX4+79Lrc|Zqo%{$~bbNIE*NzM*g%GB8bp!r;Xs*i%gD5HY#SEbDAq2m;Co|z|!7> z-W@U{4*x!3su3(b@b-Hi>5lsr+E!5{>Ps^WeYq$>Xty=OGg^-*i5UK+)MMf9?{6u8 z_G%p61%>Je48GSY_GJ7Fik^c3Nt&^gg7bn-%vjjK=RpMKET%AXu*ZIPte_Bc7Dk{; zA%h|V*E8cWYc}JG3YB^ne7&LnwzwQe?~W%idUPJ~SlIh{^jQ6lKaJEo5D2)!7khdJ3w2oP5@!g=osyR`VHz09zN4zWVGDCheM@1>rY9?ZX7*1nh9j=lcR(JC z`rQ`v)q;f(JOpH8!BPnRDZp5=P=XtQSS?v-z!5=OmMjL~;-F^B&-Dp1*_9VXwC~pf zD@Jir$RM)STc_f;4A`N%J=prmIh#Ao=PW@83~1S0A&VIkVDep9H|ZRj07fq&53D;o zt`;Kh38LhH?!e|!Z_XXRz67h;7b`2QtoSg<_mOHdWi#1}3Pj^1;WXd`j0pdoZAa!R zf(%rRGYq|wzGLq}yaTQ)Kf3ZhPRx{Ka*qkmN1xiU?h0eR4LR(4y1aDkx;gy~C}`0x zj1(&pg|$g9gjt*uJSM!O#3^)wD5s5<^kFPKM?Usl7$cGjbw-4JNMJargM zJFxW52Up%eDqn`Tct(82&=a&ttD@Z-Fct#OyQj>GDjOtxE>iVIz3UU#fF2Xbc*1P< zB3YRi%ZVWk-Q^CA*igZ#+<%XUKedTh)Jo=`Qmto~x_w8YeX(%9+}=`j{8CZ!)UuRj+k5m8b_6XJZaQRDjwRDw6HTJU`l5Va z;)&cSp1!c>;&8WS%}P)V##CqFpWh-0QEvh5E|S=`Is%9Wfj_{#W?tI_Z> z{Vs~u&my@{BStS&55$wiIS&kl=Sg&fC86Ut0+OEm>Ycz^QKFn=dxfFC6JS*e1~(hDoBXNecF08sZmm z7q-YRJ4u_v2`>uqF0n|#NL$wBZz36B>hH#nFd<(d!^91aW76?aH3#ZTQf(Qgpxfkd z@VO-r){r*28}-3Kv-B=h{UO;-vRxDA@p~3qW)aSC`J3fozO9sSI-+l{tEhm54+Akz zk_F&|By)W!P)c*>Eyk$H*Me{B|A9VOxA8+VVaf&s!(F%fGJkvbc%k1z0$=k19t`!R zv#0xYD)kOu#OMQjUXph-i?nUj^g>EX<4c(M+z*uzaIK!7+Otx`B~Io&Bq2~) zsBs~!xu1&zyev_oGGc%2$lx-GvThG|Nd|yaLY?!0qL^=GO)2XnZRV0O@9&+w-D6se zs8f6p)s;-@iQmTX@vvyur3Z56b{(Xn^)G`m~0f7&SK%$yLR~W4mxCI%v$zQ3_pwFM7asjG_% zvt8w_%U?*c&n19ANxF|5S88;7dnKRVCf-OAa@CghyNHl3AF|&<4NEHs_M`B=I2ML6 z6!cUZG$G!~Z23*_fT0M(jcUJ@0t>7Xpgu(kX*cxZPZ~tM-S`keF9ANj!;CVuk;~9x{P6YQA<1^JNOTa0@e?hPw~*^UD=4{|6r?Z+xa6qGCgBe>Dj6 zZiX-PnbpPtqP=CWODhOgmm45%RSJ8ST(A1otu3|=6Ew>Y_PU!zCZYX|PS8%m^Y6`= zj|3_36s`QXW?xZ6ZTQ9063@9vScGK9>6b8Rq)6mbURNH8`@P@sA(jN&sDNQs#1okQWcr#4A*$|2h};8;EhS7I0{Qx#tb4%xU{}Qu$O=L?`yJe=8JG|lQbGz z%S%6opq1aT>7hX6#(6-Iau=+xDA-Pi{A)xJn<{%{pClV3frV`5+rDeL(MS7Il28L{ zEkZ)(-|uDhD4#$={0S(+*Ze%G)&b4j%Zp_nO4D_OV0VRQJGjAjK9GUB9J zdC|$8i*p*AuGi?h&R2B)nLxS#rhE#c1|!+su?6DmF}tfM>l1tuhv^JaXe6D8|8MAt6rT?T{e54=wGGTJ9l{>?|crSV$9^GO|9F z$)%Dk^@gWq$(Dv99;2mQ!b^+UAsPo4`J1?Yp&(z&^xR1>MTlL$DaBZU8~5@u_cF?l zItI&tEN2(cdmw--oHURy)K-ods!(X&SKR^g*GgtZxQvp8u8uPcO3vp=`;IT!F8gLtOZGv4=cOutkW3kyoeMiFL2Ybn+0(h4LfboC^t$3+*^Koq{l zpnTrX97)r?*}!4E{xzr3oBrfZU?B9iSXmjBvdgN=Rj|`XA-ii!^Gx?l7qP>kQQD5x zj&`7Ni9b-G!o1|$?&tlPTe5UHhprtefA;Y9o=i$ngci#c)%jb=YNjZIK5{MW6G<_p zKZG@p_?+Sk(R+K^1VM>+@~_)RQ>kndw-E=Al#BMA_=|{9(l)O>3Ch)V12FAi8z=4R6M4zZcrm%MmlJ&SZ8r;GU){Ihi*KPV17!gy zB0a}mPCYBF*0|&CM|Z2D7YsUIZcU;O^u&p2GX@j z>Hp_%#fS>h7-)c6kgx%l=lG~`$asZautieQeLxF!3NXJ65+!%%VqTj`;t4aoiq zh@m^$x`Y!u=7Y3qo%m&g9VwHxteeU70s&SQ4ShlHk(sl98>`oz zzTFD@;YW5<*Kc94r7x+p*JiO;LnMW0GU3uf;_WHAvo)X+zDw4`AR^P4!~XN-8-V+U z!86n!wadWKY}e|8!4BKL)SvYw@s;7F(?1s5oZ@eEU)0Y54vI*&BtEKO(}<`8n#5n& z)M0$}EJU*|NaO|M2>Sxpw~^k1hu?2KFo>=&LkZlVL=$8iZ^3e?JrHuZv7%d{pqI|d zp3J~EG6ECxba09Cz7iMi+bVn*djTEq>%USYDj{9RbkVHnXUZ0MQRL#=w{Sh9PE9DW zyK#Um<}hcB9&f}_W9}H?+BQgw+bxs zGYb81)|wsGt!mgFnU12 z%*xBERys`S;hM|C=cQs^%R||y@h!be>05r6(+;6uhSuqsr9er|M3OlBU zuh)dZDz7x6J>$LG%C9nGNT~ogTm?*(D~Gc*D{p9oDMIF8NFiTKaBxdx9! z8#g#wPU&`A5QMnGxuW{HIfDV3AiQjrglxe;q$*3ZjPweab%NQ+-4)sA-Xt|cFsW+xe{Vh8;?`5LC!da;*`OV#}^g`izF@wh}FVI!AMyQQw!zF`Zo6TlBa;b9^lJ)7gyYtD*E#v(>O{uWx|?ZZt8)FMx(VK; z&EwpQ!%F`E&J}Z^J_oIKA{h}d&}635>abY9BRC?~r-`A2z79CIM7YrDW6+pHVzMc) z29p#=VV`n7xDE*rLQb`gsmBfHsv%>rKo7b4Zb2ybqO<8+lww1|HKTDUABZG-ls~n` z9~okE%51UUHw^i;UoU=Rj8Lkr?Fl>&g4{})I#xKU_z-ZG*=+7CB6=uAJmmQkCVIKy z;as*T&3yu1egcp(VRendMGJWfSm3LKn)n!kx!_nRVNmOy_eI8L^mRc8Tj}r&jXTCe zV)U{7oRW<#@7pGt4A~6ElEV7Z8mhQEiHuFoo+H6ll4kvdQXcL{SgMxc1?L550=B&H z<_FTUls`OQRWy4kHJ!!z8@p%6d!q;00;Fmkj*;bYj{txyq$b-CHAm<-3=z$(Q3k_} z7ffeF=3v@(<6tkiIgU{Ek9MtYt%=Car9YZI715Kx$2oDbQZ=A`Q2rMUf%E98YK#G}0)2SVF@2#^=-L z!wO3BN;w|)Eayd2LsFS?No}B9alA-~lwa<_ZV=<};dmHGhv^5&TVmqbu@|oK`V^cT z7IeR`H(AZ-G$8Ic4VY1muTQbm!HX6SgNTB#RF*5bbo&oYV2=YFN#J^$_HlLgggY_W zii?1p3UbD*sSDU1E-&G2pMR@Yrm!q$Hi5~v8fOYd{`dZiZlSUx3E$)8&J2f|c3vQq z^M%f1co}Mq0w4TtfBFRK5dBnfx42P$IrrE!uyPnxB%l zC=gO~JR&)+>0hIt{}$$%D2nH=8Tj>0MWhzU!wg(%R`e?y9UF`GL&~OfeEhlRvpMKZ zN3qsqSB(|czbGE)Q0|%SmRhqeZ68S60uBp_@1lRyOlP7+Cxz_hSF)f}r*S<TyP_ zG`dNLI$yY!k~@RqdMl#k@=VTsg9RS$(m<<^O2nX(Bh3F&c7CyL-zv;RkR{9l(|82v z+jxkdbHuMft2wm4Nf&mFIGJynfC>j$7FEsU%)bsK`_f`TTskid^nKMrc${~4daRji zOUL>qD(2j;D}~s-DwFaMkSx?UVTfP*UA4kV2tU-&vC+_pvmnj>u9WB5mu#>n)sicO zZ^+cRyb>IonI}>VpFb}ltWWW{yc!E&H<|QqS8E-R2^@LvF}mXUaQQ=Y9$1Gc_!QQ^ zC1SBx0%18GW>;0kPNM$0M+tlRgmrE|<-aV{`C41}@7aP87Wo-_cqHdQ`+(%q#JJuG zUl^?2<;$hXl@+0*T{9v$>J6tM>J7hvu}jWS+*>TwSjg#B-&#GTQFh(-D3>I#aAM|9 zoUwh@@3sPK?WA8{H@fRe$P4C{{2B&h{9@@G42R1d5Rjft|fZnl%AA! zq6%F-`j&q9_+QXN4Y9-~ki?R-d!VmSlzG3QwP+-;nl$Q5oegu4qqvs=dibxfSjW8c zOKQ`fDF#qdXWGC53dO;YHoLjtf*{Yur?PNoP_2sph{{Pptx3;WvTiv3g9goV%jF=C zd>?jUuSLay-RxcA&wG$gm#nw>SxJXC4kgn)26s5@MVS*83H8>jv9tB_9}SS5KlPaZ zkaG39#>%LQ{kluy$NjYhz`_VzDBEA$n}P8^S%9pAnA|B{oP(p*wW`6?3TNWfUbGPZ zrl8Ju9`#LS#IJzIM0vMy!G7O_a9Z7`xGG|sD0ITbt9$j%H~?il&{z7fT+?rwh^N!x zqhP(tu`t#mhd;|9B1>8t(h9Cc4T6Z9AO<{ldys@cI6{OVX;`rLxNi_J;XCKxGi% zw|`Wd1&j;RpyhcYOXps&xYKZOO8Xd+^W|TL)C;J6IQFOK%2N2P@4NXQAhOmD44YB0 z682E9rG!)In)xmP6WL%fw)O_pOUQ|Nld*Cp)^ns(t`dTDh!xrHL;Fe(Wi{}V5U2gA zkW}=q>=1~418W|sC!?7K@* zS^hbzGHInwQoA>rpKxq>PU(>D!lC)~$J=v&)tyt1Z=UDktM8elK5Y{f!wkq61J!rJg_5|kvb=l-!l zpMIA5U#KI4b4jo|;>!nl&6~gUW}AKL)!K_`FKmIq8uQ2R(ZVGXHS99mwaG--wBid$ z*}SzdXTR4llDic8XqnE?^UeqJpPaj$8n0;j7Q}QnJcC7ov6|hvRS|I%4wdxYMean$ zAn_0<7s0i_SLmqG{9>A%70k5T+z+S)QG;_yK)k{UT*Cy}d$?p6vB=ld&}^x}xIvI= z2rK~X?}z7sCE8!~wraglUnrLU;QeE5r`c8uv7$1&>Ur~*Ax=qh3M@W`;Jj-n8;w`; zKIR2}`YwI-_?Uh^l9&-AQDPN53!&#uYLN=rk#B~fD!xuXvMp6@!Oimx*xGFX@_491q=4dtaZQiBynq0B9>y8 zY*8jJ!Ol?$fsD@@qz z>cg$)qDA^c_+TB2y?Ly6_KrrrYa7f1itL2j98S(psNHp27Vo@LoQdA2z`82UhWVW< z&rO_bv0I2&NH4ov)pd}&Qu2{in`KI%qW;C7@30Y^q07JW`h#Jqz7wo>=U_D3S5vI@ zt&pv+4wgx=*Q9U9hY&Wow35JJxT6CKYO)JyQ_0*Os%dbXF7g^H!V2Xng zkdNqZ1Fr_!yZ`=~MUt$eK&PVZGp^IA{rw?LdOd7DgsHn%f^!wkAhWewV>skz_F%T%dj{wR>V?tPb5(!T~wu4 ze7ByqBV~d2M(&>W4zpe9PgoPMpnRj-qAj2DAX&q;bK^6(1b)UPDsWR>6*TPVK*b%CiW?FtXb<)isEQy&n zB9E0N*dS9Of%}_OgLi=M+;v`*$P#bMy47s7DzZLcHhaxX#3B%|7A5?OL{022_yO<3 zz)oWRn>TeZh{b!}kkS$u#abw1+|A$U4 z1mw9aI{v7|9gJwp!*Qy^z3x%P+%coA6BDO$u;9z^L*ebJqv94I`Fx(EA>zG#I@G3O z(-+b;b+*oovtv;N8@H2ITgb8>%c-fC#+b*RfnSE~hCK6+UNWmsxjhQqDm@5u@-^gWTl)g&b2}n_~Bg&7H)M^tQ1S< z*%x*b8qr%dMX|4_wO9l5Vgfpm5D1991p@{kwh$IlaBYxE2ul?}_=<`pth=<6@)+JN z&4=+wkjej-i1qz5%G<)!TjPDiKiTPD)BaO`-by{%KiFd5{p7s%cG}BKVwU*^!-zo~ znPvs<3U>4knqh2e=6Hw(YT{;PmLLS(6%l4%E;Z>=_LcC3;9*x1GZH@+t~RH39w8Lo z=d$1YJxw|zA_FkMU`NSZX+;SeU*@pALm>x4RA>uJA{*|a-3I$Ua9^lX9Lc4rRE}8S+`;4z5%ekzqA)3HmTr&q1o@!MclNXRR&+%?wNB(;3 zt5Z)hD3DQd*;Db+GRO5^wg@&5*p5lA!XR?r;k$^4_HVPwc)H_e6nWZDc|@EhB~Br9 zWPrDj{u>jAY}NC^7aU_|HiB!36Di~qba;o;o<-i5o| z{p_Ti&5veEbRV6X2A*aWP$3@u14^XaBEk1D%L za$8%+#5Y~!j-(79z8iMb)vRdQ!IH{CSdBP%odq}qTxhT3Zls@HjU&v3^c69YK?N`C z{ygwdsNCjRX`O4G@XWvP;+er&(BSp%^;|A~`uRz7#bpEA4vzbt#VUOH#zpJ)Bvc#6 zb86rV?s3*vR#?q{FZ^kNSgR-QTS`7GdhlJY^A0Ya96uZTwW2I{q~c90C5>;fBx;k! zbtlAjjm)b?BPf$U6mEG4gqT}K;GybP{~&O7%Tet+>p%kT*5nxLijL2tur;jRYd`%j zMQ+;0chW?EG~hv+D`GIs>#W=4_^GGwGtu^y!g zg3h5_-x^kqiFf;ev5S6zVBY1IPRN%}^SVS!mJ;h67eJ#!D~>9vW-wO$o&ql~&X0Sq zW~iyr_kA&fmk|mpUVV$M;Gmd-I{um7E-}?ohXYvAIMal}19Cy`vj^?R(FLpnv~zn||_RYg{+H5DrIiD9c)ZCIorH%YW%B z#0U_In)%zg-|o%-=T`tS@~d_C&>z?cZ@+tr^*^Ew(y=x|@UUp1H%O=zUpT(2v8GHw z$3UD{OVJnNC5drUie*lyndD!tlUmGCGOT`YbdFx?{liJdro*tfR2YA7RL|e zfVKDvCp5HkwL5${hV^6&hkb+HUwBUTQP2kz6lDCFMLdAUcS_CetG#!cTubj@w$nbU zWtx}k-c`}cua?rSYUHs9$e`A%ZCJhdZiQ~pVl^+v5SEVN{llU^XNPa>FXaoI)&;S5 z2>Q5cOg}v5-p|g#VY$(6Lw#jR1^$QM&!!k-fmfwidUF{g>*=uVPI(Km!Wmzh=&2U3=(eD076dJgx2_z~-{v?OEe+zs|Ip-99eIFzaP&?t*vu;q}v=YM!6bT0dboKFWl ze?bSMhddB8CZl206ZdQXF4XGgP-;E4%9a+puwJ&k<6QnugLumHBaFuVAU8hTfXJ0M z)$kPi6z-n+UOniC#-kcDRBsnZBc6pC2u{yT6dK+ruqbErs8enGV#s(k)CFbBjUrbk zw+|&#+{VsFZk2A<>gDOI6|W;z`L9RK$N>+31U1RFC3hkFz~kNG#Nxv2#_B!bBw)Jm zMKaLThv13q?VY$N-Hf#rQ*asj1!c&BxDHkXXUc4OBhTMRs!w#E|Sm&-@oz#Ss`BHb=wHhIRRwc}|cO|wLYCJAs}y)P`UwiIKjK>jAUmdU-z5CrYHnb(j7b@`nc<6+?zlm+O zObUfj#{??17>lt)r$Y42RpWqCy{i}z&+e;{mT z+-1h=HwLdKi#d~R28DFw7Yp28ovQU*A0*;M7;A)~)+s?xm-U!+^b?Tc8o2Re8x=*>~9vipJot-_pTRB12?>t^B-8OnK{^IUnNcAQZHjZ_~r`zA&bbjPZsnT{| z{Go-o2-qjLLtE{>fqsS2OGOcV#?=(MYXd_iz<&Zk@UC&(h3gI)G#GHsWD|kYWPsDG zLi*SwR$X;mkT#oaB+0n4C_3>2>#%9$YhNCyL5h%hi&4P&TVu>mQ>09xoKgIVX&0;t zsqEYZ>!m3!h^&R0lynTQ=<+2AT})|Rel{KlENX(OKSt;gtjRr=u2TLBRjv?-N}bPF zNxb;ZO^0U_9;6|oyrcxxlf52)QXg9ES%sn@BybEL(e%JIQ>nrQU z#cbz}@)@<`&ldz2m3Q19Cqi>`>U(sy&9R*$&aGSK?|=AHXYzN3WWjc-NB+nWCRdn+ zRB&5UmrT3s5^-IV1wU^jPlXQZSa#sUH2GaQ3mD;#eDB~VXe;?uv9cZ zsnzAQQIbY<7ML;|2M_8#jRFyU3in+D?#=(1{m@EDu!V_?i z-^#|WW(bSC<2Rp!*Lt8}5%?piAdNA6; z>Ndy;=XWLaKvIobZ?Xm|Gt09c8Z(o7`&K=~fPSpXl=kmm0pGsJe5vzegu?`Nwt4Z; z5|({Mamuu{3Im7cU!B1$2T=}L21Wi!E3V7A=DrHRC8X(LP2sdTz0=y2AUWVT(sE!u zdXjr}hBmtZPBL3t3+|__afGx}O|wVnaMuA>`x{l)ubcGd4{VCH(_YyG+`g|Z!;8op z=@t|Tz-qhakzU4EQ7hu~xq8=m$S}kyb6=8r1E))W(=!&?m%5fvq+?Pk^3{_=@q1|D zD|f=a^IJX}CjJ>)rbw5aWbyU@YI>OHSJd(T# zJ{yiMRkif&Ad!qQHM8*xITS<>fyClqLbUg$GFWV;CpDKTKl+hPpGASjsHkY|PAi*@ zQO|kcs7;ZXz$jQLZ5^!rExEsAxGwcYc6VXUneV{n>z8WBmz~gju7)K3IJWGY)>(qp z5v8UqGa)*?A3H$~x)sXjWgjw?s$N(dSYH`m+Lj zYw|zcmazIFHcPCP*)`UZrNT`!JtDr!74$0rhPB3F=A7*cy2VvZ>&Lo>`lni_I;RGN zs?fzp*a1Z5Q-rr(0RiPHRM4LAk6weK=K7${qNkUu6rkVAmr{ z*0jsSQNF60iEh%DOcw%D&hWqazfW6sojyZq*D9X7|NdTAQr2sjl zGwBN=ohdIc=6V%S=?*}wB^|{EigARdXW@Z+<>9M}#Z%j|_&ycSIfZKOsrhHO&85Z> z33;vSfpS)!pvx>~I}$}_#bvNei(TDW3cdJ}T`^7AKj&eXGip_OdiU<8S@_4_xDZR7 zia_6Vd2{bPfKXEYde-N+2T(!UzVEN^=s*1#$ADyf&ux@>s9MH;BxmSavnY);eJjQ4 zDQt%*#eD6L zOm9b_QU+FmazF2>LP?h38p1so@*%7UHQue;v8hn>{z|ynQXmAp@gTY3n~t-D zfBd6=x@MIoH&XLL;FMPGy7L#ydHq%Tpj{fy04Y=8i;ApU`=M%8FSr8?b=pHCmE6SBK%OSIc^6IEk9Ss(V`QS z!%5|y5RPCB24i#CAT*}a`K&D>U0dx+-ZSh}b4t5-+?ICCEwxu$xyup2YJb>I$=+*X z)Z@Q%ufuy?m|HV>e^sCmmH#}SbLh*V5#qo zd^@R0(8pjG^y|U{6sz-6 zWRscj0ot_EU;B{;dBuziw+FvNBQUa<4W!YmkM-r^_)fC(_sZK^N+5owsk2bdEv%WH zEH^YT{F0-^PBgT(`8*qEidD^V`3EBhfJWhN-uZDbv6~YMXE@fqC7>eP5g3vdLW3}a z#lY+*k03puK_n@9-9oCS+W#cF1fQ&-rAc&%l(Lu1L<6v*QH~A8_aUIe)MEW2gx1f) z81&#CyvnnIs)rd;=ipDpO1XiDOchxy#O2Z_As98HDBEHPs8M81B(QnINLC-)LXJ0= z=0#_U)21XNB=z`RUW}_8+N&$lYNzey#{=dX!{srebtV~xrnm5E7mB50bg>HV7p%3u zFE62G$`0VN#oC!I58lkWnr=8uG2Tf=Pb`x@rHpg`*T&S8&nVp}YMTYp;0P*sTb~8k zOy_Bt^A5TlRFkmp2#`vB0n=MZ__hPp{~gTe-tj`KFPA=Yi^l&NEqVAbcF5_AKTAp( z-{#@p!ZJH$LgF~-**G@qs;%CZ*vzeLLE$s0HY*?@8YCa2|HJ>&z4%~MdcTBwlda5j z%9&PjQV&rW^V#Q#2R>(kJ0j(s<#SS^82P5UcQ$L8yTL>ci3W`a-Inr7i*{pt+KzN3neb@x~r_Eqqbi81w6n~ z>dF9%pI}?V&YuPJdTLbOzBij;2GLcPVpg$aX?#bz{GE;C&eX_~=j9#Z_;WVR!i4=Z z=uE|$YMsO5uBb=~8;QG{g;V!&hFwBKu>ybNCQEN=85^HxLGu~LjpB3#mTJZHR=UpY)nN=u)ib65da6oETni&p2piR8`oR|ti!&(-+pq=IuBb~^CF zcEeW4H>_)|nWsTp-&Uu*`bYIB>JtO4aO(DiQwZeKjrVA*J9|%5kBwni4Mz)ym!(B# z%|tCz3*W8Z-(PjhR|O7JFX0bj)%j%ke?DAN$eG3DptJvI5BbYX0#JaGgqtb;# zBbY_I*ja}<{nx;Elf(XMYCi~TIskzgSUe0~z=!$VB$nZtF`3@%AC1!6=q}-r>rqg4 z(&rBeysXYj&KDHbcJs2;J@8+II>;e);ZF|K|COxo_uhKQu;YMv17Y9120@`JDZc+r zC~PnLJ3dSY$gtZo z$u%34MWv-g-1lSgTgpCk#a8QZkjH^NneJWrzM9+M*BdR)F_Is4fp+rSagf^V zEIjbt`tU(659!go$cj7U_muUm4|DWV;|nGt5grE?Z1@ykr5b}Pr@9hy|Atc#^7iNR z;J|SQ3z9v2uDfNv%SF`@-4!wcZiEJRZ;sl|imIS$3Yqv4WA$Oe$d#0<+Mm@E(Y}!u zPs*8fJc_I~z?btaj<@9r7)1*Ob-&}$pz}InhEDeZLS{l&Q}W?Dfxjq%)#43W3IS5SL}LYvAKc$RC|g8sy=Y;?;`YcDh?aRJHLA*S<7x=!GSu;0$mAezY+dMPu4K z;;E#@un(h|trtOz{ICmxw$U3eFU~oPuhhQiIDm;+j@KdoO{7P5-rDJRCA!L5QE;Al zF!GqglGbWf%rD<9NvOiRaq`w4WH~7CwmhNcnzK)ukj*j7+h-EGZE^-nB9aX$ix;WHtolSC4wW+|tzbC~cx{D~70 zw$|adBrwQW&=FlA*%$=3IbX=r236uHn*>|=!~Kj$vc zQLZl4I+o7G4=Icu=10#kBN|k0NvJ%mXbfr9St6n2&uQ{{|K526MB^24<10y+f|XDE z`B7wCpj%DBRAz->wA>nrR7d*N3)jk)u>Nc~Ix?K`42&kS!SpV$xdj9+Qe9vvvb~Ou zt?p2hVHkz?^UNAl-~hcgIIF4aM*KGcB?Y0-JfJ?B`p|xOF?yz`1k`o-3n!lX{@9+* zT@!+{igxRIvDiQ$OB(8rB5ux90w&y>Od3l(Zcn300GI%i819!~I1~g$c>DO6VU4}S zzZgmg(zz@IBCeHxIkrn5HVAjZ`oBHYIsC0VDsxzQTB^kgk%n|lBc{Cf>%8dicyMO? zFp58__ti4lMx(8SG>E5?DAU-=^qFFry$~oC-Ho=6zD5Z^CUOeG=jluGJ8jS@ z2uG4Al+!DlEHWls9~ISCEM?B0zU}E&c7}#ahx5_u`$0w={wrVdy>&#?F{*{KCSjFU z$Z~a`&xZeq=j6nt<9tRy*I@9wPuc^RhlcP0cD9&(@1z#PW0t2RO`yrt#BlJyqsE_q#6O3A8&Q zve6yomo4)Zk_Qr5U(S=EAp?uEkea-R>`y~ymb$bcA#9h+J*hRf;^;06=SJS-@`CS% zz{9=4_@v{sup5Z?>f;%bn@4kyo z;sC!!!0Q84x#!X~Ha2dYQRz>{ZAqCM{}B6lC?aQy9Me!g@v!Ktih|T&ug`4Eena_5 zbDp9Lf0iWUo(hs|$Qa;5!-{9=lcc!MNMZE4Orkt`ku_h28`K)9cDSegZBBA`Cdbf# zv+D7Gea&Dw!Voyu*<3YGsy@Uy z24i)wPdJ)ms3K;4!(`cD_ds&)-?>9bQn9>Of~!CE9y|PCG(@5Wfse@B5kJ2l2!-(x zsy;(J%XDW;Q&Jyy5R7(Y3Z~(;xM|Mvgb^OUYm@4?MJH7WYmuKY>%4tFjaJ|Z<#t3c zfD~kfiBa_aa=?<0F`4iOCtF59WDxGdr&=i1Aca4dNe6Ihh4vJxe^BQMm$~K1IsO{d zT;wJ=1tQe=1;i=+~Hh*7u^{CLPkchl|o_xPo)p>1<_pQ`>Ndiw&j#6raWgYvt{RCHHA67 z@zu_xlJqV9NAdK2>h#p6(qU>Y1IA*QqLX4czYV~z3p-_a;8)pOyLl&d8=OD8AKFeu z6SZP8h^tW_f#ML+iSj5kFYN4Gug3$;#rwG*j7t*1f=7@5;#Y1|h0b>svTe@~437(* z&5H7O=V7?-k_h%3?^mAGt}r($E&2KZ+~!OZt&b?~v+KVtE2_avsP`RR90%B=-`U9K zfBpbG)?&j(37ngSor&b^eS+)9QM$dJeVo>>S;@`8H`aa*n#B;#yKZAwct3u2P30dC zI*2-G5|ckz8E>{}d6`h3I%9GsY8UtnV~K)C=ZhRi5(06CSwSk@{}ATp+fi4LVm9q#NO!cIQw*Cf6*~vCp_--bhVmBTp|vP zo8Pmr|I#OLSoe_hMrxMi9nDhH%-&7WM0_(_UC6id(8GqTi zDN-o!2vYW30{TQzNZO2t(ijxk7uW?3^iD()1UKf0{9SEErj;)Fv__zVAmv_2%x#S5 zjOXJ>C{ttcTIc{lc*W7dWu$l5zDY13?Id)%HvT>Mi$GSD2$Yg)>`)jf$ktjL@okW4 zg{D%=_`tdnFV}y9t5U_78I8x^i}M-@K^(LL714?3H;eHAn44Wz%}di0CD23^IXB>86Xo z#8!%Rd>Ytj-;r1g`H!9FM*a|s=zQJg=?pA$u^J2tnS*(fYiYr6T@>DoIm$qyZ#rKZAoQziK(=#Pw@MSa6s7jT31#@9 ze5bp*ueMNQN1Ce*9oE&sbrtBE1HxC#+>hR)(iNQ7Po0lS#!OG0L4)X7@wez%%DdWa z;;{ZfpA7c~aEGV*3&YRUF|~G}NhxIQloB;1)MnqsPu{gB5}w_Zr3>gi!X+47x6s9q zucVUlC2Kk53i`f@sanA!TdcDRwPhC5c4ik3ZxSB7ylYR^2je?hu321O7kJg85YkJ( zz_~0MRjz<1$ZMQ~)lIMv_xI-*VR0M3a_gGdu^X_y8~Gw!1Oz@^Kp0I=Hl9u05GH0& zD8_-d7}-l^h9IbYa1H$eSxQU!ABn*%#)QFUE;>Ot6Di14-{NaBl_63bi2ujD~$l7cBxwSgF?GmF)-{VH-y8TX2A`{p`{3M)mAK25UDsuJI9)M}NtJkiFi( z;QsU}H-o)6hEuWAcQkm8v~rN3D9*B$r8h(!OhtY^aIixSb{oGCeff{(wC>nlRJC&9 z`*%=^r>eeo%t)+uo^LUg8ulwt40?HuVU`77eZQ2|a!iLPk>8s!c7TQ~4d3E4BSpq! z%v5FdrO_HC^e|oyPM#7ifk)OA_$j$*qCXi88`B?{jMoI?7%h$2*M5eaA+M1}>_S77 zf!?TfipptimL8mdw@~IIR90%o$2q=uKz`#>?6b#D3}b9Eo}~s&FzGDxs-GHaHNA$fGnSgd3&xq~gbx}w79_80s=Gb= z8%f)Qz+>1zq@tq3;eUkICy|zRXvYfuWaiMr;y-M5Ma2(Zmw<8!b8Tz33tvwfYg*Ir z&a1X=_4!YVN!RlFlhA0_55;vakn@D#!8imzEC?yX-ac15f)wrr@?&3a@ z<^ZL0*AQnVHf0fZZEpkq&GDLdZnt>wB{a?KHHqt*=I7gClKs!ifl;r}o`1fj(-Er0 zl-if1sH$ZR^jQm*5vXi@W1=nVG3Z-P42IwN{4-GA4gAP)+uM0Y&(G~X`O?Sya^t#V zG^};|`c)BhC>=_*UFFxz%r zuO^y<_Mz`EF1eM&FTY%(l&y+4(O??5E$rJQS+iT=*z5UruBA@5JU;Iy`{~g&FlcG7 z=FHfyXVqFOz0ZIG^nwx=hWEP_eYkf!`;V8&oIkIttIs9_N6ks&T3jj{2y&nwyyYJ+ z^D~>S%1lpgE_!I!x2t)#glu)M!?KY15n)q-ssp(zEh+4$OQk^{N_lrC$qsevyPG4%1fz{WoIIz zjMXY^MB=Ch-rgZmLiV%4%-k5W*+$xv)Ox&3qh1yeL`klolgv;!^5m3KkvfE~AId(2 zUMk~>MX!=2+oV~@M{RVg4n_4jf%XVL`j+$Ur=Ztxtm|VN$$Q<q4@gb&>;%9CrC@_N`aB zZb}o-+eY50c#_}~uPBsm>><{f3c|lrZnLUXCgu{R52=;ZDbzQ&qD%f(O7~_6y0%Up zr>bx(FRoc#l%=ztPQSh^&|X}wi>#J3y?UrVZf#@GNlsSF+8^UfHyxpKIFQD1VmcV& zH5=t~WO$!k`)Z;(ha_vwc=A zzpf^l$w`fD!Hz!nx80#viI34P<;Wf&ovJfv(XMl9Ha1xww6JkG?|vX0XXbz;ePrM} zKI!`jEPFKUxOd1|LcOqDT3=g-vTAr#{MoCEc2i>+34eFlgucexomxWL<`AIzGEg== za;rM8npn-mNT@oI>L-Nlg!La!!R~9IZ019Q&v{SZTAtlrIc<&g8)AT zhsG*Qorac7gQh4-O+tJ7I^Z>O?hYsyj3~I{m$ytUN8m~kuo$h(yHq3{W2=aUt+#Pq zm0A7ywQ<@iMeXMMtF6pa#)>dT&Un?|dhR8P@A>tegkl6QpKYukL5PBF(&h#=T21M3 zG>e@AcV=;Y%&l_c6^tSvy}fWG*e;K?YQU>?X^fe3>XEIL>FL=cuB+qlKo+XgdcE@fqOE|D&Rxel!+ ze?L0BjgPBT13TX}Ru>0|J8rt~ZiUypS6eTETA+vjM&}STZt!@97osS$dFEtiVeK%d zFYN4c9(Ev@yHeRexYii+q2Ej^Gr+h84Y!c3l^dX!Sq(j!`I;PL-j;}W zPuIMas> z5v897V9Xt;$N5`DOD3-b1JK&?LH810s3NUKY>B6%x15{>ICvS<3aLa(KzFMSd=GF7kClE8%t8^%&lo zP*fj{v!OnnjNMJW9g6}KFJoVx>JRwG6^AF&RS-=xT16MeR`;!TZ{C=0;Ga_OE*dsR z?KA2lU(PvBXV~xdPwOb3El^jRbS1j#RPlj(Q2Dq)^?JR(x%kk&wOPGewdMLk|4pYy!#zPRXQiV( zWiun0WJiNd6o9T$%|Bq!Vi+{YZn8R-O|p9{J+%VV_baNCG#Ith-OJ(6;l^Y(nUjHs zJeOU1;mp>{!W6TMmu>o)C}ts!o10;&0yPYbnKCIVbKkDl?f9c{#SX5&s$0oY^oq=v z?|s=0HRXmZ`;FaMt%s&Puiy9{XGY`S?Yp0+#!ic=%|P2|5W);ef-Z$Fy>ZyU* zJ08HPpr8=+n9}8v=M0*3pcw(;CL=IyP`Z=rkRff-vgzNoB8PaBF?q)$^~gbUa(Pbo z0gtytZFkbYH|}AOdbKm&NcO4Oxus9XRtr)Snwpn3%P4QHz~`8@FJX3M-|yb1&DT>J z@7$8T5z7VNA}U|Y6@=ejbw)RU_dt3sLjeBTi;rS=^(Rky^SDbWOosdW+k<_R-W{XZ z>p5Gl^v2JqZElI7+pms_BPc&%k2ezamJBb^7Xv|TGmu4JjlLnl<2;^ib!)r@wZN9* zm@>-dK0-`y$w+`G3;4h3M|I#YRr@Iz%S%^?@kOZyG(>3odvhzJxnFX)v&F5%xdQ2k z{b)y{Vox}v?Zg5G60IU2=)J*x;xNx8cj6G~LABUJf9( z)7Kz}g0u8ah_;ZG!eFywH^;4r<=GJw3BSG6OH8v&1Eruxfp|q9xlAZC>?OgI<~|_> z(F&+bYX5gISIKWF8P^MEUT4R8*Fa3a`jzR~rHLVi8iWvM^T?)D!PG;#XChFrWLOF9 zN#K~H^%QBLrMagDQyFSo*ZWJYR&&E_iuUhh6pSr|Px+UO{+d_Lz^3opLmWq{j-uJD zN0IVst%5`t%98gu7>)_?H-2A6MaMmceqk9-^4d<7)f@;IPSKJH9(sLD0KAb0az!-q zR^vBF?3r#_PnGs`4p~ioHuF%TrjRRx&`X2n#%-WOK)7f9x>1Ksz-6Jfhy4mYyQik| z2NI?-JTpK=K4aJ(+8hb5i;ico0eb%!TA1yl6IRCrhh(0&-XCH7h6^BT;jMbM?fr-L zL$|^yXwh>0ozE6KFh~mqsC+Rf{_%e5B6+aWqsVGo*p#wqHf7=U)G0rU5yQK``Ef&C z4UoX>v5C&pBof|zVt2m_m4x=w`$q$VTLIhGYJ)LHOni<#o|9C4aUqv84vWXB+K}UZ zlbGGuFTF&AjPlH1o{R>4U@8&DuaJtI)80)3sX!WO6Xqa*%L4eTQNYp}`P`7V^lQ2p zOHCffDJPrL;zXtM@@(vq%VKBI*bM8=GHCH%Yn$+5EI=2H&+)3_h%$@CaHteW#p{yu zIfcs^)J*ZT89&D)r~I)w{y6(DKT`^BFmL`Q&<}*uq(2e43y189=+JxY$5qxOzy@cs z{H=w`K?n%aJg`bpTIm{LzH>}R)xG@=kqDja80y^Wf*&+I82jCAmWh-%M?au6Gdwf+ zi@T~AX$D2i70QWY=uWIi@smFh1fhr?ORkihrUB!EKb=hGJUr~`mj6#Mcg~|b5tLzE zbXcT~Q%6^@LRI{2gM{$ru-C0!*GS(2LGm-iuXDhzH!7#1VC*5h^Wp^)8I*hPZxFM>5mJJk_Cpj!t9n;y1!7Q5&28jTW`LQCFi221S8YbbvU zmOdQAt>Pcxo*(keEFp&Q+THphQyXzld^H7piN0VOnk1y05QW5D+6cc)aSBGZvut6sjxqJo7k6(QjhH1CL4ZXkwya z;cqb)kVnCH{JX97auWA#pd6>snf-oUO;=@@5BYlSY+wKGSEN7#F~L_ta%c~jKt{ImmapElT#-)%pAQ{O8GBN zHRc$5j2*ebHuG9k6h5zsu?L2{RX;cjMBlX_;Ig+=`7eO`oD;~2hf9r}YgJ`Wf{>oc zwn)z`&e^Zxo%gW6-Lp}LQBOc8H@-v zW-R8K{XO5~R7jbQGKQUa@rbrY$IF@3qJQKkiKwxg9^_k#UFgkRXJ{uZv>jT9AtuH9 zR)=vYez~RfZE+Di(N|*5s3NSbu#;vHoiWp14beJMXCb=YZpfa?N-uW32lxo8JG0s6 zBGofN)vJv25=xOU2BSGQV6NJR;eqq$so5Q|TA{Q0$JRo$a|4@OMJbgD&3Ury(J=Onod*rxzst-L&fj-cw|bXIydobiS77n-h?b<1zFt+p0o zHHKlMeyzs2Qg|W@*hQ`lw^p`Yq?ejQ?GlI{o#_u#zuerH_$#7L3Rh~lIWTXb zOZ+R0m+4eeoeA#UlJ2Ww;QpiSf~~xHNFG~_|7zYrf}nu+31=6;B;1HDbY9# z4kBVCxc%gX;t>GL`937&#jP|XWb?^$z{mKdaUu>4w@l4q9RPjVOkLQ<=i4H_`$?dk zLQQas^dz7i6Ow^HvtYNPnNTB#C;{QGM2yF`LyV|l^#%%c9dg!;_%&?k#DryS*v*A` z>)E3p!gYoSz2y*c@ELyr;tR1=$nPDA3wTR#`@gZw1i>wTde4?xUV2JIn4xz2;4B@! zOQk->ZC zk!`0i0xChZ;wFRqxo09g1WUSV`-J5L4}`bS9lb(|g~Bb};S*)QTPJZL%cnaDuu0h> z`xpc)aPVw|xp-DWcd7Rd@ZT&jS_ixm+%O^I)&&901o}gyv&cxMlT6f@&kguYe<2!$ zkNnMp34s-9)IiK>c9-86YcX62G28UFG+XHaYM^su=FWB)C6PJcPCrDVNV7LMl>3N# zk0ODbD<$y!_z=~@Zc5>(Z{0{_Z1yy4)nu_GC#G{50{ztBXz&PzMIDsU3&&k2q%U|P zmU+@9qy8;NC)Xbqt#K-s@EYYslIEdK3<8aV25|9%We`V^wD=ob^5NaqP=kPk;=!sV z265N8T?qYk9#%m0Byiv_2R^IsZ{WyyMY@*+ogk1^ADiWD@Y7h++7ThbAfydbG}>#g z5RrSv9qPlUXZvd^M%2`Ii=i4pjv)x=I3v{{WcR7EFP9y0r!rO+v2!6ka8&6&tnPRI zAixp%*VV$=f+t^wr(1j5y0%=*!u)z`dExYWNm84qgZ{~sYx6Ca+r`=Z@9FcCqw__+ z3=R#c?uC_^>7%JNAHDU9Y@*6~9c#-=D{II6io*O{TXSQ%LF7uJmS}|vF-F`l)RooJ zI8iaxi1v`5jqUCD>5eC5_LkPi=WZ5X8G%khih6iaJ?)h8_U1xE^Nezx)0O4*`Q_c< zXUWiWi(`wEU~ISc_WWjaZKa}Cw{7uLOV`H6TDnCA&CT(9P8ZiZx8^g8i}`f^RlIeY z3b$T14WA4hjUY+RhF0wrLhbzW^~T=u5(VjFGp^pY^>I6<03jR@_idAl>o;~hK7ik| z-SI?(zTFFBYMoKgF67|E?wB-$1rRFSO~pW_48vsZ%Nb){4R~okzO#25Jzj~*w&wP%T0eRMfPAT(k02dqPY)jT zQkR|9+}>5|e_LI94_AU41n0SZ+)^tSsRJYX@;)jQIOa?3m2^b(qm@h2FjwgXIk z&T7D*+}$C^&s0XN>TqZfpnIIB!7KK@cbE5VDTzACKB97{ThNrQf!NuUW)s~!*2J(^ zNi1DN`KQX$LLzVe0B@9lIqPBV+I6~fJ&uTt{@^~AK^3p7z6XQ`?di8yaT{tZVb*<{ zif!+8Y{6>nQID}ajSQT{B|T_AHPT_S(O-OV7m|EIw*v9-FoL8TfJnN8a^hgpY%LW( zg#f}At*ZW!e9?fUm~f3)Gpy&6j^N$T1)I2(bQPW^bevgp!aXIXBjh5c+ZJMc!7ebz zSOu8dgIAa{4Dk>r9488hTD+A41og|dOy$?HA2Ai_4KTFgDb8Yj-xH&JZhosSW~_h_L*FM>s7q&sSXd|ZPO`@h;zn&A|{6Zkr)VqD#18v#5gMb zmsw7r@)T(Xm7EC#)JDe1enmzkNEeH!XflTV$vsTfR8x0W7}mJn!dJjF(1R0Xp2P{PVGgQput7i>!7Uyp7J4p%rON4D zXVwu!lMG_gE%qlbOPUh$4_Z>;OfVVpkMy~)vA zeXe%zFUS!ovBE)G)`-`axKEoyhqQhBmm`W1QA5Q>X(pl^W<_W6W8%vRthqTq)Rrel z6-N|9A!>a2)s_8b28(6Ym+P~G1Y~?wkp}^Ub6%$M*P1!%PRJtoE4+O&DIvmga#CvJ zL(Ui8rvmSpG>t)TwyCS$`+~o=z_D$tXR)w#~zk363O}mWqoe^-(C{*@y&O z5NFI|g+?h23*csW%o4y*!AT%Qpm5Q^$iT$GLcz!(Dg*<>L%~U4{BXgH{LmmpK7p}< z^?{KOZG7l(r=i7hQ1wJySo07=nMm6Fj*R}h-UI&=1CAP1L6XPc0b(1fXZT-*Br~!E zCJ!&vilpqb5|TJ4>bwY`%KqPqT=4%SR#C|RB;%hjA87y%AC-&5Mq~-s5V8m6ClVY! zxy_m|8ilAX#11-YieF1#sGP`u$+(X2|K#|=Nje-oW(X&=*a$yelOYKarvJ#FTH*f5 zcwbTK*-d_qqZQ;!55~fI0pLrBzxBcHA&i+F+$Cb+@S({N#4$r@$n!+R{X-$pO#f9- zl_cfCSi$?CP%$D?!Hgh6;Yp})S^xOseTGTY!IHeQe;VKB4A8DL88(pzqkgl$X%Qhg-(js5)lyoW_;ipJ4 z=leQ0%%XeZT$BRX)OQD~YAClMvCrx$zI&Dms@MGyV$52spPz8r>z3q;;0SY}S0+?O zQifJ$jn5ig@avvzok8^=D#f&fj+dkpw`1ap;a$c)ZGai&Vz6G89+>YAPPC8Gq?l#_-gbwK=-@#TUo~_hLg-9|@1*)j zU2$YAnF!mst;n5cG~DbN)GDRuXgFpIkXX5#BR>LeU#~d&A1B%@X;)hZUYni@JYrfm zI{I}@^eww4bS?C4hHIA?(vn>w=8bAo8OkJ@;6{x+QzUr>O*@zRV)imr`O4&1P54Hh zdtd5I;`;B%+N3R$bi7Uq7mk8Rr};`GHqU9(lPkEr+0vC&nI)XHC9LgzT#P+V8$6o$ zwKaeZJWjXsV}5!Wx4V1}x=kU*u#GND%j9r79qe&Sk;0bq3Dd)$6#JX5=0Nw*Xs4yu z#(k#kaz;8H4$mjt!RyxU4wE{T=cI+~t|M;5mwZm~G3@(hN#Vu(H?2)xUbzVe?(771 z+S5z^VwgP!H}0fz{{i2c&S9I-1NoXTiZvDHdf^;{#)}@9CSHrD#Di8tB-% z$8LoUU(+w}KO*EN9Eu>qpKGX>B2&$kNQ(FWlM9*X)iEs{*Uai!P@=@&ejfx~X7KBz zQ6kE`AMs+NtDc#sGpe?6qVa-E(fEDtzS5`4qb-`+<<3@5WJw);L8`5(9lOL;>CSa# zpG=Qwrg6PB>qn~(=7XM(Ib&Y7F94BnL(4lJj!UH3l-Dh^h`l5U?qfF^-a$T^trT?I zB29l8Q-m`w#U5Ky)}ywaM;FOnHX`nrtd!(tG8%3=DIJ5a#)WB)Gau21xD-~(*#-(; zI10~JyjLm_&&m#ZVGsv&v#8g)Bwq(TQZYc3&f!x5z;+ObEKWKUIqo_ZK&_nS6s(*^ zy2T(jV^>AuxX-U((=VdYb>-rm7ZmgYPugb@MM&WL$Ix+~vMkhK9Kk4pua{3j*e(s| z!FzYs{1ZdA&8I*Vqjt4lFCf%dms(}eqoQ_tN03sUIEbJ=H^pyq0#?|eIhnPLMWTS6 zQ^BLftt6ntswBny_mfoz6hy5-q)IXaIo*(kf zprF)u@ctK&WqORs1Cf)Hi-e1ng@lcpJLT&*6Q$h0%0DGLCkx33;pIOvHyhi3I{mwH zb94Vk&(6+H@~^P6u&|K)YxvKg55@n?_(6y1A7?Vd<>Kc2@8$kq%i{ho>Wt+C6AB=v z!M!vyq`XHHkK;k3AOw~^@Fg7Fu;cG0?DHIta_m_e;(WiL+WrcWVvd3jcgFdHCEOuT zM$>5q?MCnmW?t!T;VE}3=T{svwPSwWa>sf-6dj=LnCd9|C~zW%lLnBelMY+x}dPGngk=kVR zh89y=-K;A!S@>+h&nI-fC@eSu4ogOwp?VWd1s^ucY zseG8=Ig<(Y!H4EYu9l&6*6PX8$rNkp?eAv>^L+DI7@^5>Kn zx6DUdq|I{A=ThJy(T{v2H*(oa>TWsscqUf?IpBbDwrzJo?@jmDw$Rtn3;A+B#_=C| zu0Gxuua3BjD#tfC$4+*<|1X34$0zz{SX?Y@|Hp3r2R9^;qQ%I_04|k6G|g20ff(Zb zXE*;FF~sv<(4qg07~=hh7@`E{N@3NbBl^b{`UEs|!n&)ft$=w?v_4FXWqB5-H1MY- z%21Jth>DWL`p13~qlRLMkA;9F%|b_&P)(JJToe(3#I8A|J8{)pta~ACqim9J z#TnWICR^R&{VqV8?}C##h8I4Ht;HRO=Z9M_%?lhddgXk7~e+0Fo z4d`KjU%)Ss7PJ_!=0+{(H8dP^{U4&I&?am`8e~K7pi`&<-O|4s+mQizQ3+~8$GEq- z-}irm%WxASC=N|RbJ26$H|QhGlW~GaXz$NP0us@i=oFa&0r^xypt!_3oB9-4qk(HfY|>*!tdG5Qky3opP8Bt+(OExf=l z?|1bdfiWkbk!Tux%FzN;jjlr10LJb^hsZ~ z75(e`_xGRZ{}bRe2?fy%X!8nmC8~j0twYzM>(F*st$X2fAKH%|LC>KcbPOFwzeb;< zKcOGcKd~8)#A9(0ZorLre?5K%AH~n(5Ad%@6{#T)l2crOTMT_a%p-mhKbvpoe-%a1 z(a~MeL(%v9&Hab_-|GK+e;;5o32>eY7|lkNs2+O00o{V`f*zkl&!R5)97ms^Kcc?? z=0x~N*n!;UB?!;U0&3F&K3*U>oa5s$Re`Wjz{saCRe}{hn z%mA8+fmlcqNhVn&n+zi}$#Sxd>>*E)qvS>Sd`NynJ|drxFUj}h-<*|mz$ckYdHQ_Y((tmplxs z(28C~_iIG52iAQzN+VOyC_I&}4fz)R4Y!7DIQbqG^#6ie5M7S%<-Nd{R{{670fr3dNqGKVmyg#j8Gi@hnCk#b`8bGXl4P`s zOwh_22SVC(^h7`9VeQ zVcA)s%#2`qT53ul*)Jz0Cd9}2ydJm9>9E_ZlErK?8uX%0s}W#%G0G|plvT@JY1LhP zT42hQY?=<#Kz~Nfkc{ds8M4YQtjn zQr4L3@V` z`jS9Ny*@h&9nu>BGyv4)4zwJ??(vub#9cb(5J5TqVWnCyRvC9%-RZt1*rb|=OrDfg75jq`oqkJgqzTT9Tc0NU|!}JY=@0fYCG* zs2?a}5UWn1>2n9riRp*}Q-KV+yoS zx_?MDEjbx0aW0@Gn`0#dk5>nPe2*Y%=Q+D{X#@W(lFMGYVoVoy{g>i;rF{Cl!1Otb zE9KIi)hceM&%Y$4l#d=LQ=u+ce0&8~r{K~@wlalI*iw>ngS>-VqL@moDG?!-5giI4?I)4U2 zKTLG2e%vvzr#G`wo@KCfZ4X8)PZ!I>sJ4eFd6Lpm8tW(wW=CxguatyiwRyBnSKOmG1Z4Vob&~P4n zjY@<@!n3)M&eOqn4??3f@ZAM&4Oq^4 za7eGEz)_66I*mZf3F2@`x47hGPe?jf)K@f9I$KoUSA>cImM+3&#BjgWZ%u^@hDE$6 zb0;r`1#|(){7EpQ&{Et(o_{8*p-(w1;f;tq%iS&DXOWj*JJAz@*2+&y=T4)X-Vwv? z1%B<)G!jh3Jx7nyXBUG-b{_mF4=E29@Au(+u0UHL=1Y^clf^P=s%;Us#Ma2I<|DdQ zV#F9RHQOTgW?!f68s84?2J24SlD_rT>e=W?A!M|4Agk zm+wCr4sg665RF!+6O1Oa*?se$ zh*TsMVK1O1r>Zry;X6>&p$&hcSLuh=4N_I>D->&9$dr__lS+bC@k*!R@u2`J4ncE> zwh0-4dV23Il6nzzuuT{i+9bWcZJ3AMbZ{4j0?^Sm$^823|M7xGj~Ra;;sBSHuF-Of@yPFQOAyEHCgjKH`>)(JsMJ?pE!5-BNr!dPhI=eE3Fq70kt0Q|4R5s_%(Rj`@8q)u)U}M zi1mG?q5YKme^R`f_bh@gw?=+qNX_qzNE-5tqMfdTWT3%_%e*E?BVf&)zx(@!M zGXoZVV@v+pJanOvPh9c;dT%=qTXOY zyg)^d-fZS1tIg)HScu(blR*3!ZNy@9>Nv9jPzH{^i8DKmI-A?2vk|8Oq>Ix?YY~ej z(absEdDCkce{)6yM~Ks6MmpzWWLazu8x|X7ZZQd)C0Ld>!$z5iIndy}uD|`l6Y|b1 z2h@i=zwu#jd0(i=hdolS&kJ9;1NYLUpkHfYPOq?SC>vA8E@X4Dz#p(_k?wVK(KZRL zlxyjYE+gc>u6vzwZd+>=stN@#<6g$UeynmXobd%C!G>fGoIFem!|7LD*z`0>b!{OEi*xiqPQ zztXbUxymApagItV<;yKookA)<%o1`I@I@9u0y{4}2N*hDhRY()dfe&Rf$u>7@@u@F zG~;MIe+4g>R(LczKeky3m*6H=YXD&f4LVBZgd~lY1hK}QWHwlX$Sle}+_A`F)e()X&E z^)Q)R1@~SmR;fUs*FIPSRgyBHYJQ!G!zwBgf2yiDoU6^x&m9RaG|^}R$?2Tk;dTM1 z*hb~01(MCgiE|%Ks@gmE&bONmJX~=ivi^|O+cy2)lh;<4uC1RKjR-H@Rx|z6_YX$D zJvj5#z7yQkb;Bmi#!H{yws-0+zk+#y<-{!kJX_G&@J5l_D(=|rF<`)UPqTh2ok$0%V}Cv) zFby}mD=qr%OKaa){s2a|zx+Sue~UlxJ8G+rL{rFoynR*22^_Iq_xIHw-tY{by#Md- z&77O$z5TuoctgB#`z;56zGecxIKWpH`r)V{6Ets9Pyg?z2IeTTya3#8y4QbiGPjo7 z;N54q-N+j#1ItuT!`)A7O#yqKl zV|R*ct{tUdoZ(BdYlWl?LjpB$r4F1$(I?_c8DT0Wdx#?}Whc3@`#YVCQl(Uq`kjkX zm^CFM54j{4`ND-=uMDerMZs%psQheI-{~p<+j>E*wGJqB(C4SEZV;Iixg&-n#=0Rz zt=kJ6lve?j>1iqH6wj2Ef0=4e21L85zyz!_#!g#sbojLu>({5GM*kEnne_INw|~hW z;y16kaz%FHrVsNgYTnw`bM3Wwm0@O6S@ndR%*>76jOHmt@}#WXTes(>lQWT)?{-xNrS2WCb+N12o1_J{WLnghyX zC;=2ywNlms1lXklRdz8lE1;w`o)qM(va9a-JAOR*x7(uM-f`8ttB&qz9@Dn0)R}Zk z(}G>Cqwro_@ZMwJf4%p7^o_?>zIw~PdvdBbPOe?DXaAggKcF_v9b>mR?eG^0RL|MA^g{`VB9wBfHZOe`2_$PN!zzSCDGBhLvgah3dE~0DV4hr?k ztG?aWeXbe5e`IjX-@D|%qDiBt=Dl`*(d>#_`q3l*j=q8aV9Q-_Q~BMsrG=xbrw1q8 zwXF5I>h*6g5uKLtfpPP5rxYy7UyzYdQ5GC^NA z-JE2p2W!Jt3up&|%KR;$Y$a4S#}mp46;)v%GDd3WD5VvJ`p{|Wo%Eq1)q}ks`95{R;$qlqeXHRz$4d`Ftg*I@- zlW-D=sFUS*j_`?s-XREjtwxh5>Kss2BhY9#Czyd;k z4?2_>f;uhI2|c(VY%;Y`45;Whh6_MLQoDkpf8q?a;Z)sLRAi$92rjy9<*I!R)|?LR zf@;=jbw#=&t)web4O@f819U4=KH;dt4Q5ZC1{~NtkzS~5J`8shQuHb%OGLHt5k6@1 zvDt)FVa1Ui6)22A~P$!4>-JSpxtkIOC!NvU>MircN?&qj@50~o^wo5jGT zn$waDHn+Id6<6)?1Km4OAjKa97u;lr_UOL{pMR z?Ty_fim?II1P&TCIbtST%whvj^>>RDe`+fNRt0i9Eq$q4$bfGkJTt|%pc>CMWf`>0 zLS{~`!qJo<8Gj0tQ|;6|1|1OK?EaAj;|WkD5M?#aCCi_Can)a=7iMQ%F}mfGNa56x zE7qLe{y4V0SlE2?%rRHCMw5l(;~v}G{rAAw!fSU%|BkJlD!IppQ@zH@dc1_ z1mR)}C}x|#K)Jiq)CZ__7fwi5e{#Kwsnz;)`TGD6KTOvIqfKZ0D-LC1X|_KvXFd z_x4qtrk=7n=8(mlGD=*kngA7%N(~356AJQE3YeAvyF#1J3|guqs8{U{q}f3Vf~TN3 z*qn6bGqrn<%-LEq4lkJIe;iia*>;Qn`O*J4_C|Z9cWk`tdCR!8g$?&_nHZ^Ae6afZ zIn$rswrIyZo6(#wZA5X($oeYj{>QE?Ygy0|{nypAMqZhR&sZeU9J;b_#*4 zrVhi4Bo3rW91?-M4JldR2uk8^krR8!?(VqJ-84K@6*_zRERBW1l{qWmrxeq;nDh>v zS*n)V#G~>Gf9!#RTzdhRs|~0zBK)_+3F8{W!+qE8PS{=W!JNa1hc>!XGmG}#W*rqQ z4O~qkyRfh+diAcpqb)8u8Ik_qBgB6T6sZMk_&~T!rvWvf(`f}!Z{#&Pv(c#4=q!RL z8SgM+BGUk`)(FIABu1W3#be0uZ05fAb5P9{fVstkv>7$042Zam#gE zJRz@i7I~=BfX`Vvp`u<4zJaxnDvH5{4l!2@GGTp%*Xer0RSV=mEvJ4-LE+*q}%O|&9{WMT43#y@H& zS@tpZfA#hqHr_1xEOyB(Ipgfa>H|%x@RwEcgW5%2AJd%Ry6b#B_(V9_nVF+0*38ya zYg#m$H5yF>Ot=nA2JDc?pb-M5f8QBJ@(yUozL+^i1s8KVtyCW>jLHpJ1-@js7YsbW!_C#;FYCkS{v1{e%e}-G4+lAv(4>c`$al?3vzwc@C?>Psn zrYs2o-L3&Ww~Fbx+rSAIu!xHVB2eX#mYK)yo&dXt(;dz{(07Rg`i_9U(=mN_fLRHO zc4F$t>Pya}5uW=MZFm12F>NNRwH{mrLal5JIg2PfFxCk@`Wi$p%f09Fj zj5Z0IOp-kb#30D0u$2(32^!rd6VhWOnIy3XKY!R*3693|VN+3^TT!UE2zjN`9u{c= zt1o660yr4mdtK!O`#@k%%LVLef3!u*P5SKN^i{`euHEa~cJwCa)Y2P2&Rx!@9a~+u zYt7he`ZkdVmgS6^`1U`dHYT^f=3RijIJ7IQFXSSQ<#Bgtc(#wR0F3KG{f$;~MO;L> zO6-*G(g_-e%aI|L;7U@d(^yi>^9(p;IGE*oXmE}PH(%>b;ti-$#>0W#f4?)kWNli! zr4pGXGciw37(IQ6w_n~1)4ya><1`DXRVf=8id~`vm6T|QYJPD3qmwQic>4D{F@EI9 zw-4j?D_0*_vc9tN0eqePtyjKy=NUZv*;n=(>)UolzuK~W`&O9cW|-w#J`L6;8eZeo zdBxie&uO32f93qrqZM_ce|WudtLJv@?fNIV$2GcieSv4KcCEg{xW=Q&!Z}i*b*hzj zdObigF0aD{6mkvNX)Z4%8A0dJ4F{6ZVL{L#o!2Gm+?t>zi5R>dz2FPFygEU0SGp)M zSUi=*UMzWMd6#;dy}Y-FZ0e2^D|M8P;*Iif0e>ibA$%urIRX_ze_prX7JT~Auc)@f zOd8HC2dAG>4cub31>HbUc19HPpk$-?Z&e|tE6f9aEtq=@t89lPwp+sXO9Gtt#M>M1 zNZx$(X4}-sGj56aT?y4kKYR4#M>jQ;JVfgI7A?prDw%e5MZr$|?u9=9POkt-a)^1b zuZPElNCr2Cgtg0vf1u~UX%%!LuQM6JnN{VbM(~5W)PiVYszNXNg9fD2zKS$h(?ga% zF9^KIy-Enc;RKO~2gR80rI=VoCP~Xy4UwdS?$)3jRkkbH)??1us?(}c8R~q+L@d=S z%*j&N3Dk<#&ne$4a4w%5xcFc-HzjbfXC-$}Ksf$rbo8T9e{wJ;amLcUFzg7go6O@h zElx5fnTUu}byIPfZXvf#_r6uTT)RQH!TPxM1rR+N-oTrQ1Kdx-xjlqy$YPki(O78`cS~53#2gSZ*O)#q@q>cK1hf;*_R&oRkEb%`ZVjh_$(X!B6<(2v zt3k1&Z0ru;f38h+fQDjEDQW%b#>0>(cuc0pTvn}F5$EvNhN@brU;k6FMCB5=QETd- zx#Id;eZr0+Q zu>Mi*P3|l1M~)Y%ik*=&I&YS^S^QAslEfTwzxb?pe?sgRHAvuj%mFG9=7L144e;1O zb80DWHG)PPq&TTOPaE_=gPZvedBTScmOOrq4hW7{5vL+8)Jk=Bo14RpnKWljWMh<>aZ$%2T6&6(tN;?(sRw-K|!ot}9PnSDHEuuItY_sh3*{ zMf4ime~qBVpjH^7S3|A1AXkfluW@PUNGLk#v!kE!y{ArHu=8oaynx$AkcjUvYcB~s zb_^x-f6)!%-bUsAQo8%VY0P|rmx~yCOwXCMF0&&cLmO~THZL@59B!P0{rW6tg}y;A zjKL%IMb7DXqJElFjV4iIH^MpQvbX!-tG3;e=4oV@iAl|b=@cRSl@$>b(2c$>wz?lM)lxV z!lDJJ*Bf`!E{eg^t>x!h&q(0@N4@7fecqX+^^?wc%B6GP0Ie{Gv51*vz05YlHn6Cv zvY-f7oy4C#UIEk;3RShDDnFNNr$;NOvarWoMP-K;o132*ZYO+V=CHmu0y;9XfA#dy zzJI?M z8Rg=HV<=6b#SuNqeI%vHVxUQWgkuEZJ%!?ewEDEoqlDDZ@KGeymg+1f*!9opm&4Y@5U)?&k*d_f1AU(rko^)))NH%oMh5#k`gQ)kLdMQf}igOdslQ{ zhVnCJv;U9$KiM-p7{clKV#b5}+s^kUTlHRS))2A&R zvUyw8iqD*@>itsc3vKwJ3UoH}?bXfO57{jyR=qjk>-DO;xHP7JI(E2$fBARYKy&L9 z-K}g1x!oFY)LAIHU-h0j*MhNWh zG4YzYUNUcWLHV3bShMcBe|sk1@(ao{WuOO}s0D!Qjv;;jci{}+0$B(POZb)ijr^Vb z-GWx+u?38a2lQX+f7A;R@p|qK%_)voL&!mJn5}twk<;-!GzgwF((6n}Ycg=a9tI*A zDnaYhlO%u+DOA)KVkae76swR5!D4oBA}%}|^vgit+yDl?!0|d_e=r1w#Ljb-*g4pH zdR;)P0qPnD{;6ke;v25wNhUnCV^4M90Iz=|ik~BMh)& z7u#N7y9?B-rN<>8QAG{i!6-Wu*;X~Y3;yjuY<*~uJ?8Ohl~B8`XpyoR)>;)}@$y`H zFha|f;oKuH6Y1ONe^_jL^EZE-CLF)mj{hC)=xZSUm!tR5)uHb?G@(m)`ao`;@p+{_pQl$DZBcAHgbB%kxC`9Wko@c0h63!50n@v@^D2*_ zxc)DmlVnkbv7${mIalT2(W6H%QsZq@l(IcrEj_5lgsF03O%S61f4KPQ-Vbu?A1dR%vHj!R<|E$zC&YfVH9?I*!e&!9yLR#$gRJT3@TEyBG62VYJPhD-)o zOIZ-r8lf9zYg^|m|iTLZX`L?iqv&|vhO$~R%d zaU&q7PkN~B325wpb-kW3CpQiIAIG-;?sCD9!!Gd&|Ci7h5kS&Kr#PyK7 z!!|IdK+18?f}DF6mFrhiF?a4PH7NUvXvq9rFFj%bYD0+*Q_%-X16Z#)^nPmc?i%a5 zv{T&=>@&>r_t1z}bPm4>>_G>*Gdxdr4L5|1Vb?ajAQ}xOFctKfhS4UCPA8hoe`YPh zPGrYA<x$U%E^C2m2{3sA0)&Q445LRCBxmJ~Idpio$BI9B zsc*@$J8O%h4Npj3|B@AaM&DQa1ov|bFPDJ+E*cj?;6&C3?so!T5zSrhy8_R+o=JW- z>jjsw{w|mSW0y+*E+2oa6Fd|y`Rr(F{r;-j8=P~xYj3#0z5D2`_K8Jv9;*s89^EHR z$SZ$*Wpad1d$zSAa%ElZ)omkM`{t9ED^l}{mhFF}uaBIXnv@q__UwaEJ;MEcV2zan z#aggA?665z*-FwaVe4#bgQZjZg;ir^LMD4`oTxst7SBs zEd~P-MLozKomtdi-lEfLIgV)b27|={w$TiYzSck^qoR2Rw$vhBt@b!cMjB%1rhYcv z%)!`kR6@-l8js@-K=pEmy4mTK(`P~5!dJbjp<Os?UrEbO`IFO!}jye2(^q+4p z9vQ?VKaEbr#oI3EnTWvCFavKD3|e9`1@zcKOIWPIpwY@!3mAVlCX=ie5fZThOh>)Q^E4Ki zEb7CS!lmFuNctRov3{1G*H?&odk|BP!mh9AzVtZgOm^xM=xsy!7mA9k!Z|-VYXAa- zg#|7V8`PBmp{JDu7VT0(H7q_r1&DH5nr@Zh(olD(Pm;$EA5)t8`O!0>(bKn1rcr}` zUw^l?{8KeL%mZ*(2;<}UN+jSb!zQ#+vr^``QVsIy zgcr#&Skh%=BOT(InbNtU@?PZ4@%6%=$0zkx!9T2?FvFwhKmNLlqd0uApL>^F8?EWa z#Voj}JK6x_cse?Vn<@MnnNfb&a-aEWGto-OW}HcM|I+s0AG?Y9U$D|=j}n&@11}+e z(oJik7uL2%=io}b>IeM5d)q(S6desOY;s*iS0|!Vf3kksKPd@1MCfLARpOANgUpH|210{zIamy z)~sn4ejR-*y6+#+>Qmc4x*ETUkA8+8r{NlydrSXU{2JjT%0zdC%{l4VAzSDvDKk6U z`yQ9l11~xcj)PwH;&-066({+!c09wE8w4*kSJ!UcXwFLVdG2|1M{UhLuESa9pFHw; zXJ^HN=*Oqt`Vnt--F?H!s~T_O@8Iufs^59jwJAs6{KNi^rweoURJ{@X{B!zd+Fku; z`0D{LUX+IN(1S;lm!||TNgehTb3J%U_o$p=YY)zSUM|-A@;eu(xB|!TT%Cr&DzkGe{uLtkzTzS~8GBZBnIX0J4r?faaKv-H1R$S&nKB=haiJJg`V>xqkJwPQ4+J_x55VGP1YBC ze1RC5^{V`OhDqLHYF8`HvO-{}DwiJ6rJqZvikd*H%5{_D+o)05r1e^)HO z+keP+;e}1_HmnFo-`;wipX>=-cWD0$t@$&j=N8r9wEKSFYkyktV%@FV=Kpi$_M(H- zx2*zPECdatM<$#Q9w!*_qsB)~&l}$~o;3=*ahj3jXp6O5gzJs>n4UJhV0zc2!$gOS z8j}`Gc%st_29p*UjV2>9_2B-ne~aTC9LGb3pw}NqM$lbG&SdPthOj6EdETTgCc5MJ zeMIo7Bl;jRg6Dy{H|sD+`i~D&l}`AuUZl|__|xktyXui>G>TvY(Uanf4_icVQ&8Ao z0eS2-8$rSCIEFJ(>S)NQZ5?|*+*>E^!A@Y-(fmWx*$i0&jV zQrCAcrL>ztg54$@1U`NBXqkJRo3Qitz@!3Y$wDAC6J=DdI8xeS=hEG&NoDRk;@)%% zZmY}T45Cyl892#ov$|Zef8FM=+ih;2nTa-Qx8Gds1GFBtO#_x(A2!;Zu40Fs*UEkq zWLyY~Hm*3;n{Fsh=pi?B2PwD4Bw9|ddd3HAM;f&`J^23Mz&R1{oN{wxZ)T{Xj&5|a zoz56F+UYJ|{?Jq9qw;q5kdpTB{AU_(Sv%{darb{p-V5~ox@r7%f2EIobX&A<-_@_y z;D>DKx`|sScYL;b<83o$7|1sVqc>(}fA-4b(f_%t?d>|S!BGYHQ#!UM`gwR74|ajT z=?w&IK8`mT2aobn14%I>L_N>>^?E{$48o4?(p}O4dr1c*1+Dauo#B9qZuS`rKiL&U zf)0pDcgee(|KTudf9!?L%gx46k#Yb*z0<9iKGiH4e5)&VDplEJqCpiu-2<@uxeA>7 z+BM`~*SsEm|Mfk6;w{4QzWt=;d>QHPE2nYA91#D%1IcAT+rx>|$tFw|VO*%6f~V@| zlS;h+e#LPGF+3#!Gp7%Vf&sV;d}o6}`%qN65ee;^(Qg_}8OA%6ce6CT*K z%;_C+s1vnT`Ry8k9e6ANi>mkQebMidw_)wXU`ixEgb$D7Y=8F&8g|M(_) z=B8DcduQ8>$kMGuc=-NZ(-vRT_Yvj!xB9=*_`!CP1kroPP?swTFJ}(X)Nk;hwk|?_ z^f+YSX_uf1FDZZ67d?6Z4S&J4AK&}0>l02Uy>{3B=-(gt!^snWoJb}f?0a%X^(zly zgmw7P2dyvN>Ad5Cr=t&j_UWIm#$(xu0HBen^o>#Ua5!bHgoj(gmf4nSOPl2}Qx9kw z2R3j+UXiT^D&7_LYK%maZ3X{OG@COGVzsfwNI+#!l0SbLjuW}e97nNZmSc~DcT^Z$ zL8D}F8(eyNcy4GIuZqR-RD!R%%pH`@4(uqgnC)E9z9V6_0$LOHTzVu9q*+iv zI^k8|o7ms{B`aStK_~+;U zevn$2i@+}(2jjA#coamx4Np#^CZ>~K3X>zwx8mPQ;O)VL_+XmTZV*y34EA7rLV`Ma zhut3xrl%u5F5Zu;gFH!0@CR*3=gtK2yDw}qXQF?USt->in^X9dlpg%saAE=ramUAt z2?>KIfislZ&AEJP8_F+J=Zb*tJKH-jLGU|@te2iq8Hj1CryqyBmZDhbuyl~2o`2+G zZvq7TivUG$URAVg+q4^YtXq5Imp4V9!5P1OVNA`|=-a(`<_*KDIwoFwG`dSTuD34O zyQqJ&XL$O3kF<9hb}HYx>Cr z4NuSc2s(rQKOXcEL6?E+wWeF52Yv9X&k2IWbFUHr!ycZO#El(8-cQlfcXd|%%w`(U zu|#jDt4?2bR#X}|EXsIaMbwbvJXLrkcPy7g4KFAoSW_zWknP>NG40f2kG*RJjkCxJ ze1oC)GNYSAr?R+3r%qj$j}0#+e=+7}&y-Gs6`e!fPSt#&4C)`{x5Ag-d~r1QHvMu} zKGt{7W6=5wAf<BxbJ?U&o0JVkaJE*A&vdD{bg8&>4dBv+U2}#9GKU*+e~u9w^KyO$mwgPE zGzfC8*GfrY(4=)=;+TS2kV@59Iz?|9p*|`I@?45^lB%Ma>NjR6q@{za&;M@P)eCP} zdHc4;X!M!dspDSjxa%-of}ZG^&V6SO-4^}k;k(!GSiNvb_2dbWwUu)_7G700_aGMT zKk@9IUlvZMi}7jne@6aFJoWHrSa>>m_>F&VizLq*xgnm~cl}pKBYb-FZ$R_Du#x@} zj88yb{x44tq3;pHxlw-S7XI;QWXl%%%$@yTb5mgjIh6ezp+EVkCld^K3|Na-Ar9^c z{{!*32~VQIs6NJhMa96{S`ch2ILCb#|Lzg~cHVi(@8xmae|Efw{${0cw~&hxaU8oZ z=6;D9h)pMekpLd5H{=+<#H{b#x)l)sDx9!w8@F{GXud z(gga;r844KT`fYp)1G)?sio*goma=YeW>l#6ZHPOjfbDQ@M+(2{pZ>XK%*kmXRXt# zc6@XuD$zf2f8o>fbM^F>H?^0HyhH<)NFk8+6KJXC3A9*v3mu2=n?gnZ3BJAmZ;(HU zZ$~S6gl59MPN+b8pnM73m%zOmzlHK4pFURy?X<&lzlX9)fY-pc3d$zIv+Z!-4Ea~k zG(0m7?v3!wez=c<@-nEqR(lghtbRL+KzamEe?RdFe^kPw{yzLxzmESO)DL+N@=t*o z^8|XDJkh@w>bAi5F8Hp8FYWJUXt#oI$2kDsqA5gg!85eYxzPV2XrqdbjkW=0FTnRD znCI1`u>VemwG#9LDnd=Lngpa>4)v_a9#RggK!-Dr`zu{<_6w3eW$hWB^(;kUf{Y|Z zg*h~re+*Y)9(l+X6&3)#TZJ_!o*Y(TE#UBd71p8Q$gIL5+R2SnVUwBXUR2i?VUzXb zz*-BoQz}f5#`Y@}=1_+1BNgV6(H^4>0br8~YmnLQRbed}VNX|K9r9S;QehF5+ACGq zq$T$Ipk)kVi6FCYzY6on=X;vL0?U6(g=zk8e;KTy`0;(K!hjRs1qN$rew-pu#l2guxpDr(nGT03Hx~y3$%p*tELI%_0 ze`DsXRVobaXDMT|v;4g(O!FULuww`g9Yb*FWc6QAVOswk2D@1P|EMs{|DM5K+D^7X zg`u5nH-qD7{p=hShWgp#7@RPq--IFkCb4!FsxWP*mcc2kosB9?+u6b3OqTzU3e)^U z49;flysN^ro!?VfHw6E>A^6t~nU`+Jf4q!C>KljDHx5}BV{Ba>2e~^EC}0FC0BAm1 zf$HI2j+#L-G@}mGiCS1r38dNprq>$CkFXlUpkxASgpUk9O9U;4=Q~h4OVz`@9%`?J zYaOd;g3lC4ErZ;8v<`A+vDTZQ-&j90p!H5@dkwTBL%Yq;W(3s&TnjM$CFQn(e}3eF z(GN$t0HqD23Q!gsLk+ah0(E8Rw+8y5ZPcPwYTap&S^>GVbPbHLePB)$4-qzx#{W2; z28Ih6O@#C^D51GE3=@~mQE8`HovF-vSp%iDY`!$r0Bx^>XWLlL8mLvrFegKPY(1vJ zm?(B4?71d}+p+BVdRC(zt%g1+f2Ql$RaQq7t1GkocF1XCzcn3O!NGaZ@(vh91fFaM zEKFcE>5Qhbe5Jk1DPzo#ddjLVhZ!`o*~phXDTBvY&Y(7UJ~r=+OP(KEzk=bQePEmg zFebXTgY~m78`=Cz+KOSmhT)M?Z5_iB9oZ_jA`Sm1ivMZNLF%2v>aK&je@%b~O5Y9e ziKuIx%`nsq>l9%#n#oF5Kn}%MJEPgztgkj!5@BPX2iHNGpfehQ3ejkw^q*pr(!&}U zOAEt^LI(|Oyd8|L7cttC85TMjttqt8F+lIJ+BC13aUG>fI;MIyzB*Q~MdgbuhTkUE zPYWBh@@%czO1+w{VQsgte;KTXS{Kgnqrm_yb;dXQ&+ zik%jgu7hW4A)Tf250z0$zgYwQTs{M3#nv%A)iR0lb2wY4&NRYgO(T;kG3j?X?&&j) z3<^U1j7xa<=UZ3C@PEbaPze#E&o(9#V)PcH@t>PPtoNUeYwQpjf1oo_=F-9Xi*ZjI zlPaBzewqPGO-z#3{KuIpv{!QpRVfm&S-mQ=QeY~ZTT}^6M;lwsSUa>P)dT;HMioug zq^`_h>oE?As90`eIwQh(xIAh7F(Gb2G^-{@KZ^DIVS{} z#-sBb1Gah&D;dW6e^|xjc|BXz8pxv!L-f6t5<)h-ELy+ zu%7c8$R}1ywI@v;TzJO%4>Rujr{~LPlF*uusM{m&;0I0Klsk012_C~~ICRk4Qo}cd zzYlSaoqxFOz{$Rwzc0CP!J${f_cqx2p@yD>1TTE@Qb&e{&Dk5pWCmhXWe<4nVdQOe)Q9=EIoxQ^J3{s%~FAA=5b=KVZ~-)z0Kr?M99ZIdOXJ)$6;HH}0&EP^A3* z*vnaW2}5)xjX8dmX-9v2s9wEHFK z?Doz}&Yk`wV&*S*QcoPO)con6;SZ-|9!`1@IDAO+Mx9nUTYq_Keb-5ST#bCESJ1d7 zsiT@VZQ4C=%hRXzs~LQ=sEplVQIYA@Hs|UwsO9$bzNsraY>HpM`G0Fp&AwmhVT~=m z9TJ;IEWUcS+Qdf$#=Oy$vwY} zFYW(r(@q1gC0(8#xZrc&S_?y$P9FS8iB2iGdpUdbxW6ntJR<&9Qb?0gThA!EA`dyX zKYYTreGS$%DD)wIyHD+w-|ak?60y70v|>Z*Rjk-2F7RMIF87{68Jm-jrVDD% zJaKa&BXY`~GM~1sH<4T1>z>c1xR8!xmfX+!pA@s$@A;24T-|(!ZCD$z@!L{)%jA8# zVN&4Kee)+SXf`LTOj6mh3riPVv*zS%*SYNn+v^J#=Ic{uXMz2G<;&OTo|u@maKgr^ z8>Vc}xDXmUK~AZ0YWdo7Yf{_XnO?YkR9MM}gSu>Au(bExrQHukua$e9F0(hePt>Kt z16QnB8{O%z0)fX)kE(VsqD|C+r&ZGX?ouC4i|cZI%nu`m#SZUOq)T#8(}X^GUVNIh zHnLUv&T^T}1{D7F2iE?fTH zhxF^`4(%M!`R0Pk>m!?VYgm1HjZQOr`#SqKZC!VHWc97(e`<n1+Gm6?CbNdD}K@Z*kpMbi$ADc9ghbi1#XwHAusO^Pd~-I@7`cB$ZZjX#`O z*RPlBb7kJxsLNG8X?(J5+J9-oADwvcXJG1#x<#HZXgvSt>HT`eUA{DUf1c=u8z%B2 zhGgBoeX2ubW}d{+MMI>4Q-a%bqrRJ7<9g{TgL)U#|LtEOq)x|&*wd+S7WKKJL^?x)w^>Uru|?eiIDVlqefDp{q( zl~G-vgcN#rCEu8hDbt$hHM(!_-`?4FT?eUOnTb+p+k4+$%00UGvhOpifwA-3ls(m3_Uq9xXz}8f+pqdJum9VkT)nFnX;;a= zhW{LGQ1h5|zfb#deXo!_8y212)^WM-%9S0zIMR3e53Lqt_WWhp>VR$A8b2%1>-v^9 zdjHL1E-ji@R^C4~WK*Wk*c*O5+SJZlc!!YaJRW(pMDaaGZ#6CZYWCV6d-_P-d-(Z{ ztL9hfr-%;c27J1>N$;NVwZi;{cdigIyn3Du^UGz14OLere)jUGo3n!YgiRm(W&CZQ zDqHw_t2?xh8`1uk4F9>!Pjt&8#Fpu@q)5Pa?$^)yxFYoO)%&hldw0?&ACgri5vBYEtl_B$rZHk%B;-eZ=z1boZK@ZZA9L?=N2WzKCgOg*8Lg#rK6+% zZ`9CHDc{L|ZR@wS^sQMR`bES&+`av5++TbDy{refI@hGwj6u^e`kDoW^>HjEAL|Tv%zncK0C6oRfW{|7wo{cNxFFdk_CNq+gE{iFbeVTOZxv(&X#) z%U-?xZJXlj9!#&etWLvmq5H$$EZ-RyKdQ&eP5tw9o#R__-Kj@S&W|78@!nc>{rXDX z|Jpow$dTZq3&-8Rm#6A~%W94LyV1dVMXEMh{&Lx?=UI{c<9FDv;!~WcD#(5IHT76$92EUcXi^pxZ<}OeEZv&qV*fs zOU?Uxv6Gw6Ey)^~^r`cgUe9(s-1B79$OE+;nai)$DmI|brJ`90D^C<3Kk;dSY5Bq) zC61V!zH(6&wfx`PkDt5V`?|L0$p7*$(u)pAx{+~X(3FVjN22$?Yx-;5F>gkFSNGD7 z-TXf*H|1vW{YU-3{e1VdJio5owQ%?EqoIRJ=~XeGPuw`|Zw=k&ohb*Dooo>y)3ZAsDa1iy!6M^&zQ za><4la|gYNpPJUb^I?7H;~H%{98T%b_UoWG-CjZ#xj%k+)jtQ^tdTMH%J==m&g0sSx$?U7<^2O{?i_u7 zT&K*r%qz7HRF;Pjizt}G(qydfu?dLKJqr7YgR!=RacL% zf-9GFs@}H>bKWUbT{jyB`@6by#tUeTT-Uw|mh(7O$+dY*jnes@Dtqm(wQK$S>}LlX zx#B7Z&t>lt9`X%xq*SUBTr^j1r|KGzUaAE9yZ%MLfRu!QVE^2uoGN>>kUi8U3tSG9 zF8)%m|GYCn<#UC*N`(fm3vjCJ?KbNhZSGgwOmDQQDtn{N&-E@exOi^7dAK}(V0q)E zwiGoiSQ}cysj+9>*kB?jb8^mbtS1JWpDT2=YVm1R*Wo?EUzKudIkNON(ggP-jNz_2 zX~8lZZhz+>oMmVdW7PF{QMNR~U4Nzp&xO1cSBo-Sv}?xR;J2>5`+|$Q)-4JwS}^<7 zN6ob?;YT<}TvYvR_b?6{oBCl0aYAeSfYflZdO<)!;1wPK`ZqXOV~ImXJvv zn!@B!(pBwRa52VUtFA%SQo3IYei9Po)UxNy%bX(S%*y*jUe1G*3is2^&jMU){kck| z6g>UtmKE=Pk5b`yLlmwGrMa4U6izVoqJYA&rz=}Wx<)P!tZ2MP$q4*hhf8x47&lx0 z4DxlQd|#uPTNp2w1s3)32t(l{;; zUGNER8q;H-qm!W!4qjpk+!}uy0&(!N!H0v#)0PJA^w1fr3Odb=3!I_H4uNyG6L`$;OSS8-0cO~SZRkY$R5Dy2JptGF_2)q`q>%}ZF)L37PYlQG`a<#}SR4+FEx=#-g;w-)>GN-c2Y8?hYlq(a8poB=&r4?&l93vZr5Ja8F1U-HgZ{MrDaHqPE5ZpdJFJyF=M{*A%$>WkoCn+d!=y zk&YbgR5;g7f6mvHR)PB*D|w~@CquiuTHRvspuRB)JqJ2um3Nh|$W_b5xf)gE%Ii)y zGwij-Fo~BOPSF_C^I3K{-IFsu3%tXLhfOiG`=f|=ObyYvKjMi~w2 zY?=V}lIS)Pp8mj~c?r;$=yd8i9Ao`Nx7l+yK<8r4rfM87gF$z^;Q1a5swSnz))7^c z5@P*D^AfOUip@(PE3kUHX$Ig{a@$qU0GdO>PWDO&+)KP^hECog2_}(aJ4#r_9C{I- z&GZt?sA)VXpro6%8eT>+Yc)2sWY%h!NC{HN82}8r&8M4TD6j;kyx+r=N^XbZ`5p|q z&8z3L=+Gszmcyh;ib?5U)Frp4@H7B}s%eIJ-B~j40<@LPy8t^zG6E-$4#7FdZV%?} zPvvz0rZvN0ve~d;{blnKU~t*(p*$VP_-xVvFe94{5%fbg?*cFR;{Sut1DuuFVZjk$i0m*i zEGBUvh&&GkqCY>c$I3imU-7h4+k$C2RhG5*29qggT7 z2vuNRndpEiGBf`s4F7b>gpT6`Rl_!>bWXO7IUTTRV8zy4 z7~1NpZN{9+vHfi(L}OSrE5>muZ=bO$GDRnCC1zpG7}^>kmm&Y08LKkec4k7@YK}## zW(5L_j(^xb^+G>^wyXCL(bjGS6D(t0sYh%rbUVv`^SF(OYB9UEA&PB@N(tl+|_fn{f7}+w2z#*h! zIka_PL9nkXf=TQCL3pbYQ^gdX7i9a4!6F@4)37?WfmQpAVXv)p7+Ax9v@K#g-8N%U zpfiSgN-WN`&R7)fGZtksV@ysoe%penErNJa%9gb5H8;Gdk{Pqc8VgNKje$uV3&2bm zqJGUNNSH82CorE&Fp=fJCQKKYwi9u&#zt;wB4n78>B9nw}~5rhdt zrhtr1L1=0MF$n5DHm(tESUW@(ft=cAT4a$$hNM4zFtn95P*&{2pz(x5XDqg8Sa}7 ztbn0xEWannay@AeW5}#S_Rh%RNrA|-={@AUVw55*lhjn1#o;E5@uafAl3-Nd5mX_d z;~m`yp~|z&!`fIiQd$cJjY6VmrDIw~nZdL<*MO>AiQ4wBG@j*|)^0T;c_o-68C~c$ z@uND$vVAi-;w1ZSLd3_i2P+){S|TPE+)<@w{dtr+$8Yee6K0QgC>gaV>mU*~kBv^H z+nrZ24uw$QN%k}eZgL?m051D!2|0Vc4#jQ#QY4S+WBvkqxo!7x_JVt=x?7BDB(Twn^T z!4Nu?NHrfgWR>J661FWhi130B*#V>R z3h0oXng`}M_;_B^?Vvzs3_^+cGS(OiKs$slBML8}fS#>)*{UPqw^L;&j$U14pq)cS zqg$M%LR3E#)U8+*#4JH%E*uI-1QR$C@#!2wc8-;{&5ae95o$*m#!94wj<>gk_aWA&_oqcskJdMjVh)lYPNwHGt|E^ zj2cd0?2N`5!)OYk4mvO}8-p5~A7Ky}iT;r`Xs8ZRI!(!8Mt27#Ak>IxkRd|(t;WZ| zNG^}`5~jkca0bTefTpDbrcj&0bGoKr@7M@cl8eBR$#B(HI)+iEc~OyxWY88-7?}t# z$Q9*vGlv3F2VyLcL?Rp@46?+MWOGKc`4Ku=>)~-I;4~?)&gygwqyaK81g~^ps0UF( zMu+m2RWhQic#1+^O^2|MUlS>avaYHmF#;iyZnB=DtkKNPsLhZ#gq2)GDnVF6xKt~H ztkz(dY9@crUc_0d2%BUhbXub)WGt-CLFgDpb`GPHNho2|b<9#=<6~e}`m+uU%s8Yq z>jyC7gu;s1LOx?6Hl{H*;yrm>{M2Cw$iT=1NKnG^J4ADY4j2i186B*djgICPpp!iH z63pK#et_&e$1*!$Bzj_vb8=8m#Y={%AdwFb4C$e@KP1kuttJfPBiqCoF40U@LSqNE zW@enQNYqw<4&jT9j+TvB6_M8SSgB3WsRje21rtU{GjUh|I4rBvF))%3BOAuCFr@?L zi5I-_A0yOkGXX}jZqRAQ;k`vNz(^Rz=&%8ZWFQ4=IhEz`0I9+`5lE4!m2EoX^vg0Z z%4F>30l^e%1MurZVFd`Cf{_D=R)diJnow(pz+7YMalX23j>y1B;DvYy6*;2Dz*M>~ zfT8k4M#8jh8paZPw|1Hq3-JNTtuQbg9F>KNsv(_@3a?Btln2?B5Q`iU(p%jYTP%$Q zMU)UFXgU+z%OceX))snaZL2`JVFD}zGmM>qnVC_LKg;Yal!7!G03mKN5IR7llmRM* zhP}9QfGtIs0VcC!YxXBQSO=jj(C`A7aXxNl5SUELYOJkFVrv501yX6UV+gv8Kp+w;Ga^h1G1O>@(8a4#RCLLdxx$nzM{bwx`n=xRb`mfHNr3>5TTY22@eh{2}{5{)g-S! z$8}2t2t;TMqlQ3+c2YqyjO0-059Xf;949Eo<;NUZ=rBJLPRWQvku?gup^{(n2r;u{Ep%GcC^czn)A1bSTIY2Ay!UbeR}NGD)03VC6hx zWczb~IMF9kU>K?9F*kppu>K(YP6y6R9`t4@m*L+m@pY2oDet<(Pu(K~TFOlELv2je1eM{0K9^sAp6V zqIx5pY=6!`YO8>uPr@MX$B@Dd21X^2XgWKkBq0_%e3WREq=S%N`Cx}nwsptpvMthK z7+n%n#uchFz*Op)a0P_Lc2z9m2Z(C~))^yYz!l+K&2jEUx44Q-fjR<)(QS`wJRIk0 zg)VI74qu_XL`DQgofzmO8)rBNWY7uA)Pf4YK;v6}GfNc;7;SFtTyY_?rB=40iuepP7pqVrX;Zo^7LMlwn81pOC%i?Sn zxe8&1nIbFGIy=Or8K8ktzlj5TXB&6wksW2MiNgjmECG0=1xWBmu3+R zqd^WZgkhvZdp!ZnAlR_escHh0(%h^I-1z^E4i9bzd;hhryOTO3nR-GW|HDWKNM z%9ON2)F?lKd5yFuRY8?kB?qmn@{8+sv_HjmML@*~D1rxTssIokhc?DxHC<2Cp>5*F zOl1oLCXSh_)N3ZrB8!;pV=45gu_NF!(vY9vHqxe5Y*>maOHM0JMs zNA6&uEiRkpFld}LFp!=J0mHRkqUj8y3I|Lf1ykHHVAG=vBB~-1)Ogr`8Udg~o3?7~ zjM~;1ghW|EXI%F;8!2FLFoYS%%1DTq{Jr957a-}3aix+vC){l@ehA1q2#;G+bPyaZ z&}Bk+ZjJ`n_(&;H0k;i|D+jjO@tU1MB#^e*A$=xW7IkFXbtYg4cfEVj9bLkDpf*5f zA~YHk@u3b%~T7PK;qx?T;}k7(KhI!(~&dSZoX;EBe@53pDygR@kdF5qIJ zok7$)>Ev)1HTx*g8~-8Yw?((WZ22=V!74&X3qqxFKf`D#1&2Shiman3jlhmd0hrC| zsfuXZiZHC%iMw|jBe3{LTV&*9iH%@`#D5w{--tVn|QHaH5Q#=%NDyBT)|M z;3DjUQ0K(x5Ec@qjpKW3{Kt;6X;y;^DY%9}8zXkH=5aWTfC08i3z$tZ_#xdKBjt|& zSYvuY8yL&v2-oadiB(w{9m0Gbi0*lE1P?NQKKzJNPR}@1KM%NB>3OQ|O zH!g8-L6|`VV$&Js7GW2d|IfDbC<@?5I*6zlMlQNBI_m8Vj7kf!i`CoVavs{6t!*Rb zDgh$!v<(c)O12InDGY6$9q#bpLXm4qKdwA}7T@Vw*N-b39~K(M*H^iQ;x{Ou@L{Y# z-cSi^7|Mle@;AJ*K^;e}|1U`-`)R$1m=QhMPqVQfaT_?OYvLf|2i#bIiT`{mR}O6v H=JWpmfNhvR diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804.pdf b/docs/MCUXpresso SDK API Reference Manual_LPC804.pdf index b20c488d525c2eb5458c0d11b3b5dcf14b1d4c84..c2c530d1763d158ba236bca4b09e8e3677591c74 100644 GIT binary patch literal 2503619 zcmd442{=|=7dK7`Nr*@xca$N;Jr5ccl2C>sO2$MoD?$kwl8Qzt2}!eLD2+-gN;IOR zQW+|W(4hL(KKJdZ96h)9d(QX2{$1DmdXC{(*4}$fzu#JW!UBE6$rNpd*o4Xl*Q>?o zBr?g{$wO@FRFaM*NoS6?l{ZO8pJc~?&s&gm4BZ2klBQ1=bM z=*pSr{qy)S?};8)W;#?vm3$7*kdi69Roc_DD^P0qg*;Z_;l`fYDP?Dd6rUU}|1P}v z{`E_eH{Xkk?hv}eQ5zbQE^fFz$zh2|`I&ZYNyDucgD4Nm#&7f1Te0Oxc!|-gxnf_0 zpI!A`8gS@@g!%2jF%{?AuQAOh*#tE#(!5sl{cVeDPw4qQE@zsi9T?<0tGUNXdPQcJ zc5=egoyy)<6Gu`@FFbX)`Y>%Q_3#L{7e!+pY%b4ee|cn!y`Z&`NwaF$qzQBMj&vjm z&)vqFGH4yEVAaaDMu)H$+rkoSR_Ra5OE@9+){eHj)wb^ITaQl*M;b55PW(QnXzT7H z8*F?cXDQ65E}JYYWVcQ(Fu!@z>^l9-t6j^=X0IEY?jmlf8XjBOv@YqJz{bj1-J52( zt`8_;(Vspzci?M##FtTfCq&Al<=`omw=ihAxXUP}V-@V2=;kV>GuzuMz|||j zpM+M?S7ep%qE$xe=blRaIR2Tb+>>9u`amTU698~rtAfvcQgclEwtuGeyJ zeRpS&5!klgSkLoBsGCepAKNsbV??K>>3t17O>d z(32w>`!HJ%{WfPu_<#7Dr^CO0wmpSP=DXkbX}zLW(ir4CI?d{e%g94oP3mJbGDkI7 zj(jEIVyCggf3!xBps$>Dz@!CNW{%rfu3v0@aDiaogk?l9V?D2J=U#_is0WivB#+h%D|TAVjU zGoBWhIC+(dq{;1&M>;+uy@p@7dO6?BPN+%q$uy}@^PzFOds6M4%^IeUbl6@SU#wy4 zSeoYMq&zygw$!t_J-Uxglwv7b}gwjd?-#_XYsng#UJhOW$14qx=n-u#3`y+&$G z(5=%-H!>Q|-mUOeFMeeFSgm7bVZ*oX>1*_#MDN*9Do`19d~xlp&lRcNBYkbWnht3V z50MI;)KlnF_U*OK+SQ6rEx!uVX4YNr=g9tUTJcAg@_%(?`Tx|f^F~4bp!dlB3J7c# z+maY`5{p97Ce!F7uRu@FrN5n0FsCfxe0JOC>AlKBiqgWHbXjtMB%;xSzw-v!M<(oDhdfZpd_8StH5_*KY+do43@K{ zYXHd(eyP487#Y`~0MhT0GSCZq|2|$UL!ok*1C3?g@3XV6H6D4R{>#YVD3KfImW}1; zEp?CgN%~ZMW^IwpajBJyhD_RByY3rpZuGHOtExps$|d8Xr)~f6^UkF`$qj5znYNCz z5f|@{?W&l#Oly#T<|>5{;komD=9_QPcw~R?l%h!38%Ffb%Z-gcWv#c&-}ym9FIwaM z_1$0Qj6c++S{fhn?8eaOOE+qUJ><^vh(Z`K|i^?1h;*X{IVlR0&7+wY$6W<(t8a{2ak@;vVYx6*VW@h7?B zgweVD39F~Jtts@=cr3Wad5)G`R3|6VIWCV(6L>J+?DVq{tTB$pj~z25O7AzP?VB^K zJ*1gE+Lg6N?NQ*wp?8%^k6n`v*FF2TI$T^v+`WB;%W=*bPcJ>G7sFUyod>4SjpL3R z{xn?o_QLoTw-W5P+>YVB3S(>>oZcimMt<1ekkB?UHWN4JtD3Jb5$0c-0d>Ntqu- zOPYnxsSP`4*c@2&N^I}_wsSuBMKX=|bWT*v{2DVQ!gSUnX8De?ER6MARHQj1cuawA)m%l9Mz{TIr!+;KSbq4~iYRsS zyruiU>@XXt-=%YExYOCrthL^?Qo~l=K02;5dYPHc6N8!Zv#*AqA40jj>t0;tu8oa* z7P=kUUR3Yd7QMxAt$B&yX#w5KPsDm-R&Iw=ipcGjJKqI*_ZPyszYK%$d6 z6sCz@6ce*H>iD8MO8?#6e5rjum8+Bd9!c0r?Gn_88N2KKcBS0gW~NXquVmki$}vuKF`6vrB7N@i%RLivGd0rA8+e@ZR~nKnDSf~-Rs8C#wuHm3r^YMI zZ_8LK`cWar$L8ac>2uA`d_JKR-Y8gmrDJNM`m|%`9zLN=9W9`t*tA{nn||r-ZE=xr z=AMnZ4#*Ld3oJ!v!;Gcx?0+z!cPI0=f5m_ET&noal#jgQxD4QWkas6>xsIU zI<2QP>FVY#ulVg>Q?H!6vr?z%jNLoq?pd`Cw}Y5!s!TPlXrCVkr#%TcdSJpey-Ue& zbDo}X3wy2eqQFvDQY+=hH%Zp@vW|eMtK;uxls?Hd_ZD$gHPN}>o~ie;AZ*2Ik^8NI zzOP>!)Xq)wjB+a%J!PsPcKZ6-XP&Q1YZSU>EH78i!#gkrGTy!Rl^YQCNl7pZ{6|j0 z;N}-P|5H-JK#mGtqvz%24T+8&iNf^)6fDCbQLxO1M8&>~MCCdfD%Z16xlV_0bnaW| z+_%uVZ=rMF0&Wkz1w0=1f%_IZ_btD>FFJbFpX$Y@UUOGrNuag63nc1H8ssuYuI_Ft zAZ4OLK=Sg?fhzp>9%j{w#1U`hGQX3{vWp64ckbZIRBmw;p#$tik zE{|LRsrL_E1WC2WZVj55XT5z$_=;1Hg>x4Q+4i&FkaYhaC}fVGBV;MP0Ryc4fCGkm z<0P&w7&)ZsynytqSr&R08?yCsrYVXowBC62;DUvoL+9516x*P7V93ZP$KFhGq;dv( zNlIVwH0hou>(H67TD?lp@W9!H(oc$Y>jJe-D{X$}t2v<{vP!E$A@02cUEk8kQ=~EA zi2XcIk&LGKTJX6YMGY1*!9s63rcU~FL$a*=)8c-#hPEPCYgpF8%aL^~|H#T9l(YP` zA0X5s1^5NJ{uV3Zb&s9K->Lv6?p`Zl&w2Z~xcXtG2J%uJBb~WAv+d9+AVd0O0c8WI zJJGbsWIBn#W@^KMqOfV&R1TZO;IOqh943619v1ffR;ki}%rQWlqKT?hze)64Aj(U} z{;NbF8JmMdf+(6pVlbK7494FDqV^RCe_sEqKvar0oz5mvX&h}R(=cds$mIV;B3d7b zFpK!-f%%UT(b*hWJSwP&uFZkqok6B(GZ`o~{>!S-`-+720Y>Ppo9fQo$CX z(xAo%p$CnF3uHCF{G*u$+Tf$ruc68i)2vXAt0U$o+5s-&d2- zcQpyO{Zu*`d?X7bV}Wl1oq_qFGy69fze~pKD;eRopGt)wkPI*Y8}=6nMguf}!q4=B zOYJKd;ijJouosyD2cUPGQEA$Y{-d7XHOB5M7~!U$!eMDML17T~(AZ$p$Pl|y`S~P> zCi@CTxb;V-f`WV!Bp!4~`Y9Z+vV21y6ms_!jc^BmKqeO0SG1@!s9aFM5m0ITZ3d-p z7>m^%2sIiM1`5ZJNN^+>pfzyiEH?j*M(OJVuv!SQqM?W%YB^}fkr9}{082|BfZOcr z1hD!Hv7%AI)Pk~L9l?%4ZUSgEhri#X^mPJQ$%t6Vz=d;YU^iHB3LvPZKu`;*319a_ z>FWisG8UntfyIFQ5?f9dRO%>Xu(jj?9D=@H0IRGKDjDp3GAsoJQcE@f-5fBr4E}zT z($@>n3HJg_mNoi@g zDSf>FRxaiV_8-wk76YN0sN||+2%a2VC-1*er3d?O1Sn$I81JoCL<%lU@GweY#`>Ej#K*rlAL{oRS7_H(4zNQREizKR&Va?Z;9yQl2Vo97OBsef6~SU_;*mFxshj~NOP zq3wB2SB2E7F6|jQLeNoWd-`1apI@FQTsY6(sXOfH^^%l|nDUX{EM>I@)j^*H$|i1= z-kxO6bY7lvC@uS%Uey!5TdT(oN?yCb!1DZ8QcCKR+d4ZZ92k5!@zd#OyO;uqh^}BudRsMIB|G(zE`Yk_}*aWyZZ-Q zgpVY3dS(U*A30C$`m5y)rIan| z0o}zP?_CnO^06XJaOp{%1vh7&kr{k~vDD;(&)L0FA75oFc^o#EP8fH@`I_)^tqEmv zk@3dSB`vKNsWIhdO4{nqzQt107IZI^zK2k-=am2y#iwO3!Ew|XYXJoMi_0` zV``Zjuvjy8zpZ^mQR5I#LAxuDbk1Bq%ByB@=)4t!96W*+VM)X z-3JEG8F$_`Yjvj|XY>8;H`ZC|?prQ*c6X}YGu&f*WsuO)ssLjrA;XC`wOl-?H}sJ*e-JU3P#Bh0OFzD6 zXO`RPduJ{lY`ochVe##F(Y;QaN<7@e|c=kVOM53Rj1*JBRl4)jK*56 zbBAlyd@_{rVWaMGL;ClnoXw7wrjc zQNL3(W25YMfm^=En+_k>Cw(@IR~Gi!EvbLu<@wM>Rf8v6QJX*Cjn3+HY?AyrI^@*4 zmlOvtxa%kcJwb;`q&O|;(n23M9yvm-n_J69g?YnyQ= zZ{AfyTlaVi{T-W+`Fua8z>*2`etEt)AkU{!kNsp(@YRn+>-`^I_}(LBsAJf<{0wQR z-|7+Gp-rhb6sEOR#7piiiW}z7*ry%c@H+X1y8D>@K^c!1dvp9uC$dZ(Y|pm2o!ocM z>F3}z?OSB=_zDHX5C3$YePB?oL-+#+rEtMVvHuMS<*_q=0igg6ATI_{6Z*j6qK?2? z!=AXPBP9IT6W8}a^$UCAdOQdnuqW~;~BwwxzfW&-urlj9}1U&3ZLh_kHULjFUs2s^YU?$#0r<-iB0`54kqOBNmC8Q}q4v!xu{Hd28~sNFg2{sF zFiORdh>*H5A&h0Q_-7&1zF8%byWlV}w*(&<6ezL&g*))8KFq`&OKvbgsAzOHFg+087j_q7HGuh` z|Aj*EyJUUOB{w`FRx%d&a0J=2P}z<}qC=&aOzGdk{w`YIlSu)F1i?p>&Ll&*AC;hx z<75KM0UEsi0$u(tTHm9|4dRH^8XdF-WH>4r>=?vebg&{2lku@<0IT#ln-m}g5v?_Z zi$es288lEDICmzCUl|H$8#u`b_W^Y1U!sB`qf)?Z089cM9>6W=U$kF#qi;cxLMGe? z&^Tn+SOBO(1)GUB8W<8XUz7w^9H%qFod6AvCkJY%R2o!0SpbuRgJ<#$8^NXFL?he_ z(BOC?m=Hi45DkEG@a+&0@i_w!pWsCMy>!Us)c(gTfJO%+2GvgN3;>WrV*u8~7bRig zoI2%?b`fGkgX760L$FPU$PBorG_WK9U@!~z<`Hy|Pn@&Y>FSO}tXoM%zs1!-_GHS zlt3DT6OC|JK!p>@1q-3Ai3vsod^>|LR>H#J(%dQqv04K<5`cw3kzx*+OpN z9p7f?&^k8X_-?;jzG3lT1?RyEZVBDrOFtf&AU;EDXOa2^l+kG{TweFxZICdn|LX`s+sj;rBvNe=FJPHYKgAH zoP(aQr(d36IAulXq<5(cTvAllOV-I1o31(T$4ZyHcRMGqsISUf&AKYa zl#UqX_pE0`{s`IlH$&1-s5&KQ1~*Gw`%H<*JY4Wq#JMG;d{m`G^qRu5txvxkdAGDl zR=1^9N_7?=koAP@bhM?>bE8>BsyH!|M*+dS5u{Sr22Ooq~f( z=YFHKF4DBQiTU~R()W(m)#g5^mB`YI-}L69n27Uh$ypCo(mC3Pc9Fxj7YKNa`%!ak zkyy`QnbXN(1=+?{`pUnGmV*-z0fkX`2JMY(N)c#Tf5_03d&`RSB>9QHY91LwN9;ZBJIV< zIM=DU`S!*_v-}6Shnz7TslrZ*3ZFG|oKCfIqV}GR8V!L>5wh$p1s0ZW>FakMo9)q9 za6vOoj9w7=`i5_iSqo|3sKq~qkBI4!mpa9qaZ<|jv{R9)tXDX_gig8>w%PsFma9_p z9QSP9c-q})wv@AwaGbzfrNb#5*)p3aStzxPR(6&+zmh!JC8I7aDvF z^wEwt{i^y%Co*y3vSB5jF1Cs<53mmHO@HVSp|bqppp5;IA59E8ZmJlz1-v}D_<{4= z>=?_N8V6-h*S(x)r=WMc6f?Fk{P1CNXu z$LUJ?*m&%U_xw34cljlYS`NFqsB+$r6C>Yvjv=dPIZt2d?Jn3cs_rf0t#yvvu;aqQ zH4o~IU#wUK*G{nc>3l# z!Ox6@x`nsS-lViZlvG z`9InstFFJ9V63yWP*8=PQ)sRcv!t%{kzY_zc3jmVfA*IbW~#DkJ55e+zaq~H@il#$ zepd6-OZw-=siPzgoUa;Q;eEXx$2S>ov>nL)5&uE@wsR@XEV_7ZM_jwYTJ_ zyX+lH`5G#cY_0l^HN-gh`}ZvQV~40!w_90(A1l5%SNeagc^>}4aFvMk}jov!>g@*2@iYxAo$XRNWwKQ`jX0t@Sgp7;BfEW3WG zR9Sze$8%1)e0259(cf&CR{|9;FVV71iFuJzuTp8#`P8XqU3|W)LV4H%;nB(hHNq<- zaue=^ZuneRP%|i8!mlXHGi7q=N2|Z92h~-T_>57uzI0;J$;(c~pRuZABo67?(jh%gGdHjUYX5a3FFGgm$;k2XN%(FUkk5!?dmPF(qZ_xxO)^?H6nYDr9pmq4Q4)*b}I z|E=rdSNMdBfw46tRxTb0kJ|0X@cMy(@Bl*MGY4#25i1&m<77x`c+eG;pMfUOe@^pD zWw_WJ+vdc|g)lVOjVPm`0ymQhWqS&r5;VZ0c$Hx%k66(lF(soCG_a;AzzszhJd010 zA`%U^(V!69*JAz0Ixmbs0~j84(y)LvI}jKi35H)z!i$0o1X+Pm>4iEFE>HbSee2gg z!&Mug$%|l(F_?f3q24jn#0=IB;qpv&|4CpkrUs?fe)bvR@DKx%dKM%?RA@CNL+uRc z=FoWe@0l|a4ZqI_2Z$I5Qv)xETA@HZAXOt8L;s2D?^?s}G`!RPk4FGu@k}N_9dsyh zf%Xs>PhJ8m?4{ z1#!fR23{S5jjiLi9Q5$IFAr-(10jF22$rh;CLh%9_U~b?hEMP z*cs5$L*l zK(JMV22Wruz%c-=q5VRGvMMyy^H&?r8DL2Yp=zUnH%Eyf#ui|}t^=AKgdzN8!+8S$ znTXaKm=6xLo}(}l{1grGjp+PcD6R(&`o0Jk4Ve$rw~OE*@TD}!3b?a%|2~TV+ip0A zKs;+022rC~tQb6m(rsw=1n8sxjOF*x1J{Vh&3OskZZKC83=~_ZM_hGBLs!m;FtJSeMp6+Wkvo zI5UgYa0rzP%1E%!Q8zt;Y~gG|G6e`JAC>i!tYc**Vnt)3?GC1lOU*^yyTDNISF&F! z!&!f<%tfqRFiiz4YwsQdf+A3j{@scJe%j9_Bi#7Ipaw_gQ2sLS<(JC( z<|y1sAh9X~Mmih9c$5mj=q2b{M=Ztu4aTp7iN{B|#YsX%gJy6#^wU#eQ6b5L?kTXK zeENUD_~9~jZn>0D$yg9%ATkBUD`Jr#Re{X2-|hdq%KF+2ZvB=}!Jr!)ss?Z_p;iTf zACNxygxW}9eGewLe9x1MNT_>&z2W~G)cr@s(hGGnVO+<6p>8|W5{_n3uZxPwF0#FM z>CiTp6)bnLfI?cGL<2+nE4ox*_M*NTQ`3F*{rCfG|$^G za;1}L<77|M$(~E|o^7%9t~itzeR^85^=idX*|TA? z2P+;|O^Ns09P7}0+1chbYm2Ua{`c`8?oF|E%Xlo;?4Fo;DS0zqZH+sl{`FaR?O>DLw>);cLo4a+Q&iRUj{9s+zdvE^boX0o z(azoN)6!U7c}_EJl!5oBuURA%Uc;FjvsrAf%I)jA33+M04-zkYZ+Nt3KS^XgMaNL! zadL=V&G-l6ZpPDDY{6sJPZSgcoC^$XvO@+hf7g8UqO5n6YQ_7c!UsRijXfJ)Hq=NL zc#Yf}UDd3zI(MdvmRCXCyx5?rPX%^ZOBkD0Z%$s>C1qB!+^l6?ob0+IjpNNKZj$3h zdF965m%89%dhzDc+ZV_+gU76@Y#2GnD93Nlvb4Pl%_l#d-1=&iP4lQX$rb(^wl1Zu zR5-tJP4!8iiV@ev)5)aHQFb-2=x6mk7(HW6s&tu78;4(%Nf~cyw^{wj;F_`XhnB=e z2Z@be|KLlglBmr4>Ie3lwlt`2G`}F4p{>Lkwl7`9r`;k(`s=adjXw^KydLc;m^jjA z#F{y#n^e>1CHnYfX2MA2mXLpz6O zPw4ZiS!48$Nn;kZ+Yips5P3fJ&5yQ6 zl8sNUt(rAr`SABbSvyO8^_O&9*?Y5+h&X!Sc%7*Q~JXmshA?f4c zY3IW<#AMf8Yn|!nm7aM$)YGx6(|N7nbGjHzcAI<8jP6-KV-lqv2{vSkE1XC9=eO2XEo_cLg*|fWo;pLS<`f#mz zEM?nsqY;Ci3$VAYaCM$rpugjzbDEw{k=4>%N-`(JM^IwO*`O~HuJulm=QX<6%c5Gt zTHcQh8zL$6scfzD{kJ|VwSwLmb*ipjJR?cAt#kH_8i~QXMCWBsAEKqh(o19C%l+a| zp^Jp{EsIb$Wz2%b0bJI?wT*SigLCzQ*YJqwMc>Tyjl0J1HXb{9~;U z)J1=j9GSJ1etn#wLni0Fb?DGj9_yPXWTaH5)aw@uSuDSDr(<3+!zkKDwLw=bV&2UO zgXQl^i&rViua@0>=6Jxx%g5{M%qul0Njvn?gaYVrJ4~~#-sXGGP0Jo-)&J-r2{>d4 z+zTs7j#%Nf>-eMPw+_9ES#0nvIOo{D{itvLpm3%L$tx_*&b`FC{I2Pi=S34=dp+lT zeBq=fp6sApA|Chiz#3(vw`z+5b5{Fbom|e|75U+k-^S~1S6X*po?bg%)Ma>-`J5M? z>pPychFu*ST)%Lp;G@&6wQKUt)mH3`qc07XJ>)GER&W0L#r^Xe#tVx( ziboHY&1N_}HYL4&{Ju!@v-zgg3tx-J2Ry#xbnQ;n^G_Z@UfOGwr(gX3-Lt2$rum!i z`VYRxj*fOTvaA+w)ew|SRMRGP6)EnjGW^*6b=>j?J=XJfraE@L)+$hY)3C;H9JIHG ztiFF+nw>Cu(8}tsXII~}eg6?_Zy%Gdk<5D0(h=ypE0Wbwr?GF+)A5@cQ}RsMCr@5m zx_U;~r8`^K?YSHOBA`0tz_NYz-5v28y6=>Gi`6+v-kGPqgss-}c{a>MV=qQ3;Zh=q` z?ni8Z4m@M?YS2e61P!ql_v_q2;gD8xA#xZa$NM}Nf(9}_@0s^L-ryHtHS<2tdmogG zu;0t%Lhh)En)}RqAF%GZ&%F0RG|zqJLgZBF9pgUp-p8W^Pqo3i5IeG=bkXYs@_ZmYLtD5rSKPlLWva&IIq}{MX0^NHf7QgVBCL2 z^p{+HD;wMmPOMx&NoS#C7%1s5T9S#_^Q``p;ofWj!t#DJhPEJ~k}+To9~odRtP2PV z-AsT7=#2hF`=v5mn$pj>{Qn9kLSjOJ{f#}7Kdu!bgu6tC4F+=-dka+zKzd-z zT)(UNOJ%sK3+CJi-DPx`&cQ%!7*I$EOZ8V(Z5`}@VnOV$CGd`K!@XrCWd2V90(r)!UiwEcRlf^fbgOy9grLv8qSI= z8*~oR0kne)EclAn7vO<%7tyYh1_zRj>a`GbumOCcLz$G{WJI>?n2;GOh%&N7!q)a0^wQ%jGv8o2s~_nhHOc{hv1iw!XE*Y*bu8S z=-fgR$0z`)Ghhk@4OP4Ol#`){74M_qWD_hJ6-~m%nguD~&jGGRqp(^0d=%h`eX|rS zog`Fi7#kUIPDI=QFAqE>0DkxeLx4EqL?hf0AheE!ddLw|4)yh-S=f9AN}&bC`6%KE z(`Xm~4I2NjNhiqcf+69<#6gnbd=&ANX@rZhQ13Y07{o;6Of(9c!_PonNj38CTz}h80VQ6%7s~8m5Ej2iTYx@a%x$^=s6Ag+st*#)*b6 zlKsbQ1;ObsFc@8i1NRWaj)Pg)e;E(+yJR>YMLcU7%{1r0m><+P4uu31O2Urgt24Zd z!irpkt|tYO0!05sB@Wa{i^gH|0oKv^#7!%pVs$rSMT0qls5FP>aX}k2q*So5l>X~N zzb`0mS^;X=C)iKH<Qy3o-$P2jTfxpD(y zH+%^6I~n=^?}$2t#=k9YFQN_;T?US*FZQoBl0u03+9;9vS&a{-N?*|*x_d$*JH_;f z=%Nc&jvxHq?YjJ3LX<3}@?!X=Q|knGN=?)Kak;rUb+-4c%Y!RA^JeZ}8Kc{I^-0c$ zH%sP;gv)Ct%dA_I=|f*}_5HICWnV1BU;gkUkKI|ZC-eHFJv%N%w<)P<2;Lg?v*CfM zg!sJYaw2COFR;@W3>&t7)D^i|N;b8xw5tqtzo%SPXcOMoa@NN;C&-~h<6MZue(6f5 zHr;2OxH|?5W+nJ&jIgMkzgx`BY5ix%!^@V9TwXA2ip;&GyH=NqJNhl$J5S!RKtuRw z^`!OL26{FU=ZnpfA0<625bA1Q;{48gp3Hg+u|u>469eas4PLTt(b%8jAG}^IzupqK z?86ejL9vfkvGiFDG4WCwXK!9Rv7oirepA_I^#Kp@+wCRU8Iudoc0ry zERKEUH8v<#c5I`b>e0NEJFB&heocM-N@LL;sgf0=76%I+SFBW$*}EYBh}fa2`&(Zb zuX$Me#H()NDcL-Q{A}BAO$$BDKcBOVw|&28^3qUk)tRsTW$K4*|1hp*!E8Av_C*h? zERQ1B8Tt>?JPH7`}m4EYio_vAZO9WcP=V5n~vA1>PuTqJ#LrdRddqL zq+pKNvqeXDZZ5h$VI;jp%}q;WX3FN_GY3t~w~O`JJmRr@%rQ$r^&%aU%fP&qVfR^ozpwr3lqV|`4u zmlSDfmtR`;LvhG6&_i3BT)eJeEmhHkjCDgESQF+GFDW)hmS zHf>7}TUOE}XRvRafzb$yPd=L-Ee+8ty#H~fp49%`3JDsajfLks*Hj(Ot#7vtG|6(L zzIPjB+x>CKL&@^+w5K0h7I#QTow#`Vn()e(>U$o(oF!xZbWPY1k@Vadb*-t2S)!A= zCcK(-eunJGYe{m~&p-J*@kgr3rDI#Zb)*E*-%eH^lI54FA-L`42)`ZYcSh|{a`pEr z{BkPXNg^`$trL60!t*X78a}c! zUE58oek}3+;Iqve7!#L{@0PtQyYsy71oe9l&rOj(?MtpIyS8M0X~z@I<=eLvJ>F(& zaoAWm_D=fPi-{-R>B+Tum3Fwl6m@#5?z2I1e9NK99~}M4ByTSK))G3s)^=>uxLbmA zg-B+xmX}VtvL-k%j#ujx`&w-`_1fzhf875JOL5D$W_q|<+4VdD+u(JNeQyqR*E{R< zGv&<4oN76n#_epg8=E|4F)Es8Yv~luxVdzacp&xOt7-0`@p&Fo-_`AOOE}BgA9%Pr zXSQvsO~vz33ad3G+%9_At$Of{xp~^pLDM9tQDfas_!!KOsa<}gsQ8n{qwoGia9>DxnO_9{i+=MQ6KTrW;vISL z`%SNvFUBj5Z(L(`l``W%l)nXu8DFS&;-rYwhAI*1k2kAC>ccj?XbJhbKkl}N{rPxn zwRK;N_iLU1cF{Fb;qjL>!IcTB%}!~bDu;@V3TX>?s%bybX-PxQb?LdfoQ;oM)kmKh zUBZg|5fs2^yIZ=w`Jkge$@8G+{V|@4igp)nzg|AJp*i3J)#Cd$&mB|jb6?!3Z+Mkv zzMfH&RikhxZ9CG&u8|0Wu6R~zEEm-O0xLRcTd?N*M1D9j(uT@Zx*NZQ?}`Y zXmfqbABZ*$D?|O~W^uR-4!jmzFU^}-4%G_YGZ#rl*(LYuT;vxf!0?_?goS>Ni~Ir; zp8MQ;PxU_+RrB5lMI`L|0g2&$?xp&@=CSJS3jRL*n70K<>};ka+06<2`ecb7;xtJ@ekjyM_h240xaC{k<@*9Fv=e z#Q%YC6W`AD&k%RuNV1@aG>{?gD1z!6+Hsea(8S@NA?`4}ng(T&f%K0f(fU?uxI2wl z(I9O`L)_8bi?GiIFvJ}R*0)ZBM#d5yrSpck!}YN+;}5#D2Qb7PiPpDJ!#$0}>I@2Q z960ne=%QtVO<_WYL#O?FH3W&)w~~qmn-i@yXe^^cc1Z)u0vm8ECMwu){=FK4MC)6q z;o2-hwZ?$HGBTJrOlv@vVZs=2Xp!zW@#@WVNNaoCB^vy;_sG)#2b777!Md54fkb0>phHHW$`}C86J#3BehnSaA5e0}9R`K-5C8KcIsF zL4+9!%(SKg5JQJ*7l4!e)rNBjSkOtV+5m}RfFpphFu)Rkne$NjBC`jeH=IYn0%2lh z1KtO^6bhA}SzvkTfW^QF8~%F3IRz{@CssBz)g8)w|HuZT)Pdf{S2o;jMcjmeSlOU# zPsI>iIHpj>M?4D{Wy4>#zN}7e5<{qLG(-VJycr--0_z9}ZEyt-e`kuju!u(dRkLtg z5dhv$z2{vEM1uxo>R(XFU$t_aOTZF6Vs(a4H8jQvJSwyhqd){M%Hp$}c=jYW^(0m@ zm_q?m$#{LCsEZtc=6(hHr7&FDf@Q+QiUo)o(4gRO!l8ut9s+5A7x;xmc!nZ3IVV&! zDg@vh$PLg%vv83y%$$dmSci?r;L%dhTzBSQCMEq^O`K=P z>KDYS3|$EUy$A-G2@kodbIDnzzlP77!vzrl_4G8q0HQ*;8hX9ldK4e(5p19zacycou;f~!Y z2{k`5qK1PBfh)86b)LVkCoZ-np4<$#tYT~)814cfJ!lQ8fMf>0e5D`qB;1fg_+CTy z3~K(^{dG{qg*ol$t`ELy!&f}8f;gex0Iqn0x*zHcf~AG336K2OIGjb26e@(0kZhXd}&U+SNNE@}U+bv92Yy=m~=oHb9_cQU8A*Ok=0 zHz{m5Xycpg^r5!WtLyQp&!Ws7)z!sb(g#wa9Q?K=cPCbGbfphpdn-s$i|d{|UoWIEKL6IF@vFSf-mhqWy+i(cPRFILt|%3|4}J>5 z(<07S=BlZ|AFeAAJ+Q^hrdZYSg+-^p#Nwv6s$_ z%>33G(jFKX^673{>R0ok4eRXvI)iJ3MihUVb0qOH#q7A~>8@DTh1Mf>!J74hQw?Wp zjfwJD@91Q@!=O!2YHEgSigt09Xvzg+)jRH?N+McH&s|bK`8iItJANo>L0$af_H&Ly zXDYqFpRE@^RKd~f{pMW-Zl`MPk_=5;_NJ32kN%=DAw6VtP-5ffXX`7H*wa2Nhq?1R zXV{C7u1hagj5f%*YTdc?o@%~{zUQ;jN^@EIogvdcznv)4c0PIU;iGkAuN68qxvS(^ z;g{8&4@E1Mj#ja43n9h4ezk;?_$~ixg>ZoUOQuMGz<%`=hvZb|Syqji9eQiq;w8w6kp-3^xJsc{lGd0`x zu=~hW4pFMxtECnWRuwT}G@rCzaGEw|rA?Zv++GU-ce}Lb2AQkYj9xzGi?d4ijf%nV z!|qsbQfgDmTXm6UoMbylw0i4(%HXm7-m9dGC+cUOrbUT`4~i1A z^L1IoF59H5!jM$FJk)AI!7z4DQtr~ZPa?w~4_C`L{z&tdzClN2ea@h5DwmdI3{nvq z|G2a9L2lx?CBcugb{H6x+2;nAOieXVm1QquE^N_@6(=cC^|Mx;m@RFzDC%6uX~j6r~(F%)Boibj8F!Fe~}J`)ZR%tryOi z9+AEx=%W~d|uk|VaD`1tk6VT%e&R}P<_ciVDC-Ey&l zF@kdTEsIpQM#t#9aC8mQwt8S)*z9%UwDNw&vXw#xXTpnBACHL(6PH-NxSlm}lUtPbzG9uW2c<_Jr75(*ssM3{tdCIaW(LB6<6J%V?b{`8*)c=2d|7wxwXqF#nd=%S1#4Cx zm^RDk@ki$&-s*yxwV_kuc1$kYv&%Jf|4DLkP`puj^~dklTfPl{<0)`(c12B|ruU*< z-NCl5>w}jCUJiFx*w&?!T#)$MdgGhR$GnXX*;d>$w%_r!nZ_*r`blAO$a1^Vt)J45 z6#Ghde40~;Q^3Zwz4W8vp4TF-T#WK&yExlzjB8~Ip-HqeQTG8>oNc0m?A^Dc#_^Dc#l;vx6z5C~%5 z$Ga4s&Ldc$)+FxddGcY%AbJl^J|4k>&LddRc?1hO2A#n7@dy@Z^b__Ttp1KZ&wC$_ zU_s{*Ea*If#qUH0t`2)c5JEahnY>ng8pL!koRfd6J}xdNz7vf3f6=NB$zq=bkh{T% zRn|YP`p`v(0Pg|x>O;FVPB63sh!qT`n_(liVKN*Y-5D@|W_=K>ADy91PONCqG>010 zpbm&u1a64cCi8*PkYsqJ5ncy`$rMnUM4~~d9!?(<-E;Tv85WYPZ{-L|`$X%Ef#z64 zVu6}-fXM(*Jk)jh0BK0HzLg`c?Id(L8F2F|9nxJ?3xRANn~49H3$J^Nr_c=B_XH4s zGb{rzM>Z;+0)~dBwxD_V3_fKe5Db3;F!w^N%Ak^kyc|m9QS%T3tO%J;*$9b-I|9Vt z3`>Wi3=?&>qWg4#Imm#v3G!b+_+QJ3+h&*xCRAs1fN9xi7BiG&Kwp4zgJI({iy5@s z&vFu81*AhG4h@_hx*nN^0C)gjps@9?)ej^ZemMzu1HcQzD1W`k9s-ide69q9A(Xh& zNw^dEr&k}%pamdp0KNJkS3j#sxD)8@)u#a43Eez7kY0Tx8h$ki_X0F1Gb3tTFX{+q zmBR<71z;Dqm?%6Y^lZ{lzb%3@07e71NdwJ6@Xlxd1Af$3GU9K8g_=Jgd#KY809uI4 zQF{}I`R@gKP#JzPiN6mPQ4BDc7BTFABF3QnI~f3pg>#!&ibCvKVhktH7(^P7=%E&U z;QsMlOPmM5k|ts$18)fvz5!|kk|_d^2hgUEl!mir#IuFbjP=iN21}* zCh=Fo{?n$9jj9+>mp+oLF9D02>=L@3Fyao2Wif;b4M!P3mp&4$FGNMh8XSlf4KQOi z>Y46k1Ryi=mp0&EQ4n5dgnIyl7{kTUU^pO9h58B_D8uAKS_2AMKc<#&CxAi>7Ayry zp3ss|fhPvR8XwC6tlhp|04tjix}0#&ASAdfdhjr zBLlK@G$xM5&kex14V=mdCki9V3G9AsY5?lELD3M04_yr@4QFhL=Ly4@GYo9UuBL#w zCa7hf&fw>w04&96jqpr>0=!LbfCl3((Ij_pRb_|3mZqV+92ato(~S`Ijm-1+w?e1uZ~ zv~PX`@R4M=4hYD@hz`0D3&V1jEJL#1n)e86aa71TeFZ*@0K* zch?jq8O{%2e)T z>I3E|pj!jvquaj7UW$s()~&tw>`;_TlbNk?lb2hOlHst4A175mV7~uUe=1Z!+&A3C zG-*QpaL3K6qwjueno@RQ^rw)LXxr>Rh->cckmihiW!*u$&O0v5pKK95#uGtSt}C%?C28nsB;TBFJk=*GyP56lD`{ns>J+JCpWHNyO* z#@E2Mrf#*WTPm0KwcJXbQ0qS;>)HLeqh9%4U6bKaqqfLFf2={*v#fVdEHg49H%eX_ zIx}cez5YWDzjK;?$J#VT=j~bXl_v9k*V*SrCr^o$49%Witg$eNELqiQtL2*zl)Y-| zRZgW~zy&8=<7S0TtmdYa>hb4~IEW9O5H6PXa>y17gRR@-Vx0HL85fwu&t01sH>b$x z{j>OO@gv3!n&mOGsVT#1ugtepr6Hng)$crb)ZsEBd4_G&wyacb@sg)k9-k?Bn)E;; zpg{A}1^I29`Hyu+*A;jNO6_TGO%iB`4Sqgnf40#v>7J{zPpWTGF|wamxj zi%j~O%1-||ZSOYN*0=6nI`2SL{-+Zny7NtTbeAXP$wyGUR^JV|{5qz{U`AVTS#jv} z&N*xAUOkDwXZFlTy1C}|$tPcm<8RJS@mZg#V>hH!Bz)6;T8m1O{o1w3LK&Y{oA0L2 zm^8;s{o|dx`}HSA#Fh&f$-kC;nh-joCOp+Sen;jpgQywL9G0__WVhs9`RF%dN9_;w zFPn1qw7E~tVAk$>UL(lGt?hOItxJ-+gB9$N03y%$r7G0x!r{a6?trJnoT2%4KT{luLzxiP_-#=B( z_w?oUna+cQg=e+|z8jbA8vgwoT%sBeeq&lCugW^N{_XdE;CroD>iut7`XbqC97E!g@Y<7R68>7mE6l}!ev z`M0aRcEzc%?`e&fO|79-Rww~jkq z(P`9X^E&8~*!DmN17rP;$CH+noC{BQ$Z`qZaM`lFeQl?w(X9`Qh40N?b~h%&hk3tP zzNJmzPV=LB*Hz<0mKoH}p2E8S@%@6WhH6`4;$}Rt6n`N%?Y4kQc+(K!=BKlh?$43& z_aUiF{I*MS>y&qo4flor6dpOvQDMdzmDNYSEo)*=Dj4xv`gxA`r?b1?mv2sL9TK!6 z9R3$Fjc%?za=GZm;ny;iw#2*5OxAd|(m6LQ^Ft{8N?Ji!rq;n@wS$7qwZASt_)RbV z@VDujUeP~YLg?pN({64QoP2oKFiS?Q*d(wb^xY^FU10e9&1;*{W{D2% z8k=LKRWIJW9q4kee$23pcgYmTPj0W&LoAj}JmELdCwpeg)@RfRib{~H(8!bK&%Ne8 zfA5p})$H=uwagWB-x|MO8oe!PkYVbS35Ql^W_r9X*7{f(7qDbfx70iVA$7TV8o6J> zGheoKd8b>vHY>=fsa&-*9DX*T!VHrvY?ba?m{%f_sazHeD}tgKPm78aZx zDk>Lyq@S(VwjJet`?m7N;-JJ_IqO3QhZb(Xw=nTd(f8(#sUgqf;~H}0U%l*pxxxIR z*N-gkOUXT%4psrdOW!&i?1=gJX4yS$=`Sfi7LNWfS<+JF-N_`U8KLVN9@W@&=?uSG zJ|9m_VDwW7=|fH6y0$;42{5tM;_FV zT~r9qPy@m~548jCM;>(myJil3od@+}m#Cp<9@LNB5Qd()?}Hn3v7cu^qY!!zx)qB1 zk^4Rdk1Bzf+T71`Uew`~H zgGZG>o$%P_c~l7ok1E07Q6+w-CUA8~X#9q#2@FV$P}Kw7{xpyo3s`K4OPGnjVwDL5 zYq%H@i^u5z1`TA$0wxo#WpZvf(;Jx#pBmz4gvozyP5E&04@D!==rbfhSfn z$eTG(1H|qp1juS2(-ttiy5H!aFSw5Z^H@+^8Njp! zOfsBLz(PG@C4;LN$N+HApuWY1_?Zs9e9XU~R@e|$T$z#^cM>ZU906$G{$~y=B6>i$ z*{_4|h0*|^>1%Mgkuaf>!E}6X^B!>P5OBz*gH=Lg4;t;?(HBfMyxs_hUof@@;)nrhjR~_B z5Zi;zha-l`*4IsO^D1K36mk|8!yH_NBEi|=oWx9E`t-z zxB&o{-#NhRjBqLz8W{i=2f=y*>;kTx1}=;Jmz&UfJ4Hcfcn&8wA0~7?VLk@nFKDkp z*oG1quqJf!ze9bPZ1{74a%y5_gIpAi;itlcI0ou7htP<_hscb{hFeqOX}MGgCE4IN zsgS@RwL#Pi)rfvK+aF7cI|jtla;d2M7nK)4CLUdY{+Ho(zj-RWV1$G5=!PoD-NAN% zfDomkD>pcQ@u9yd4Nrb$5YNkno7%xQA%6fi1d(9DmO#S+zXip!U%53XLeBx39Dt5~ z@5~)EIe^RuC53YU0C^v(0C4k&KBH*R0%9cgQj8Fzhy0g|BYxX$eG>x)R;eRaYe1Ao zLn?sBgEd3IAOUE3;}3k@v^Xrj!3Ufk+=3F0$5VhS zMn=R64h)TiLyBezKo0_cz2P?-;SPZU(>>_usyiS7q6~oo28H~Wc}2e&5d7p32JsYK zIHMfwsyh@%LJ$J>gx{z$IHUNjjkf%Cv`&KV|H-~5V%7rlk4)wt9s``Wf$|uZKJkOQ8p0a2 zK8Z@2yjgcEWpF`x4O{Js*P)3i=_3~xAH8`sUf<%&H>IUBjY`8Q+t{rl&ZFZ~^#UtC zHK#6m`!J_owSw*C;P%d;@xsUD)j@$-QL{y~jc{r4B`#uqo?8+Ktt0*&Lj1eu0EJaaKRHD#o z4^dPo*-D`jEoi3@Nedy`Q?w8gLb4@ABK@xWnb9lH^p4|rpWktO|M(otV7fl{bKm#1 zoac33)m{$*97V%5_KMJ|3qr@++i5jDe>K?f{^YN2FVc0rRVT+MM!q@EV_^}oh$L#9 z^Ugq|@(#Tv()@x;Ta`}eHo+$gZG*N{Dx8(JI2zFYv@BmzY^%}ZY$Jy!-Ga`IZ%a9@ zmRO{%5_p??Pif&}(d<1}&+5F$X^K-mdUl-WVwFOXW{-nQoDME=U7-E*O>Wm+*OteW z6IYv$78+Z4>&@j9TX+BUs*u1fyQR*JAGkiJ{`7iX+RSk;PE^0oEjJ6e@28)3?+}Oo zse`exlZzG9zdet*ytwGd`iMC0*6N!+2j@LIH^t;aFxe%qb;lyr#4AtUw+_gwM$4F& z^kp0qd11DDX^)SObjr`K{y*!N`ozTbe51u$iI?x|NQ z9-Wfu+DmfN@{T(zal~`m^mB`~)xCX+v}Tv>izf{$Mw(f#6o2uXMBnSS^Gt6jgj}K z*0W;g?w$G3GJ$iB(T|5_Ia{+S55{>$S78Zo7nAJ*n=*Zkb0F{smisIBxv{pVkP>dS-DV-)B{fS4Y{`2rNpjmO>;2bwByHj zEA=;3mjRWw`*lfv=in#*@cJgDCA8PNWA<|vIh~eV$7^FVb~~vw;n2XtU!pD*xhqBO zFDqCJ23G~et}IZ<;81M5dilNLm(>zKv}e8?kh1;K?=F1EVV?SoZ&PYG*XTLiS|~rG zX3F?;yJ_Kf)_TaKYw#z`@vE`fZMQ<+^v;tI-%>Hd{Jl{X>NGw0v}n|iZhPJCj2v69MybgXeBu+{M;B5rX2H_-&FT(r@2qTbaB!7 zQwliWEL&-}@S4-38ud!)W6M&9-cE&Bj)`{@WwgTvgul%?-2BOJ zl4nXqp@mq4{2}j|Y1gdPUbuYT6nJdqgZcbiUjjbbNN*5!_VS6Tt#wZf8m!})k+tcJ zM_K!wQ~9q4Ma8vk1k8Uv`L*KRqm-HnCHfTCbL&mY>cg#OjK9*~o=&Nqe*T61*tqdG zr4PNoM3a?z`ouA$twT>ut4!P`l_TR!vFXdjVy!h&-uaRn&)J-N;V?E;uXm!_ii!=l zCb@bYl6|pp?4DnGCsWre^_9y$NBj0l z`aVwsauCPZi$7&lN_6yXzSeAB(Hyy|e!|+Klj^P7VZVN#>E~b8MeqN*=OuBk!^_#mwqeKn;`MQu^ppgoYC39sSk* z4>paSzP~f9H%YUKcQ0qS3!mh97JhOBI1MfUhww9gLzLuvZKWvSE=hS(h zF7!G(s~WDG@@!VkrluzU^uA+R_n!;>Y<|*qB~4WJQYQVYzc6>~R_AM#zqh$n_TxDV zi19lzlOMrZV7RfrI1A8Z41e{%a~7a}k3I*m876j*jeJC}nOp#Dm?6BzMhBv=Ol|=- z6c4>-{yjGD4ZUV^0kBDC=rxlIpw0X|Y%~_UkIkY&Uzwi=$slG2U_OM#Tm z8SgVckIAKg(ddl#nOq7jCYM5s$)(W3U@iD}m|O}iCYJ)#(wTq9{5-7WkA9EwdBZsg zj5Q)Q6k~D{&`diD^goY=lYoVZBLM-%b0gL^hzya^8U@4vpk6g9P6B4zNRSxtK3HR7 zZDVp0$f&a&R3@P2GMZ!w4_RQ_n^@BT3DX3TG*H@w)CA}f09la!(VfS@QE+Gl@qaFplT@f|(Ed@=8}>wTrEM$(AoPp^ z1cs_)7({_2SD=xLt#$%DQ5L0bEG!|`HgKD0UJ?@z0Np0G+6iD9u0l)v2vN`ufm%DX z4Fb+Xg}Vuqte^w#zgHT;FuXG$evBxn-$HvC+_?ZzpokF^e&C*C4fp@4G$Pw@r-1ko zU78^CO-DKfa6-{UWKDomwAjI7$Tr-~hK0C?fMbA{cL3@g(Lp4dNQMDVkh{Rt7r1xXo&vnKgBI~* zU`?b11CIg`m4WaAQU$=wVWXmetr>o&5$+2pfKOmD3UHmFoCAhXpx#Dyw+Dn$BST5z z*}xPuiv{)=qqP@6Vz^B}BZIwdxJ#FKHZYo_jug^i{vv36!wmu0vsM)E_6sBAp_h1Wbk$9eP@rDJV<{06r7z?LW*e{E88e@*}-I zFl;CVh4d3ZL^f$2oLzjVMmzx+#I3+70fUK|f|5a%4%mkO{n9|T;n$7u>JZcrz-jyYa9BAP>bEI+d*`L2`Ys%AJ-d@+d z&o?<`@7?GFcgsucuXS}8@EsD~7<^`(>nFaB=}E$#{{F|_A9H#uSf;>l%e&%3(}Qu@ zc|CJ|V>TFYKgoNLK1;AIJJf}zrNYUG8s#6^Iia4XVDh8wl*ky7_v_1ieP~nG>2BF& zGh6TMLt~3M2f`;7m<4WBQzidaB^B=Xmvo;}?HZXo>9$ZuTU&xt(21|>dDnc}mBqD1 zSmOH2YAMov8(si~mz4R=HV)t0KiGIizG~xa^L~AL#0=-UZC5h4PaRu-|7NL2@^6PF z2`1C6T@Fq-bfy1xzjNb+H4}_#x1W(JHul)fC0B5Hy_ZZ#=;Z}j2CHUV5N;7)+RR_q zVQ^9DnP;G|bKxQWgIQ_1TGBk@kG+iIDL*)2`Mla}Q?j^PN^vtv2Qg^DYs zEs`egX>I4!e!{6L>ppAJ9!1LSu?b$kKjpj1f3Hfso_|PpcW?Qe{_ch?F?^m|>aImP zo=JC7TfBz4a@M^mZ5G_FO-aR14do9!=X@|&sN8E4rX9GpDou|1vSS5x*)Nq&>XSPe zD~uhwx!AjDX?XGv%yr1?h|5@4h{_CrbeG=nbt?riZskXR#b={4Y1rklsmxYyArf1G5qf16^ ztIm%q36M?6pD%GH>}?YNzO8qxzhut1+Mr-_ji)|o{xgNbF=lsXt37$8tmP2jxoBZNZbDOq_uDyD&IOe*k@{+^*V&xhY?sq+XU$ zz;{j#p9kMLuV0?KOs(+qE@bmJ8s}U(Q|2% z8`n~y@9(wkI<>BRzWcr2^zls;RYw$oax^u`Bi?c`K3o<2sbb$#Om zs`Tcli_t>Xb-ymJIte8vet9=47D-ti8I$N*ecCika^iP)n^UJ= z_8JN1k4?T3Zg%Te-AnJ#eY9O!cdg{NTJ=>FO%R>O&#AF$XZ-zZAM*?&MUQKLtNvbl z;Benw;Zr)@S0r{=F4%qaRj07!y!qZmudZ1w+syaswmaX)fIZDcp7}QVj=j7>hX*(> zW~&BXE;%^9aPgN@R?f4`AH38K+;#nep+R|xiEv2bz@@{l>1z9KS_li}@6R^7l)T{L z&mWiRM(!GR2kuS!@_kd@$C-Io2S`~$!TcUl4;`CgKF^-M^0jb=Pq*(EL!OKB_a)z` za^A6f@lz?g@Y>sZOF07e1RnZu?W1+mn~(EFP7fS4RMq#(Zj9VR2}-Gdu_@PDTqAtZ zO@pT~9s$df7oPXkRpBG?E$N6i@o>KJyUnRFVPlZ0i`ISK^^KS8csF{dgm^SwkyEM) z<&^fAFHrjG@bW9%6^T^^dg?keCf-O;bJ*cEm6Q~}z$?>Qf~<1bCN;)r+EuZ;wh|dG zc|9K=`L?$%`+BbR>{x+Ry@s;ysdLR%SjkVb?usgTb4c5ItU>dcFz2Y}n|D~XH+8ma zw^$yyTUHtFmA|X_{kf`N1&@NH+J8M2iuWDkJh;1Uhs8o$V3)Q*2Fcb(3`1ZEBb-?Tl&BRHAtNlix2JO9Ff`p8m zZdS2=-TI!Nxt{Y9ein~$EuPmBW4F!A{E1)My4m`|<(_wWey_ZmaNFTG*GAjT8(DzC zENVm}!C(eL%CBMR=;^w{V}qk7Aaull!8B$AIkc=|;3$o$9ZzF6wgbbC`91@rrD3CN zERlXW^L+-WO2dZjq4yb}FAc;E5qL|(=C+})4A2*KgfQM`ejX%zjMvQ1V@}_pVKbZ1 z-!VTAn?(e#nX>?BfL3CEhs^;(?=wFSs-2AYnV-i5xoJ$08{i4%&zbfyL2eooA<03Vg^WdA2=T@bE;vBHnM!hIG)5B_8--K)LA(i)qThi@R$M85g?cf zFeJN=T0$t0w2eY`A2SV?y!1H5^dLpum$V0?b7M@CP0$Q-m4jNrCDQYvYFbQrt1cLQ!H@4bAUBb#MS}p?V6#3qYBi?G1uQ zL}~CD1p87%4FEzZ8C5F5l~UnCfj$p*4-^lIqIO$?O+#?ICIBTA3Y51Y&ZnZ0!`iH$ z=djI&SG{K>5rjTbO@w3s$cCkGT37s{xf0Ug6vJK}%VXoEkGDDJ9l6NE5Kmb|};%=b(vNkJ)v$BinG!bojtr4MJjGO*ofW zXFHq)q%p4v$tl~;c4QmQnPSO1q3cEgN6+YNhr}6jbTp)nZD%{O4aXZs^g)6zI0_t0 zthF5u0}0x-ky;Yl-geBmkt|&l0}^c<5bJ#`RehJ(}DCV^la&N&cI zT1WH>ST$HNxZFT12}uLc*-VFni~)?prCP)j*O3+g9gR~TG7idwK&)i%@yR&%Ksg9lfE8m2y-CRECc#9_n8u+N0QP(fh<|a(7V+$LqzItN zBwPTq4b%(Z4%QmQRYJk}2dr#O?8>2Z9vukCZD=3|O9lcj?H`1rzb;`4&Ou-4)O2K&t;`!?+nFr1- zHeU&x1T?DsL)Gc;=ZSL-!5EHkb4&c8SMkQUev|TP*HS}gA&o^r zYV}Kw&1_pD*S01rKrVY-f*K4wh=73yYjyYOhE2QQ_WtGlz88~!u9G#eZw}_K4iG#0 z{K3n`2RtG|*S9oFzUe!dEcmir;Z$FXRN8fm*3O9;lL`bMZA6@qC~eofpGpswhugSr z)j&D{+oI|&FLbL){3#Q(s)l<}g&VKptl(d1WS@yW_q26+C(UdQ9-nw{-dPX{2<;5; zc6A7hUaXqxxqwvC-f^aXao!dG)@NfhYA63Vs+4f^tdFg1f17_`YFn5koUfeW9L=96$+xe zITz%2`1N=dnUFUdoR!l~R0$v0B=&H{yDKt-zDitk`~z<+`aO+otmZB7YeUt~-Um}d z$5&N&Zpg7n9QQg@`fIWj&oa~FWha&yPv0q!@`GkMDPT=kXWXMZQZt^56bqagb8*ml z`i=^>eOY>8s!FEYlKQHJ8XW7Ic7+|;cdGnKKwh-Eb)D@p--SUh8`CShGsx0$q8I(* z29GATwV%y?lgYbf=IYI9>RGhzSjtM-lJNWCM-Af(rpaA;xY#l=uS#Ea(Uiy2gWo9_ zwq2;VQ8X*IDegNp!)0vz<$KvBVo~&CTO&(7jKd!GP|ClpDSq(2P{!YI+Pl>Hr%|sY zk00cGt*WTZ`*@q*Y<`hT^4u{OdAB_2vIybZ+3t7ZWhj4g-39e?Cr(ouuiutByK2hJ zdtrOTyLN}4r6w7qNvx55;&3sxm@c0uU6t-zE%PmKo=il0X?kGa`PH%I$0qIz%H6Zx z%eL-f(nDeIzzHsvt8FfBGhACcq13Wn*`vC>$0)h0uSM;4vlqQ1G4XVszt)yl8&vp? zSn8d8<^SyWlXuJRlXt&}DBo!L*5bshC7&-Q`}X&goc{6F-^f8!aRK+88GHM>KUsbj z-M*rtonP(isyC~zrFu#i))-u`BE|D|Y?ECm$s6;_%RWKx_@Oh_a~Ej!x=*47Pd(2e zbFaxL&XPCY!FbCrg`jNPy!+zMYgTVsn`?MZ>HN2zp67AG+Ycn|edPRT$QG2#;=9*V_SI?Hk!u6b!h66E$VkI@orHu+Se>gm!s#@#`o zjd$AQ49Q3brQEskcSR)hzDjTyI%v*RcPZf%OA+6zZKBX9^^u=y^y=Y;kNoOBS%qs$ zg*udkM91C}P~z`77qN1h%#X$L3S194GPxF(s2z4}4cVVJZ*RodJw0O1>aV77ic0L6 zHE^@p&N;m7=<4d4OTkw5DbrRQ42o&+oX_pBMm8!aa!qjc$MxEcyvxUI8Gk;-z<7JV zgU_{hJbO44I4*J*cfs+k_P(X+bVKq2(gX(|t2e1qHdlY>PN-Q; zUAtq)=PxT|F2;Up$O>tAF;Q?_(1orKH{6=$Z&&_tdFC36GHKt&UD4{{U#&{l{`8?X zCq3LW)_(Gy?_3%|M+EG52Nrs(-_O36#_xVDsZxF1g??@8V2PkTj~kL4q^$+wxr+Br zmu)n?5U^?OOG6K_T3(@xsblq`I+IS6Jht&nDD=$RlENQ(Z@%?uOGVQDLyeS-pNrOu z`Mh1U{>3NpWxFrmHN7E|7$+I~=myLp&|aP*XC7mof4siPsBKAG$FVqdr>t%*-OU}L z>h>hh{b@P2wFw#SyQggb;vy?kBqmejyur77@G?n#P%k~$Ox^RP!)k3lVHb^CvxNO> zcJ~gd3(fvc~AEt2!60S_43 zjv#1RX7AzX;-vruZFn30)X2kihZ~48F<$ArxVUSUuD`OKAYZ-v_ zNYn~V)zyTEI1`Bx7aYtM&<~N zgHEhv0AXR>U{JF}GHlQh2AxE#bJAg!jm!}kR}Zn4LE#O0=KeGRXo1c<^&j&@hgmkV zcBD=GI8jj1gfbA4m4r?(P%VL3H6X>nrUC+$O&tG-aX}NhYA}%oGG(aF40U>=x)zn~ z^Bh^!Vz>-qErX&Ky6I6L9W>|w4i0C5#smV}YaiNB#U{9LPlfvy%36@d1CIcj)u>S7qO;@SLH%$9d4S>UiG88~Vre1CM%Z&G zRzNT~g&o)oma%xEgoDihSRrKy0K!rCA=m~W763*pZ`?oS4`dtui4x90(ggoRMu09u zXBgq)5HhkfZP>*&vU-Zt5(wUEpneb4QgEP9(t-t3&;;a^%y!M72@1E@P~b@DUPE)} zwSj;)Lj@N(G*@mqd4)xO}eyAt{hDZQ&khRc+WPq7bA3B{)Z4w*^e#QYKz9x3r zkWdO#b+KarbaT{*PG$#lV8-Fj0T%QVY8*ueJONa7feZrvlhKII4&}gX!$oYwb5beL z+lK-+M9_vL5H+Gx*nu3FZMc|?cv33nK|%c(wJ*~VX$5tnvjaIW<8Wacma!50P~l)A zRz6xeGU|MVJLO;5)Ub;ejsq@o!_r7%jROh|%3Y9gBmi|$FS-ti_0{{gaku~p%Wa7@ z4)_)rbsYL~X-Iwvy3t{T3roN7w{bXMk0sp18V5#UB%~qZAp0Zz;{yKMIGo?YssMx< zhx*WwGy{nB09KD4FC2(}XsI(Yc-$$)N)p5x1=DyS6aACHlM#)Jb&~MMW8qsA7&Q`N z4TF7#T+L9kJoqcnvtYT+h8c$Q2UyXDSi@j%A!hNPW_j3SY@=`hweax=@nlp;*lFm( z80wV=nk7U>EEnz1_D81SmyPfQ0isA9far#r<-u{m92}OG4SSq87fL)86}6i)&kN-c$t<(RiHv9*E)EYhfSPSFUg&)-RsSS)1vQS!`zsq&A zG38tQ{xNS`ms~6Q5mC5Pa2vmO)$RPjjVC1+(p8t~^4ZHDp+_lJp6WEZZIcGd*w+@P zb|vji%LqxkQgTwi;hUvLxbR0e^R$Ar;uFdT4Q}Y@O0GVAa(BBSOk6jg_5ho>E_Ek+ zT2ZK*Zr?naD{Y1P;TO-#n|XN{HaNWa@lN5q*tX~_GutUGZck3q%ypMLWEYhjNUISG zlP!4LdA4fm&6cR|@60|5?G^Dp9wO3Ez03OeYR~Qi{;K}g6Iz4aV`+g4UcNPk7 zO%$GC-2Lu*(<9H|)z;g8@s?1(Si7E)^Xpo{8-4QBjQ9I@imu)z8m4S{zB^T@T#&qb zoQ2`fKaQ+6B)jXxNB z&%#6PNNCgTj=uEWPI-QbhbG-U+xOYW<^8e6Cn|V+yf@kKNLW>yo?q|0w!rC*O3%{i z$6c*S5{ta3)+9T@my`BN?P>1VVmvuZY3rb7xvtO)gA=wEXFexqQL7ehm=b;Ox#^RX zt1pi?CwIAh^eYO9N;)VXaUgo$>vQqS5mUmpzfkuz(kPu+5uu=5v9zn3ZmSS?;{!$T z&hA~F-PNHY%`K!c98qoCw~;ky#m1!X+)aibo0Q$Z={gPCm~*KZn~qt&a#LgM-N@~W zOr?Y#i}WwQc~DMcz0vrJ?CjqPzW3<~{C9NbH+`|G+#k2>;fIxSHiqF&lV02^v#_l_ zuV3dYns`88^qkzWWEJv}S3HM=6fR}OZkH5}+GZujle(wC@WY_a%XSj2w)TF`%*Q8l z{5qij_=B;5)L2&`o4VT}ez6O}zQ$hN9CE8^?AqQPSx34bOq`O^VqVWXX2Tf81@wr{ zdn?=1w#jr)*M5*SnRb7+OtZbLf$kp8v$Hso2bUdNF8%qkDDTeFu{NR>&pDlqMaIZ- zn3d`+zQLDtM|e-Bn!x-^k*mLcU#&W2!{pMWQ-jj2hF&`EPnvmOIX#RMK015qgHvKk zvbp(Fe+Sk)KYyj5e?Y@0E~2c(M$SYwAi}--a#e1hvaZiLt~oxcl^Qj^r?`Ec>Ksl~ zywtf{sogH*s;=Plr1R03`e!*QVu{}0pS`VJ{K#$G)WZD-HM2ZY#vd14C^(DzM#W&< z)*z!>JM;q+8tY508|H2`n(iTfTz&rM^r_=o-S}kev*+0!S|Uig*!YOk#9>dT`9R?K zM=>s>$AXJmzB<;GUekCh$C0Y@wr2XX9nKQZ{6$S_XWsGobuQ|H%ZJkXM~apO+hp8% zv&NS+EQ_0zdt$kXjhvBTxm(WT_Y<05K3ABYBFj5}%;9xjgOiRrO}zHG;K23*=dRu$ zwXcVHcs|~#r;@BnX&k3)t|)CuujXv>_bDj)F>BMO-0>4KxYi3f@b(uscJvhI)I59^ z`q`+vBD|hT$?1+;{BRDJQ?KQZyVaT&*1p@aEdruv%sKkQO|QGC?y1oJ_sN-&Q(Ab8 z{d-bmEYfNXJr>bdEauG3+p}eQw$$F&g9A?nZ`&V9O1I4wpQi5K7NVR`Rw$ZuRVriE zX_40GyqevvdK=5QLQ1ud)jUnPw*9bO`;q+SY9Ymog=5a#Ju*W*TS|scB6rV~3uzBm zW{_8%JN$5^;niyCh=IP$ADeT@Zo&^l2b4)XrDc!b%v2d8;dA_z6Q}sAx-qZicgbCS z=Oj5pd&cH*(;xBgyeT27Q|qaU0HkT~RAAeI7^Bo{J5NrdGx=clBR& zdd@35OcgS|Tc6T5qt9Mu;9Hii-@U0)noYv@c)#e}R1%vN9TQTq@A9@u#~z38X}o?e zkLRu6{MnSZ=4r=rR}EGV%%8dCL-dS3y|hxhbS=t-TIq+|j8@l{ho7IgH0Sfu)PRPf z65fEc9i<`q4>JTz?jMeMU(~;}#xe8Ssh{g4BOEmNo5Qykh!-xoK-Ert+nm2U-Z8fz zyqGdGwRTWTm)`1~8FVV|b*16noHa_fyn`wdQ8O8p$fK**E$a5 z_cT3~=@;twdG8<|fTUxP-rrHy2mq4da{dA!5pV=R+W!ndYBRgGwVBe}+Dz$fZKm|L zHYQ3003dUWxi+S_gI+U$Lprky9mKK4*ebjh@>;e znA3s9kNrM#j5(b-#+(lMCF6bO=P}2a)3I?)@Hxye=5%Zl5qix4O6klo=5*#5a|kjS zp97T=?DLpX>U739c?C2~lkq+S*c=W>GS=wtCxjum{2I%5IC$-Jb5zh+ve{)Tzs3Sr zkByEV7!*uet6{8RqOs5x{ktTH)hr!1cq!Nd76V$DAOZkfdWbcdCH((}M-GeVa48M( z`d=6biS)z)Bmx|EG$YQTpw1$xAzlCs1PmbB1hj}zQ$;P|03Wl9f^qc_Y-1DqFoE<5 zQ{*5FhSCF6xuCThfk!MY8vjw)=WHFTvPLE)`h>Av| zK>;6|ZVq_(s5-)tZ6j-`jM#+Gb%WMnC{r?g6$xyEaha&mj-^Xrlq>Q3jCjBlbxXpv z#^f7OsZfmm$LQogt^n_(7?B&Xs|C9Z>h(~r92(ONYzuZg5WJo^BbFr8GNg4*!n9tX z>Q}{?Ld()U5-d2Z)5Q2|JK&Bi$68_z}P` zTLo(tM*JBngz%csiwOU*-2Z>A8_rD;KLc13+!Uga!I4C{7mN*ot{64|5n48!m%>sL zVjn3Y+@W&-{~B}*!+F&qv7wORrR&k4dxEc8=&6Rt7Q82OTnG{rXB&IN4LBmcrDMq( zp)2-BP8?QF6MW35#H`5dSRi*8I09pS?3Wl9d7QTS^`M(rU2G>E&R!lAwu#EsyW5YegEB7$!0E8|Y8Zk|Q3=N?j zB-Duu?O;0WSRh~-i>*dDcZLkGC>+Y6+!zf^(D?_hJj}J@f;8es|B{h#8>)0br?4jU z<0B<2O?KMwaJk?Y4J+Uf`#>Ql&_Tg1GdD(~rol#*PHNby;lf9(q(rP`kO@HhJ~#qc z3P>W!sOg)X9tgxtELM$hUw{TpBMAhw<k@^7{QI6;eKuw|kgHAu}x`p8YBmF1V6+)g^`@D$yp1YlfTf1$xUfvc-+8=z^FyTZW?*@lR<6KXr9=%RqtHIxDdtUo; zs!hyY`9o7*#5#*Z-F|ja@B3Yu+-0Knml7t{g?g@@dib*FrWda&COl}U*4KMFH8P8Q zZ|eG&S28jqb=ORp`aMjyX@18FuG%ouh^rT04R$;!SF96{z27bo zchqFe?`HEj83*e_iPDeu%BD*%O+S)*Nb80sw5-O=sOEjJROqh6+_Hp2LFel`I#-jv zb!hUYA8+RS_TuC`(V$Cah1vTg1@6xlQLCD!B31aaMW9MN+}3mL;+s@%mE4Y4Z9AUU z_fKHP()fhFrThAZlMW4W2}e8*9=Fft4iQgI3bwd4 zZ;`HbXQsaF&hp%xsJANay?at`q}&_x_4Zp8+i6Y@jcw%bKXy6XD11A&H=epuy6}5z z?|xabvDy0>3vbw42`fd;7If+>I6gu2k=#tDuA;eRIp$y86=cH1IVTKwSlw73F1i2s zv=)-3t<;67Pgc!(ax3fCra4Z@Hd958@gE*z_5Mf0!5y3z(mGa?k4|2kpK&gr)v;sV zPmv#HGpcKpm+a%S4C7EMcr2yY@j}+3TYxrK-E6f7csolA3_1Lk9D`tG#!7+BbY?6{QRl~YBJQ57B%H)z9yVWMB?L&6s z)?L$eXRm8>+}o}aHL=K7MDElj`!Mcf^G(l`uM_gieik-ub%D*BajgsXi(lUGu~v@X zIf-N$vtaZ1RN37^vqeJPGm`dQO-sLjOsIE$G*^n9ar8;)Ik^w(`lr+?bGpcq0;jsH z`LJQ-d4p_9Y)7^5POZ;h#z<`2!Q+*mk@ozE>=h^FGfrcbf?ik1@vCWF397sq9dTx2cxpCiXy7_g%b6dB(IUDzE_M4(c z`t};JSx%l8*K(0dFMIDM?*QS_EqJqw9Wb}x9E)7#g)fd`?;>_RKL=z%vz4Dy<8I)1nd-Ox4NU4)2B|eaOHj5 zaxjB@boqLj^mbD4^0esl=VqVRdEO(x($8`Gsi|{us!9T|sy zJFwYV)$BmP%RB3DrGHh;?QT<U5g~IAE&`-7~EJ|nqUHoSoD4p5nNoQ(a)0vvrbWBeOP)$s_2fi{j zuj!Z|4SLN)GclPJ^qPsbV&Wm_H3QA0Gc~X2m;wcQpNY0I+fL~WO>zY~rf3d7XULYr zSLWwIaSlUAnS-6_*jzC5drY*IIoO%b9PCVos2clyY-kev9ur-rGtp%T%a}iB+Q&qf z=}dHaIQq(18$yE~8lrpy06oMJ9+f6HiWG4bcjASzXwa8LLJ=9z5y=3Sj|zcBw&9XF z;)SwkFeeZd%QYeMMIFE(^9of_);&~=sy2SX2nXwEP$^@ zSI2^>gBYQDXlgPD$FPN7@gO4O%oDo*VLm)$MyOZ@{7ndkwV+(UuKWXr;j>HZ8Y0#- zq~-+Nc1=)*rNS*Ss)5ePHe7azU5&)rHZ;%~y2*8b;y$W@&d4@gf{9(%#M*|2v4SW8 zWa1zX2OuJ}(z2D~Mz-N{OyWhcv;f{g=ne>p(gA-$s?q>o|MxNr3&5IqK`gA37Iokw z9y2W7sOC9?Wh@Q?@n9WfpSGGy-6;8Qsv_I2!yFnTES^v1prE)1V~}<`%-T0pVe^_$#sv zSJ?aiFwq%YyAB*M=nI85LRdHO3z}MN{Q=%GltU1^YS1=?oaoRQMF1>luChC$EO0R_ zPa@Vf)HwxDa_Ed|0z?3e9QL;14=LgPfC5xLK*#d-%0yBL`sF8#zx0E8X^3b-kl$M7f9LnF07n1$sw z`-9i9KoYT{1fiCps|@L>4-uGf3Y@Rc^Y#9ys$UvaS5{vft$)1K zGl<@}wl_`Yo%yZB3-)$+ov(W2TVSHcwg3CM?LBX=?Ha3kzwTXdlmDjraf*E36FU9A zw4~Q6zA<}M_$D$Wtv9XqKw7!a5!+yeXFA#Y-%fOnQxes2@}l3!tKf1K@4tR#_X3?8 z8cr8~?f6lUB%oNB_-(hKRbONg&Ekgq(mUUx-c;GQ)=ngcuMgkoIh)_)S=!629e#6_ zt(@Qdyy>xXLG;8sc?Z^=Twis!Ys<-tbGa9-(j2c?lG}HQbbUd0VNk(fzZd!LoeI8& z8Mf`gTMTw)#IHV~ST=Xck#YT{?<-&THC8qjue=aA__k^=wojX$f9GQRy{Br&n$J1S zRuA4e^W+!Ti1L=;O?T?IzW2Gg`cxpVk&iUb*j|0ZT!W@_U+0}RPTb%q7V~wsX)MX@ z2+z5~^eC%o5>ARMg!|Wus=H@t$|x__2(8(6k?(wy=F~;sN`t0zw8bVaGCw38FBcZQ zev7K#r`O*U1js5Azg>**b-Cvs@WL!W;Lgnx;!6~xlq+1$yt)1~voM(+HGj>v(&H*& zFQg3xbUfmI6im1&c(3>RZNa;)Z@Y{)Hoh3E@!X|mXIscls$oo}SV_g?Z_fjt9N<~^ z>GsiqTW`--&;mcVa-7xFal0s|r_DnJ$ z>064fSAP06Jh9|*VVFbcXSs?BgZ#|G3ik~;)6U$tr-U7SQI%zHNH$F1Q&4`IX@2+c z3|{wJpYG4dP)zL;O-&s0X04C-bAAPXCspc~oR(rs2U!W{!$0#q!mjSiNHIQodDCL* z`{W%?VhE)y}sN|ImLRl2ecahBz=(>yDP^kXs;CS(|JdwF3dX{eJy;^;Dv&dgXxZ_>0wyO|BwIP)ql%7Hv%4?fP+MZ9x2vpJlU(ULCr!L$JK#?TZdx)1u97 z6&)9jw_mEccD6?7{yy*DLEiI<2S|~!cVBgs>iJ$O9$#|7zUlb%oNk`dqWyt`Tj!o! zayjGDq-$?KA1GywV$*a6q&VOB1*!IqcBNK{wB*L#zT_u{+6wj5%#<=k9lnHGy{+XuIINyA14E@$> zD<^Ayoh^?_!hfB&-lc!r`rJ{jyn@Z!UglhE{YZ0eXb_q7=_AMfQY+m{tskcq_y=pj z%Xg(oM>UNr6(jUjdAaE}d&eqoJG6o$QFi{%3!guFAL#UmA3s}Xerra&ySs0qEN~vK z+Fts2>yc8=(w{DEvEFlcmo%)Ko7Y{Uo%or&nq&;wMoyTZlTT7679a#YLs7@9Iv@w>B^R-dAn+_ zB_`@z|IN9_Q<#qh7RsV@J`xLM0FJ}w8tX7H$^RV-Wp{pk10`$h6FNRGn^*U+hM$BejZbzR);B3tHYG2)nQ81>M$j0b(j*hNHP=q zd#0<_VMzZfz)T|M`wT2qhdJL^hdJLE_?L{IV~8@|@Tcus@{Z9~eqL&VHcDdR%#)Cjs9W9JZS z8T1ZdbBU4mAmlEinoA6p;qocQZYI_?W*aJ6HB1|KG;OHJwvibX7*w0grVxqe*fK64Vl4yMLI=7T zhLB^(V*UXY{K;DI{8}C2W$Cn#{3qnK=rKaKBA|@Gpk`O-1It)!f5MY?Etqerg@_YS zPKHVmz>Lsh&yE9+C;y@mkp!2Op*I|aEdNth)){P zexR8Q?#=)129Ry|OM~#zEew8V;44EAD4bV-so2{#vJ`{BdZM2x0xghE!VoS6L~3Xh zWN+KZY78R`A@ufuWvugFS{BGvuR?{vnO^@%-Zo z@M^t`Se97Bpm_$-28VhTVbQcSSvQ{!GYofYV8J!9hC%oPBQU{70eA<+NKM#c5R?D+ zlL>8?_}dl>_=&X*F=2pkg4j(Y)O!j(N{h_K6Cm60>qfXIpdicw=?B9RMd}R*!~=1D z_UnebZCOlI`5)zSu#u)9Lyl(Xk|3X=pxMn7Hl6^j8~(N>+!G+r34|-uPE3Y&C<+`{ z+CN%oh8+alq7gqF84Z(0BXEb%Y@~!s`UhP0#}B|Bz#jxGqb2kpAo&s)L-;4`pulay zj)90w!<_>x$tKn`0NOEG31-xRC83(EQPj6hH-OhOupI!1+pqV0x@I*8|cqlux| zL}@@nHKC-1CWcb~(N&B^9k?;3I>Zyb$$+$@I@eItK?Xmi$@;n-y3>&AJZ_T_o)SQ| zqJ^~X0meo$2Z(p_523ALcHu7#!kqwg_Cr@QcKIWj5TqZ)1^|WiVi9r-CshAm2O7zw zzf$EtNF%_@qeU7MK?!#eriA-#oKRl1Yt8qx;)4%b=*qMckCw#Sub}J3?=uM5RQ5Yh zHF3VE%0PNZMpqu4f1-b;)4blhYunS_f4k;?|Mto3bf0GX&ZO_Q`QMuoHS`u7p19BN zm+exwQq{Q*J>`QB`^)mkMbwDo=TZ_ke@$7Gcj=XPfyGUT7T#x?Zkq-iSOOY{5*B0yx&><^QO1&g6O!UfC+a63)(+Ce>KaY&uD$H zRxhc3LB(l)PmPTHo4s}44Qa=(3VN4>JT}rzmbS2nSVT?=^?n|@H09To*XFkp3RxQPCa)x@p4nwajxIBvE1Um%z`#T?Hgw}@393__NNh??=Z`zTYN@h3 z8*)}9Xjn&9{&a6R5^b6CI$Tk_!mn|kHCM37{>jNcy`ElLeQKUw0?`WwuU_pB|5)_J z)o0^ugRDUAqb$T^i&l}q(5S{hqe_~~u6n)CR>L5a@@D|ZC z-x_t!r*pg(6A|>DRww-Z;)95%?e86?kf$2VPm-0So8DOvGUxnK1s#X!IxAzPwwb>Z zsaL!--+52QF=xj_ib=@v*$I?r%&zbouO_eGx9jx$feBXTZ7!+1?`=?YpD7$_L&2@!JJnG$knmlEgeh&VgD-@0=o z+xhousY&sUyBFsBE&jlkNZ;I;fIBCxRsB}_c)s1*P~+Pww!n9bVCbCW3r%KI&#D=F zJy0?BJ}{<`V^4jB{hnF{er{)>yzvv~ni=VDiJLIP|EiYq$31(VDK_ts{_bnp<$GJ< z^Yf$k4O+@tZ+GdL+H21C-Q67??|<^;%Ah*+vl98wZ7;_q?msIod{Hmka{lWSm2_#{ zF7X$fUgneJYLsT*$xFB;8)@cq!KLJ|-I!~EbNiHQRypb> zkIDbczgg;MZ?R>a_nNdEJ|EZ1#+r%-S>Z>o?eqGPHqBybVOvDtb$K<9Y~ByP=M+wT z{GPX3e?WfxlS+lNeR;W4Qh%Ka_TpHzGi_{Nykg`NKf}RLYrfPk#^Wc;)9jZ7%(j1J zmzt4l7h*L|>{IUmH6%Uhlyi2@I=$*^-qoM%YqsUSY;cacVbp715IvPU&X+V+J~tv^ z^@E9-(VKH8azsD&zw1}&b+Yt!Z*%pW<9pM`9p5?E{^i18r(+XxHfG zpPRGg*tW+$Z@<)eUfXnIyGk7?YEienwCeS2HB%SfnOA(T-8wyY=~~y0O7&S03BNl8 z1KQW#3fk(qwc6z63w2A8mwIlO=Jp!BJ5eCF#%{%mn1|z0OK?Z{n(_$PlIgPk>fwaRp-*P&+=42{&?hsMZsDDw zPiAV}!aGBs%v8IDcZNQh*?|i041F@DON>lq&KiYphHPd|8-;g_5o;W@{$Mn8s1l(umozAQ{3A0MN{%txMkb)l zBSEZfpx=WU3ShtpREJP|DpcFpXboY;jZ8t|c%h9+tZ@`1SB&yIKtkcL(LjQW`j3L? zUn@5<2LD(?>{p4VXKBe3B;@7X`yO7%HPpo7Rbl6;MB6~ z28EM=XXL`N;hpOLxY@Me>VO%ve-z%dkTfrweo!!u#UUjesnsF@?hcp%ptW%If`Tzp z##$LC&j?J5niCDYQq#DFp8;vPK8<^?H}dbVcU(x^?}?lp?4b<${$*Y$qyb2 zgq5Ko6e`VZz)*OicvcK78{wrHD%9sm(A0>eNYR8;n776j4#kYa`+DN#@t`V+ls+kB zU<5#qFI*(-&```Y{LM?eIvy49GZZjlqhA3DroyZ`?f(v=VYcD#H{$j25HyP*+984% z6&qQ{verE8D2!}Tf>@VupP>w!ufiQ9!W=FlFEdhIomkX~S+1e3ue4SSR$HYNBfm z#URY{>L~8 zF>^6ZSKvw_w-5e{U3VyE+eqdlGjAt$;oxx6Ay8s)O;L9(05jB4+$19@GhiIb_=zfiv%lg5NjJ;B!H?x&HzjXU{a#of%cDCj(_`yk?0z% z9ICkxYaO~tpwkohAK)0^MuAKk+UM9i2r}M7V1*!JtphJlLc^rNIuh!GL}apmFg*WW zJG_g)s#AnohjJ}690bL3nBXzSA{)ih;avn)F(cME)LaX29dfEV5Mz^pN(n>)mew&) zW)|Cx@VtQx3>C;~{sS{3g&@{!s6SyGjF!P4Q^J!5)LM&bkw}|@A;1jj%0K3B4>Jva z3Wy&@58DjmGJu6N6w86jf_`O|Px8+p0EQBaWy6ZDgl;nkenA@ujsUn-x&lg2*{Ekg zj)5n)F{{9YS_Y~+I?zNjq$&>yD~-+UFfa{&Z49T>|DVU_$$!a358?AjRc+Mx{9?~{ z#=;Leck#>BeRy<7-hXjK`&1c!z7pH3kIzqA9mkiguu9ncYrBtN+*qp4_h`xO z=fU*$%?;ge%EiJW#4BlDw%pn^XHRdw|8pv-aKEmk`;@i|CDkXYG&H~5;R{lB%omS-Ryl1P@B7yZPZP?dEjKUZ7E4*-m75U~NQw&3aO{Yi zwzJwZ=c~vxzKX8lxeHgC!77%v|!UR zJ^nbcz>fEQX9E^@HI-IAo|1Lp)UUbYj&gnVGCg?1?4^d;g)`}<0VmTF^beVaDAD{g zw%xeCgkHbqea4**OCI;r4)b^dKCDao`6O{pc)NBsdA|BLzS3JuKk$oO3bZrYS$I~e zt|EU;vE;#r1-2Eq0aTm8fI-GaT2=)p6|PsJ}nonbRTl(c?I7 z$z(~BtG!2za=DMMQoVO8@%rEyv4}J0$CL#RRQAM&U2JPHOzn2g=(v@9Ml5)6S6)KM zf%1E_&;`Lfvv)lbv6rg3pIVWG=YZXWl&b)aj}V zQH@jOUAL~H2>J#|WaOw`C{cL6YR8#y@lR7996iPVW*X!Qo}b}8IRv1bt|z(%kDBY$>4QR`g8Y(4`xn{@9^EF z=N*1(vP4qCf>)eY_lq1RfG%#*Sv%y9+0*t-C&@z@j}n`1-7f+2a-( zxb2ZHd(|5>AxyyjHD|)~$RlexTyK7K*kix{iS6k+2M_0)E5c?z>$-jSW#NHoV|>%J z!bmI2o_ZvZUIa)e6*cbKJG2PjQQV7$zzxd3fx`(-SL~H0e7Xjy(Np!T9ZN z($Dry(=Id?yDym%T3{OcO7m&7!(|ntw67O6bh8AL9G88W{4!HvrD)pt&{``mC$$4`E>ke$6_wDYNaxeO0yU2Z%sN?(k zVqG_hhbS0JuM|6>bm(ca=vM!N3jcezu4eT5C!c!mu`K?8pMMs|o4wyvHzuxZd9ymh zwsY;|UlU9=aqKvx}u813+kH6_rt-MlX=H#*EwgrzBtu`JhPF`YW^YE2q)!;?9f@u!7)t)H? zaQfO6A4#-SJil{C{bMDsZyldni%QMi+Kq%n=AGFk&eU`}wcsie*w>0i>O;2B+TgV);i`JM{dj-g(h|RFBeoCwH2ep6O~T zcFOw3kysabZu{mt9?n@=?RGgYPgZQZ-PYXx@b{_TQw8^h2D=G-{AP9Rjh3ub0{@4e zRkJoNJml4CSzdI1y1Mh&qF1S$$r9tlg8LSvZWBAUaa^nayPvNs(*|AhLSo+Cv}pOH zoMM;f(EP4ra8qMRgm>NpUNc#d@!o9-+H+m+C~JxFjN7cdG2!CYEYq+mH}6WbjlLaA z7rm$6T<2Hkl~?h`x3Jx$#qZ3gmb&6b^*2}ZS1qw#^e#|Ert@~<#^mPOACL6+=6vp4 zTC=`IrKsmfc-ASU%1?@ zKA9;!4DSqmGA7W10B%eW1^Z?Qp=S0VlL4kg|A#Q-0@H`azG0&3kbe#Rh>3jzYjWrv zCiYDpVgi7zLqB5L44=q+XNU=aU{%JyHN*sf(HcYV41Mwt6M#I#1VEf~=3fnc@(>dM z!b0Ycm`s4-s5*1a2@SG_V0EPI1K`-`V08$ySj48p%g)gN0|Q)a2tFB2Pcejm_~Z=R zn8Yp{;MO{j^P#*J4NDtMQ!z4))v^%|iP4x{#bhW{AR)%l47bIM!{n)yp4}o)9ZUl%H39<>rcR-=sgR+E%3{Y6p56|j z>J%U)P~f1B231G4;S0vZE6@Ew)tOV7MuVyYa)2ue5U)Q61y1OdMc57l<{TBO4yLg< zr^HLpX+g1*46cL%qoRObGMf3eaA;tzA%5Wq2gOh;HVuuN!kg2p#URMX(9`|q7nm~nV$2aCXnT{&>~Xq*KFv=gXsxl^HWR*S}_l#LDq9@-&Z zl@7^LQ=tTn<}MGI=r7Co_mdk9Rphd zV@}c`Nkk)tXQ19L6nH;DJOP^w9-jny zq3F^>Vw#8=JDPlgf_D?d6R^Q+LqrEI7RgmWkse9Eg8&rErDG6d@RuC%+-o#o3_f*8 zegS%4fT_sRra$Bp76cE-D2ev@XgV7j<;B!r0JjBt73>USv0}p_^#AiT3M3}@pP+b% zMuE=RsA&{PP+j~%ZJ&5RFt2!xV|$fLxz{I}8NXN636}%z1!i-`)3&xL)hx}YSf!hd z%ZXo8x@3W@!jXo)-@9hbHj@2*yZL_ZajkUEy7kRw4Tl06J{j;G5Kaj>WB&WL;?3mH z1yA*=ID&vhT_x?Y*z zw9pgWx*O-Jct)2MN|{R=CGxpPC6^qC1s+9~_-jwPt4Q^?Sp$zv)Cc(@(whVqtr^$- z$)V$ZQ;6+S@7j$=TfT1GKC8NK+xE*M65cdZ5yO<%Dq# z*DC$*-@ooWQMqC#&GdNgrlypyn+HqiCk-BF8#z4bm2-(XFfYiN7WVRCrtl@oW!1Jt z(kmXWF|k#vGOmmrx41Ej&nV%^k(f)d9;Hh?DtYRtW>HC0^1QFkP8QNazm#|M+Ag6s zQ2X4wuWVg6@c-ER3aBc#t!=ubMNmK*1yO3#(hZ`7($Xy@9V#IqprD9=pcr%`rIb=q z0)i3>f|Sw{(*3V@10Fn^^WnXB-!Z=NkMG>;7`k)r`MztdIp_1tXPU3Px%lldW7cKj zm5VeoJ!dXmAa-3a@_(19Qu@Y%SwLy%Gf|d&i{i~6%=&ryLDDf+sU>3cH~pW?c4+yc zG0OI@b242$SCz6Nvh=iRWA@9~eCpv7UeqZU&sAoyC=FVcJwgApY&n_Mdso8$?&y_F zQn6uquEO%w=#5P@tW%-UN)()?vc8JOJw!E+#8N6-sYV8sEjo>kdFB%&SKue;VT$6u zSeKS<2+lyMVuC%D{OomOsznoFjSB#}M!F<9sqzJSwNC z&$P% z*b^N6oX~!&P`-fZw0btlmxZjvx#+seCyXt4xfwM$^FxmfP~zfe+(T)qzLSS{_(b@< zwTpQ!`scdKIu$6R(u3W!rMZ$rEU#9IFJKc4M@L=YykABZHY1hv&eWsf#=XeLIVq@z z6UltdT#kOnWWv0B*ut_{EMVeA0`p|ph9RT7KSMIzU95UxemNB`CcRgp_0qW98aFdX zPELPJj7a+Gsq3!J)t1Y^Ox>ivCOI-#*(8$m3O~NIzF*xT!1pvL1Z7h?C|77 zvNnn@m))Xh+@|>#@0^>amTMoCUo#PA|8U?@jK;4gyf>Is=JFLc;(JyL`^cGx4vX%` zmNl&_+c8LR&vS6TJJ(9_M?eee>3Ze*l| zw&QlJox9P<%%IU7UT@hqb&IR;3>u7O6T?@ubWqvlp)tnG&#L|HRJC1C%)+x|XPVPK z*qqJjojA;*QGdke=pBZsmq*^oJRs9+3_1QzgY5YF$=7b^CLCCGz3&ZjO3~+fCmz4P z6~@I$adz_jt9GvB&(H0es7q)i8kf2^w3bA)$aL6CXe~4&DtT~TcbzW6fzb{LWn?eO z`B{;j>|*nKYk5t|PuPr70rSxi$0FQ(nzt3n{sq;R7kVEhW5-MyVtj44xL$JIb#;E~H7B=}3E+@vxl|$tqDA zk@);bmzV_$#n74^t92p-^+9?w<0(GxJW4U#OtymP&-5IG9&+_02&x}{<6zb*i}maq4myFJHxC2wPBZ80aB^$ zckRrx22brWD|RMYgGY8hd1t0IAVlmkD|V(@gGY9m6+3gS!6Un$yvs8I6C-!NYnNvN zi6Cx&`!3IfYnNvNdI@))+U1!5_V?~1yPv$vGvV6hnLuqY+aCx=6d*FmF3$v{X4_9e zT`16Nexqt^`xB`t10N`haDw{;Dx~v(64M_kAb=7rB9^&*jgf2It^$G}h=U=K0g!DS z*lh(FhZt$X?>pog2a+v#w-p4FfQ;c!6c8ZW5bF=fpE%72QY|hBfq=wWL3QMh6c8Ze z5X%qn<%wL!0qh1U@$ZTZfKux}Nx<*PY7pJFS0fEMJ!(5l4N#%xgzmOKVQPTuxzAGA zXK==U*aXH4GA$sM|BJZJ3)U_7KeTM_@!CGu6Zxn<=qZ83D8c>)2hbs+2H!!&E^w>u z=eIriAaX7#=p+SLG*l;q^hy970%9QSUz^_JHpDs?@`p}C@&{nxE?5RYTL4t5Ao&Bp zTiiE^{so8tb=3XbhIF*%mjD8gtQP^id>WEG;0J*l7eLS{kPxWj6+WwA%CBH4e1fZD+kE+8y6&p4dNp>O9?`s z!Lff3a1WsV{rraXBLSQ;;LeAr4)A~+3X={D$Psnx!R?0hQ~^Ru;kOy+SB6^SK@;;q z3?N|Geootq6Cp(c1cFMTIfD=;4l%sAz?$XbIpC0oj6)2^;YBE<-fj>&$qOA-fcFEH zlAt*g2ZrO2ar>+Vq@M<$T@5fWfal-?=fG73QRad1IAk2+A&-3eFX;W+j>ka>1juXw z&>5^k&i&7-U*{-f9O5C5eEKh7b?ptup}~CzMdOI0qqw#Unn=ChAPNS=MuG+;fGR0e z6FhjG?vplemspW%8i2*2SRA5p@c@Pc*iZl|Zr>we&*j`FY2L2D@0j+#9~l2F#oqjXTfAqL14f|MKESu9V8NHIY)O+`qzNgHORNb{4+4=J? zB)DUeB|i=Zo=ty!_Bctx@lMgKGLh%?q}Lq?qX}+KysyxG_;!+>vx*$XY5aIXIUt7X z!^tV?$;XNO`S19xxwG?1dD8+|IHqLJzYXuQBvp{^-E{2Cf4%&9tBbB|YJp>;Vli+v z?zED`p(wLTX*~b5_7U#jEn}quVfxOn<)}}`S4(r0-?3ezqO@wP=MBaZcr3*136@YT`p{$r5)+2#FRKH0OziKsg>j28-*M>Oth3X5ycJGc=q;1W|E?~Jvvn@X z&velA)Q}NVM>Kz0>v#Y;QJu;vcPib&?MIhLMsdelsQOCv8YOPiF*MaB&=I42t&LD< zP=AY-bpAGdMkZtCaTjR?*3+}$tWwxYJbR-Gw|bku5#2n5xpj;d|44hOjybH zBFB+6L(<{h!o#VW@E`LcZ1Woqq(wxtxeF2WvZv|rh`*CmtAIJ|>D-68-MYJ){J$Mb z|GFmN+C%$N4CnDcpz|5o`?2EqbhQ#mnMys5rra6h7e{=e3WU zIQ_I+skW_%{>;Fr)}Tt7E@7H39eP>ljf<~BCSyvNVWJ-+MZeK051kmYd>xlarmZOH zg~GBBKUgT^hw34l#Z+Ax-mkw4&(Mk%YD@-GuIGKMcuB-oCjO zcekwI`&J5!l89d=j4O*)=^3@kRB%$}DFLpV4-Bt4Se+f>wNP?&c;P;t{&*e zAuFQaSK9r$kib*i7hRbm=k$_~hPmT%(>GHM^P?r7Ub85j$bOY}E>=6pMC(4j@HI-- zjMH~mi7ALqHg6b-Jndj@eDnE4AaSrinmzu}pFa~bjiXiSFWns|$JM%prF6Z=#WHe5 z)A$XcZC54Q5?<<3RBr~if@KVoYNt|b+Z<rugo zECp0PRGifUKBE#7wOQ{KInIyg-z6$~qYr&?vzcEQAc{SloOI{QWPEsKzMer~px#=+ zJmc|0OCxC7@3>iHq#Ldiju>#H=$;L|&X2zuOm!>5Qhhay^G2JX>U$k;@8csPtE!i5 zzT3VM8}?g@PFZ<2r020B;T4qUA_Cr?k58fYUee( zp9~+V2YnaN+}nO?XWBT3b9X;^XWlq?WcQPICXRzgc0U~6sXLRUFC_qT1&1x3iM!PG}&-)o=&6Qny(jT+RKctJZiAa;XB z%!AyvPn~Id$3(8%K+%g68tt(w9{~E|dHwAy5RWwSr@i2bz$=^*`-u2(<`kQ$+Hv26`;vNbl~h2E+vc zfO$Z%b-$D!e(ECEUjT1|#$E1G;dvlsXs-Q}{$Kamekng#K*;tQfCj<%4sb2Otq;z3 z9%zaIY=5u)sz(6R_Sx3pu?bTB20?>@AfSMb11?aYO8laUjm%ga&Q{W(zdk1_nTUPA%0uZ1IjWIaLY5N^j@W>mfrg1}444|HO z0ILcB9S!hzfYt(F}IjRpdX7L1TNXesPN0s??LvbS9>K$M2&?toO36HwZ=G1mQa zggwi29}duVJpj3mgD96!-nSEogTXdnZR~H{o@l7>rP~L(9rh{%cP$5Ccn32!n29iF2Z3gFcae?|5 z7obY>9>h+99ETVnA)mz!n9=aDV&K4s*dt&^0pZfYZbLjzk)p$g{$CGW!hWlY{DLmQgqc5v zF26VeY4jT-Y+!OZP0L1}eQB+$VDRy}7Y^xptcs||GVquC+5Q+Fl<3@&_qoDv%2 zXd-ucG_#QL3h@yc>q~S2mxh8^7$#m#e~HtW3>$Ry3oa3xmyLXxC8PdQe$wQM$z)+u zyTQ@J*7EO?7yIF>c6DY05#FM!{=pz$1 z9gGES-!9djW3>-DuIOrc2RpgKQn*r4H2P9%3%*>f+7+Sb)Rc@FYFewxx_l;<;^_@l zAu9g{se;QPX$zHwXslR@$AcnezTL+++HkhpDABk)I-`?rHW)2bM&V1lHdV3pDNgl0 z0iM;Ahu6YSZY(vMUQ5(>I2vygp0KD>s>Z*Jr#Y4=gZr_-$C=G_$h26;(&+gWlnle~ z1d0~|!l_N9pC$-4N(Y{osm(ql*C17P-HMqc8c!ZqL;r{6X}tHAe&6gy>rP5E2i9u) zC(VqXaEPLsw)-Zt)c8sL@s}0f$`ZWg`6>-^EI!qks)@5Z`kCauy^(1Z5H{d3O`4HH2JD}*ECNO-Y zXZs;2P3Uo^RiuEWlM!M4{cPW^{Lq=7h6gTq_%m*GYX6-n^S8I7;8d^U&pwWMpGz;Zhae6l@lWkEmUYsb`c>jcWdwd)&lM zOecxFUkPV3N>?2Z0Iwz7tFDY^^z#F#;Obv+&-;otImVSa9fovjy18qnlM z^m_`}=H>(1O^Kgo7QQk(bFS8C3qMoXThoWqPryVOdy_k{jr(Jp^WyiS6P@90_gXO4 zg@xH}3$v$@v|Z4hACiNuMXd7ppA@AT|x`_!R`qtHJ^o^jMYz`5X7!FExk&ci|E)VK+XD9Y9r`t>(8 zT~F?D&wM&%gfdHKI3ZEzw@fo$m>#ch-M04iSb@Xg{#U7GJ7+t4nOC z_oLjYb(+Yb%uT|>#~aTrl@C6NmQ~oW1HZA z+5P0*q2fTwaOYjSL&bs8-0mYgm@8}t&HWv}gnJLE`Nb}N2@#kDAU(EC^x?Sqxfxb6(JDa zzU7f?6-aXgA@wJ~c!jv%d;p(>{R8*#S1P+t1ptP8#W7w;H492%K{Xia_=O-$F5Ux* zi2D=(Aa?QJcd`Ev#pi|OfuSLCJ1_(J^2gy9J>F=T0%5 z7g91hNJb7a4k5|j-aV0P9ALP@8*6t)#q)xK=Yfs2kZ}lE_V$jBT;o7FVtceW;JkvJ znirDIK1f6kG7cfn-aciJYaA%NLN$OL7y^>=K5())VAlUV&E7utkZKt;5}Oa|%-^Z? zL;azg|1eH@&lZB{H26V`T+=`ww*Uk~03;4*$Aa!qKsEmdxv@P9b)RBC7(|QgO@s%U zm-&l30^z~HynV|Pd%T8FH`xwWkn1&2W&*>WLBAQm2*Eml@Lz#{C~xlZ+CH`Z?Eng? z#=!t?1J|?%2N!7c1t2Q`zy4tq-yXjqo~8Q?2KoGns?xA7X2cVe1 zuKj!l1>(rP*FZBU9L3zJ^Mf?tKok?)jfg%&dL9k4;UoepxFkn zz4qOA_iSzeAwx6{=|=)L0FofC5ghG;ST2w@`vL40=&B-~rSL=(xsC$@JW&1u)T99G z48*=*LjiHl!Hz>bvi=*>oxv^&)U7~B1bVvQ0I&f60nLPv;}DO9|H5o%ur}eGLI|3J znhHURbs(n@G7fR`h1Up>dQ~CJ7pza77s}Oz*z;<_V#tyueAyGntdV=c(r)PW&i8pRY<|)uP}2LUWI`u>QCX-$GY~Q zGjv-r`Igd%mj{!$R=2;()p$kQfU>X%9mUDh(YA|UrjMTWscdBC8VjUh#f##yuxXk0 z9;SXed;RK~$Y4(>+vj4Z7j4;(OCpIZ6b1b07%^OWbmgwfkpw*Ko%kwXkl|PH)ZgLK z+2?4QrCjMZ+_nc#pMwTZ6FFN;YgPkgS!z|Q;>hw-Z~1N>=gx27QIi$el=?0;;w#Wx z^t1Sdhh%s-gE!%8%1ZTV_WHQD>%Rt1dxsXo@(eP6OumY!ZE`d@d3jXZ`eZxZsl3iq zNwN>!xT?|`6a3zvQd2#{X?qfzpJIMB6~c2&F(k+^tA4AW#aHXapTA6CV*Ep)*x}ry zd(S}T`YOz))Pa*N>XZ8BG0hO)*Z=M%@DLr3r zo+h&MX`9D1^9?!XTv5H*ak0D4r+ZUg^B%Xj@Oi0L_cC2!*k!h>zWr!@!I7677^bfr ztvYv;kz?sD8{SY%6}zza1B?p}r!ve9l>=l47`|Pp-JGWnI`P%!(}^0@%zNb1=dYrS zS5C>IwqCN54%Q)VUZj;&nkCL7MY$@SheF3+vZUL5p}gbjt*NAqQepdb)oEvbq9uQN zDf%e(+qbUEKWE8nc-QFh83Uyk%O`Y$?-~!)Sa@P{LfHIQ{whorRjQOLHShcsPfOH< zJiFqGwQ%u~PQ?t)<5z7ei?Gry&3V>wT3B7R1T#7uO#lA6_(?!8Q{M^4{Z ziA8n(Tw}>u8<$r?md2Bd4CKCFF*}#Sgzua`OI|Ele7VtLt2fmR)w*I;{)L8zo1VS? zr_xXjCyD}AGkFEPv=w`%4-CT0GWfTv`_r8C-8h7TUp8AlI~@Pj;=+;ppQ(q7{Tqru zgc?!?7hNJI_K8e*O(i3k!YBVGeddMk4;|CU!hEZ5<%xI9b5I?O`=7()2gNl)@S4dO z8y2YAzrT%VUmDS446B{J!8s{CfN`S0w;;?$Qa2_hNb3QNqQnYoK;!#WKMW1d$3Bjm z9CJ7TnLQm~TqYg;QUV&5SZiH347;W2K!-DVOX_5~v*59iL`OH!MiBIWyyl_Dc zX?GdQkCdxPS zQ50vkw@gx@!uTK+EOq1#U0d*0HI=V`ICXikz;_Lh9c&PT!YG_K7z*W59jF7>l!zTAux(j{b=T8`}FEl$r)K za+U6nv)Vsc*~Q#o#~{^_6m`UytBnazjKK6Sk;YjOCGK3K_#un_)f2_jV7Almea@4I zH?rA3%b!VAJUPMZwGkFaRl1gd8GG!<`s3sC{Wy>PGnU>z`{`jO(QtW9k|81Vcq_?= zQzEyfqQBmB77MdW-ejT)y^ZDxp_4S&iTVQCoK^$D5$e)vF7rZTvSLL9AN?4_+S&ss z&c9ykicF!fDvY(8|I(3HZi|@S~Bc|OG2VPf9=8BawLDp zCAatK-*HKv?ZL!Iz$Ib3J(Cc#a~tE^mEDEy%I<=xWV=u8B6_>ByRco^T_Di4^O{}R zT~IXIePs8OcgH)!0KaPcyLM%FVY{-sFu0NjgdW0GGr(VXc4c=VK?(S~cy?Prq3mtv zksTBg5^dahWXEQp!M6Lz4!+3)AG7o~`QB|mAUE6r`6cii7bu=VX8jSs2*nkMArJD! z-{6y#K|Bp9((!^k^N#>V$T-B}0rJJ)fO-y4_W?9s&`t-UYG~B*fo+oe&=3@X&H1Q!zH8tXwoud9AX&_`3i85U@s_$ z0w@o74r+e|3VQqpO{#*7L#(4AUjq&j>=ghNFm7-;!Nx|%3uF)hSa49r3EF%R)&pG8 z9;q7%B-jfB7X&IVL-SYvXw)*~Im9{|@@3#4bzx|FD_E&uK>*_b)t%>{{uszO#5x*0 z1VO6jc%bG#Xe8eb$O0+&@*LD4vk!qBhI|<~2$O}zGC*ZCe$X!pd6=>>>a;gF3RnJ`6&3 zsQc-lEe=%0|Gvd-NBzh(4lGPTaMp7J#2sRI!oaHF`-i&io_GYr35dq+t)U@BP7(%~ z9uQd!Okn`EWk_5Yv~~W2xZiKaAsi0d*%5L*2lib~XgnZvSwVLY3`9-*JO`d!K#djA zb5O>HT;o8L1RuiyD&B%1hy^JfK!gqe9iat*a5!vdk;pZU6Wl(4ED5QF0=^8uxuNds z{f*mG{@&+~Lpq@X63Kz9zCyfCaArgL#~@ifXszzU_Snv~k?J={Dw-Fh4nUzCbU8yP zH;_>0J@DiL=riIShxFV565;?=bQsu20NoVW2%?~Wkbl{;RQDmXZI>jF>o{=a!?7ud zH3fID$9qb2#iB`~1Hj)cB3)zXNJ;L)4c)1T~Vh&pCY&Abqo@IU}zw!y`d@ z{TrW&{mU{|i?XO>^Yr_I;!I2q)td607luFC^HV;PSGdspUjOuq50*Tuvo7dwdPT*! zMmT$x-&fAYd4IiLJmB>$iE}Zo>Gp9NL4|q|@{oe%)BT_Nmdxa`vn<{}27w znGdH!zFzHVRIljGVXM{CF4Q|iC6hUQPKNsF^(lk;Vs9c-S^V$f(h^TSbk*-)`t;3J zd49lVx;2t*n9o>K;WgXi!!4Bz93jR+b#G)Ma_cu{)(#UEt!WM4c=XDUu_uYvQs;O; zylU6C4y}6MqO)Dmy{e1x@m=v8$|*l?@h57DXrwpkW*y-qF!@yYI{akpP3g$c`$dzj zhnNq=UjMq8r=6W^(XT&vG9M;Q@6@-THh!f3!Lt6aw7%t_$y#cf#ON%KQ)ddUec=TU z3<+OuG)*!Yg(#WebmnwPH%6nASPD#nT}KFyF*gKTOeucD(ENx|LgHQHUg6>{|Ja+g zLzV5-`wCcUZ;w$xF^Ad-2^x*YKK8s#4qZOquE!kQy70rB?y@BTqo16@ z~R#JBdU;Op(~ivIJ){N*U3!uJbf%eCLN*sib=jX=6F*1Q)k{ zUTQcauzY^Xa%N6T1M{In81{%j1vl+Irk9c*l2V_Gi?4)45u0Hu+{%@J87lnvg5$$( za(%2P2A8f$u)e{m^LW4I*GFY`N1It3E?WrCKxU*(a`Ta}(&$Q)=X+kM#Zs`vxvS&opG~UvjlD$pt z#rg<6d^K0q%yzhC{IJT;ms8zXVPa)^Q8rN?68CPVH{IT7^%p0eVg6L-@?d33`9@X? zMZDl={5dg9;ZE4;nLOt4iyaEZ*UCNOD^avFd`^49E=HE>&nLCZoW6bG+!T$k%iIg? zghh2$XK}RG594pYxvNH2!st7DvXAK0ahI7{$J0&)dX#afD0r;bf)ox*gh#JfSUIEN z^r5_MLcM+EPG`zZ9m3XQs>F|NUIh{_R-3YJ@E#Ux#*q8q(R(aNUPQ7$+LZxcMVD?2 zyMX!K*{EAXlm!9eSuzpl&YQD8Lwl%^{bj?m-GzMSa5~wbPHgRzp6(|T6Gb|~#dpWp zo!g?c(au=Wxlk27(d0A{=(uf8Oi7h_MwN(a_(bX3fYh#V%j_R2wM6Gzylp(&&}4Gg zK1yLfLqDa+eoBnH2}Y*HlU5K-Q%&%(E-;x4s+rYftb9lA14b&j6uQuG$v*QZG?XP;37CN7+y zZYRTUUpmXAVcTAV7C`y%3eVk$G>#5~a+|W-6AcC*tP-BRd(>Qy%_;uDYWs9Es(1aUlQ@Y-_yKL%I+kaIlI1b_YUD%*!;CWV>?x-kB<%L@jqz$4 z(ky%=spqUkq^LPM{HD*91s!IjFb|ETxGoJ#ey(MQ9PY+%4dd4&>z~gYlxQR!= z@dUQBVis8(d!^_}11scJ)}s&uscf^H?8T}_jAI@)4?`6Uh> z^9(nNLXxMzkIxfdP?M|DaP^7en#PnWCM+}wD~U;Q=r8#DdbLd1TMC_JoIie7HFk)D z8AbPHOcC>N-;oWM){5`VwoJIny~*m*-3qIPgyDheeKfuwCL_n#CPJLb2A#-DiLSAc zhRe+NX|~RnOX${GSQi`>GB?k0ZlJIWsf`_o4q22PEHQ5cwiYu z3$@18E$eq;y!FdnOs}JTj%dPcuAIG;aax$)Z0r=s8E6vInk@bbtIe|MAh8yA|Q zEe&VpN$0hpS1CM;MPjdo+%;*lw{l;vI7)ePUS}pMrU+QQu`xvZ<|4|m$>{*?@iNQg z?g3Fz>Pzp<7k_3O^hB4=X&J;`mj9?r#8_%mi^M*_+#{+XTCYtZyIK9uCET=sAuFbiWrF6qCxT7pcp-L+j&2f zaxbrCXY?BaN(m3V{)SR+V~hVXO1Uk_y0?3hXSYp|XIJ!)ot>`=ad6n0xVT?+G;?yY zXSZ{|%5GwB%fTutiU z`q#{Lb94J;=T%30Qx_9wCk`ijb7warN3%0VcBW^X?d@%xI2>K<&Ny4ynw{BwZqE<> z_1K=*|K*0io;5XdHM6n5YHMcae8$?!`HYjPwa|Gfb**i8ow+D|?(d%ZXZ^y1FDyvC zp&1ywpcW+nx@Td4t;Y}WMX18f4~L`ve{$46)8zixQCv{d5mYAwAPNtt`$BjuXoUHf z@2J17{I46!=&F^ey$Rwv*xQpKO{WW1#x|bMp{1<@rZCd+gPv0eGO=^9vDw=p{F^2n z;v0GB_yKpB6X2qMe zcPWKn{PUk?-#@g!*nJTozOke^^8t&GCx-#|GR=efR=otE8GO*7R zS|txD{ru@4;<(7uCh}@{=2t(R`!Jt$KS<3c(bRGiS5<+Fo^0{HKr2}@vj?0x&0j)px;AatzblJsF@R@Y->zb&K1EVC)%$a|`rUE7F=fhQhLy`k34(QZ8p5j2e;Gh!VZkZKd%<-5Tx{mU$D9haDUD{a zS|d5sWwYo;@>Ccp_c9e-RM+)si-5yuUP(qhn1(rRI8&o8W>#pp8FVKK3TMte5ov?CBQ?jM}E__-+N)G^(7j6 z7&o;;LvDPPQ2*o=SFT5oagyuuPc<=)f)6TanjFkzVf*5(oIUxde25dxU;|4z&LImsRRO?>6xA9)?N%%o88~CF1NfoQ*kKH56jlQJmf3mi{&;Wb(wH> z(OU{%Cr+}U%MxIGtAFs^bo2zCCH6-#)rYv8sy|tRC0R7y+F-rOcbkqjE7P%kBM}o< z{_?h)`u!7;`O>Qc4vDf&;E1KV5!w6g3UCi#|OPKIAxfE9vQ@MG5s<}`oi$L z!Y!w|O^Z+1Di;TYY9c8%vs=u3LbK&`m0rPy#HRTZ22a1gFB2~3lm8+*VcM&n_$tf8 z^WA~UsWeNMI@%@ zHQFuav6TJd+I?hw5#rkIJ@U6%20YvI0sh^%c5mSKn?5f541wDO_U;1?Jg|}P1p*M? z3gR3fHxJEBLp*tY)0W-;t$=s}@b!J(x(D$34-c)s4xrx;EkIQPziykX$07UI(T2QZ z>Njo7-6AnR2nqkNNE~_Wi)*+1&t8MdFCqCa<4X;{a@G=Z9mT#{Yhf`g5!KyVC&_SM zzRGK(GppGB!Q|AT^z7{Msq}2?IU_-D=d&5BRb!b#7e=NACuBx3T!{=*+Fm7GIO=RH zR?y2bmH6m3(NJh#F)N2C?P&9or^oC7AI@1jo245ZDb*@xXW=Gg2 zq)$asES=6-`}DKTm&z-cAy?fV@hUs(i$&+zc#?W zk`eNaS(CdwhpNy>fR#`wr+F!RLQ8?!)HA>N$W+RyuJo~zsLWRx0pkNJBsDS)Jr#sE zgKB&)nw1u${a}~x%8#;^X$%a{BobHssW~v~ZNsMi=?)9q<{&B$E~Z~W&*0c%q<$8a z>~|q`nJj;6b>^#=p4a|xl}R>Dl70E^QkG`T3VROI{JEsIL@v40GxBSE#XOU~5ih80 zhp+iYj-TosOCJ7kPHoQLen6ceU7HPSevBDbr7{}p(ZuXGDA~ujKuXh|kZxa8nBJFl z>J_N!m`FM-bTC}KY#PFpRuK3_CvW; z2ffecL@l{`nvaJ}x)vlS)>hP6mUw*yZ zUd+(4xO$Y#GHI}`^Fm{DjP4t^IyNK0vsKfho#O$@xu0!cnRTqw-WvUaiV-v?bv@N1 zX3O!YxyV`kN0Kcfm)W}|TIj_j3*0$MD&J5Abeb)lx>_kjRzj{t7IU2b2DjHY?H{C$ zR5jHhwxx%#D>ny`tMWPAW%JufcUMa&lylL_Vf{A?(cCv%E?agH;3fcr?diO)CX+UG9d3;gE*a zza+L*JM0sF>E*BrMM>39J6L$pd7k^C0&lU28}1s16$NF#I@RGV6c&9#Fwpv~S;>Vt zY5OZQG_F6oj|S2mOFnCl5 zr8=e2rB<6Tr9Q^t0vi7_wSGUkGs{lcRW*ykM)jK?2&6L1siR0w=~1{p=CevtN*q(fK_9j`QFkoglg z_!4v0bv-np>sM5Y^FC{n^|NeK-Pb>g%A`HR4L8!2GoBi^uLL#Wru=CwbzA?(8GI<> zGfMf-+a5}iIi3&bZp#XEQQKJ)RH<@#02WhqC#7zkKYtQ_@7qQL@+d6wgum4bjB) zvlV0Sgca3TF7wdRPij|pRaaXUQMb^L3wxY>1mh$g95Z}FjXSl}b={Hi8i7TA!;f!c zEB7fV&*yYAj4xd0q^1w1)pDErFlw~ya9x<2PAn|i@2qd#S7Ow@5-**j?N?n*mc%U?%1oPAFn$sSN=iKynj*!W@a>Ip*`GWBGYNvojq#4Chv zJpjwpVREt{ak3Fkp&P?5!V0ukkKv^mBdrkjF zLk6YzhW0VFV9wVO$IN*YC6Z611v2LqT&^)L@5(;cpsDuWx8ueAX9lfL4@-JX|k;S4rw>TF2+DV3<`RHVr z-)kXROccghs}FO<{`$%SBQA)wAQMZQEWJZEVO{Ojj9ubANkv?o4!^O5{`(_X9azux zgH*1gvD;^NC-8rK`}T7RcZWo)3lZnCrCR-{OwMG3dvW4u$YsMbG3;jK=jGVn;!|j0 z9 z?(MKTfzR;dk-S1?0+Y7U3Ca+~j|NBMN>y0`&h%rGVWDtUE{3O>$YVaQ&WORIytgow z)EN^PrrROj&mC1Icl6j+P*w^iqtbMsK;)z+~l_Hxc6OUCIL>hnE zrQxIYs@Kyim!*9ed;?oTEuWu#iREP=mL)& zW-8TooXR3A5^nu}H!$pHY`o`HT?Z`yujwh486)A}mf*;cxE-~}et<{L$ z&OZ7fMe~ly6^i~fI}f{5)a2XD-{?0+D342q$x!&u-NJ9KmWa&eedSJIUPVr?eevGP z-OSeG-OLt-kMWjeGBW*JAD-~RHs?!gBON$i$)Qo#wC*KhVkv#KguZ5ZSuZ;neb6R+ z>K@z7Kr0Ki_?QHY=5_tKD--m(Pt~^OThs2X2v|u;Z<;7ATKVqFq{$KyIYrYIX$h4#UqyN ztd}A&eMaPb;@s0M?4et}$4j%jdc-bLvrLLTezuypU!t>*QawVVvptCH_e5uVT-m>y z=a`c9tivYkwbrQ)shP?asul1ph-gjYPr`m-zvK4(hpwdXIU52oMikS>#`{ac{BS$>r)* zdq-yuCnFm(CwSp~=MkZc>MAPAYM11d&&&M1o({hX|0eRVQ%?uOes<{Wpa}=uF)%>Y z0#bGVa+!GVZ@CElhD&CSwoU+Wkg~TkwSuq}Cj{&F3gW>N*`2w12dB@!3H>9!6?D-2 zL)rJY%a8Czh?y<`=<}cpA4t9+=FfZQS^S6j^Iw_q@A>oZMc==&Y~VX%{eR5c1tNquVSPnM@pgjTt5P%x;TKUAoQPCp_g#^GU#l+L)f88s|9WDT7{A zj)WpzU56Rg597EZbJVQ&@zXAsEuadd@m*y8*y%d`dSXK%hT*wR#`%ix!K7IQV)xTi zGf1ynrgBkytjzg1aP0xtqp9W$T+E}sRwCNr%O@GuzLwB3l$Na3PbQwC>X<*Fc}q0& zi8rpu6A`z?hkmcDy=|Jrm8T~Te>)UG>u`(E!Pbd~Gfr<-xWs5u`_{0iVY#@m_Snn( z0fU~t3~9}Nsf-NaIw8DlUksZG(wXa{VWigztm#c8Q;J{$I$x(d&!UsI=k?gw&!#x= zXvR;Mo1ZUMXZ6rz&(O1gT7jmzxYRfODMzU#ZtvsS^#c(}AOq43B%NajropNd`1@+U! z4;oo=jL@HUrYnb@N@i$dkm;N>3YQy^Fg>X$@c8mbFs88Z)QKn}Gs?!Mpa}K*}^;LQ*Sy30>t|vDtL%ltz?AOd2Jt z-@0Dm+hh|$Jn>*JCpo{4q(~Q5vtfEv<$Ii?Pw4LUiQKQ^x+6~c_K@TFLp-z=axJI4 z(hIYk`oi(E3@0SSweJpym3I^7M+Ds5jD5pooAfBR*vyAyS(L>aJCs#dfN^eQ*eLX^ z1`QLpktQz-#rH$PjCV#x6GcgxUecBuq0xL4G}-t*KzEh+#TJU+30Izd0}{k@9w8vv zo^krOfCOF^{C5MAy_Hw^aMj-rz8wtg7ht{va^Z1kTA4aq0@+p`JPvs?D+^0!=#0bT zkhF4kQZaLsvbVizZwDZ3IsrV6U%Mec7(|1|A!To4?|AX5k%<`{hqRfim5G^}oFpEH ztd)(knIm{e>XNjXiM^>A#0GG3b~H1x#oPM4)sI4~D61fgf{KcYVhH|+vh@W;3i{W7 z^dIyg|Ir6PZ{DgvA;CfQKvP3UWk5kAK}9D)-Fk~ci-LlRx&7HFJO81gp<`fTVdLQ9 z9Re>XBt}6)MMp=&K*z+yzyPnl0iH*}Ai*T1=aRrWtZIbK;6TRh8~z-JQ8Kskh}wr4 zCYZ6~OK)vU2hYiWe`bYiNQhxrwQnxrL?G6(?sG zS2uSLPe1>Fz*|AVA@?I5L`FqFjERj;NK8sjNli=7%P%N=`KqY6q^kODO>JF$!@G}d z?H!$;K6iBw3=Vz!K0GoyHa`1vZhm2LX?bN8`dz3f=)YXH{lotDyGX$ALc_p7$H0bu z7b=4ayZrObPnYU{KPPs%dG9GD^^u5%+}o`58_I@SxZTT z?j;weUm!l;CDu#Y$6?j*TEemd;$XEBV%X;Cm<_5a4*vxt5O}XY9!`?d8-mNl3>&KP1Q0|Lxhs=pB>xp5Djb^UH zwot0(s`}Oo6E-Q7D_d6C#PGLJX3Az>ZK0g8T+L?N^v$JRK9RD8!Z`e9X0?-Q^CpXT z|G4*h_}Bx@81P<%ucnrtUch0~7jV2NXBF$McYo~D^}Dfa)e~Cwt2ocW@d2FmQ!ZKcPwyRC^&0P1KE^E+4B(G% z*FS+8+W*}=|9A83bLZ}}@%-P-vuEE!T>k&J^ZZYz*8kl+|9A5s99x&mZ>`(+my?&x zE^F3oQq1y1)iV_<6HTmM$XlF^!l7|#vztrKXQ(^xB;ysr1t7#rXhiMq#9)w z6vx=cvtyOjb2BY)lUNjCx4TvE-}egTyBV@FqPvk@Zbn34EmVF>SZ?jYW{VJZkTb!B zEfncb&S&h0l&fvov_J61of_9Yeo?+-zI2+j@<#Hwr|taVuD;Lu5(FJ_?c85_WT-!s zu&Sxf^OC8GWA6N+4ey(|G~KOR3-(NBBAj*F8LW67-MhghCxbbJt+OhrKTPm>9eq{Q zRxoj#U!vWlNFt>H=Q^#x6uWT^1Rhl&(lA-p+(I#Z@4cbu60&~lBuYdp{*w)nTPJ67ro6GZo3PM* z93R`_i=5JW++f0`wXS?xU1u&OtVSi}$EhzdRiTtB0RsGu7>OT;AM})m2pcx#7QGj2 ze(~^*jN(I^((#Aa$&bI&e-|Lwf3-f1fF8BLuQs>!+zYMaMQq<`Z;k*Ao_TDtAsdQT#rOF1CCL+>E7fVE> zSLqv*tq2GRk-jH|6e2`K1SCRCEc%`*6;KdTh=>6~L|PFNAqkWqq6CPdG)YQYk+q~- zLK42U?>Fu_XYaGe_uc#Bj&aAm`^RDo7>hC2dfzv5&gYqPKDop4CaS&bz}4c--2fMI za_KZxzSxuZry?I3Ou8Q|gi;UZnK)*gwchT=CQ%S|KuPV~u9qT;H zzFbq9r{=0y_r#N;f`4FBtu;z5&gyG*MCF`HR9+qaL?AZOXSC(dpvrVjqtY1tV-ANYg^4Ry zoAfTkm{NEEl<1|$*BgBh;Z|XvtM1fXGhBMU+O^E0FJ9ZMau>VLt!z36Vybb#a|$J;taCN@PY?Lhuo?6USupXKunqd9_SAIXql} z{h9sx`e>^=Q{e5>l2};wxUzcuBlbP^~owN`@y!$*oJEi-I^z!rPTu$1#c=}--%qa zZqzTfc=g%Uvc>CO*zLN~hYgU8z5LjdkM}w8b6uFCh0-EntCRQhi`hqMp36UGAN}J$ zFI{oLLwpX~YD*$rsL7K-Zj#uvw|2&waVjlJA{;VEWtC9kLQENO-L6!4<>0OCiVN^e!}*&Jdj}68C@hZua|N-tYP# zOvlgsmoKH}-Mkp(Pu{9KQmb^Kx}Zdh%cjZ!<;{t!nofB~$Eyb19|;t$7mmGfc2844 zWGoVV_!wE?DbV}=6gBv!Bu`;IrHPTfQM@*oT#@mzy`TW4xv(X%yW@CtLPQe3_GBna zZa&x&=xMI%jhPbZv5woyG$pi>FD6g_xa@<%mWDmAsr&Zj3i7~eg1xCIb$I;1g<~tA zr>5Jbsrirv_uBnKSLB1P6x*Y9UtjCByQpjhVd`|BRV@B7RnwD}crWrz>6lYlqL+?i zb=Xl<(dCEPwK3P9I)_FUjg?oBDB~xKimNV_plbOtIL{aPear48ljq*WSsU1T42&XE7oxkT%_Y{hEbL8(;PInK{bcQMB%BSW0 zpF2J(qCU8LX@uofeL79IaKY<#nyyKRZegUW>$^0otL_gzYd_5Oh?@O9GMo0WrHcPC zC&}o?Sq^?|ZJ`Tg2o*^CdpGlBGzL0krm%>D{dh7fB^a#EBIxmonm8*y=^NIbqop2< z*4xfhtDgBc{qAkg!qOJ$RiR-|#*CZmDc zAHLmFX&*+#zNP5)yi%*Vw?B~_BHaQw-+VIf^IOEm%@WKF)6Pdbr`M);Nw0e_qa|YX zhYnwQZA|qq(#Q+6i>QgaF}JaZ^d_g;3>6SowKfAd-Q zDp&OWdC%LaKdCq0ee|&7!$}2_?^~fAFNnk{mjj8vnIIMM)~u2(iPR3~M}`%lwRWLY zDAuce$W(c;`J|O!-O{24|J~=N_O!eG(T831kGV{rQr|PUJBKL%+Ad$;SBK*rzn5rH zXMo4vZb8bqnqC@78zMC8yfMxjx*j=qQi>fCqpaqwxg)1aSGi6rvF)Rbb@CXtkymwIq^wbkqca@crspgfaIXz5LT}JqA&Km5cd9LxTML_`(Y( zDB*Dp!&-N{(>3L7lJ4Ydm>kQmi%|&EdD7OMQ|aZ>ME!Fyw!Q^(|LtqR9j`8*bez?D zg3VQ%8s97*w(d3NQ0|4#H%7cDZHHH9d>V~s9~+G+I`;>Bv}{^*I5)f+q~yh^Khp5L z6fJj~X!J@zpBsKh^9)lY|Py!g9qLJ_G1bEC}9P!f>D$Mn(;=+3v>-!@^S z!5%4qsV9hXf7cxFBBTcAJ;QFdnzNE=(Hc?zx-H1>(N6p1w%Loy`mV<6w<s*b){33b^s3#iYSh{025!I)xlGK zX>5#MH`P{lor@f)ha>5AwO{S_pa0>%Ts!k~Y9ooN+_-4@S#z!mCX;ZI;vc!8(eMjj zPh{-ga6i1cy}5LIZSSGHKf5Z*PXHLTr;dlCL?^^u*k;lCB4X-E6IMO&lo5+8E2`-Y zZg1xBpEi#W2Tqh4IR4ZUf>R$@D~_!m$J*g`RMjPX8+VAn?RduCwceRwUzZ%K?)^J|WZn$drKL*p=;mgEBD zMw8IXj-){z4c}0DXSZL%?SEcB2-jgsDunb`gHUfv;#oLaTxG>3o^IRWtNvd1)RzOXOD4tUpZ_X0HWs|Q;W8+R8Jpe_M+{~TQsD9Ex2sSB8I z_4i3{!imDqx4!*y#niwb=d7Ag9>@7YJzJi1N5$+VZC`JSexvO^8;WR#=W^B^7|fU- zexSptN`4*bT7^m8n;v@4$%DMEx&O1#;X&Tg{)jiU%nu^nn7i|y?9VH6-FucF%oP6| zx{DnY?0&~dcfq?Eef%rIng=JV+h-@6j?@B(E-phFKDtISZ%ou}`MoR%W&FOEzohXV zf04^d%o=&Dp}_!~J143&8f)>}1IKW3^VfoB%`}dVG;b=)`-zMJ?5{#2VBNNWS&kTj zm&U}m{)*wy;9`4zMGJo}<&)!Dp8MCeFW+Y~>*vj}+Fl+Hn?MTHQQADgV#dTn)wSy9ej_F_y$bd3z$`wRYJJ*IKZh zuH|Yb^*J8lK4&U-ptfaMB&p{-dBVHuH|>$b8jX2SsEXt0*ZB_4VA3k0iMM>Nz| z)XYwtZ0;cKioD(`6X#N1cd;ceI%-iXhbs&R7~NcwI%%qsu1!Ac;>@FcxhV)>$j@#` zAPj7h{(zxc0V^t8;FE7^pvhE0XA)v5_>8W7_*mX#cY(YSOYI)## z2nsmaRW(zyKP`5W8U3W-NA_4vRP(eU3MCldB|bP;u8T$DFZ95}Ux?feb3x8x z0!ysHT`@w;UoY_zcRtZ-xusd)YKF|bV1dnxx#t&pt?rH-^WFo*&cB4_-nlW)UiW!N@Z3%Q3+QN3Vz%;osrZ?7v>rPy$`q|FE6ba@qhkQtlZ&?43Gb7S!hm^%PYQPeh0hLOwP4l(qP`DBXy}aOO?419(PpY%=Zg>h=_O`Y=%|5~TyC4Q3L; z`2iO3)}$ZtKHU>?FN6b74sUWN#IYY~qHQM_J%>;mG}uq@TwK@BLp(k@&wb$;{xRoV z>k#e8(^4Lz8zFni4gd3p`6I_ec#F1Pqe_0iYmZ)j*tmCGbi779BsLyl;J!1Wb`zDM z3tTo5c-CPlfQWM1tWIyiDSQVSa6SzkjiV5cwlY<3p4KsnipwH+8Y%Ho`y*^XDCtY9 zThG|$2yq`@=wR-4Qz;kb-}RH4j;(&1H)*&V`#MlVTk~a4UgX{C zQ=wXEFL}?ab)1s##NysO1hFqqI=mzu=s;8wv_Rq=P@C8K<&|J?jLBQ-8MhT6^H)1b zy(l$E3Peviq>8oXko!<-n45@hn7Bd9H(~PF|`7x+sinN_z2qggFy=x5FLvKx9pwl$0 z0PhAqO^tAFcxeVi(FEjuk;RFmWY~!rGp!_(>or`kfm~ETiamJb{!PBi>AuM`op*Z4 z&k(&vAB?cc3to(;7@3cR7?Aemv%s2>nP~HLwj>2#LfZWb*uCE*vzqXG9R5u z+$0~7-u2*s zMfhfbX77b>^J=G#PT$Xs1UTt9eUw=XE`wF$iO;xQuPqC<;XUt$TJ0^{Wv-D(aO}pn zTLhjt?k5|-B7L|qDkXEz=g(06R-;10L%GlX+#C`Km1r`gVLOjpV^^f-@)^b zaLOnUTJrKN>B?|^!W%E5@s`pUP1-M`)38nGTf%MpAmV0Eur`F1WZ_N*qxTA}hdbh* zd~$w*|L6*=XEs@HNkH~6IzP3OlJsyqfSq6fwggl%=MrcYWM$~tmc-O2(tZlDT6O!z~?zA~%f6q31h)$69KrBrqm{k#HUv` zV`$z>IUSfJ0Y)ChF#Ej{Z)?jT81oPkgp*J>&j@Aoqfi?Pos!YsM0R0ih3e5(-QObG z)gKkRk4G+#eVD+tmwle7j0q3jUo;k9K9L3f*y{*+2?~-Y3?Prk1YhW;AUbPIvga%n z%?po2?uNk@DRWFIWs$H=M2)6709^jW9e&i0o88`KV@Y`103g@9 z;{hTqLT%0*6n!V`UWcKk`5=YMbU0C|*4Gge_ia6o<*MtOdnD?P^KsVHQ}^z-lvC4p zBQDf%?$-EFcRe4Rd2*4U?ZLA7c%qwsDa=xBmRl6$?H4bZMk(+;XLDGP!4A8@x+XL; zR#kIJj4$%@Zc!;b+p|ByDe$+oaqLtzGiP!Pxn>1)GEz;^y9m~z$0$|tpIAjg6wuQv zkj(0cMW(GXa!`ig&K$wgF35+!mLs@{3m&mizgoWkS*Z)-T}KQ_?RKQq>yqG_60Ozr z(va5#OMvzgspwBggieJ5o9t1IW6%x2N3bQqf=h}FwfMoDEeZK}n$iMMM^qk74ZGdT zFGzj}?r814-(-ZGbN};pgo;C2-9aEfr+Pdh4-Vn@1&;6fsQKzVaU=<}sk-9rYWkhwnYA;%?X-guTBrWs;Cz)FkN`#yI zU5}s`LA3Ix)?5P=Qm8Ppq9c?8=GS`@4ap%9l!ag^klIpiv`Dq_c|7~{(`AmwvQF58 z826Td-<^s`9$CjeY5iK85w|=T>Y&r(klUD+{<`sSBRV9nO6{>6v$h{;y4xeQLPyIT zS;;&x)gBis{nhlEF5zSrzb@Viti7!}(inKS4Sl4L@WL?KVC}utvw>=>4To)#7Q+vI zsT^=6ERvJy`)9G+J7hpIOG6&S<_Y6zLLx*4Rtcstpa)+v1*IODD4PLH@~SgeYL^t| z#L6Roj5$Md50|W$Qi-O(YH?17UBXQ&E?8}N)kyXOKF6-u zy)9z#N_6}a>T9cW?ZE{P{W$a^cu8APp^elOSzc%jV0ti%$T1q9UR#UT-ICyAvQbJ9 z$F7+pMi8vbta?%eW_!-nKSJf?C(ATY&SMf zEDxDZA-8=X?8)KLGXUBYHiHmA`3PAvHFf}!xmqB5CbgI^59u@q^lwQhLe(CiWacVO zIf#HRb}L$Ud;07Jr&)OgPpeO2JZqz!>;Z9oPr?-<^m|#a8&$Je)ANu0^1HcJ+1;Q0 z3=xK`&@dH+S;2I*N{&=U^{!Wr+`I0cZKDz0-!Yv$IOdNsDT_{BAZ z#vkUqTG*haqf`h6aNIL@0};%eg4_u+(H|%&yz|;!E=?E!pA8ao9NCRUpmh4{#)Oto z2teoXWMA-{gCyM=-gTJXpyG@9$ov&XR{H|J(y#oI2}O9K9i1XH7ZCS?r0fpuDddEZltv?o~#${0})xKEueQ*IGTwoNMSUY=Zn#$>If$dxfca|Y1ENyvziLU$3FU=BVM@D%fI@DSRD!5ljQqKFpkho{~SBwVy+ofJ;5d90r20gBIkA4|m=4VPbbd z^hv(tmj!^#oH&x=%`xpS!?FEonRIO^$Ew4>sFpXlXRiIith~5bxE=E1MSoZjOBp;S zm;oXxj6mtgx%T2r8+Cx;1Y)v;n3~8f329UGK4QNLt!Kc2eHDkHe$vj1KVBVKt_%4@ zmLJRTE8=vGbyf+jn%YH$Zz?E4DaouR)h&rzXxS}^aBLQ1rwtk`V3MSo3o@-3$u`bU z2tFY8*DFmU5RIhK3T|@(X&F9U<>+>(tZH6-Nao4M3AUghgJ{Jui9;z4b=;^92%eaa zo^x0$f~pcW-Db%x^7!zs4Q&=xie0V*8skrV3?7aj+_exhJNe_v-M85ftsvO^1}x}^H1KnH5MDn8oE=jYo(YYl<5yw^puhZ zPUv!|(m9B9S_;!CT@z7uEoAl=WkA|{T2a43pa7eS*smHA?>f-D$y;PuqB-GjfN2I>Jwec#Nz{U(dBIs! zXn}8@+Mzmy*(KaIx^IBCEjz~(V3z#jL%wLU8g;~-jbcTj97!B9{a*(^5&}m9r5-P%QP|^V~D+aMs z+$`e$VBCgJfzTFMn+&F>Fo&RIb({;JhsU{cW}1fXIAZ1J*8S5)n>tCi2)HW9n)eiP z6fn}vCe?*I9z*FQWxN!IEI{Xqw?hRypX}z3);MgY5^5h<}fE6G4_WA5<-EeZoVeyPoqE+P7#brq5@r90xpa4(1Y-@Ov<-#BPnBfDu}j2{Ikq63vE} z3LnkF?$H7>vYO8T(qw5yq)`gv2bAe0lme8KL?LIj66K)s3DXW3)|xWp1EJFHnDIz& z6;!82nW!N2kB^Y;RKcxa6KpPNdsFmop%Z=7<|OPUZCU6&aHM1$AOwI(LO1kY;+}rE z+;Xl3{;1tla>FElgA}N7LC)QyiD!Z}hF1e1R6m<4B7IFvpbH*fX?o34Q+z>2C@9f z><%r(DnUV(_#p76vTm?8@Z)>|umAnZpK-6gGtxCIz=ZBjk}ud?kk(G9tnTf`NT#x9OgbM$@C3Gd z{}NR{OV#zn4AWI0htnXbdlOa$KqER7z|uQuYv!4t7=@;RvYP~Wb8duzxr{uLsKxAaUPl&^nC#o=Pt$@Xau79+0@|Iy|{lDZpBc( zzfq{I1YXoeroJXU1Dhj9yF-_4E)+U?6&?Tf^HYm+sHog-XT7S7?AjC-=7(azrEZc} z{bNpRSBz`O?|jH3?J1<@y=@aC=~SrZEos<&t;;=spAGc-iZ0zJmEC&+ez+ndgxYnq zr2kcXjqL8)6^$Kqju0I+bsa4DGVqvS_Y`bpolH6vojG9)4S>Zw`$EvjwV=mbF@=eh zUj4|(C2vC^@Sz^S&Vn1bsl3GXt`?-?Y6w5-(1IW68BrfdmSN6M0&kEA6VjOT+IvV& zKvN`m4R=Uxnuz-*n~`j^G}Oq{(8@Ur{T^0Buo)))0QGkJi<*9S2<2h-;ftBUd%@{u zUVt7_ZFX!aWwo~2syHjoz{yd{VPup@^Q8-ZZXZUKPL=(vNF<$a~GkCK;gD+R5N52Cazg(vu7GKX?v|7V0@Ck&(gp zNTal;lgK^ec+AVIICtE)52S9lNAq_tk7SRaze+soNgNV7YbwWnp3Ed?M~A-S`GSsy`=B-|L)%aYy3G*uOzuaE2pZ z;AbR@Re}izK`zrMKUf}$;YV3JK@FfapOn@S4{Y)sZVBD$W|fpcq339P{{Wgjp$-No zA1w8I%V_ZMGq8evizW$ak;(GRevAPV9v-;|Ol5UJN} zqqm^G!=Br-Atp~Dl!Q91z}JF!-jW*O6ch`>9nfqJzG#i>_s&qPK1)A7bm(oA&7pFo z7Y(CP&5is3T$U-NBc#%~O3IHPr0Q|P;n2nrD)`D2BQ4a)fs<7+5??GpzJ&8vIs%FT z76cQ|L66>|77moeD;ytLVoH%R(Gn|5QZQ9zO9yT|FOrJ#fXS&B%^mbMa==KOy6Z>O zf#Oy(9!ft=-#*A;2YLF2jNw5(nKE?=++LAWq@;Q~EUqm{$tGCO1g<=9+g(D6@TA(x zXWhM99n(lDkNR5=-j{#X?@fpYs+%d5-XHn4 zDxdwCalXolDs6H>;3`)YoXZZ z3|L#{FXf8S_;rC{2HbGr50%0!-e3w!Lue@~@9>BK7T61+$nLxZrV71UFsKI2i@q9k zoZ>r|UF>C!?Kis?;cr}Ks7$i3D$oqyD078seVU6pi086{O^2I;G`-un zO^doStoHG*HKS(j1EV>-SF1;-0t!81k7QJRy*b}flUXm~X3!1h{1dusqf@YZ>5@>A zAUf3qp$_Hrl4WR*p;w^%RCE?fX%auGDhc-FMb{Cm-ZppI_RtaFl^4+od}=^9*L*_W zh!*@RvE(hi3HVycW7G_sI48R5@Wbb(r9baDd-jvd7xewLl*Uun8N@tMiL*!@ZAEQQZC~H+(SQ@ zPBj@Pv07Ea^Nmm%kqkMMS%B{xT?@qA!gk-*&NPfuY;6H$D|sOm{z1Vf!KW>a4P{Y2 zGmDx$Y?o0s2}#^8lz9dqGmk));b0+APUtX9z=5H?bbT;kQT6e-&;uyS!to0f=H^f- zl|sD$UmJru=PD@a<-X27UwnN)P-va=gYnFLMH7M=hj{1nR%7+19$jyABlL^tXH-h+f- z<8tngR6GzvFn`|SXBd(62L_usNZVgpUjAu{f_APloXuiFOGt4cj+X{^uqY~KlVA9y2Q zx5Z--XQ&JDTbah9Mh2crOwKBm!(Y;q2=by&UPpi(+ql3L8F%-KF?IOO?djsGF^e- zN{2%EjQvopFBHl{QHR;&o9M2@fVTpT`o>rlnT()L@?= zlp-i=@x@h2DyKK(+9xxQyf@!Eye{{hh~-~q9_$VS`*q<=k*cDs{{}CN+Hurb((#*h zQ^kR6n5?!PQ&-==_EwV2dL3g~E_LKJ&~)v)oo&Xk1Jioy`aO4V7FxMe^ZF@MR|`KM z&=0a3RMpn$vmrKsNZwBBV`1#m7RV70Of9Z6F+bp|`N;05q%)%CIBb^q80e5G+`%7| z^3(pZS&NtS5Iq(jdj;mOCzXXi)k4i3C;2c$Ljz`&JhTEnz$Kq~YUGZ8_M1uLZum=c zqpYWTGlU8JC~dxWK1xq`4q|LZ5RL(F@`-v-b=RbH+_)Z3AT(|P;FwQvjDBd!&6KSL zCeVuCQM-Vd@&!Y8oXe2u`#-C z7Tv7PGE&9|FuC642RY4UA;OcupgZKqtDUX0vBgDG=FG|!M(Dbc6LLUWe#2UjvBU5e zlQP2|B$~6>JPbd=uQsYlxJSK}1WTxJ(}Cc?G5r|i`c2>gN|T#RxlCMEll9_{K^mjn zzhQPFGD0qlLwIX@p;vbF~QD^>{G{cKRh(=5+DsPiLDmYAT(MRcEqB3rz=Wc}B7etrTE} zw}HdO@)?Tw6dt*|7A=nr=m62#!W)0@$wP63cBBZcmp2wUL0p5?fQ1OdcU5T@v+CbA zlo8XoLIXg`4*Coxtp$PlbeNNSC^X{`Zt@EDh&f_Q(NiMQh_wtF^Xdz4#8*OGJ~ky9 zeaRA~jw>Otm-fx(R6r?H(sn z#kXFPj3BF0g~qiD#{Bh?M&M?OCCYiuf)OJ?@X-I_d;Ecn9KCU z2L_)9MnVE4T^}R0-e^0$zrX3noAv1Oq;B4~-B)>vL#)_5Bxbjenp~Uw8xf z2=_b7q7F_539pSt$72=n`I|kA#`WFF4GFwPFRx7QMzfr)Nm9_o zWhM~;M0X_xOeVKXNN`L^3YRt>lli2*_;ugTVVf)ML879fJkWbW6){F)YG^_~@XA7Y zK8xA{>~^S~!#naj$n^vMgwDV{1~x8Kh|BawDMBfTm!0-VIXn?5k1ue;MN8sHG6r!` zQjX0-E21MY3UxT8z6;l6t*dhf=k>{!y`S&RH)}YiP{>}X&pHk-|LOJGD^l5JS-;l1 zDrwB;C324q36V@c9Dy>131kBkOm?s`c+US(dl-$4l$(H5zT(D_ z4xqG;;^JXq8V`|1ZZxZcD@bFtYXmxw!KRvV{!YeiN#Kymu>VVLgu3{h(E?d=EpcE{ z?n;#5O6-(+!g*41NpD)QlR14KP?gW%b=vw26X1HKo)7r7lZIblkF{nAt*167*<~az zhUo6sI7S+MCy-vesR6YxS>m0*M3#UdH}M|&#&i|^Av6HTdYSEOl{JuAkVDsc(b|N3 zBXF@+pgdSmZ{u8poyYFQGD{8=+U%?2&<*emdngU;IZ&PI(W9r<>K=?g`z$~fyXwqR zv&Sf8rr(ri8u2=QIq@fFF#Pl*<7`5Jd&^E!b+xfaIM1rzN5~EM2lht_t#tQ`n&yY9 zt`xEZB{K$onZ;<9OCnhoju)>{ZlCVZx;vN_G1SvnQd`*V88Q5ZVmnr6Dt*#%bcXy4 z?$V8(Rq06q?y%v0@htjbJen7^)E$i!YCz3ECDXrwLqA4vgGwJl%e>wmDU1@HuZVx9D(M@*474IfGx27HkMn zk!+*)v1^{t0+@1+OSceWf$7rFn*xzGdqKdE7g}oU1+Uz%?G-Q%xIB#X*(fW>Yz|;# zOlV+b@g5jJDOG3`&qpXNP(OQ5SaMgEBnYP=uPENYp#`{Xw@>H*;Mi6LIpLte;^PKh zKRIQLn`inYuHR_4123uHfQXPsXB#Yr4TpooUyr1=#0DNIrQUbnzTv@UsGt<*i0Y!0 zxbdVlA{4cGCJ-+v-aWXfh`Zj}ic}Fs0WIsN!NVn_{HSQ5wg9q`e28y73zhP6qzd-T zt%ve@Su!ftE=Q)l2W@m|DjBm!{o(UaC@B6_4YsdbX>8@W6UZ(^vJ-qbG<)gzbPXkyF#ztN9=a6 z=;fc#mG9!kY)O3lUS<=7rnvXl4CQMo6kA!G9c6}8?XNz)8nJ2We*ZCE$sTgsv?p~r zi}yj-zHLdI2WFUq(2<$N{s>;_fNN51?J^IeiZ@^Lf@nN-vv1$T0ePpz>rA-6B;^s_ zf75;VYkhN+|MD5K_lP!bbM7TT^*IH=dD4ev-pry54e!Gw?>EKd!OM=)fwa3PG@v|? zb{2A+LT3c;hgA7GHVS;bJnK`>AaK(ZqV)z_LOFa+-M|e#+{%Z@CY+pt_cXkFt;FZo zrcQ_8QB%f#18xr%`3gj={SH-;9^#YO<@%y(@ec7j#x@(@)R7yRh zShCuWQ%t0^JsW!1Fv|jHNLxhKgIPiCFblO^{C?x{i977+yVvOIJt46c_MXd^YwC4) zjXFGfgUhtC@rP&C?b67}VYmhxA>8+}+B3 z?lYwuEN|LiMy8)CSEZ$v9pF@1+8OpU%N0b$S4a`$ZFnDFsFJrXy5HedHA}PsO+N}r z9Jnh{MyNL;^Z`oQ6Iw_KoA6C1g4qb%8iD+g5cF<^Bs~tj5}ujRYXgd!d1dH8uIWu% zU(}&dVJW*@6W; zq;7LkV4#S*X?w2KB^*W~IR-1=ztqPib&}33e=H3^O4{)~>X^X8dBirewv9%i zdXwl6@u7e}FBHFIGWVUCJV3M2teKB>hFg!1EUCW=MR%_Qzi`iqktto*6&Yc}Uplw- zlv`xYLo2URFQyILl1%{$g#hu;~Mn*G9WubV&aMVV7N-6+v z`W!|_syFqzG*khH459key|{oU!sB7lIBKB3zevOGHh57!3-XZ9t|SYd-n(2FVyy2S zpIhOSzuQ)(U@e|9)Zh5!Ow55iKkv#k_S>GH8~4P1uF6h7p`cT9mzZSz#saIrB)DZT zz5WDz>+G+9H;78w-QJV{<(bTvn@%2-f_VfX7h;ET8ZvMxZg4^GagBe0a1z*Ai$ep#93JiVrYG9tg zS9=-$@YVBdTE@={vqWlX8G+_^7pU#ISyGOQHm`5AVR9K#b5#jN~C7 zRw9ZTV$;IC9(#$qen_X{+HKvS_qOnNU;O$jYqZ$orC{1oITrgtyf>y=#v%FI>sqA; zpFdnj>*705+A{Y-k3p8Nyn6V@O>l1b?7Jh89@W9S`$~(o?5wUiKKd;DmES7~s zcnv&vMIU=Pog;-sWWEeJuH42R>f!+-mqvXP~wHX5DIpU1Z(-WmnKyQ|m;OAwgcNFc39jlzNnc6IQOy$tb=Z6;(SJ>OwQVwz|i zs;lL$S=ReFdeh8raGz9UW|u|g(IUJZhbdo|`vMzUXS~{yazMFCmG4S&qxl-QD^Gd# zEnAiMAy6oTP< zlMP_KhTq_}%K*HGIQxpmmIQMYe$Row>l&>3WNk^zJ{V*GgZD_w7I)ph|Kn?G1Wk&5 zTxAI5aA;wQ!^X#M@`}gRb+N{?NpS?MXF#gyfMqAnOy7iaJpvrk@3S5j|Fhk1UttSM za1nee#}DpPRzZ(W?1$ABN;4S1DjW-zF|eS4$cs1lGMkj|6MOs}qZYcL^1;CGq+%CB@P8-<)v? z(J~`VeeSrV%*yiJ=T{vs6#854p9%{)YcYq(H_6&}^mol{6JJ5T>8{@&N<7KT{;@{) zR!xn>d00%w{h!YAKWwVA=rYfGQWVBp7~_gR^hZYxF-D_tFFp>wMvq)M+RPYvE#`JI zo*AoRJLxofW?jqmtazAVQ>Fet5^O1t7E`- z``>veCX~A!kXPUWZBO$*MYeyAvqr0psUI=0JDMIH+zdBp@1%vV zmKnvjY#>D5{M3>_OY)<2?1uw+Wz9Zt#cTBS|6LDZ^zVrG|8&Ir&vcmae`Lc~QqlJ` z=k=B&Rn>zRg{20n9=9*P#5Vf{&b|F9H0{PkMz(ZM-KpOKv<6=O?cDWmX2a2Aa82#+ zMOwKz;!$!BcQipR;=Et*4o1;WSB#x&#!EOO2+?2~5iF}dAz9kf$*)^S;au=$?? zm>)7^r%nX6ns#0EoqtZ1juYHsz3cI)NN0vL#C_IXy}C?seK7CIa`&Y4ImKo_7{2lI zM-i>dP+{W-$Qt3Z+nuf{m)Sj>dufQK60g0>7U4EbQEofc zcB;Q50y$9de{ld%hs>GTP~w&dPeWaBdvBoG5KL_;wx3!5<79bpRCo<;;$TNglKuMi za#dh4pURgi-SrFBaOQl9%k91~`+V!1%<{7%ow|$zq)X$c7raE3bORCH_S%H%FpQnb z6dkXAi`dxDkRizM@0;}dXvUdE)9^XIBO2R(()hL!aZfQM?@9N*wP%#q0w;1)Op4px z(puNsPVTwG@lPI29&Yk(Y4sg_Aox;=DRiQ`+UZmuW$VW4n)e?#^=hm%JMi7ec&EO2 z4fD}_EwNYwn{5;PVXh#Bq{8?<;RO#@;TXTllWMpf7r%>_x@dW*`*8M>G>5%s6%B|L z=2RR!h3J(ZyawR*W3okoP^TJBY3Pihk!yU?%ql{t4bztc4zv4+BcvU_^b7>_%vkga z{b;#U*REQk5ihrW0<5_-ylro=JUIPeqdLv>o~iSt@T7pYbLNS}s_LMJ4toBP?Pk@{ zVYPGS7kB^B?i}RWGsJhcE)DoofD5R-@klt;ILojT$4{3Zfof}n`=LgF%d)Z?fn~#v zH-m~P{Gbz3E!j+uAk&qAJl(bFf%UOhq|mkgwx2qWOI0=B`}ke#bU0F=qTngmMX=74X&hXvE@`rT3Gv z>Z20|dhC{}xI3c4gL_X&q;zfnMQ--gLhx}x!LR6D!Z7_YMz&C=!sW1z0eeI=K&?A< z$nJ!T$#Q$mqf0fv+;&vfttq;=M<(&ygx0&2B^gIPHQMdjyEx~QdYhphBc{$z^y^F( zlo!(m*dw1mEvYH40S?dqDsu4j+I|PC1?2>eh>!L~cl^z$X>w=a$6isvl;%lM_NOtY zN)?)@@Q+nGCN|>-TO{%z>ryPl{V40`9Pmd=ieLj z-?xuvHV&;!%CVj!lBNoF;fs4WE}TWJ?2DxDdP_LoJVJcrv0q z(ySwh7AsHYY)J^07G5+pHa%@g@9P~sc*r~n8eqYXnHTp_O z!u|!3fomW}D6W8Y16I-BpDYjl3-jpzx~92EcuC*RR+dU_NuXkokO%yn_ivJ_DE+V* z@-P}Ghd-vK8aMu>c?aQ7j0T!$HwwlnOjR&tOQKAasJ=fogf#O;6Xy0JU`%I3TWPk8 zK`(D)h~;5YWV&+jzuAXfN2>W6%!5^E!+;42B_1O!GibIC^Di3-zq3d@7qmERRa{hU zs4XU)hu<`jEF8Wu^Dk^8|Jy#U&c?a@v%?ww3|kqTM?x467(Ex*{448~|6(gUWwR}x zGL~oLVBtU$SVXXxyW#(!jr?6?3sYh9q-D2%pQC@DqklTZ|2{|m_DBCUuKe2{{Zmx^ zcU=3YxHb!ff4S@`nM`njgtAaaiG&e0|`wqE8-H14B?#*#fd+VasQ2C@PHPH&6S-n=67~4wL9+_MA z6P4p9uY|Rgu(jen5J%|!mF~OO1H}{72HarBfbV{@Z;7vvKN?Ku{{m=a2b=o@jb8n| z@L+CU$I3=xO7QX@_-I>zPF5`bW3DJMC|9b*I>|ya`Pd1}c%G+*E3x_8#Wc_%5OPb1 zMr6m!gtD=+%_mPYU0Q}|)dAyJ-p4l&_mcxhO?Q*sxgB~**PRCHA``e)tr;|}#`M@H zms;J&;W>Gnb$`_9G1Y#{%C?raQ-_VuEF8X)dty(!qB|-z`%M+f)lWxF$w2o>n-nCnoLe8#v&fB>#(w zjN4`;>D6DvQSw?WS_8cr0o7!`ehX)48$w?Q=8mtg$d?Dn6ZCZu9tJlS@ zXaPf%M~Bm`5j~*3{U}WMDs4&p48oJ$MB83;NKs` zWBvzu?-|w9+O-R_w*>_i>7A%F>7w+OElL-V-ie4v6Obkm1KBFQMX5@Q5JIFzkuDG+ zlnp4o2uMi)DS{*j7$Aw~_I=0q<2=vvj_><^o^k##7=*D_)>?DTIj?KZc}-9p9KYJ*1k~NN#tjjF64m{v2NGxfBFP;XQ%&S!3*FShLs)(OcCTaCOEmZ z4;lyIsf%)_AcQEq&+4L%*o;;qycZ=hLS}`7j-pSy()8IMK7VTP72fyLd|Z^kN* z!Z`NS+7U~4OKF;{UXBnq3iL(aL4<;@!6FLC(04SU=1#j5?k#WFU5GEUjeDNs{r{ODF?V~-*U$j#yVybwxR4eQ{ zZe{tHxU0$-2`3N-urku{@fa@)Gsj*ue=a<+-APZel=Tw%l%%{H-=cG>EWFYMtFkE@ z?)8*NK5tRto{rNr1V59!zg6+>0~6!0qDnJ_A@NY^xjGp0ei)KChA{cXV%S3(q#G*$ ziYs3<5=LchF#@RC56=_{K{DZm5p)AI2XYmI1xc)6!!MRgkm?jSujw4^!D-L&V8WN0ZxfrkAj6J8xfT421Y8$M`K? z8oSsuU~YJ6FMlL(*c=@>Y;;skP7d=rEL_vbP|)65=ilt|%1q98Hd7 z8LOldOl5aAXC*$cZubi`XdU02UEUqdta}6WIt3Ji@LSU7XFci~o@1T}elOjw3Rub2 z^|^^psxmtrhjHLcz+3b9+{9Se`P)}moARt# zs^2}VM+W6b!8ou8s1zOjWPqfZm%#|i9H*vi>O7FW<;v7p;@_6yDim$cwkeY z87w8*#}yn{$bGr$_~iCD_DO+ePi>K=!OlI#<6;7YKw4{4`o)5|N9kcg3VxfR9ppcp z6wf^O6QIx?b6_LHGyoz8xu9fu8mMO(h$_*~eIo4t{r~51DB9CGad2I#;Dl#1p}$yk zt}!nR#uIj|z~olPshM9aFE~C6$!)`~0#ht;`}DdUl0@*Pg;kv|NpYQndy{+U5(kya ze1AGLjD(}&nCvx8&$$&utiNR^%l(a#m%Z_Hhn)oL35o}kSheTLO2^hiTt8#Sa-(+Z zHs4SAMcQMy4CFqqs|987lnO31CK=DUJW7yG@_&d;B|-`Z8^x1ow=bnFfa>Wv+GHOwP2;Z6>_4GCpzcYzW7>Bh?GBgd@U_^vH zJ*dZ#bRi}{4GBJI3SVd&t<9@&lO`U7FUq8wiCT6x_=fd{1_`1zPhwUNR;EfbHgfdL zzo_4AUtii63+fc;`nxxTZsD1HKU`EqRnOZZH}lr|c%EN@kf}|KoAbL^RT%?Kso~|X z`xAE0NMhO=J)~{AYyfY?LG($Ki4oW%n zBhVK?I8M+dgHpO3lCqcq=!?#Ov*P&Tw&OJ5D32YXc~SWeEr)bGi`LPnT1;LK26_86 zG`xYwcTDE|%rW!#_4Fibn+51myn$u$(=*CVxCJHq^mms2W9ij z=(Q^K;lQ5%h(O?Ygp-8=79T{AB7bh5b5p7UBhf#PWf?h zkV0_leRoRDBkt=`)(xHSYXiN9T4PHX`&bKm?8Y~J?qxsMdS4XK75qo{W^v03Ilc?| zCtZX>q&4(R_pJ$8HSzHoKQoU&R`}I8&$_i^eIMAbf^&#_7GkNzSt z75`8Tb~Ra#QXRNQ%Umw>2Ez|{N=Q1UVfSf)@TtE1V2$S5ixwre8Ce>(ndIC+85P99 z!){k)V)%I@t$|C8vbz^>BHDKTWKGdcYe$5F)^3r7xvEe`jE7WiN}k1h<(R`_4_#Nf z8@UtcE&yE4W;u9RDVTqK!Vbg8e+hH&e~WX(VHoN^n^mM`3TRmP(xMDnEoOcPKS3k< z)h!UKS95_S$BO_Ou<$&nO?VUM4=%1DNyh^KrOmpb%lo@$=U*&K?iFQ{&dc_wzEOWKzGvM$C;%hz!$YZv5bHr`3~{vdX&4(+-B@LE+z_Z7Knca)vj_VIDNAFF4 zRkJ}6j&&L+R<1PF<_ah3>JfB1J-(6TCOXKk$BE?oyV8cOY?R+hmZKS|rs2MyWIFDr z2WQ^NFjpD=St~cxWra;7+G7)llQ_09xnVH8SY=vbTV!2etbVd1*v~4{B_+cgXmekb zU@_4+l5Y8zuK8_09*3_zps}2GF*!9 zz?uBllRgjwZc;B)eQ0TDexJ3&e$3zJ?nrgrd$X>lr|ksNGJzMmdXEYf4lWo@Qb&5%&gYDZHH%YW|6hxNPGj)WBq1+@ptUTy1AiASDnNN<5e*M#NdBD zuMe=0Y`QIAHCai&SeULzdQ&yH!Y9$<$e|n#@ZmjRLwV2&s2pfG>AXWZWXAIXW_J=) zO@5p|ylM~)qAsyNS{6C<6#N6Y5Gd1i7?_m;tqfoc4uAsapuucE6qqEGldx(ALK(3h z80jbUI67;PmQn~o4v`5=p&wA@9jMPmJeZWHJq+ryJUAN-Zp(5H*tL>-z`hVc=aDAP z=}amho_UbEV zuJ{=AMhe1`TsiUtzD zICHjWm2d4&`95 zIOMGnh%|>1Ur`%ijYG#m^9rAO4@W1{zb#u%BbkQyC$K#}DvX&-*^F}dA3g|*XG##h zb<6!?v8oWb4ULC@GsObjn=8Gh)&O=7(ST~DHU0?pd<1s7?jnkH5Lu!gk5HFR zsGD{7YD4`MbY{)@?fcR5b&bh3LyWiT6Lr=TkGzSh zP)by@{KFOLF}3a>CNNG{CnE)E-CMA+>VP`o)83o6-E=o9@s%TH4ryNnOIC7`V0-%*~{i?Mk1W@KiIixYMka9mMXi>xDZ0P zWFo|p;_!9aV$N?j1jY$ndilFtRujC4WEj@T&Tt3}a_VzAq_ z))sGG94Wil_UURZ)7+Km>fPK%Xlx{mZAp)XYab?V)#)0peQa=!!#tGx0)x$(M*9Zh z6-%jZu`kz#P5*LrfTTtUnpV=PB|}7f)VJt*sW~ZE0J!Zz-4c=->TVH zvaH!V5Soe8G-;4AHE%LCU;mO9&`=S$0XBgZ>;KlbfA;r>3YNYPan^qv<$Q8N=d96D zu@l(hzoFrP*O^Ze8bq~mIjuEsewq9^$M>ABmy>hFMEB!fvxZQ3%e!7hx3B5icIgh@ z4<3q6B}(KTmJ*bp3%Ss33DASsEec?rg?jiwJnSps>?qC%Iw)fu<2!=QzZ(7@YK14CabF)zwe)!M(SPKt$+mr*Xg9BT z6qZ*eq;)jH-uKD^!n?{I^~YDXEEAi(&n<1K?)HdzD}zMb|7f` zQ;_b+us^0glB z)!NT=k(M`xoh!OlmaZJ`QN7jaji`9!w#*uIcaxu)WV-o{PJ^~`sL+PV+F()* z_x?TCmUxqQy3yT;2i_0lO`s#VO{HHfQpjkk-)sc9s~{CXr95)NJn z;+v756Qsp1^i~JamCG!SGNWHi*8G)C^?Sz1CVK=N`?M75RPNey-(@gv{sXQ{2kM|+ zXke*A>{pF7&2;CLtq)(bvGXHg62c~g;N`aSx;Iqh%s4G%Ey5<{tGMo#)5))c4(2QdBhWc(BJ(k8Z};CxtMTiy(CL;`9L4=1fbwmol8YV93Mm!*i;rR zuJo-<<|Z|$-n+i;P-XQqbA2o`FKVOK1kxcUXd&9M@Duwt+ zULU3YuKo%~e7MSFVS0gH{-o}gA90~=!i8Lg;ZF`Ssh^Qm9u5=tU!eW<*Fxt{x;MvF zDLSWdGdBT*!Xqds92CTeglYWW(HcGz_Ue}nN@>kqm8y^Io{tDO1*k@G>FPbS3c)K> zI{c(|ZoJP@yz^JPh1|jURAP6U*5x>QxBvcg8pkgd$wAUQ1JVDB1&2O8xib!~QEUh~ z38>y71LPUnqG^s&IhG(i-hXWY1Bv&CioR~>+ zNC*+KyMVK(4$T7l7Ua5b7HY+K@imtj)K1=wevBRns}cE*)zq-XW!|-kA#YwB5YQS) zDL&BXl|mb$R_yGo?9Lvuy;=V1mh9^fEDyli(jAHIz z&NtHh7ovi?FTt(l1nCA+D4xsJ8GI$VPe1F<=cyPNjQDCLUq7qq;jMn;h+gt5W)HG< zC2>a=_-WR5l)!o}e?nN5w(D@Xwu`@7{a9Fm^MEizjX9f3b$ZqEt}%|x)mQ2H+~j5m zYfj?eWmjI)+P5#e9bzgBZAs4A(w91JmI0uB=y$V%t*%Hmq{8fH?V?2f+9B0KtFTa5 zav(T!ZM?%vvrsn6XRJWz#l7RQty*ueHdA&5nj_eY11jsx^^)T0Sj!M|vuj_=<<{{u z50E1dG7}uqpcFpv$TKQBT9A~L9tgXRfpiS>Jlx-!f=eLP*0tprk6UYe9~s$o+Vqy= zNyp`ARa`#1^z6)E7}kQNrc{Uc{vQausGGi>sE8Q!WMX+)SC?sEfzZ3$7}sK~qPy)f z&+IgxlH|Z8o-D)zo0qpyx4V4(hj`c- zYL4Me>N|-9$FriM#&^P{Lu#J&eE`jJqpNreE9>Nx^vpZ?UVPQLexFo!e5-^WVFt{* z$_(l*){a_yQu&@6B@|g2&0rGSw8jy^6yf%F-yCdUu+Kh`0hN`^s95!KraMXW z>!e*c_9ETn`$PO&{~te@CqIGpqLAyLJ|?WhVEan=tAalG$wTO2sh0)k%@}3cKl;k{ zDV`z*#@)(h*V9cT>Wx8lCZwsa)G5azBqX1rVKQJHk%^VpRhLow8Bjm-U(Vx0#Ifig zxE#}3naa)VV4eYGKXb}7!Px)DqjuQ#b5sI)kDn63EDwqsA2y-^*+fae|1XBMwNw2FzLm8*! zsGf^k^n7Fw@=zGT|BI#Ef#eoFI!W&7ncvs=#gg`mWlxO;!mcg8qj?oW*MTvf0y4mw zQp!t61aJ($4ItUQtG&Me8{T`^_P?P)&8AhgDE?K#K$|TC2fmvhP4X9uM-TvNtAsO? z;}8DF&n9R{vKh1GHepxjZJanQ7@Us=W0(|2XZRzxp{PS~0vo6z{9>V+R@y}cF^#}Q z--#f>m}sVqT@oBmHe$9@&wMk57gX+1=(vczZH|`G(gm*dJkAw@LM0cs?F$KhxyXmujFt_LqXXqv`2^pt8$Hgd(Rz`5pa;QhH zH;v3e*bxFFJ6XvjWW1`VnkGUWc!<0!`E)U1Jwx(CDr18vGdL6xne_rpwne7*)332YyV0cLBw2R0rM3&m-pjvx zF7GS??(ZPg&u(H;61*lRIiNxBP+CkK7^1&*b&B-i96z%IQ1fg5ydXnozkx(JmvC?$ zwOj)t;LgG?7In*Vgyj+aE-q2HcrJ0gL zRiAf{S-usqvhf9b~L<+VvIbR4wiyXSb|&QxH?jmU|- zpob#L5)=P)J4v=4s(}eaeWtP--F~?n=Ad8DdOiYIELWK33~m_dAI(hf4FB*u!paYjuNSEcsJEhkgoNkL-A{l zb=<_7iL`!IyZ^qRyRnfZ}hS|;cF3&l)KTsJw zPI8ew5U{%T zIHla8*1y^I*0+wzB3V^2t{+{7jYK7kJ^bU3q+lJhrvq2yP)uvU!8pnS(3mgMS%19kTZY0p;ezDjPlEx39k73*QFQNAo zJ^~Nl0fkcEF^xg+Nx3}FFxEQ;3RQ=2rZTi@>vNPH^3LGntAE`@aNO(s<8jj#z5q4; zGMW2Jy1&aJ>qh*c9^xU@0GHAx3+J-rqNVj;ucK_%zbcfWrp1Ym$m3N{>ranO!;!F& z$`YUNyDn>b!wTnh1`2w?JGCOC!#`42d<@a07NlMRPIee{TxR_QO>9^j~JHlbaZffVQ4Y8c=OFhw%n_o5>w*jH$DVfHve7`ogCvb2a_EEftv zq1JsExmr>^_iwz#4z!Jfi-844`O*eB@hLtU2IN$RO|Va1NK<4jfu5JsGky|N zp=2~LXto`+2+dQ!nZlcmAxAl{e;>5VGap-`hJ31+s;adgs+{+EgR*aodx%Tb>6IuL zO*|bsF9`L73DSg_C#mK1OJfKZQbB|r&4fG~v8WQ6fU>I{o%w5IXYj1sdalKbDqr0W zP^ev>uFNhA=9+SKv+&6MIxKl;3%N?;V6urHiusBir`*jbqr0o+X6KMB&#XPgYjF7geg@sm}P-PfB3VjtlgKLn}5PJH&A_-B%zb3bEt?&_)FxVwnIakRxF zDO8(72l)@J|dJ0!(D5~nE8)p53y{6oj!p1l;j;feXp%-}|x+_){q^g(ls9idve z5qb*w?utl&&Y@(sR{}{gy|E|p{=0k%&GkKp(dqa&;cuy0!`bYoaun3U4A7)GS^Iy$K;A|%L+y)mgH*)G8aOiSGExT=YL*KB zfo_C4fWo0aq>TXzU`tVj;9rV!n584on?A)!Ohah-;L7OU7Vukm>J}pjeTJ~p9}bgr zm>@JD=>

a>JGeDBV(*@_kvt5c)J>=?Tg5klO>glZ`w^Oa6_Zf1h=RbH7t=75WF_ zETB&l^+bStvl@*^g2WbzHdE`X7IZ~b=g+`F$uDQRx~|B_nKdEY&watBvw1yq|o^EO5@-I7!w2h8x#>bjpaQFxf;sn6?kY#E)L zwCQgj>L(tgdcPu`9}j4;WMG3l*qf)i%3Z!p>njRL)gD)j)+D9*ANmtmi%0_w|a_Z8bz!8D0XU8>$GF^S`EvM~^m*KdRw1!l@%j*&IZzn;M&EWJP zskJ(m+)H<%;Owa_=o8TrysujL{aEd3;qGWi)4NF(VQsT-jfyHj zv-y#JEBtipbU<^`6~SlsZZ2fQX0{SwK$b*%@bfzur8OR<$La=;jb>TD@1rMJJE0?} zMhIAR*^0074y+eCWnEw9O?H?##c4b|MJ&4(`S%x6?_W<|-oKJEI++rGm$JLve zNG5Ne5hmyN#Fr1Jxe+yYE`D|OKoRc>AU8JZQ-wY|r=#9>+Gcaazj=``SxU`}%EY*9 z1iC6jhOOymF0vsjsT`*kt{m8Ty$I$r(rQAUycH~P>RQiNRsZ46(WJdv4-xmMBw}Bx zK}^-AxGYzv=Iz&GU~jUcX*e|3^kOjqhx$q!WgY?XJM_2Hgk1*d^a?SYq3R4sw_3<< zFLZ|!_7VR9xvz)>DD~S{7(<5^NGPp<(e|D)o1~df8<|vkVF7;E^37^W--&{utcCg`N;qgPc;#cIYggnCy8%aR*ZEH0`dyJ0q#%#ZFkv+DP+y!=2=s*AvKM z!k^LN2#Fe+I3=>*ictx=ry{K0(cBi;f!pAiHX*6L8wvvY4cKcD#Z$@X*qomiMok+{ z7^u%sZ?DQSw)w!cV+_=tE)~?9029rH# z!iuTeaj>^J<1cfaZj7B!%5;iz?t3$wY71vVp#9>``@QHl3_N5(y$GcKYsF$aguPXVIWL;H@{B!B; z$;5a46JS^DJZCJ<>TW(jeXfCAnZCX7?;@DWHg_8LkBs9-WiNP z+oOYucRQsgRU+n6xNTaNz1@ixU3~OVE(oY`dguJ1Ts!Yb$W!`l(v#+o+tT41OFt?be49Dq z6`Y0j``%`aUi^ATeJ*h0{rmG~@ zg*CBAEXX6VW~HL@WvymzYtFb0qNdK#sf?}+YBjrp^pWU!WVFFwZchL)cao5RJ z-souX760{*$Xvl5dg?pNLxDx)u2dN_#S6ME+YjA1 zdg%?}DPh+Jp@tt3qR&wr=p~+HX+|tv6fiY;q`ELGrkE159S}NYa2vMy&JKWf_mS&r z=a_-e0O-CB67Y5do{K%qDkWYkqfNkBNTUv&KxXq10fNdnmRv5E|K%6UHMalrn$Sz8 z=jo_L=095<1O}9DUBL?jw(^{goD!vhzzwc6$?kTc9PK%{g$QY8vDGOkWf^!fD(oP` zk-o`jq-&~oGNhTD^T+&{(v+1>G#f35jEdX9tKz2~?ZwfEloi{NHf4nLqz-4<%#1(b zv<~zP8tXst)O7@}pyOS%UMSr-6tJmdLNc2_+ir2q&IsT`CCL1wMX2 zKi7%lZ-i!b3S;$$SBUm-8e z$-9_-c|1@@sp1RVrNf2MS-wM`oy@-?Sr(eHQ1Z) z^#?O_pB$o?3T=gIv#^OzYLVk0S|-hleW&|My(jOlP%NHDEwTq4!zYIo{Gp1BXF|rm zlSemO>`Hwi??n_|(z`gxeLug3O)4r@K5^!9wlz(fq24T5myUyWm7}f$SSpmsV&}They2>DD;9Pl0zB{=P%(9EBq>9Dkfv zI^Zw*h}axE@e(OAWEq&Fog=Y+yk)(nyfU^)nbLxCrC2`n!Y*_`#6ql%o6`l7V#-%#Hm6pUR(Q%4mT1{s~Kqf}%TIsMc(H)>t=P0J%~ z?IZI3;irk$^?GnqE|tNTuRovZ=2F#^Ci)aN`P{ni=-ik@yC=ra0TaAv$?qH|mMYX( zHoCHueF3Xs7`}Yw;kIdI$>N~4>c_277vYr75#mtiZK_6+fboEavuee7h1qGL{1z&4 zv`kY!#k==RxVeE@y1HeSV{uZNlQoax(gG-X$bVmnO;Zu{em=mg9n*v z8ONAC5^^6XceB-!m{crZU}?X~a_5f&8Q9nQNAB5jIs?JBQsp;0zc!^tUU9L=y65JX z`4wM@#;iNoe~I@$^ALxruxA8{ zH99WxW1AEO*X?4!y$X1}(Kd*GuZWFKvs+hb9a%TXcnmLb;?`61<_y>OqcPh$kHSyh zt9rBbkPoU)ae>5s2xdCTyZH>K$#JB%TV>8-!2&OCID}t@oO?@h$n*Tv~ANPN4HO&^4eOnE_>Cq;rrOOKbIORn}lX#0}c!p-}K9qzPz9AT9ia}E=DE!4roNek+;{)mEta! zIVj8CuF0liPEl=3Y@+G7mDy*AEihaliv zUnnwBZ7*}m`EC_yHB05i_q!~e6%~%NuI&0<90jFc46pj0pWSbT&Cl_AzG?ja2dN>h zLI`d5q32jal7DWtG`r?Vg+=h3BGo2!^OME>+@E(|(&fm}?A%WltIs zLMyoHN#)Dhl$~^vkyAhEOs_ky0gaRgEo6)nw6p&`-FETF|0_QGG`P`3N;T`67ojUj zy$n*RKREifb@QJjqo?XZ)fwWlWb%=?*DajxoMcFJ#A#rzjdR*E!5&C{ zDxN>Ci}v_si>i;kBSP&6yR+>QR)BnwRJ7?EDm7XlW0qcQL3xcm>1>q_o1QyOQWmf{ z(r$Q^gKB8wOyy#l-=?JKUGh$$iRsLb-`|GdZ6k50REI|!wbH8k_c&_ zvTiIH$3D*ucd#F=?axD9+1P7tulKF>Z|eN(AFv(rt<#sj*MhkkFaOePr*DaOj0NGV^6|KG1MEUKQCl>PQ%rSEuX+C@jF&xEw0EynMO7z+s}NZjG-mP$H# zr{=vKzgE=DH6iyYv(sZ{%YCIaattXV`Qq(Wt1gf7QG9%-_3wc+GgI<9m&(7Ul%m{`Sc~EcKN5<34x<3w-hNgCi9A zQcY<|yLT`aS+c<)`Ac)X67-oGlltewF&$*(&$$9Rl7eJqjoddy`l%XC7Fg%>n=u!1 z9Hg@LTDkt$WPi=9-!XftKJCEKi zBDvS*M5yOS>E_6yRc-j7y@kl0oN)G}-cl{~#y)!92;k z6F>dPn1&+-CFseG1<#+#t&0f1Xn$3&r0 ze7n~1*hO7Px0nDUCk!eA$B`obMhKHQj;(1u8`D9|ou!GMo^43fvB4p|X${U)-o1uB zX*xHDHPt9dj|-mM)0{*6L4uxu4?5P3=^P>ZbI@c~x;bdF9I=vve*Qc5vJT9PLGPmCKr3AFn?`DMriIF=jvTW4YVj3!__s0au%zOfN5Rn#r^D zC3L>}hqnh2N@IY>9@h-ktRdOqrt|K3h7<^qAn@t+FBUIaUT6BM7A%>m^ckT;jYtOT z&q#9qsI%~#YhZ8p$=#qtp|r=vs%bbfyCsL)YJVjyTCRSwb}NXzGv6qaO5Md%Xu3#G7#XoHMJ zs-Hk~B&`L{n%rs1`_}f7iuk51Y26OJ^=%j@AT7=F9n*)(LXxK30o`9zVGFG3X999x z4@G<65Dywv{Klb_C^Cd;S7~s3}c%Y-i&Nj zUO4zk_U=?ld_%uYQEwlN%W*$CtjfWIxJ1jMO09H)mG*-PIxarKAEM6#U^D~x0qm;S z70QhXPdjuE`TL@4l#$KhQD>_s3S7He*C(1mw~VV>zbW#YH;<$PZ(7Gyoo^b}dsBhZ zf-&8OwsYq#6v5VMelZ7LYtu}x6DGEp!Ssbf-q5mR9bZ%<9T8GeL5C38`+FK2dfdEm z>AA#46WgNHjsa?*@=9=KW|`eh+9Xpn3lRMWLUopOFp2=^+*L5D1QsVTIOfy56+_o9`zKpSq)>$#_k4kH@=I4)Rgf@q8hK_%ie{jg{MwP| zvG~d8gEKx^Nyp6-zh(tj{}8P)swXoTAW5$mirUc%O1(AK#zhC5kp2IeUn zcn;7OIbM!jIP8Sd(QffipbPnhM<{dekH0JTY$#7s;MS+nyEze^CCU`BXdbLf=609SAv^?yvs>BAz5t$lb8!z3Pq-#Cz zO6f_e4bbisgG?ysvMz`mR*ObS`XvX-;+07aLHOB~=Vz1Wh^jY9HcE17%fT_tEQoo1BJfb+w7j zUey^`m#Vsg5-TE)e@1MQYO0C6iL~FTbd##ci+Hr|P<2FSG^CDt93AWx%wr=#eSu9P z9ercY{il%T?fd_%et2eEcJz*C?&@nyz|*L~j}Y$Y4@{P9rf)5Z)ySWxdPGGnT8Y|* z_N)Bf)lwlR`heYsXXSer*TuynB4>h_0^CICjvPRJvGbiuOrMG!B3UVMgRj%N2~s#}SXD;wD01qM=|205O$Pyisk(ooyEl3y$$2=CYH(HAJy z1!e60t*pdE>9nBAkK&fJs9>G9iP29`!ol9U_Y}nB$i^+aBBxC=Nd;e@#mZ`|zi1Y) zuoE#&dRlmdQ&0oTCY!H|iLWieEleyh`M|(s6;VW2GPxopMb=EJA5b^Jdv7Vojmc_62%`vLu<$4-WPlU%`_dfaoY~+k_3|6^G}8AW-HX}{jXgkj&Og2H?7p@)O(43sX%qFNTn49M?bk@S zSOlyB(AIK`E+{cqxa?4SBuI`%2EO4dJyMk>!YU>tn@@ zuy{99BGVwaUOH;bc`sL@*Y?H}f%?BPmQkTg4rv3^Le8kfaDI zJJ5@hg_0iYTmgA>Et=*=*9VGrNzH?)u!ibH%KIKj?c`I-Zv0gIHR{TT0LfkCndm|H zE8~GViw>O-4tzWQ54u?f`IMR#1hqnqB|NFDv*cf&b{jijZAbES-=m9`*L*t}sxay+ zHicnM7D7fMp_sxiBm8=@ng}OFG}X-}M%EM)UsKjA7ptK=US)8<=gf~Gpibbj1a4B?h3LMg8E`vqd%S|I z@6=eCY%uQArc5Y(5NIZzBt4z%@IS{~?n%{o7|Q<0?C1ggSs!}Ng!6gawLeet?s|93 zPmPQcxu@Lyo=;y`qYB91yJxepXa79T-Y)J$$E)7CkDXi}H$H}#M|tzS9pnksUz5LL znBFCEPf#Mw;7nS|WkFG6uUp3Fo@l5XY`+uvrunHg_tU$3gO|fZvLs(DQsINQXEU=@ z6Dw?{8t!2G{imegt}pK!T4u}i%l2RX(&}IpE}66NrqRGz&QY^PRo1WAq(RId8>KEN z;>#uPqgKpPuFE38b8r!VY3E6sJ=lCAiBO?^3qA9$+R?aNza_F?$b@*yk?KnxxvwikmOJ_~`y;tByVE|6=dGqncXReo@qA12NL8W+~E} z2#8=vma+f=1Jaui5fK6c(j$b#MsG@8A`lTmXrU+p5lnLc{Avdyi=9ZNo z%W63HswVT`b(_hfxQ2cpQYjA{@6>?fkcWj}lOs$0d+kImt3Q#tkKad-$LV5BOb4*$ ztlD_g5v&Iranuify7g}h-|B$q6Hmfo%Fx@>{HOarmiQ7qJk5_dZ=E|gCUs|0FVmf_ zQCZHAz&eBV;v-CVyEAQH`04>3j6)^k*^KdS&^#TQ)Hw0Iw%RQtVa5Q6;v{r~lY*#w znllsAic}9!jYp8G448Lropsx-HEH5J&%EFjRpG7~lY^N|^&3iaD{$@-9Y*!DM)MAY}b4f#8(Khj=nWKZN9!<}> zar=OWM2wZ~@GM1kGhuexlCK_o?l-S3Q_%k?r41oKAxy}zopLy}?eEJm=Z5S!zHJ0y zkEQLdT1ZAKn*7-hdXRr&hUYP?FO0C@1~qYo+{a+5OfShCkTa#@0(5tt@tcVF*5X~( zUA^Qs%08+`Z>LxmICoI{Ax;#*SMm6#NVQ>Kr-F*^Jn5;aO6Jl)%7biUieNSf=sy>d zz=T6OhD$6nggEP!H%^)nrC}*rsU*Rkl$2|qf6qz{V9u$Wt5k6!>K`_9M(N|}^?J1J z;}Hm+{1T1#)SP!g%nHnj$H0uP?PCjALFcU7w1EfM2Iv-Q2S8^v4NN~d^|KJmTy6^s zmG=F%zE$s1|6ZOOAj}PBDz_05QG#umGo$Kd96~w|&Bh;D8grK9c$ijW^u3c$iVt}& zXg`D-w*a8kd%Gu$R@XTAooDVH5@j4$`m!(Z_NPUI#*3$NZ(ALkEJ^w9>=rW%xxgAuvcXGmA>CYEem}VBn z7O+f<_xdHK*zekMsULL2I>DGz5Tr(aTc#}KS)(jspQF-UL_vQnUCy-1w|;-R!I-B7 zIefqPh}J=&gf?acW1PupQ1zO(ZwdTiS0ZhnHW~P%)1z^w7#tAmd>6 ztwas4VLh_-DC^@7ke4R@$>S0SDOY=`Ry@5Lay4Krtb@V1vbx8^v$aTabR+O+Te@BM zPM9agOIpSyn^9+~nRWl(*?^6s)SKYE-LFrZ4y7D@x`V(ZUpTHs??4GQfV^K{56p(^ z_YG}H)FMN4W{uv2@SzZpgX=rNhq1b&8?PxyyBPJmwI}&KR(ZqP;VtSPr=*VEx~u5# z@N$ZMwx{x&zPg>wp7hS^Iq2&g>8ZgNWSG92Rc;YvPjZ!%<7B>|2zD(Mb@amNo;81c z^;>tHJf7P1GOepHJV`m3<&#NWUUzhIR9LDGA>TS~)N#vabDmFIOo)F~eeO5tTkm5aFHPeNI&ZtU7crvY$W$;bJrnaor7-1k()DWdP~z?N$ND)m^)Hb7^RNGEKgrFO<%*X@|zsj_^;K zaGo)tU5q1`n0JWdl+_fz_%K$OOYQC=&$Q>k{UT-N#pnACo(Jr36Jnaup37 z3+kjP%jh-7gpn_EhiaU7l(wnU3tm;-@#p;=LV{kkne*$6Dw)RR1ca1(V)~ShL*Dn9$n727mg+aG&$bHYfDNNrL3wA~_ zRRJjGuCi_sW&^#MqzBgrThTj8yrZA6s?5L`B)8S%8t8||+8J-vFJFj?Tw)@1~>hDq5B+06^&|GEg51s&oIIvYiC6O3aGtMPDYz?6fAOP5u!gb+#F zjAbMd@o{{E)Gj$2jqZ;{%G@=hln$+rhn~4qVqddaB}W^5U;XeM4-W;gp$JiA1iLxLEnQOesiGBmLpXEu~A zD<8VJTkDW5!@bYXh>@)w&^?YfW?RGf$CJP)^J%F9G^CmH0PEVGP<-2&a8((*wa)Fd z&iY@GLW>i_DW`n1Z)UDMxqsYbuW{946=R~toa_5QkGzlBw=owFvt{<*d-L&C4d$U| z@DU)P{S9FS!j|D7W@$&JF&D#yeY7aC>Lvp`E0(q-T{|&+JRH5kGc4)czm8LkbvJ9< z{R81|llYf_Y($`fB^WR>-l2qVa_8C+!n{b}22LL9KT6f@N6=BC(`4d9tjo($K1dBA zFoRQSJ--e6MVl4Ce+9fdG8d@2jRUR0VTgydnM{KG-vCEYf{x%$ZjD_gky5WJs2{P@HPNLo@Y#u~;H~ z`0C|%LZ1X;=H`u5YV!i$H(N9*O@f4|!0E;ra#K~XQ$NuHB-n$$)lcUpoo)cB_U`+| zZ7=(Ngo3~TA4VME_-rE3ZECS0S^Th1S6)$kDo6g@1+hz+*@a(5 zj2yjwnH*`TnVBOb_Jme@IJ-;a`T+TTrx#ggF#aQM8SRCZ*MYF|mO*>}9+zp% zvn#{;WGN&n5p}H9aCY*o-KzN@rC%83A4qat(w&|hH>pM62>9cLt5&Y&BI6WhZ1W>P z;ymm2lm(TgYAm42*l1&Y)j0Fc+@9 z%xI@-;3ct!BNV*E1SYh*y=iN7#%QdXq6uFP)KX*}8gle0nzMY?WwMvFOU(GX-SIWg zw3FgCkcyz(u`l?-maryTJ_${S9$UEa@<`H1xRX`3QHsz;w?y9cnsR(osFj=A_t4&u zaHjP1j%7Gg%hj1)`3ID<6#S63wpEUwrGuHomp2IJDB~c0ZWV0Ww#9=9>idX+jLEQW zBSI2B2npJ5A8pkoTkIhG!M@r49b z=nza9<0-S`&Iyisp5hd>1cEq&4#_KfUX6ygEO}>1qU~7N%?3p~!m)3Isn<>o_0>PA z=+igoT_z?v%2)hw7m+xqp+Pc}&asS`?;_eHgt~>M`ab@e@+^PQ)k)G}>{RKP@nz=p zd87IY8Rqa;sia40{g4%}gjzNH9z2~)1!u|qGsR$XE)3?SnM-4EDSjLD5KhiNcbiOU z7#o`Cm*}_@kdk}g^26I_b^rAAsE$&J{8jXhq2W$ta<9a*Z=WYTyJhlkoqq%C@$8jI z>tLydnWJNjD846r1}2t@Yvj~=I1$E;Vw&%~{KvZ|n01(F2}93x*m^~m6Z-VX@=As0 z=%|popQfg_3weco*((jVXqGJD5Gzn6ZtEE`BW`OdAo26-5oYNLcj&k@5+Gg zzk9N_Z*@Pu#|(Z7{~6WB*sh?;Ih#V6VCQ%F1Y$cc?hKiCMSB;Y$1k}7`NrNH0&9=% z-D>deH#iKxILLJr$4%gWfP)(fVYi_BZznrXwHM8O{p)9HJ2Nt9KSKTz05NXMfgBXS z0u>jrV}nY8Lh)bNeDDK&o}8ua+R+4;USx2%_)Q3O*Q)&wC%%#tp9a=Kw)F=U%Rs2+ zf6_nww*8;wQLlZQdp^F7dhwTl(NFtLkWu!eYBp~-t`)3hUhpoU9RKTk?qIVsNqd_M z&bfyDyQDLi-FzAo#PKCtBYbrKpui0XY})5 z0@(TOt@O05Yreg*-w1`x-T4NAJwf?J%E05;6Hp=Fna}>V`0y;7zB6>5n1>@4ITpZD zdmqb1Z|mVMK`&WZN4*URr_-K&&|BY zPxOgBonb;6^$HMm(4sb_aPOS^Xdau?_13*BzbUR&Y_# zMCY55cxo7|B8+;nm8-aeX>lIo>C^Co`q3Pdz?rZPY%tUY>9gEd_jy9-SL88;E z{rY->^!zQx+tUpDx^vHlIx1h%f;EQb=U9d-`p8s<5|5Z%O_Om z*WjTC%~N@MxKW^gt?GJ9ZQS87_KFZYp+j2)dj*IKBU{99@&Ug$jU_B?iGYyLGZ+!< z$rCwcQ{BNnffAjRw^O)6_G%no5_rOu)jl>4mB!xX^ma3Zz`+<~?_wAhmdBjWyTT!K z{Nx$34kIWhfrp%}?sJiO5aoLfEh|vFA=5{jwi6zA)44s4IImHhG=Ja|X#MKe^Z<5| z8x1hx6G60JOKjR*oGdhpG#pwlbsQ-^uIp^^T`f3&~8~Fm^41ggTW7vghP(H zpwQ83^qWJ(wC@!G5#zX?Z7p(a?9OH2%^0)4yI%m~+nvil@UZQT&5B4hKyU}*qPGt| zh%i3r{9#6yOGwAdk%&A~&%S=e5} zxEH6#uAHq@H~h2GAzM?<&3F0LjwY!Cf4@kHvz4}<#g;=og3EzGGW3`f;s7?PlIQj} zp|oQF(+P_SjA1kO0o`5EBYp8Su4@EBl4k{k)c8qlInzXM>uY+u$rjZ!m*zKa?B2r( zHI}ercAY(eCoGlLDDF0!%`YYfY%>yq8{VG!F?Weu^hCS8AM#s~Xwx%mz<9TW3uP&% zDsWo!4BS3|Xs-UGjq^O~#)+)Y+H{=4?jQJo=;tZkIY5jRe2ix`#tUST?X3ZPg&$m_4tT}t z)lNSLm4J(5^|>}lzMm91o^M0gwNmp%a-%#13o6av!CQtR$Y=YnEq5|G8~(AL)n3U& z6Wy%m%_ki{RhS!r-LmbehAi7QK{{stnf#;w0iL`W(H!8adnnlJ%7@QFS#M zl^LmqB`~=55zf{8XZcxlGu!g|0HH&JnUzb{AtZ@S%G|OJXSD+-2zbvzM0rj?F?$Jr z-$3#le9s8)t}jQIbuf`7k~)E;KHJ|kE7~&)2{UQ5Q#*6Eq9@f! zwh*m$mSoyacu90CK#!In+l<0VhbGS5F%`FUl>^ZnzsYZU|ZCF`35bX?lBY;-qL)V`1--(%!Su0-%DfA%9Q3fB?t8Nr~AwphjD|1S5nJO zY??4n6|m)IuvIyG{ZNOot{mTY+d;LgjE9o&U%BRSJPY(J6tZMgmKDI&Z9Adh2Mf3X z(_~H}5nU+AZPI|RiWdvTDe#yc}gJvfh-4a8~9Of2O8 zLRAEtZs6paJsXwWdTd6`YO+5bFQ?BCeXqDhk3G8hmjI3w!lv!(^k(%#7PfT#b(E7? zjVW}y-%nMr(8G^3VaRKmY8wi{==TZnM(17Ox3WkHMF`Qw@#b#f#7@f`c}E{BA-B2c zKcche)Z5cEt}I+~{_?HE>fpv7GpapjYmb~bev#O#wtk0OVGpqw?y%bkjM=o}_$Kng zq|zcFay*M;6TD~+e&sj`V~pZq6Jud*#c4QB{qk67`zn<`VT2cW`pxcjcek&6*?Sno2YmhEyn*2r#BOMP}2MyIL zkz$)pKuRfK(mtaCn1-+jiTQI~LfR=s>W#qCiM-lL3$FS*sunjWjuXsm!NLJmmZn=j zO9Lf#DtE0*$_PgRYwWu00(A&Y=8I@pxId5>^N}T!a35%q+!M z!`g6y!Ji$RJB!zz2I@J3D3K+o1Xg|k>%v9Qqu#vce5Fn3#rn^HQ2gjP>Qna8@f%1h zx8~6_%aJ?l5;jwpQtu4VhiVn22TBs#hjLG}<`gh5NyAP^Hh_Z6YPN0d85$=&%msf` zSr@A1_*lfCS!#}HXxrq_D&>@rS_w4nM_7kptu&9Vq)codlS=L!Bj3Y(=jVfBSc9GUlm$DuD4;gDQ=ogfF2W7&>ZnrS)Vv#&7hOmr|?y zWAITW`=`XYV%youksw=_p7U;ZoxH4x(mjIqFP7%S`L!P_h8;Ps88E+*w#u;WuOi;R zrwIrqmp>N}aNP6Tp5H**TLFQ6&jmuPUnKXnG|sF#xmo<(cF6qkchljwc2L0&R5t77 z)Y2L9IC0)Z0Kw|*^R)r3;W7jl`NzhzTQ7uoqiZJ6`6E^1(>A43i*Av%q_$XjJISWp zwJX#n3xTulmd*B@IL30$&T&w!fjbu;1c&(tb5Y%dV>km~>?#mz&`C&;f@^&W0{1=s zk_{r4=pO2U zDu=JykvSF`ibh3)un}^?m(=5jSw14>Srd+=?+)d~X4OAS^XfLwf7bSYUOH!~EoLYB zs2R=QecwB24v_(6yy9uI1jH{`UDjLk&PZ_N7;C>{!#YUMNDRdUfP)Z3ImU8yhe-oz zojircPkJljfSqC;rzzz&FAS_V~OcuTqlEOI5mtNe&DGatA<~FV|38}3*AyNs;u#1p{YOGJiHQu=)u=BPe)ovi$ zSM>HdDY@06qOU9fcZ`E83qBnP3GDtDg%bx>W@*Rx?@>pwifl-dffQaIdz^V-x7a)# zuEx8>HBZDUqB)W5Hoi8H#PZm?bd7uTZ8^r>mfPT|ItrJ^B(Jp(Vxo`c*a#;ZektaetX64Pa}wERa14b)=fbDY`+A?5hpUG z+!52%N+mG6lGwDPi)R;f02A}!8vR|}cASD$?;kZchYUKcBrJYZgf8le=gJgk4As--*4GjiXo`$cZh8apE)P%lFmH)Mq7LQ|>7FkA0%YFtPXF9-+0 zKsN8zf%#kvBoTiEuH_dsqeuIsjnnaW<7aT4MqNNsmyIN*k7vt<9>k=hWG}r5EGuSa z3{JFX+Z7{0vEsBk4t*H6A?ToLUvbF(LH5F6$zfK2ZMMpLJIovDCTPHZ8xiNSpbdTh z&_Xp#(lE+DV(NRP<@@345^D*0(%ni4KabA$L-$R^?T^`-iVHZ?X_SM@7nt~o7zeHv zr4j^S*51=ki+Tsn%UfkgODBXpj2JGQaRAK?#V0>roUkswEB^fzCSQHp{vH1$<)%vw zR=L{0@yVZ=o);jm%ge)Omu9(6jSAWwFwdivp{X1oFQGPWb9f?v;h>|>lpOjJHkVdu zCYsh&%C>$hKK8O>C{Etg(CloSzXMlX$Ch;dy}n8eR$rz^dpbYiRep}4wI~qPj;bTj zYxkjmN;Vy?Ft*$P>X~CPAl0q=IKn)WVV&RKp1{&>CnQn#j)L|vnA2BxQ`&NiG=cYA zZC3n~>xm^cV?0MZse|C1>H2M3c{ZahV=r$nfM#mP!v#KtYl>NL`V)AFlId&nxDx}s z^Lzy`{_L~j@QM^i$$IYY?g52ij*WxlQd;X1pCYs0)5dEHX zrQXPPrsN1BOPjA3`}?cDN91DBJ8FP)R~`0B07E3;oKbf=P6vwsR+)XFAIGc%XkgYM z3YP-#?%;=7F{Ij3cFjy(;s<0_WV;TidCDqm5)z?fvqmVg+L98J2KGC zPk)K?c>L#eC z+g3`rp=Tou1Ya6$H6dZ5%sxfnWf?%!<)%UNB<$5~Q!;I{sHyy}-8A^?eV9(s;%w5h z7|BgHHFT|y>D7lI1<0poGAp|zp`Cem&d|W{qr({&myh<@L_3EZw{%Az!}on_!x28d z1`?l5JDH?Ik?Ge_Ty7KSZ=XlWM8*;tx>i@cG{69T3j~I9qZd39X8i zm}m6u;AEahFnJndPdC{9_{^6D6`KJ3)zvdZrhpjJ*UcGrqhuc90Fi_w_mxdv>n57w zeH3?o%e$&qxF3hbO%Nb_oy8(uz?@5%#V7c3AsKkzj00Fl;30EGOTWpME!W1*7zcoo z*vm$W znrZed&)DrTNyZvs4vd)rcXzBX=58EPJWf3j{tHmSoZqMw!76bf_hA=nK#pisJ3F70EOAx|**Oz;E} zNJ~Gk4#Tut8ROeSF5E)KBkBpB1E5^JI05Z~q)H)VU>8|`>&UX=${x=4OJM?KciKFa zTDV7Z?mmx!+-sHCv0aEpB*T>h9%KE%iK54a%~Z}VBfeFR%=6?ng6UH*^BV0JDs&=X zLIE(a1H%Oly+r$@f?Bq}|CD9@rLk3sU_DspJzgz9jbsl2hJXpSI-Q#4p& z@4!Y$!S)M|6kM;g&Z{qyJM4U{Sk?Oc6}W7J$IXScpuE$?Nu<+NF!(8=Y}CWby3dYj z8_~2nT)3$$Ow_ox?=)Y*-*@zv%b_#+8=?SY7Ruh-bAT{@aN7hFx{* zA#%g?FH3LYNtJG&&t9_#45^r|oO*^obqFCu!OQbUjIPOoR>O|P{J|4PZH{A|fP-PP z{o@4~23wJkLRGys&llW%eiPW@lrXo_Z8`~wc=7PSgKc0Jzg?M&u;s4a2NeaP@fp=P z$%R8SNCV*rKzDeVVKX}i)*}9{+K5}l&#{=@N|^Jk>%rxX;(40*9LKx^pX4kY!pUH1 zjwbEc0T(vo24Pk^)+R*2+ZjlyoWPLUjmA=O${1gEZY>jk)N^8ye}L=0L_N^(lCs=J zt9C9>gjTegd2dALsD~00bG1PO2T$+)7JaM=v({`}JrZOg=E}CJzV7ql{P9PpqIIF< zVzU)a%db0I+YrlD)K% z{%yiAtTXfFq?uRmA>(K%lgo8C}f z$IYnD78#z(HMsdi#^oW`IkomC0J*F5l--+@#~d^l1>C!TxHD!VD-|a2&LwT z?26ZBLR*1`fmbW+LIZ6pM=Y$ZxkS4&X$CP1f_9G-)OkAPm6m}qra|A6t9)X7DqJW1 zWh17^yK&1R9Ta!E$|fv{W6t^7c}A!J$p zE2Q6$jl>vXakyO3{&nD35-8j3Sjlq6edeW>C}DW~O0xs;+rV*@UUyEqgNQnXEE-eY$ZY zu#w?3L#CbE_*d_D;CDFmljcTCzv$$A^zSDWhHrym&IFZ!e3{}-9jc!G(ookrVz;0A z<|XBCiB?Kc)PsXjm=dQO$U?BC5gs5HsBJ#998NylgHYl?0KFX>|HR9ih;}*`eJiib%^kfR^o%rV;^Rg7 z?Ao5Ynd@{e^1Z}cB9Yu0(iRx_O9=fJol@IVFDg^AuQu3OnX0N{H!Gx-{3$*Szm_%1v9`_>Ui>2Gw=q9EU*P6W!^4j`~I7-1_hp zQyMC3$Wtc4=zv4QA+fPhuqW>0pIuEtV(x}%IBhnr*_<*Pk?sk-`pC!7f+*c-Xo+0T zwhL?;Pz?1^0bTyGy``&q?EG~sf+iy^v{v%nHk-;Yz9yqsbMiHEQO0w$TRf$5x=y2U zA~FxHxw8D#LDEa2K@>i+|5?z;FOSvNP@77zR;;lVa~2^<_cPL0vbJ)GuOOKxET1PE z827#OXj+*^pZ^cHzPn`$r@C$4p3E zxX{YCOZwIZsZ2YiGjH9fla7ZjT_r{Y;i9CB(ltHIr&7^~$fXI(!ABK;^0h#V7sTHd zFZhuUJh106LSj{_>{1Y4ejoyDb_@xBYXS2dO~VQgZcF`Zh2LG$zns4Rmtw;#LIy&z zHINh2N!trEJ@B-$mt)QD^gR0tSgZAe3v(gql)`-$aDg!>bCxmyQ}(U~5>3cFGk*KP zx0a!BP2{NiGj|KO9`(^?losI1f=-y20c$%5o()*2^Hl?iosNJ(LvH7fG!BfG#@CuqL(F#hnq!*qJ9$2?P_(CUQHUj}K4xt+% ziS-8iO7&Rh1Qv~sPln3D)v>r?o(^ba0-RXw;2#GVJ}m7tu;rG)W*nT~wn+<5gECD= z38bYr&i5&OCan0}SFRZ%3;Dj2XWqGCQmrx_)!^f)#g_m2-faX|5mZjp0qM0aa~=4jhz$^P z3+fK(*-Qru;{T)+<3B5dAeO<){x-*eFmtYTUPQ@Kq|?@@!Q=P8e3~*5@3Avg`Rr;t zcHVe+ZA`x#g&8sjVmUoyoo*A;{phm!{NNnYLcK{y)#BEWdz)~2Mv0q^adlL54Kb8E z>FWNrL!_bR#NFBZius5vm?>9|1Q;ROPx+hv|= zQuTQ^UMG4V#c5%7Ew(cN>wraut~;zLjjAMiSD7!F*WvKq*!nZ?YGN~N<#&hU5)J}; zXrOXa@fgdOf7~Vs${QXltHZwo+ltkw)Lgze)vB|LNZZ^fiOE4yJNksustsr)# zZv^_!mtsTyVW9^8@%0AGeD_E_BAiECRPN=V6ejJ!g~ds&g6pLVRRG)LqWm%Fx+`1< z&z#|Ifs)Y<_efa-7EBkj=_P>=b6DZBI zycX@C-;V^wrI}1av2@v$|iq&#V4IOH!L9? zdid1{W!l81AeuN*z%5FBB&uq)&(osIHSVsemJ?Ctb;B}Qab=p#Fn=+=e1421Sz0HJ zdEGFS`l2*{l#6#xuPUqZtO^^(?Zb5vj#7pH&^Hv@iSfKB7Wyvp0Ejx)PF{~ipg5aE z-zvXtVuL}hY+T$2soXrLp{|#7n3Uw8)sX=!xvK8dp=XWG_}n<8|Mf;)#GQ25cdxP?`M2$Yx#pU-oSN z*BBGuAS)zxU{H4#;}xP^26uFk*5FHrr04f{X$$dOfjuSjj9)13gd|X{nJVcIR~opU ziOPU$0{GcUb<~li&B!99!n|%clvaQ))^v7!;~r=f)V-+)gmL<3p-JC)3ZVPv;Sf-n z-h6<1@|s+R92QA!*-a@O_+#G9x;W~EJEn1qnXrQ9TCr*>NJ1s>r_4Te3rkZ-L7u_;)I6v@m7bYA zUs6X~q0CKyP=?W-{lS9`hBsfQjOZgoFd3Ie+~47#b8Qt49XCew=FWG$C?}lDMl)3% zGdkEhM0P?iO~JIgjbL1)+!F4&aZG@`r~uuk2%keqRA!8 z9_R@{J=LMP2%=^7Ujot9+u8&8LTtqj*m(JKgk!~Tp8@G71GwY0mCOItEi&hCH-TLY zXojWlogl(H4@yS!wE3k2h>JMwYwxQt&zOj*0R{j2@O@f zRiM_*4|Kk70uLGNf79tlJSCKV#g{>eHIU4eM!sx1JAgbIJwGY^0MXe}<>bFJOyG4BY zi6z2OeOH{a-$YFo_mwRm%(fB7!a1~MW-tdqcjH&oqGyaoov#1}Y)G=(>dG(rg!oA6 zUX(;X8vy|?+~qYC2ApX9?`#(7K^=Fezm^Bf01#XUzjM)Gj(d z(Ufp=FyeBA+>*-px^QGbn)mNUIcbzK@@`gzjphttbM{$8)*lU^VgJxhzkXHLN~o`6o$6?a9?|md{el zm@BFkJ6}N@;r_e6i8x)J5vQw4E2SC}KghTmuksB8Tf+Vfy{TUFbD+@VfLVmy1Jj$+ z%`T9uS-aN=-wpT=0_gC)pHEZw<~fLHPwEFtV>YdRw%^-=lwx|_IeiZ=hvc5yh9{Jb z*Rby7_8d4Mz>5JnKoY*QTL+l0%W_Tmb)#Lrfc%5_J>Q_?b#d2j9n|{(f8{J%hgpbG za|o^c6wWlsk5C)hVoIn&o!eT^yly&Q}TQcC5QUP8?q=M*GPy%;>QP|c6I_lGM^rveu<|FwpH@S`1}d5(};}T`aHQq>*cBJ z$l;@Y;^Xj&_>T2C#CpJ;Ld3V~+OMF;+-eaG{^za@|JPh7Kly1ms7ngN(iYL*KzGTB zpAeoNh%DNcA>&t9YC&=6fq#5U{Y9hf#%OpUzRExE*yn{e9yy~W^^Tb=7hcT+|vXUP>s1Z?@)=J zGM``pvsHa={id&md5~E0!a1jH;>PsX-RfMv>~QHotG&{*lcNU`^|CWJVl@s9nWuzZ z9xn2j-5T?@Yy`FBTyRRAj;T7*3)a%G3n9$Lw^TKUsu)4F4YkViNtd+r{Lg#aw^i?# z86Y{Qu&s%>C^NC1VwvGIWmBhu8*5f?!hJkb{fC&@dd^lMZ9p!mA1V&9uIu)f z0Amuxw>znI^}q#AKLV`WX>>dYD7_jYTdJ(-ol*-{vjvrBAOB1O3@PoH?J(R5kt7 zIZ!3Zv=i=w96Y;>+)a=+tJUx}RPoUX(#c-5OUdL9w+7p#`Kc4hhJOiYQBD5w>-_I` z(4Bw1fk1G0q9O>-dQs+q_+|su-p;>E>u#h*F&U|hqdfa6lj8ZAlMT(oq_i)|Q^+8f z7uO|EDn~kM^dx^MzLHwe5~cztddhJpI!}QE8$$MrHA`+DeXg!q4L0cvnnZ#lhNFxI z?R$R)g~$5Z*5{$+ir+ku`}K(@1G@eCFM%o4?k~<8wfFgI!`y_2AuxOAQ`igc2MO$# zf}bHPkQEi{8pxiUTS5|6$2b@nwFtj&!{|5m#eISel@p~bc5v_c-gxK3zQ=lU4R5J| zb}Je2C%yQU!|J1*Uc1>9G1yCUaaR=0zEtY5Rs0GE5?D53^Hi}RpApK^=jz*DM?76+ z%nzlVPFs`M~ zVok$rYy3}1ewAIi%xNT7F8nYms7i_1xe$FxQNezg-u;V7`B&c{qIj6WOlx3)jVG|Lxxuq=^@P^jKS6F8bft6*%w+ z6dNi4&p~oK7(()2((-ogsnNV0g=IYJFxY>eugJ6cnbqCPFDnY>uGBsT`@R1Vs-T=t zG(r6QEikIl8fm;N3sy!dPKcA27C}hZPNOALAQFCr!<39PDI=SBm_jY4#qKTVR#J86 zbC2%gdA>~DNCe{;kQr-@A+Ixz%;!7u>nmDHBhL+eToA+Dgf>Mjua)r-KpR(z75JAx z8vFp7ut$mW0WYzRNG;mSg~qj_m??O9_>+V8Hx9uJy?w|tKz?sm)b_W+62PZbarbRV zWxx*$CP40LI?^b#=tX_XL!}aBKieGmnnW_VXdiw)OUljBQ(3Z3+&ISwYoL}BTch87 z80ss7Zx|+-Tjuz6VK=byz4ODHj<4IrE5lS?5BC%wD4nH_%;80eIj|cU~Q-Se;yI)SyJoMEDhniUiCOJ=DhQRhdG8^ zA9v#Uu8^pVqpv|f+wad_eY5_ksa`VMB_wh*8s=7ciC1IWb82}o=iOW*UNZ_-bQ;!_We@ z=p6M~?TYF2w8!m@A-6Y@Z;6;JWYb7P!0T0wAP2qBu8WKN1m1}~Y>1bC+|$$J>LOP2 zp|bkJrOF2%I_`m=;dGIJz|E|85-f&bcrOwHGIV6tkw*I|L*Nchl+<+{@9sF)SBp_- zr>YK*{vz%2g5Wk5aiLAzzrHKTRpin=^-)Uj&;9-&8Tu_agF^@Gtj52p#9Gm@7do>2 z9NGt18VO4M<;(y}U2=R$!PnQfdvZ@y7yDU~WifvYRjAZBpMT$N1&#OSWWDp|{*1Ng zB3_{#Pkjf<=MAelwB7J$`kq&=d^Bg#BQ=&&Rr)YoTTN29%kx!yx!c{VU*smpIL(|0VE;<@SYTn_W5| zKkGPazL^NzghMbf?5EA6c;+6ic`80RTVl#ruo zK9*4NpNc(i$AaDiI`>I9oN9L9;bH9fHHnI$FPm9WAJ$V1^K>U*Jv%atf3@ZrfWOT_ zFislozQA+ksORx(hp!BRa6H6AxBe9bOM85u_2`Bw{~X4i$--V4(<3Y+FY2iq@3r9R zrFj1(a9I7tf*p`L4z&C>il{sFbOW;N&R9WcFLOS;{~AqN+2Uw}>msHMgt20wEJl2_ z0>B{R`nXu95xC6KOYHGxV6v847|2}RgKrNbHWDS`_-`xxCnD3z7Tx;NpFXF)ib0oM z^Kaa8&P_rTCv4xqBqUc^$3xo%LDPzNgCEL2#RvZJW`rYAXBXB(PQr0>BghgCg`_tzauCpxn3`hjr)X+uWC=?Lqjb zsdqa$;f{<(4Ao+fXCXiFbeSNpKC81xf>WE;da;UdtnYZjqYY#4cSSl$`liWI>CmH# z_QrKCk1aMqWdp)a0`D(@cU8}huYY3Se(B(q!D-T>TZx!S%y*HF-Ke!oyWD=KNot8T@Zr2} zTFc<+D?bDezykgN0!U-XBYr-rp|ycZ3p^RNrnT`2Ifx7paJBIG>?`ivgI46M`sBUT zayJH&i+fh$Z{OL>whs|5$Xy(KSF1+p*lUB@0e3(6^b!CGOjB11@(K3blgB=A#f2T0 zdzQJJ+LYnhFOMxVj~b<`FTjkqQNFD{U9I}{nmN1JF=q|@gx`6G8~#lBy9 zQ(~|ANUbtLV-ET8S+-U-Yk7=70FWk1?_V7mBpkC6gB?9S=Af9{m08uRq73n?-;kF> zHtZHGuYVn~39UhO7M=OTlOS4EEj3*d!f-_OC&t9;G3>*?+Lu6|r3 zmDh>@S%TBp%Yc;6sia?k$V_kulK3+Gn0Nog8t5Pn!md6fEx%KObRzT!aOGO#~{M@3dfNgg5vOv3Bqd(w@ZYDF$mVdu@~zwfiID zU+waL5hwoB`%&!x&7EsJbzp^?$LWB<*ajz;Q!u6cr1t07xGg{*zqL*1!$ka^FOj?Jodf-aD|FqP-0%%JU4 z`~77iPn@rgaJ&2c+*P{QSGV-zj+LOj;O^%)6z>ycWz~ja>(F>dm~8L-8_On%9Rtq> z6+8Q0)$R$Wf@{Ur1|RsUid4yn0s=y$ zcQU{z0@4&DU?fWKQKX4LR7#{qq>4y{02wJFU67VUq{9h+0cGOX?BlrZgn4SnATu?V9I3n0*nAc#_DdjliNZp5tYXZOR@?)MY8$G^NwdDfG zo97d)T5_IcC&`->dNG~dqMkwPFJP>JnBf_Fn@9@%ntPxoR{gqE*c#KCshOiE5#-@m zbJFw?Y@Aj|;vXWP)igwWOg~%hWs~ffHX(r@ep4Z>E=#MlIi{;C*JAzRWA=lGF(TDZ zC;#dg{L5!~M|?wu4eBTolG6jt%@}fqy%&uHrvnxIZO!*(1z{E09*SmP2`A&m$ALc@ ziWBfj)XfR2aOG2S{aee&oyiT{?*69-}?aW_K8UCcm`%bvy z{`{}YsgeqDT}cJ=|K353gsC-CE)xE@%>hArY%3!Dr(FU}*D~ro>WS1l??@A@-CO!! zTu*yCESo(F$P@iB!zR7AYnJ}vRpIsPKE>|EG`Y*ghPC?-GvtS&FIp$trY6zk{2!Sh zx!YO0QBeU|Vm1mRU*rW{3lCalaJl7ba0C_$7c00*K0=0S*0*<;8js|E3=1Z4G6C~& zioi8*Ct}}+#nEp+HWraj2g2bML74SPJI8%ldEcDKcv}K_B6`KG&MB@rmG`(j|E4f< z@Ex0ho0V?#neuzE2f$EcO9_2xBZK(@XC5a)Vas#XhrjOe?Q-8U*u&AtC@ z?ehLH%mRH#vU!=WbCo4w07TdPSu+@HUItHvioFP*%_V6KQLCf2<+>me@SE3Ep$T8U zxo&?+(Y%3GAR)QgSy4i<+viF@RiP>O5SR1yh1=X^CeG>5nxu)^xc5OV(<236=5JR< znkc@mIL{V&2+o}~bKEro%Wx$H!D_JpYu*?Tu(xE8)hM=P2$h@dCO^CKuVQBL@AbpJ z1mHym9k+F6#18e1z|aL?kO~=1UfwZc|ClGpPVfeJk`1@=)}yd3mkqtY-@nB&s7o@y z$tCYc09$|46=m+G&$OcxSLh*t+J~YUkfJ=9D~W65-J@Q^Y%Qk9Jo2cn7qmd5#^@?f zZJ+hHc*mzzPm8%3U0nsdkVTXp2R>gIt$keR0vn|u{T&()(zzpW+$&@c+~|TeOkoZw zC)>FS!x}|S?58SXH{XlPs%k|Lys3 z`I2md=B+{Rk}`2198C-dda6IYo|Avo8mCXIvd7rF26QDMQwKN{%4gKIktML!XK+{2tMhLue#?IFI+{%X!{D!x5;7b?rwEoI;-HyD;Slhlq>A!k?_>+(8BGo8$>~)X4cEbom>g| zVoMXg871f3`evI#lQ)`!gpF7!xtf!GW!}#<9gQ;n{;~5{rP3A6LLt7N z9}g;Q0C&bO(i0i-eY9*N@8|nt>qD8pHOv0&Wmp_Jsra$!DX>u;se*paaUn2x`0gE z;oY4Wif~&0yVIIu<{mMncMB6TRMI4Ni`sFNSdnz~MEunA&CFV?R}N|!m>WxnpKo*E z!}#mAUpdmYb4$}E#^=avnGT_@G$7GEt*$f%@y%ykFR>JO8$8QhxA2#)5VYWv)_Au&)G!sTcFi$d;Y&7Sf}>m zVj&sMnTrp2L%$8=iq9vNnfq)|>sk1We0+ZajfyGX6BFF-$B*AtUZ+ofhbQ>+B?=`7p z(z#pEyD=RgMrI#ee1@FAQ{f+n1xv zrz5dKWZsU4O!<}5pR-R~o6zeA>F54!qj(Db1Pz1Auk%o%b-t|BNjLA?-A<1) z6f1t|DZ*6^_pc#oMjK&U;jt|Fc3{ib4Q}vA29C|amH;eeYCc<#HMw@4tpWU7Nwk&U zcIk$(B|#^FWs0)n8mYuj&A1Tuvqmrl9!FGxYyVHQ$t}@7unCj`aiAe3BB9OI{$7@i zmztgsQ*0b@QWbN4!vv1pmhKUZ3g3qfdN~9 z?qdNe`fs~t@J1}iz`K(Rb&60LsLKog3zv8wE#35on-VG6g)z-D1= z+EbB-l#a_p3k&Oo7J-!G$;K@uY3ahfEQmS7;@z}>Z6J?UE*c_^T#Z~pG4XW75_sNw zz76ZTFn2SC6RUJ!aXp+On zn-+|(vPhB<3aH>Hn2GK7YO{VC`}Aak{VSP8dH2$7BUy`^=_U``t!HjLw7qO$Ve}Hj zC6S1;xEB24`q~aRY?u7EUI(bUtxXv4)i1bu^aD$g*TA~c6&JCbvI576=>Qshmt~a%{_VV1&g!o{iF+T-WJa@)8P->c;Ni+k+`Hlc3@Xv=i;bZRCEIR_Sw5%_e?p^Y7ui{uu zva#2nFYcYaEcY-^;yv}PP5<8?bd6peiK&goG=Y3z$A!Hf15D4KivHSH^0oSoO@mUl6Ja+ zp&%k_FjxD2xnmk54AY<*l^T#cYWXE9b&Jf6A<4bFfwkonPfii2u9BLZG4+SL~E?rHaIx`gn>l7?L;A#thN%$mMiNswx=9hMQ3)S~h;(o$(EVdKv}N9+Vns5Ob#Ky(`hdY4 zc^}4{Vc%cG3`tNfCxuIoFEnZ7%$Jv(^yx$YV5 zbVtoDm8Wsj<>pNmvG2T34p{ShTVdpatv$`31?I^Wn%<;x%jw3xT}cK;Wxb{IwWG0% z(@gTzM07svZ#V#46WSFKKS7lPx;D?p#;MOSMi)Mm*DP5%4GJ1mMR0y1@fH?n@1=f{ ztg5ZQT|XTkp2qG$qdtI=gaK@t|5fXNJpJ<~xOF!{BI}KWYMo;rEn>`QkL~Qnn{$JxRlT3DK(MVucJ%l@(JmX`kT;wd-AN zy@OaD@Ohc<<&I993sNW>BxprdLOXjUyqIbjem&YWTc#@izQu+G0 z&*kClgVpO`sxId1afT>WaD$4IA@H5-GvK>dw~mBro1}2PqIJM2;mhXtF%tV93}pUH zTxh0Hp!t6hP`EQ%pY(j=((mR4$s(Z%L!*JIV?Y>suKxU_Frf_D6CoC zbDiBIk^D^=wxcy==7;x&5DtVR!do3P7m3UevtbtZwhLBoXq}w21R}=@CihCiq7ZK5 z71XoDe}(CEk$FMl$2?zCE9Uo51I|xg0J2nEa%yWZN({y=<{Ex9E1Ga3&CjqDN%814 zL-2nty*(I0x|SxS7O3bB38#n;b>E27)$_V@jT%L{!u&

4~HHVokuXR0H&J z(cPeJ1+&MNQ8*p64St}<;e0 VR<9A&BXWZFO4!0KdH#l`|^CK|gb-J}j~Dq3O{y zTVjTO6GAmVJ}KZs2jl#~6dQ8QsZM^^8nNrAkLKIr2~G(Zr5Z6a!R(>fVfjYa;{~Ti z%I7_U4!wx9<2zc4{gAG+Pn(bqVZl0WWS6e+d+4np@b)%vL= zaleUD3isYfytL$=oXqH=gT5Jhc6ARJy-j6=`*w( zFaA<{foHttZGHB6se;RKwpvp6@A$`r|AWakpf<|qUUgPi5ZEu@{Q_RJl`HaiIFKx2 zAG{=`bV<+6v3gqim77RV(bx=MU-B5X;sm2H67k@wy@Ha5+{Ywy#K*pZ7g@76O{|Kt zXG&wDH4FJZj}@fn7mhzzT>q@6g71c3ZzGL*y6Gg*wRQ|_$GEye^-fC!c^lT+(3X*T^F-}JiPTc*oObTr*9n|e*ZkK^$F0n_?LIDdKW!9@_qnmL zu!k^?b<1#9tvEs-%RG2splwE1xmC+hAZJD-AWug19kX6c*VEEh|46zwWR;Vhg8ZLL#_roIV9Yg1_uS#(2bfsT zG4{%ineOC76B-=O%%iX;JEjLzvYX1vTTwt@+DQWM5F`?TYe*y=8RNRoKb~-O4Y3z~ zYzKgL($X7I%5JKwO8=TqC+QGu%rc^LcI%w~;LhAv#h;g{R9T94^xC=}f(i}R4QS~? z9@pb%g9%toX0yKpyA>xa1;6oOqAX#TU^!f?6a{$#HI^XE2Z&jH3A zd|kKn1Y*=AF@{$)G1?1t&@txNr-NRD&34Uwr08S=IgXNt<%ZRcNjQY=UWl}@#q4Rp z?_sy*$?T+XH>0Do1>1FUfwk6>7Q;Vzaxd1i2oP>K*GMT1b*<%O&TwJu8D`UKMybCD zTxI^)ysme-(ck~+T$4~d$v@ahen(&DjtJ@r7Wq7UjPR1!>Ys=_z!nK_2_aXLTae;d z3>?YKe2bF^LX7H6;alFQ8rAMR(Y;d&Y?FvFY-w1Ax|NOD>OjZn$pMcAPyY&7{v7ii z(pj z^aJj5{ZCC)I>fd5EvW8J8Pfa<#vNRPJ7ZdRs(x=JjDBDDjVQLja4Ts`@)o$&Is@<8 z)q_TVIzOAv-4SJ7>Sv$kc!T`nc^?45-`Q*{+i#TNxG`05dGrJ`9*4}#9sSU7&gmt> z2&0!Q1?=R$EzoX+`ZJGeeFJpppTyPyv`4fqj{zI(lsq4-?v3^u6{Uuh6jpwXahp0L zS1={O(Rx__VKbigKC*1K!L~S<^zM2vo(IOeGHupt3T^<47G#{dX}QH3oK*1c#4W?w zPgI4w#wP+xf8CQbxFfuF10p8CoO*Pzp12$SizPy1&tmp-%Q9407ZwIF4fgRTDZ3L| zJ5Cch?9xiM)yAftb`1<5^_cq;Zj^2B&!l}_bgr~~8dvH_+SZd!`Z-rqUjvh%_A2Lb zdYV?*lW(v5`L^f&HSc<*e0M`16(gcUYx4D>$~*xVrp?xgeD&cMV`Z<}rzOt@K1dpl zhvjYH$55U5fUXoGa!EJ1kXo_eSesa?^ycQ1vxO;j&r!vC52bQkMTb&xRjy+$&Qf!Y zDaMwN)0;Bf6^G^U?K$qZ4l@WS+#&N9A)zmtUF5ick>pGAt!^&{rDE;HC_F^?!67X|(Rp#giD zb&KoVNsYWve{1*&NT&U)|62(e30+7T&pz&>jf?>7w4)eAD~@aJc@6R~Cgw|rGB51o zG7v*3o7>8J=zFDkm;i};jZDn9W%l9CBRZT`Rb}wWp91e}@hCY_?&Gpi?weHxN z6yY9u1k_a8i>%MlOZt*cY65~}X_7PUn*GtI)qTtzfP-M`AjP(gM!VP6pjlFHf^k$M z+Ko=v$Q$ht_C{0Q^U3uRwSy#OxP2^ZjM+cWA`alL_l#75{`h5G8!3kTk{TO+1~WM| z2`=l2gqL=00MP~}ZxER#T}4Ok0kK*FAZ~p70!xFbk(=XcXsY^9G>CPX(x```hYHJPKREaRNs~Z+nZvbJbZv%uvWcm&QbFPWzSx|F z3HO>#UR`??7><}4l3&m)VRiut!vS}isc=f$Rht*ykLg-JUy0rN;uc?eaIhIAeBeD_ zc-^9xevUsERZKA|J6&v(r?>%wF^_tIdDr)t;&$qzh`MNo%Tm6kTR>KrZJ}+hthPzP zTt~S{6`>Z`EgG^iSttK>T>*5LKlZ_Ye)ADc$!uva(BwqB5>{1z#Q}`X3Z=2RGd{^Y zTK{`1Q1!1?AZDk~7nnrtWFYnvM$N}VcLl@z%z=$9yN2OdIA*dPC(ww3zOJ<99j$`= z9zdVkcwl;`$kIfeULmPAx)gRDkJ;I;?{sZR4d{MiKDPFRiVtKLgdA2Z=zqFxRByM5 zzQ>ZyhS0HEhUasCW6V#Op#aTemopaYuzfc^KC~;YsUixo&{rV{7=H zB3d;msx32O=d-Q~X}@n0ArIQfRpdH4cVz76)DNG&W>w5DQa!V``@rhSRYPXr!;{Yv zcCLTBPs&7klD{D*6A>%02gvNfQyR8Xnw)p?K=cxDuvfs5Y4{ym7sWcsX$!_74ehf0gOum)TDvy=iYgdDX?9Em$(%K z=(>ADn;x@}(P4%U29ex5yX>@H&7QdMdtIT^muM)04 z`bJm4)It1-h<|G?=S6sKs$0|ss*BRS0?D+2{?fkW&PyK(FL#QC8w9 z<5W~DA*G3jS+}IajQMRB1N4E>xH^}W#f7sORnIVy^qxi1b|7rGVZkKgmDm!fGR)8O z6Z_zUw9v-gcbKnRG8}8~2ki(_kz#r(Fp8=&=R-dOQtiz=nlsqDBB_WMh$`K@-H)ea zT1p8qh$C2krW0*_ChEF!qZE^uj!JAaVvr>;B%>xTzom5~;2*XvvSo&9Zd~=b{b-%C z^OXC3?ZXoFrnXZzldr2guF-^KP4|7CE3O}mVkB?9aZHyIGQMrVv3`nK!Q|KSbg*i( zjn*0>VX``suer@wT4vjz6{a~$Uc~Td79Kd);4{(@JA0-(gg2`8@~Kn% z86ZNp6uG}%gR4#LrSf`Att#^EXTDS1r`X+5a*P0nBIJU4p#1)n%&I~oxpHn#4zd1X zTLSq&@x`qsE?RWktJbf?6bG!=lssc54udPJ8RykWJ3BRC8)qZ`NY8JqqY!FBfC_@?(MsUJDgzS9P{RZ_ z9Qho3nyJz1i=ABVe%9qpVHMB1CEa1ybY-AQkdSPs=plh|1t158^f3+|gP?x2(zubBwGAL>O%0&2{6#xyE0_@HTc)Ui;<4ciyQ{>5G;F4gVhBpQxA4k@Xz5iNS=~JilCOw{yL`ljCES zZLxk1nITSAw|A@LNlq^}2`jxY%>J>0-&}2yzecOwDhXG~2och@$jM~M`3l+|-1y=& zGKu_y^z7Q7U+wzJKXqdk8)w)npvH4_{)goPj*KIw+<^0U2@qWJ^w8fMSG+HFg+dl? z5{HSlvFCdm&wJf0Mv1=Tug@N8*#{$K z4Zfr^lw*6`Zdixbl1g9(g)L6LhW_FH*zDH(d=I5Qjq}0B&zw8{rs=<@XA7v^EE__S zu4p_x`<39AID*k?w*eP>l_bS8hlNs!i4+jJ-E95LT- zp27hgGzGe_B~_u#=B*nOYE?iEI!1?mEJMCbSN4x{hKi$}kEI*tT;bwvIK?ui-w|vH zyWUXy<~DzF(y_!&$&<9B1rM6spC|<8>!x%Si$uKd&?2cIsx?|7RCH0-&dpw^EkID0 z)Uc*~zUm|dR_J9@l(Q2tLB0Ee{#d??mxRDzjfMd}TahkAugq#x6gw+t{k5ybrl6_N zve5Bm?uqn^`f8v1AFVm_)B5ORb-a&k-b-e*q@1zW4$6<%8k^S+x@HU9MKNV65w*vU zCRyfwyCNf1fciT-{LSru3T^nmpoM`$p7subEsS~1;BF%yAx5z!Frp}6-zQNYH16b> zJt1GZV5ZbEM7aR5b4wORC(29ahc`sb@y}+nuRblE-zs0v%Pkslo^YmcvQ^K|Q3>uo z78Ezu$^5uhcey?=ygi=~sP1D&att6ECW~0Zel~K0rIvw2^IXl2n>lH2f--z}A|VtP z-?WnabdsG~p~ADHz^l{G@?kemlI3gP>QuA3UsiHKlk~qxTrs~OYpIk^${birCO)M} zF#N;h!8Lr;TFlj?}%4L>r^mU!q4?WZi9Txmq#q&X~A1fSKg8dA%uSZfp6JMon0 zJ$i3Y@pIo2$+uiRbZx@a0?e_KK+h`*&9vrvP#Z$p@G;q-_4U%@?_{825l8eyd?X~` zXwN4sFTki-0lm~=tczRz>oKoUYV`V~2%N$tUL&sR(D2y&q4}VbMRkopFDKy^zHbvB z$S-NiHC1@Y%-D`XdwNKN*ZuV2LaePMcl1+WY)u;}slb%kBDiFLRXLM?uJ<|3G9Ym( zGwPd~NQ-6`~%gO%D7~L1**_3E6No)^+Dq z(;=)FGqx4A&)Zc3e#b~8M`{a1(*2XS$StHOkQ#9B30C|A%e2rL6KmMOta$otME9Yq z3&#EZO6$@SjQMbzgjC%JM(ykR-e-5v=VPt59>3L7hKOy5-53oz`fl)JG!HCF7mUUt z8UFj=gbtm!a`j104AG=|XpPHtRKD}J&#!I+AnMbpp7y%7t|9u$(Xr-39ZV7-Zp({# zmG@vQmEMT3K7}E9ES^@!5O_Ik^ePQCbC6B&jb2QST88`~Vh2;mZatf$aKA|G1zoYu zdE204?MKqD6~F6uxFnWcsA*LO`ecp_!i!={wS})*w>ch0$$d4kuw;<`cvW2ybBR^n54-#J)SjuOW3eYxUcSt*-LuHE>qO2E?_{1x6gAq> z%pZ9@1z~)8@x-5M8~g~&f3*APXu>fgH7k2Cc5T|(ZzDPRtQH)XiJ0Tbwwm3Y$4_3~ z8#Yw_+pZtWQS9bT!j_pL?hZ5*Y#NX8kHw@$b7VP+8QlLovM#3XWf=To;AT!8*CR{H zV4C7Vn|u_nNU#(l_`OPCAC;EHIspl>!qJw2#a7Bxk5l||=hQjUPuXYJJG?(Q|5U5* zM)dl$_nc0CcMU0dlB*F}GCn&>h%5hbKp{)9Rl>La-7 zJN!$y&ch7pH#$X|kfZBKv|#*=L}P!g>gty!CXre}f^=Y_Jk{oHqxFlmuOhvavJ1}} zIwD9ey-7Qd%WbH+Y-$Sj&lAF+8 zPQ;*5Lr);qXiBBVM&2X-xQEucic?9CTra88tZ#n)Is7r!_>sG0^jjDe+e(+>sIk!u zyyCR2&6gWCcw(B;o8)GaBx6}nFyJBduI`zRJmz#Hr7zuk%wcivTwl_RLhi|Sn^H?k zUn0QfjaF<(j=3UUE**sz^=01`>78K;B+^N| zm|Ij7EVp@UYb6HDRg6(KV&dY0IxFdXDR*Qjql8w}-jR8YLz0*;%)N0bvb!%@4Ttlw z2N3(%Dj;5Q1UldB_zm2lUwyXXO9X}@hRNiBbR(olfQ|EGp}{Nm`B$ekyd*$Uaw zWp~?3=Z0(h^efAFokIq83TvF~G@Yb^3?dw+7Xlg!Xknhw7 zs{6I68AojOkhC9OqdGAubU4bvP9>6Y($KQivc&*(()wGa&75tyDYu~RdpVmD3(9aQ zN1&f&w3e}S+4RRhIVSwEh&p?|MULX9hL=v0uwEbZjx2}};t*4yA2y9DQN2A|*SVb85k$ zBU7N{L9*k(m6KOarP(;2^}0+td?j1G+oVQ2ZRYCINn$n}Ogw z;p3J7*(>CEsG6*Iz7rmP8tWZ?93POrl7@42L^Qr!b?pXH`zv zr|TqBfoav;b9A}C4C?+q2)mtLHU|xJGBtP?OM zu;e1dU3Y9T4aOP0zd5Ng(MVu`Zs*f5Xk&Hg5Yh zq5qTM4E;9+XU>GBz1y}Utb_1V$aAXc0i?=6gR%3ZxEeCSRSLpIhac{NjLdJJ<(y)L zb3SYr!N;kSQgEVr9Po{98*Jz^E|npQ!@$bQBjwl;x39-FgeP|I)P-}fe(GJngG1Fa zE0XhP$yK)=ZC`esfbkdltLeI&N+jm)b4=U2wE;eL4G|ayt(^p|Uan*|r3ZTL!yn2X zjxB&D<8+PpzRy=mUo@Iar1p2tUcCr5#7Bz@f-bQ2HYhfv<#|R9G zLeAANZR6>LTI{*1VRdDRxw>%0h|crSOcb7i5J1s&x;3nagN2^eRwtVF1v`EE=*xX> z`*`>mdCzaVu1`kforG7Q<>B^lE(jkHoa|)6y!wcVy!2rW#WQQWVsMmOzAz5?0hW7OF*eC}>X?qL}eq);9RY7pm;kR}X#ij|Knp);!ow5m=#nwS32E<3wIdb{|sa}r8q?gzw!6k#KS0}79 z-;%|v;dei*s1xoI1cT11jNk04YpAW7+7?%7--A%A6U+=a$Fnch;V6IB?YbD2aRH{v zsA@P!=lP1-_H66@PEqQQ&BD8?Q71g*&X-CyTugc?siN|bxM8@q1J((@s|6G$6*EkI zP!a{wxar&-PXK&Kvh}#D`8gH!iZrJCA4PG_{}jb}|5Fr41EM&4Ac`v&Hng5pyMjn! zg#SQn(Y$n6F5~(tee99=BGmhBZHFt=xyi~{mmDj%3FVackls!!gkVs zV{ht^hH+gTw8!exIWg38m_XI2OSxw~=7MYStQh&$cjTOxUbmO;VaEGkEy7OjUSi#1 z`W&6w@Mqq#b={H2lY3d8P?*OWLXNC zh7dju)r$L}`5C-rU(Vt!c7`dG7Y>-0U%hztynv<$va{-jR@z$Qy@$e_GjEo69^eQq zPz+NZ5$#_`fZzml(TczaY)#{-&N;o%{+dgxi2Xe#Cj4$IS4<~}IxT?{Jl-nCv+2lv zr}#aDt>{Ecl_)vG%bl|CO!#@yq_evwUu%xHJE?s#E5J_w?JB+apzo6sVRzoRwOOXRZ*vXf`epIIo}UYPvnxfwQ=v@aZs=n zR2DKmn0jiW8Lh?&F}~jDwyk2uDU`R$_}IJba^Qaxg4O&>2qv++Q`%GH{3DKU@k1m|Xxuo#BWw&a}AD&r)s!uCwo0JC&?suTT zDXhk!j z5a;@pOFNR;L|b>wjFUJ|6f@d*mp~WSu{Ekfxvxc zuyue#!>1|ojwP96VIz+$pr;4E1(g*7Xnu9gxUIr){$T?I4K%ll63DI0=u_paOUgUA zOm@EeVN)|UqUDX`#d$(3`>uTV+9Zu7-=~&R4C7}1@v6J$T-7YufF1mmxCBJel6K9? z+n~4tG!(woRQex3`p&uJL9a8w0POi-(rE+*zw@1HJa5LkLi&>*U)RfJTgw1plbQY> zlZM@x;S**utpJ!zGVNoef#te6{`gH@x2Z|Dr2*I)aJX0)Iw|UyBJzjAj4zK!;#U~HZHsM`}e_Y31^}kEzV(*=skRH&z;svc< zZ0zT46Dg0*%Y5!*Ik}*nS9kOFP2$|*hVAuD$AVw)JE zn`dc$1D0k*U>zp4|Nqia_2daK!MI=VpjU{*!B?<4Q--b{CGzEU$($pZ5(BCAQuoKL zo05)9oO|BJzzJi1G&&L1R9GyO*S=sqzUTglct4ALR5Sa5vtTZB@`ouEY@||#XG#mx z)ggO;qF*7A)Kq$2t|vfU&d@%)+NGb*9R@3!p9rsTW6_~XDlhKX^02UXr0@qv0 z?nl5}5CS-Uyg@+yTQfT%cl94K=C^=9))w-nawaql6^`reG_Z z7q%;6Vc~mE**$p*P;&b{nhqDDH4|uv)^^bBBfdZTs5niEmM-k*ENN_>vFN^`(JV}A zKejEx9^zsDO0ERLCvFioWfYZ6hcp&F>Ed#oR>MsPSjXXUrX&SdojwURfXG=A#itXU zMBu6J*^TA<@Dw_`gHJ4HD>-2FP)Bgr$Id^qhgZy_{nXB$-*N^g4q+HMJNVl!FFb1> z4CWO*6L{Eb=O#NDy^#fsTfVPv*3QDp3kfl}Ga{7PaR#a}8d4$g7Pq!aTB$;O_c$mHC`Rdum64(Bi&FmVJb7u-QnuUn%`+3lTaZ^mm z!&0^BVBKB?wNuRLG`ZUcP5P7VI9{-*0PEq7Z$K|0w-GGV5O|_I*q`9KE0F(2AcSc3 zk0T2L^V0L}VK@pm*DQgHb(z4^=mwED;=m2bGlhMKWfTdRJww%a1WT{JztOD-Ynw9u z^x?U>S4svZyJ)eFX5&%-s0Hd>)aHSke0VTVUKko2YlW9aO1J8pFKG0 zoozYKylqsNqo_s8x?Q8_kQ~#6t

Ti)OU?qUEduWBDMgN5^VdI?q$XBxgwITZj5d z>fxt}Nre#)Q)IPIPv>YI6E(a{6nR-{OX{8cr=l!>`|KU$c{V?=$@$~=So@1_i$2hl z(j*0r79l@nBCGuhdK{3iW3#vMH`&LLT(}Q|fKZSn)&n?L2mec~qs=`k-DLn(uz%89 zNz&uLb(Sp;OWirU@WJD^U3Q3VfR;T;fVg>JaF51`26g)HJqP*Ik(6X@-`kXiigFMR ziQB91$j!B2>nc^9Xi^6u6Ip?LZR=;H+mr~FkjfFLp=o?D}sF*YK7$^ZWoaO)qhTQ3vSXs7)03tjOm|E1wdhz<+-(ibEB_>0A~?>m{G9(AUIzJCI8A3%FP zpxb4N!Z5sO(yf0NkR9gn$<__+x_ek(+8xaWI|S9c4h-XkGtiz`rdom zC2(feynej+wIk;A&Cdnphs-xm^?@CiH*AD}I>17CMHP1Pzqc2}bHR&c=aybsGfE=c z!j0DAA$%hIDH#%;IRC)9J0OrYCV;lA5i{pVD|Qd~$Z9W}doNUG_Wdmg8V2TAKb5cP z?mj5B?)*dBh@nB6dw@fXioIihR|(w>QR-eQ+gBXZ+;3?~=`H2^Hfye=5}5J6+gN=q zY#sH1&FdBc^|!I=1RvUUVnpYIZeV*9x-vvEWMmVmjU>@b59s6d z@Z)*fx!!3m&U-n6bA>M#$2~Pq3sfc9Cdo_a!c|c|?R7&{*&iPbC12^W+MD&YFE{MS zQ_FG@)BTIIGx)|*sOi#DMY2_$uJS|K?|s;eyk1?6@`jk#F{bCGL(Ld2#$N+ zwtH^|{<)FhCqyNwd6JLCzmpNZhjp4cW_ydR36Ut}9NfZlqrR;n4FKMGVdw%GXYr_vTGJ^}}YBLW!f4RpA{rA=%c0=_o0Qmy5gnkB`E!ke*E1XB; zi1=ocqGO+5U4L1&`*U&j`($}HA9I^QZJ$v(Lf1vkgwJi(2w(5zKF^TKwFYi%T|V`? zWU>6ED(CB}hkkjSvQLp1Z`KTpb0Vh zMtUWV28sY5b_|Kgdi&+O%KJGAzWr^kcxtv4eUzWGW^6!KnW$E}YxUl~{*dl|$ zRwzKQeYlTSv(y%S-7IHEq?9yx9G61(cBce$Blv1K-QH#+f#VZAjMhCZPmq9Tn9{@Sj8#hC7GHb^&L}}zt34G)!Q!PZ&Yi^0lP}FC|Dq{k!3^XstbSKLC1F)ehQs}|<|RtJYU3?I zUX*agNjr*%sy3X>|BRRteX%61q{W;67{`4wkhOVR;FrHCBOo@fOh-Was*HIpkhQrj zSZ(FGDSO(+2t>=>|3ZvOlj_veT-CRXs!PiG<h_A<&3Et(DX&uNwQ-odsSa+U>^AGPb{(tjNKxm?q# z)#UOUMz_$ajA(woC^bhu>*x8YO5yx;j@4%Kvhe&zdcl#@snrAhAuSFqWzhd&?@fT3 zOxv_k?AAs>jG%yk5EW!!R94yI1_(4nb_5|JA_RnhfCLD{W(QeXR%MGCAnXw#B3q)Y zEvW1upg@A`Ac+FLLK4r@^Uq9u)ApSIJ5^Js&VTB&Dpgpidhzwpy^Q1~pj@YKAZ-uMwCQ>79Q+wJobJ&10rrxxUv;(UUU6RQ zZC5?Yv%APfTAKY+j>|tgV|_i%;w*<9HUe@lYC8ssv#&kH8N&rrUz9tp(BK93Zv%!- zo_dtqU!Hw@=H#K%mMLa7v3trhi}(I|)aj&i>T}m>$-cM%U6Br}POCDcT9=vaNu4VK zze))e9d-EGI+I(an8`X3>lCc;mLeWdA=aO{*waM=uGiSZ$hT5DQ15gK?Cg+oTyh>Tb^@yF7-4MOCv(jd4DM_x6lJ=B5?(rW{_uECLa;gK-0fV( z651I6ZT_9L4rKIxh>rg5Dh~}M-Qg;F9$IQh)s8tCd=i`BmK8>p$O^P8J1-F5);I_% zs4#}zrgyRVaj6hhyF+6t{`nED_mvHlRpILXkBoE%;)iOr9$EC{Y7An{pT9J`_~F@% z&$9{7|L|$aywHZ%lSKdu$=@r;(l(bg!2L;Rb9(i6tMY_G7KbWkT&7}!?o)+u=O9lv z`qV*Z2;faFL8+4hrWor{)8X|$R_b~%JE8i{?BdImzyQrRT#}o;a0NBX-f^d zz9l+i#$N~fHqd*2OvSY$q|=&*j6eJR!8TWFKhK*x>)2h@na!`!6OeVqCNoP}Rx9op zG1=~Y@hi(KHO}4LOJ5DP|4Q=F$GJdxzY(Hpeoj5Z`tXwH>q}5(IC4FF+Oy9J0CPha z7>=MLpvyKAKokKMKpVkuW&`E3$LC1MZx22q{tPxqdE28sTW}C=>;-=J7@*Sb^8ks( zONFSYZ#aeRfXFr}4NfJ(XX;&jdyUVOILNz1(@|~Y@g^i7Z0k+Sb#AZyHi=+!Sg%zeSYU!8*vSsK;j!R+ZRI_%o_GT*?7Hk&kZBB5a^h3yLV?Uqa zWa5r`x-r*YjAN2%9*7hvzQN!(qOF_uQ%^Bn6KcOeHVo0k>k%l20c7F^B3>L5H^(xF zyVvAA61-e+-Zg2|f;zmB^BhhjFzX{_0a8>r4Gg^uuw+}}@q`4;*97u$|O60hCWKsfqrA1T%`v@heOrkLCE^pkhv-jB;H z7z=|1z(^c7#le_AZ(f4lFu!ijLHDF8m|y;+_q$_ab3;;bq1&ghQSkj(%Y|k50lZg) zI$aNEHX!;k_jZL=6TKJ;ti-A_Y;z;YqEE#3=#RSxLHA=@fUTnh=ZXU0?Uw^C zLpU{7d9-yruUme>;p{9VI_1?;*8%-BfxP~gjDXDY`C4K!+2%k2L;Y8qj=?H3Dmwn< zHGu0#F|)fBFUkzo2VHfMT2+>X>IWQz70&OuZ(J>2>|M8Pakab>R@d68Uc$+#$@DN2 zRdDe+?5a_F+qM0{|`zV6VT+GX$SBNNnOBP z4uSkjJFvBXJwe}o#rJ>hQ0@`dzabs{v3xjXH*#z)^w?cn`Acmb+uxdJ-^klo`o5aH zX&T|%ep=Lhng)q0{1V)h3|hgHj3t3o?7oimA+C#>^7 z=1&1E^}n%Gl;+8?uV!iO7v^Un5{oBrlxtjIO_5-%wKFSirYnzc7CdSW^)GjZ%ioaV zy;g3y<7(xZj|%!CZ0$ZufrRO>D*H^IY7GM=xIC#qt$SvCQR5r?Y`Kef8may5r_Uxg z{8E~4H3*p=_WJyrv<{rcIozzkp#IeRJYJn~IB#1qPFw!bZ*AS!*EB)h4Oi9dV`e8_ zFltUEqg%6P6{&Bub>sszHDSH%vyA)-!hzT76XP&>Jj1 zijtND=MUnXZ?(e8!X(jFKI0=5kC&FouO1pu;wXP|>oPY37Q_eMs*wFuKS{UvJTJ~t z+tmczGrQdRHR|td-ok+IJiN1^x6l5T>Yn@}V4uXvvRG`gmiVo<%6)Dz`HM(V``A5Z z2RdwS#gupY?X!-`QBMDRa$Whqlk3hUds+{q>;bY;nVGivHVqrFA1KCnw#rl@ZQTes z1g%SW?lk~qj%{LTa}z4@kP8y%6IkHI$wYi&F23`q1Bw0mGaTIZLxXQPfO)z6C$adi zY@%-Rswp!b_+R1(PgL?0UnCsr+@^m`!9_5)+YQB;y~&lw8=f`w1xFaaVo(}W8J$br zpLX2?M({>1e`%qP=e?I#izU8&<`g2Pa|u!!Jkv^QowmLh4`L!Jb^k@x#Vam0E zh=h9-5c~%vNe?1Anz}Vn(#In==HxB!>p3Zp5@zgHsiO!b26m!CHL!993Xk@P$ z`a~!rX{$ihXpfE0WRth4$!Bq76s;~hmW61=AJRlYvb=GT+7OD-`vT9yRs*WYiH7o# z-6LA~8m*>j!U1d=SLmI`kpB59A1OW-A|~=w8^c1ogR4M=)ZD7QpMA!vb`IJwH)&s$1+nbPtSViLpa(#P6;Y8^+6MsT6eLeD~Z>z))&f1^K zAd26kN@Yt4Wy1XboziCYA36vit^AkG_cse7@b?7ZCImy;zn3FG;0oSwMQ!Yvh17C{ zx6Q^A^i8&v4Pl77g2vB0%S@%2vek8RFnKR)r=ccP&q#|8ag|~E(xTSW;<)lTT_V1m z7Q=ci;{PJHjor~zjZ;YfOKFV5ZV%{zsYhR{ z@0IjK380qBvm7j|R6Wdpj(voYr=Q_Ki4!=%fB^nQ+x0QW+QVohKs=TKm(hi2Ba6 zVa8UfPC~Q+oAFK`zoEwG<_z6O0qU+A$GH7)_J3i*M`q+R7sEDY zIV2nY;_|8lcaiCkpnsOsA;SWK;7r>vUrq_hV`p-Wt52ilqu_@t(;+x06$yp0s5Kq ziq^is_e}uR!4q)yLnxXnp+*nk@UZb9V`?A=y4mm{=uf$#_&ykLb|C2i{I`eXdhWzF zpltTlGmz|4KyKyRWdG*WyLoObO$bjn?@}70tu%> zFzzMXSrFjnFq^n{B7vj*QYr(y#x6K>49`zn;GF^Pl;9$Q-RA(_$(NIgUvOs0amCb< z5$rXu9|vRdk7L=f!i2ADf!|E#{mvtAcm#x;JrGC-oI${W24k8j&;g1{gKpAdr`CUF zedposUMFz9lvcmR;oOkAi2BXbfV_@rCqUP!C%_!H5SPVBoISM9 zqX5V_cV`m|+mgV~cK-aGClkIO|KpOFu(eRFYg;=&o*tAB!@z~EA-oBsfAH>vEfF?& zlQ{DkV0HDz4S$%*jpRXY%+xoZ2ly{%!u5GM0<_OFJHGRD!xDa23F42dwT)k;)%0@s zBW1u&InIjz&Qq`o|LZ(%b)vzZz_2N=Y2O|i6#mSOoB9@T=R40VZ~G7HVD&eP6+!!P zy?>lTcMULw+FYkO6uVEV7YyM%hW-2n{&O?~_SZ#_|GTB4PW{b#|IG~6bY00BEFqv@&3392mfZVP#DgS>-{&===cV)0K%H=22c{#;QiOR`PaGm z*SYz@PX6oM{Oi8?*M0M!xBkEGn}2gU|K+Fs#jE~5(@#@?0VVP0KN-iecz)l*;}vFX zskax3*;^Pb1GDDU{L1^IcP8+4#eaufTcHfk-t@>lY&V`AL%_}E`+7(Izjs3CU?8?Z z!zFM|F<8-QP^O@8ei^-@j&7vZSDy8rJkt2IDP>iv$K=_7--eQbq-spjtID2IWNDY5 zl#-r`F6;4-%6A@fpwvPe`;E&FUB$+u>Z1^X%OX+gJKgR)efH&N6P|PBWidt)c4w^a z@Ejt0LIxGwH<3q%$#uPPup&cR@p<77b2*`Oj%QogkjST%#9O(T#GnXqu9x6YQHJwN zWq-XRSw!@H?ZJmU;GYi9ULFG;Nu^g^_8o7F(2O$keTx-c`xf8k9Lq%(bQUj}zPbG6 zt+k(4vQt!&YpPJ8_=(^X8}>&n#_fhL+RlBvJ!@T(rBm8O+AUwK)F7t~;-+4cO^{j6 z_=8i0Sec8|$1e@C><&hb6F!H+DI`vQZ&w<}DN^zAbIbozrEns%*>0@DT9Gv7SNgL= z15ewycX|UYrZ`K3LX=%^fteXnHwP zU}~(;pkw2mYi04-##ByrZT7isJ7fM(by$`U$2HU0Y$TVs+o(yt)5g;8)BC{;U!~(i z{ixg;?gU~#S03`5ehp&a`E^vMcqEsA=At~2ZZz#yr zh*V(WV?I)c^i5gX_CsuE^fX3zYC-%)au7b2syXVn&Y#Wg9hBMLe&MS21d5)<^J2f4 z*EiM;;ymdQyd7F1^?yjWJgXgDZ*s97pIkkd<$V8I}R@3mXSmp7IR_*)`LL0M^X&!zCXx8Ud{FcJr0TLxe?P;cwt)cH83D_1S(v&?X-G^1r$aoFjt3 zc77&=D}(4FfaVGyYbQvHr7gI$!y$_t{FlMetuPPn(^-(LSQZ1xn^OE2(=8*TNbrzW zVK@hdkf5=Sa`nO2pfkn(QXpX!3W1t#B8)Zvou|+M&XRzfxv}rh0&_bS@}0-P08e`K zH;?<(1$O~z+tvVW>?gGwpfUy~VXC%}ROuDDn+3E};0IZVWPPzJ>{`24A)GnYPUB-= z8djBM-6$r$!{%H9wX=A((X`rr!(ue%(Q;VM-MWH6WJX*3nGu@3MvL);!(iox-f0nM z=VffQThP_+{?|!M=l8+(Dy_RpFm95Qo_^<9JzO2^B0rqPH{>&DyG&p1Jds~!7nMnm zH2Xb0OE>GN{3|Dm4{E4AcORuZuIS6~zvb_3HJdMYFfV4L;(>zEcD0qa*+AGh2Q>LP z6BK*U>ddac`v`Yq*E+c(;4G#c!WpyP*80$=c42v2x4tH`UC2}FD%tUL!d`TU(@0ar zBcg-!{ucg3~#8# z-p20%#WW?aU_Cf5G~Z6$`6qr?kZsPId*G{CoRiRe7GLS?C6b$x)j^J3*Ha1&7C<_G z^HX53i7_YYPM#*VTRN6?7fJ}kki?%Sy(LIj$d_ly4N73(OosFfXb&`YGY8_$O_n+NzOwhFThfPAt`I}wxKfLMu zw4;cMXIN968#4RF@LrhI?aX_3+CtiITuCL~dOBY!o%DqaT_GI6d*CG@z00in4huX( zZDj%+Z7nydqY&k}nxM>Ma7r752ZkyW= z;Lgqv_900=GiPcdRJ%rA;hgBnYbV=0G8b>$SA-hx5#vD*Q9AKlaVSMRD3tlG`B$QD zI_^ne%+*=129iu_vTEpW9iwqld@Z_Ja215FrC{s^WD@m7n97)}MB>JAc()yQoeDaU){NH^}ZTKD=bbPk|O6U_L zzeI|jO>F?Ce4#OkSp1Cq7!`xAd*j+ccv~~1lGN*as)Fc$_A7pjI5Rwz=BIDUT<+Ai zPlvW&IAu*{mAyZg?(~f8A<-2;T(-E;tAG2ckGSMqPPv7z!0UeJp0IvnyG!z{?r-GH zz@h3@JO-I8^81@(XKzi433XPU(`GxX#b5WcJUcH}FH`7~b~37PpKo#4so0BV%~-4UUfaV#cD9ReZWj0Kv5m=fI(`0Lr^;l1{;18jn153JFVBGZ z{~PtcW?oKp?{_&57sSn~EcB;h`+o^Q?1lzV|o{C=oML(XgT~i1-cX z3`@pT+0TBeWGkuuRw|d^x0>EP{+TsjKOp|u%YI;BX|~8{zcW(dV%}ta{w=Q8^D>hi zVn%Nx-|neYM87Q_txe%7e7L35y)>#nE@<6pe9Af?Tg%FO|7I3#tk=^_2v-%+Bo2(BXIKw4(N=|9q^~qa)MSrs2L}lnxl@eYXQR}d} zxGl6`cyI{kToL(hN-$CnweX#%cC~k^6dzB_~Qwei8ftR z@r_HiLjmQ{7y-WLidb_%)Zb+>7v!&lyYTqE{Ak`tYLpgPp3kZva4J+ zv2h+6|1SVdSK%3TubY>kCmK2B1| zK4@jc^Vg3s15ww@&cm;Qg2r_d295hLY$H4vo3^U{okw55ApPDpTPki{8#YFgbocqEw~F@p4b#-jI-r z8;Qp!oSd5~N0odI-)ngld-zPcv^nLnDWpb+t^UkX+k6Uj6hRZys#T8{5jBXm3Bp0I zNNLumvWIWr$#^eRVwm@mu1q7MEkQFumirpgoL>HBAA#zu7x#;=#?m^%u4uW!nwyv@ zRAQ<3^ZJ!3DTE*G?iMP#*-ACGg8K^S=Pu(^2lZWiN$By|-nO+A8YSWbDMgjyt7I{% zqA4kHd6=yR`fgC}MQ?=ci>R>u-PYV3%Usc|eVKHa-} z)>=cpzvHZtZm>r}ReY?YNnw!mWrePZPFqpb@NqFq&Fc>r`-8oUiWM&TndK{tn1blw!o6*`U54K&)0s}ct@u?Pm3eWT5Xbsvk+Y$TO5>;bZyiFh5wBm|ZEa(<`8)4Y9w)L@t zu5`6RS2NUwK{Bg49oSDc4jUw&D^4#ndpvT=RAVq^$WzQ%XN0JGz`vj{$toYEp?H?9 zsnCNyXYNT|ES4GgRCwBeKy4je&@L=32K9;Gvr0s&$N%GpuF=A zaNw3?53qY{>Ev&s0C@l86@D>S?ui48j!&Etzy*|gJ0YW}N3rmZt?JZbN`!hVSGiCB zY$@8THt*VaR$)e|%lmhw4Zpn4D`ouTe@*W7E}!S*PV(633%FPi;1trH*57G9$*k`v zJP1uNg_dUszy)ISTjw*bEQ_2jnyO=wS7t=?w96FpnL+XxsjM-vR48_1?GljJ;u@v$i zWZXy*U0JU7LNRl%OmW|Ef1!dP=4BK9$?{gnOZ*C49GbCZsc>sp)jjR52<;lgy!vM@iihW_{TlmV2G@$d9fBV#;11BXO4uHb!EDwHjirNPi}iA|vIt5Yh+j}# zWzX3dJ{B3=((p*p$k!-7oGFVmquLTer^QbS=bfbtQ}hIQU0H^YHm43i@#f6x-`w3F zLY@}GlosPy{4a5QL6ysCvBa3o$SQWLQ7=^!vTCzc(e2*4?Q&3n|q+uWMoyP+;HtvFE zjQco>BVI#@82m_B){<@KK&zX-9kZtW?4oOn?%P{s;zHh+NSc=UnVvdBN%@c8i(4QN zGC(oj!}=CkT*J%uak&u)^owqM{8-kc(^1+nZf$K!`Be9S!^VQv%h1^O@xmK?l_Xfr%qH^ernhJNZY?xzn?J~$u;)3X?@!|$=tMCKRt$I0G&{UWk{>MY9=EX%NXO*Z zgl&Mhy4uFr+Bj~8@otHuWBVoDEXS)Qx7vD;giOdX~lw)CH^$!%AlCiz*Tq$O1 z{6P@@R6+!&!5{%eg-?4Nk7QU4`}rylpzjMOY2DY4*`L%d9)F%ii(*^s8Xk>fqv-hk zpu-Ie5mxa~fQL(KuV&QTn!pL;FBy zE^ls+gI1TvetA~3qg^)4$+Rb7_DkVkja+(=SqyRs?w$}?@M{2|Ty}Vc0ng|51 z&5gwIetraHg9^V>x%o$}4aZEf0?P*5$_Gh&K`hOaItc@p`WnzZ5t z4G%a*_KxGDHKxRzp&l0~WLp<&GxN1AO_Z%Rs)`fFltAZSG{WM7LC@9jnVn&NS&y+c z9g}W7Ci_?+|mrs5T_U98n~$UQ947h}Nn41nTU zgm!|6z5zXeZn{=SOB|s}jRd8MEV!z$f(e_c1>5Ibupik5E_&8TF^JR^CY+UBA70GO z#%T@UkPq24&|Qon6!bzXzE)^l}N+h=3i^c=I6Jk=vu}ct8ol9 z-6NqV*`r(MZB=2Ntv=pYx@cA{&{-`~=f5#)e5E#WP&k2PT*Q4czu~lW=z%o@V>+6IaZBkN29+YVCZr!Z|duJRIrSz5Yr%)$3!D23ec3(UaWfV$!Ih80v6Q)XG13Q*IwGyk|kMYCBAY%?ueCjv- zkfNr=NKK|%Le-28WwiMy!^gnp%+L(LD2@fmct$*gUC0U?q4S8^)KLwgr}&^ z9s$u!{6G+SKevk}%C=xg26jyeP%Lf;2;s*t9jClaq8|g&e1n9M9jj4=(18q;2cru$(fWDpEm6LC;a~G!x-w0~@dd-i<~6<7k*< zKBDO3FS{InvEO;>_uWU5_n)1P(mBF3vKb6|qir-;sgVLlJ{<@uP<=ar9Movh8sHbu zvwTt;tFo$mQ@P4LX?)=A$nKe_@$pG{dep&-3?m~usn}mfs=V#oo$}>eYgYVI*4_F633S#By33$KShd$bOtO)4^DF_t72B zwq7fvf8_6^GiQ;0~Yw2zm$pV>%?weW8ozW^|!)@Xs&_>v!QX zd+2*K`Gr{^nvlTh_v7FX&2JsB8U3U|mV$iRxkn?khJ}3YCaeQzp>Z@pQ%vOOPOaQP znZY(0CWd1UN%$I&TFJZ41|Dcr^m4*_y%+XC+(;|ck!A^VR=pW#Ko>|Ap4Y`N`-8exB}&aY;NOH?g#bz&^=Zu zTL)@jcD;1U&Z(G!JUh8OP!q%aTeI3U9L_$=GI~}&Z4f^Fso+r;hJ@ucW9|Bo9UtH^ zv$kKWCcM<^)`Y}z1mA9oh2dp^>M|z24Ff5vJ}ATL(FM9v^~F3&u|1uQel;^NDJQIt z?GvUmq(}caB^h}PC&4VNr?16R^+r`Ak3ie)>e|1l1q+0{bUhS(i6yfi-PkhQ66Jy{ zf+FWPwANZ(;Z)X**qOUkh&L>gxH|X&$o;~w;b<7)S|8g34?NWNY{a$HS&e1Fs?yp{ z=o-H6!#Fb3lk;Hq-4&;{@KTcy2K5jpgSrbkhJ^J@(*&?NFF!+`zqa%Tjp0;SNJdwD z5y6DUDxtCVMJTyMNCPeiqw2K2JA(`$8`-XMx*gQQ;1=W7Rz2TSbLP_9dMT*NOS!q5 zCxRuN@L#@sxJ%ljq%E&|kK$liBK5dfp|jxPo3gIO8D0K8u6ZSsvVm=lc2a#YE*`D} zb63(c<&gKxvb=PEPbNt@-m?A1p-n&3<%4L9(K{;aU8H5MIy1}!AXJ(zFikoDESU;` z;IXyAp{(#TkZ1|Iu?kc$HI;f`(OU)c?RaqrW>MJ1*t=OTeuKbJ`f&czMdwzkH022^ zMU=~Dz##B;u#Ul!;d@tC^gW57p&*gogO696ncCqcPKc%+z)3^COeGYnW3!-Y3T5KK z^69wkDg)J$y69^BSTkN0GIce08L_g=e{sEOt(J4ID6)O3_C{1kUD{5c&2uUTteBfw zhw;KxwK_x50SY34DhW{nM5B)6bfur4*1I1m4Vkw^styLL{bKTPDNL(^IQHybsQXWK zuo`0IAK!U?*4Hx_-i#(<=Z!}+VZzvo&fJ{DpxzXe#LZ@xa%^;$xMy@-nutX?)H=nG ziIgAKcyqg#{x!`H9AhCZvg^6hD|%CCm9#qYCl7T!AEL1nhs*bXbZG8aALLMgGLfc>$$8Ft5W^zRDC z6^a+-%aH8FCXXG;RhZ0Zr7sLsFO8B`JU(uXu9lUWJjC{P8t#Mku=qQr_?RtkGl=8Z zhg0bBT?JngG&yQ7xyJ@mX>NFoR?ReQ*J9)$mTlu`s^JlA4{~4~{!{h6KupygSJSG< z3dm+Ak$N1b%S=n1A6)lUMIA(+^iFXD7=S|ysQp3CZpu#|tLDuh_tq{*9iv=Nxo%-% zewz|8doVkt!Vnc*_)CPGQ-4)P$GAa#LbC-dS+l2CfNch4(0xN}SbKGE5Qv;-%kA95 zEWaFvNn8ZN>`C0Vg?Q<}hG@O0_NIe2QhBYGIYFmIMec{-Z9rk}u$Kr|l!=U0{#ete zq2zpb`HxDKlBVa>e2EszrLR^^xs1(EbD!pxHb2iTF&LpYa?vuk-A|aENY5-j{rqa> zZ1*>Blf5Il15qW#eD@n%V;2L%cb{9z

>UeWE10A9py&dFyxCD$8@@!qBXoSewA1 z@ay>*Wb2CL0!>qs=Z}-*dH&(^GlK>x$gzoSo1Z|XM2}O9->`tXpDd5zeZZNZ9)o7L zZ(1{C?~*{c8g5B(b;R%DXXd?Ppszo#M*; zz79H}zmA@CRwnN%eqH{A)-$!2d&CQWfPLU0)N{5m$}8AhBCzaTQU9xO`f8ZYG4ZL` zjUErf+c^xieM_}>Vi1kANEifLgH{m6hID?w+l`OOMiK{3z9f;qoQW!G*Y+Q_7 z`F3ufvx22YxlYNaq2s;}KEJmTS)9I}5s=JMv>cbyp})5YSM}I4K~q}s#Q6{$D4-DK zJ%1+8s(#d$D~A0XAv9x>J}2oa;Ht*&{S;k`zBMM?eAg<8v$hE_Ql?0IQ!k`R_@b^3hDC3Q&}EY#V$L#Wo3R8KJxq7o%{W<(d^5IKM!17c^xW~%kGVS zPEw`6bEl_u)9NBA`udBeiUI?hX65<#h&^aSETwzo$*_U=^ec6o>gB^10(1~n;b@yo z$+G%=Mw-XB|7d}q3NsjK>7~!l#Ir>L8TfYi&+O}c)B~hdbMC4a*E zk`p8Kor$WO=c| zdf9@A%^FS>R|)^}SG*^D7yAOInC8`+Xt-4`p zx+XG5Hyt?lBlr5GWAmoJuFNnc=q8D>&%#gR&N4^oylrbgEvJuPtWI}&Re?pdjk|15 z=$aqX7x2I4ac?*?{%XW))M4hwr}{NKnwtTd;Qdx1^X>z>L z*6omvvAWs%jGu$t@hN9Sj}%Neu5C7hq`2-qn7m}Ed05Umi757^xA5_$Bnz2=uXdFa zp3S3eaHbXQn`RSvTL=EG{yUHJ`RQE|y(m8X%QMHL+u?g~l1zeS%M&SeD3;YWEnE9= zF+@~||3Mul8ZXX;<9t|!q)lh@@SZkLU-}x)doPwNr8(>tHltliY*39{ndk8A9#tu3 zaj2r>uz|RLmZ|cxa_P*@k3$OXUc+TdDxq$cLivT=Cw&*v2;D@58=+xIzLkFB7RSSl zg&&`1YX;Eahn!H8UOD2VxDBFI4jGDW-O8ep z=`f*1DK+L~u^Sn3uQVr({IW9Xk`n9vZGwZ0`o4z15f&?urZtgbdLd#nG&+!cFIa2-z{eL zrr;0;Ex+~Isj!WTG#cMfI*MCrP2@tWxn19Rc$zIDFcr&GaR84Zk7J`>6e>PrT>yOw zs3+4z&okh0w+nTjFtsWRRnod%F|0!9+iHofnsxQ=)l2<4_t0AsKnBao*?UQmN8@`^7 zED=&bFvGm3<=n?t756`$96c`YURJ4_WoCC;U4KF_!8(I-bJrEw;~qM8h^tF42RoY& z*LZ6Rk>X=96}FjqBnNbat7Vhx$i9*TDn!!`xs{U#S)l4zSHriDRGUwV+3ew)mAY&n z;Fx3|P+>kt;EjAgo|D4XAcIRb`gY+lC?kSpI7+XMo<{7#-Gn@53Sjmbwm`^Z?XI|C zgIot}pUVfVy5+MxQzjx|g<_yJcYCO=p>n$6Gv??}5T%9j5Tqg!ofg7Y=i~IP=qlQ_ zBkRBdAH*pznk*3Qh7!yh#Obtn&8yvKn(a3>CN)ORgQ6D5XJtGOU5x zi6zlH)B8R^JspkfARZZHi_M@8ER2lnH7&4G>^63|amsu=B77~oHa#HiBA-!LxxOGZ zA;{BpNZ$(da#$MAi8Yi3CA6aPPfatJ{RClbO7n$6Nmk(lSVCO|VZT8q$({bj4`i+P zdD)=60~T~GAN*2Q+7Ucu*-BJkzp(NSMeB4=Nw zPgJQp_E)PaZzzSr2JPk=d0P=Xv6#+Aa=SS{UT_lI=I~^w?g0fOg#FS*J?VnDIJ|Mc z`D~dN_wXRjh2e`aWfrD|R5)M0Vp@!KZ8I5c54)XpBm0$mF?wW#nq|XZZkzdGsZ+o% z$JEr8pqyc*efA&o z0uqf5+U);pcJu%J%;q{A0tK4X_rWYo)E{5rOd#EyoYpS5@e4?Cewo;O4)HR4&20#b z(Kd5u6?3O1i&GPwQX)fSXG_Y6^x*38!Bxr!?Mrc;+;HDpN;*Lirx;?49LvUm^#v^> zpHTkZ;QshpyTu0MeO1D{Pg5Pg7*oWbWftCRM!%AiCB6o^y$^1#i~YIDMP+vLxk+>B z$z^t=VByLJmkZ-bw`P%9relZ{jepFZQqLm}>f?*Ayz&WTrmnrMzeoICE%E8k(g&}? z*wW9BocbLWwxCSahu)6vqV8LQB?qz2rO|(;#T%4vQIK;W1x&XW1%%&FZp8hFYlP3B z*57&s`JG3>@WX$~OBpw&m%DJGk&<&|XSdCr|YHT}~brz7&i|Q_K^m~I|kLFTV*7i3xIr{{O zY;Hb#awbabMnhu|C(XbtqnNGuBnCZPY#&(QWK&W{vc6K2hR`ThQc|@G)kt2l-F%<9 z*h*rdZS%{mE6h&BDn2RQDBJzT>`M8`WWNpH@sl?>hEV;X0ezrac!>~_F@uj6#6}LB zN$u|{gT%uN0(_5WU~&$RZei|HBq}bvck)_y-n<&M^UG!W zas%pUPw$__mw4xzPkcZ(mJs~=yK7wDO-`KGcfG0Z{93r`)9NRXQ?1YW{FR|fWUWn8 z%gV;FdGyV+nKhV^di8G%HJHySug2oxWPRnyBZG5;Yb!ZcLF1kgD!$6aIk?|1Uv7Wp zBTA0y=r22WaLG1SameitPUVoay`p{0qtnNCcc1VUiYY26E9<+9Us20f3pwDQT-n#_tyqJA;#>OxP)xHox;U z+|J;N6FU&=7bt0L#Ycc2eY=rU1>f4;hNoM=xQ=chSJsHu;5t@L-EJry8v zU%OJSG=qY?(+&dh5}^?Uvm6v19*iUC<@z??c`ld(6|2^AIM>r_vkt!?EdZXVf%tY6 zqzdLi?l}fj(Y{^Yv=e5L*_tLv_=Q9tIAnJo2(GNce|W2KC&ap79C%zVoc%-v@$E|j z_}d#W)GN0Ylc3SRjymm!cQW{gX%Hc>zqRA(ns}~#M3xZ_gir!j48qnir!KBADBXO~ zGx_TaNsXj&cDm7+C18BY{75d2fnes+zVj#!knqN)+OEp0kHAYz45aJwJi6 z2|*`~Eeld`-Q9R$+BIYxRhvafq{>34H^`tUE2QrqG{TxnqDo?O+9P+ius-HWY)#ZFV=qR3;r`^!TYh;>X{HGhY;{fGx^lMT6USv^|aM<@5f> zE%w7ARN{vxK|uHj3B%L1K$ZCqptT%nk8%L>c!nR$@8{3oc}P;IyPvRl_6fPW_yrp7 zDp&{#OB%=|h$HB~>4PG-(e?~4SCX6vXZMXh|KVu-xJp}1tyAj?^fk6@58@kqX|20! zcmW)rpTL80&9ud?9L9E`4Re{hXJQ($4|kCQ;OH(6_E7AMxq!;L1ndCR_r_>z!B1MNW^hv`&E8IeL-YP|Ho|3+Lp>6%z$OylZmx>C}@nL=9 z(IibCMHBH@JD%HoJZz%qkZ3490e6 z+^rpU(KA4TyDDxc3&RmzONN6^88Y}U=S}|R7=MNR6Gxy2SOzSh+~^zpNxAX-6UOcG z%^6Yg=d|wvzw<2=KbWT0@%4YwIuSW8bj;R4=2z|k4+1-FBV^WnX&t|?15c;AqSj}6 zSP{K{Gej5dRstkPZd==lJNF!V#K@ZfKqu@RqjkzOql2n6ypeVgDz}j8{$#!^xu@Bb zq4dV&G7{!E*&7(@PKPkk{VQbE>QAdTA3tw=o{lv8ajX6H;%Yq6V0<>JVwH(i&f|B^ z>Kdoh?>w@}8fVQH_ozBte~-EG)k*1!f^pt0QcTuq4aO|;XKSHa%BY4H$h1ygOqqbv3ztp^+g{zV{!`IEwdu(=|7F+5{>y3jpLH7kzhZp;2OLOT!M4(p2v?C) zZzzvVGYxJStX3O;^MA4T&D@i5RT6?Xv_bzFWsYHf~GPK8#dDckM zfTU6=O^OU9B$XnSQX)|*Nu@z)kOoB4?_L|{)w4V49Q*xzet*2@Jm=WYVy*oh@9%YA z_jTV(YYcz)Fn3wU{i7Rw3%B1GrQ@YfJl>Q(e&Za!#aqfRj>B~-6sx2KbTAk(kJmA5{yO-?d&Y+iOp1YmS ziF|s&=mNW}O7P}M`o>34<3C&BOSsjkkA2Q#MQVP2PxaBlaOJrvr_2q86bF%!eFCc@ zC&gsJyVu7p^7il@KmU{EhH>vdPFzioKYFb?#B=>7Z9IO@HhcN4#tRpw&bF%UL-f64 zdoun-!>5mr_n;B1r_mMB@$ZYHEn_~M`|7;z`t!AS4>WtvP?^!>^d&WHhO1et&b9}) zf81RDtmwz_8AHtNN4$pD7a0{F>z^TebbVhb)nq(-fwa>8;f{B`ewfkFV!DC7;b!%UgDVNF=Ns#$dfwZ>9oRcBW7cI6|KHN+x_AF? zX^3fQY;&#sE?-)>JJ)odRLJ2g%iTvwzV2@zzi#CHUh=&PK0_9>>dQlaW2I-RzH-o4 zS|IWN+5co(Ux`+@_?=*Mfy~dU{(Lu{w~rT}?Mi)e=Q#IAaRy35Xw*j?o&MT$XiHI? zWWf~+nzpsg5*k6LF$heHK@c=IUl$j<{<^wdZpHq1D^4RZObccF_Evf~w-Pv^g(CRx zz7_B8R+QAjQ2Os~CAzy6LA4kp<9D}`-Q0>Z8CrA<{oSpM?rvpZTFl=M6SKQp2}Fy5 zFn{x{WS3iM+IsFT?p~H2Y%ZThjXccm0=AD2-^-0g%_01&et?gmr4QSOPovg@1+Blf zfxDXzO-F~OZGaOr7*64z88BEhf*K0A#Q>U2P?KRngH@qzZN_otbK7q*cBRwsj%8%* ziql|GQG?L_hYP&ixt4q%+EQr590QuR6+gg-rfuxXUe4E}{kp=KT^FYb-)*^%BMl=- zoF@Daw9&~0Isr{_ftJtVbKQA-YK3;J@qh+D|!|y@rO@Jk~n6_hpfc`>FRQ9#*b> zkq+-UYn|k!H$mcoUE)o@A)#r^&!e@&76q>H^O(?P{#!XS<>L-n_8$h>nERy0*!VAL zgLlB6xv;oipweoCy$Z=O9tcHE<-Q0&F?Jee#z$bXw^?3eW`QXf8vR@n0wjI_wKrceYro{(zko+oA0q;X!f5}JUeW}OTQrdoDlc#PggoEy*nY~*67ku zeD51MJV#eMYV5^s~^saill3~JP){AecdLcHg)bZpA*wt@_v3P7|qjLrj$C#X?o87h^P7a zF3o-k_U}vHTn(x5oonFtQqq5kPt=I!!P__LN6K$l9$$EO@8QE2O&N#wsC@aJ0P+Gli+IjniHDE&>&v1=0JSYcHr>TgK&lLp`O0$R6Nw~Mv+)0lsIX}Ak`MYc@zRlss+VQf(PbUTSDaaZs z5u`eL$o*&186l+uZOIuPZs+!FIh`>kW32nLw*7Hu)fWd#$WBUGr)+aMC?aFXNN&ry zqcSQBc7Ysz*ZHi|k9>3Y&+j#;*Ofe**=^Tr{ZcKWX5893eTxOpOf5j47NGBW&VHa> z`mq5+of{Hd?4D-$n$?`NoK~44U0Yk7e{Iud`w|&r(=9Sv3NK%kc@p=8l`2~mhW94s(xFnbN>_o3B-^9oe{-v5* z&tp~I_C7t(ADe6=*NR;-+R%2;{PD9diY1Z(t z59MP9_+EW~=%K3V5Ch}e{P_pAPCcyg#w5+sv{#D#y)YZ?0E>{%2V&pX)qLl;owHki zc~n!wk7mqtr04cBy|{H*NXp2GHc9uHCwsTLT1HII2wC#n^YFAuc5|+@0b` za)*tbf8Esky56IC&R)vOi<1|XJ1N9mh|jN442>$%*bp@=;G)_yulK0;xkpl?B?m4@ zJ@MmAzO4UE33J@|%E*2LuT9>!&P%?8x!(Ti@ri1KA19$tWTy|CS5R_tW4}<3yE_@2 zlcSRhB~oRiiqAT3IJ7)xmZJHFWvAZ87A@-Ccj9rC1&8Ygn14{Umbj6LG~c`6mT`a9 zGP#GhMv~FrcBJi|hx5#PneeYkGo}lh4T1k+vm6CNv8|kKP(` zdoF&~hq3eUzQ=9rkzH@(4HlNkdaY}?G?1pfd-Z+w83r4J!wx3Q8 zwDEF}69y|N|5P$w8D&~>XHLoccwK`?iDMea7k>>}^Fn@kRI!md&8NxGr^z)~=A*~^ zwBptA1?#6MXwS78Ec5(piq)1F_p1$wZG|m2ZSVRYAEIo^Ec27kz_tYq#~!S94V=6) zIAE_^V`YeKq(yI!uY4ef@0{Jyxm5 zoBfLdukCQ{?Q7+HdFY_%OI=kP>hpN;`Q%vDX;lS}3JYxeXm5@b7^u!VxTI0h{j=gh%?j)B zLz`QEIQV}-Vy^8PmTH!}Y}tH`#MjSvNhiAOi@ra#{`_728r9pzl}o5M z_X;+jJYH#rN^kb|IcmEmy;`G|xfu06v}C`Mu410lQyGW2iz6zp>*vQBY;{{v==*wR z;h`c4i}g-^(nmbTg34Om{O6H_T9*bE6*X9>Ye}cY?@y7=l&IgX!uAYY_32~3fMJb(8|G#kObwiPRA<+* zvp46z4D8#;JD0z6Ornwel+&#hdmo&0#U8ZXC)5`_uXT`*D;a-NIg#GC$QYYEV~w}T zadd#<*yL~ZS7c3+3dllea?PL|c&F>2Vn@oA7_?1#)xY8HhTe-wu&#;2(mybM@a zHQ|UtbirKKv8By~_c7II>kC8m+|1(3U+tLoaj8b6gU7N3ci6A1+A6Zh@!$JxXnGX7 zm^bO>ksWd4Pp(zjt~1`^qo6qbK8d-FP`-zfGgjsF)+<6RclP^v)lVr940T{UK^T` z{QmOu$7>Z{d>pmea5Z=Qp{x0K9xX2|IJMa&tNKK2K)lKW+a_B7efK}TeOg}Pu`J`K z#Oi<{roVHmmf7<*Ne-it^vLV zoZMe~KA-2rp6wn$TS`5ML@^qJh1vCohj_u=)XhRf-e23$%iY(5Mo@oc@r!rH6!Ff4 zZ35uly<eqm;S=8;Z<%A z$;KLoL#LgCkC}|VBaz)F{=R8WY3=kbCT0VD_dDzYi?`1dL$xOqq@7#E~ z_MuG5?WU$(E2du?ESPRxvU2Um)(s~MwnzvTJ>4*L;4zIx8?T~oSWbN85D>mJ%3 zzh4t*xmvOGb=$k}?BaRln!4#fd*|OM>~|^XrtTUULp@(5e>3gFg5QxyNF9-ts6TP2%IOQ5wr=4&7J!N>vu2Ovwz1#mEXb^m;Y>&+ zUBh$7+CFg1+Q0Vp!VwMgp6(0}P1~?&>;x0@(eboao287EsP(U@mO|Jj^;OKXK<8+c1?%tiKw!paM*{$7U)6%|e?lTD~>+d!7 zqiw2wp=#<3-8CBer-L))43bnw+UTmL$$c3ZK@Wb>G=%pF$(p}y#Ot+pRs=mr^#190 zE>CTv>-^+|T?r3mT@H*rrMDuh?`DVT=hmEd-w@q)tF-lT_I9&5#Vl`?aK8aW*8 zvKOowVYW-Z$*C3_bxu1yJoWg%I~soVlDl`GNZaUhagL4Y+uL#yo?XTzq4)9&l6f*>^x&fmydS}j`xiXcr0rm zwQ=uTWL@6chLt-NBPY*2|Ka|-5kuQF_~8iyH$C5T(s^1#;IpAYQ|9?=R1I-`To_h& zusqRc+;9fG)oVeN89#G!o4NFg;v=_s7EB*CxAb0p-_4PJJ;cj!-s@`tlUxadbr*}K zHtD@G{2Z$AT*}pC$-rj~n|D5ZJ$B^8#4Nw7{AbP|4$oY8gJBoPek)(ok5N4(^_`*a zq&dl&yR0Q8_AXMZSTLw}smJke3=x@|_%ba#B?E_wgCuWM@Gnw>o6<~1_@@YGjjsSCyi9m4NP zReEO9jvQ8%TK$d2Ox`;0*n;gjb|*c`L&L5Q*j{I_=|+N*R|6ki%A1AAYaVMYv6UKI z?Da4-KH%U{7ll`2%2EQB)oeCRYKsiA3DZ#-%5*9)w)kYRP&qabIeal{diDW5`yhi+ znG>t=ZzL48zF|V|CItZ`PXfTykV% z(D{**`zvcLq~X=Neb1Ojk4)P$aCe!9T=ABT55gzf7oP9U-#G74gex+AcUJZLHyhKp zM68%>!#uU4zP1!uwq_*#_L1RJEmO3d60L$=hi@_Zev3$YGj`LsmS=(9bBOK9QuZa!%`Ajok@o<~fb5EVtlL{=9QC9!bxwmAs_T32w z%xs(dORub3q3hp!#wCXp?`L3>W$+`x&n9FxC2#f{y8O$DBiU~9>vHE;K0CCtaLbF3 z9qQ}sFPy6wy2UQ|-U0dQL-FAeqk?YL&It1xkQ4t*=2~1}knI4YLHcuI{68;W;)`S1 z+e<_A3!0vU=vA&!VQ#PfsnKsjq~xaWGWVVYkNGV=>Pp4_JA5Q08h^z{Od;VY7JMW^ z%QC4p{S7{1cHvgrIrCp`#c6Z|)4~Ay{F5^$yYiVrvi&b_1iaUU_U<7j>x#XA#qGlA zvJgOJ1l2njhA#Xr;58=o8zIX46WjYA;x$&6PHA`IHG4STImG+4X^*`L7b#bP3#fy6_C6^+rlixw1kUEdu?l-4;WL6*B z?o~1&*UQT1#f#_c**=!u%Z^V@7fdX)atU#~mbL2%e)i1N5yd)j-T+B#gX9CLl` z*u0~bB^xC7{2Z?#apsM^=FVurT1EBDs|UR=ydF9Gh|KZvnPs!nw~a}t@Uu^H+A*Z{ zagD~siKt)hq{m#7T-($1+m(TR6GO*smAIpnqVJp2GPV33gHcxKW`5#ZfVRXny$NW> z*e!9cKC3maNXYctV6r*4iDz~6%2VG+4}4PZr{a;;p?n(!!h}QsDPqghEg`M z@(Im_gPPacs$6dC}+c#4eT{HI8FNdCAA8_H|_hyFy8$Fi{^}S!bNp;h^drwqkJkE_6yYj=KqH#}+ zT3k>3P}SXF?5XamUsf>ED)VyjnT7*N>Gh`5Kcf+AVqfPz!s)LR^X3^GwtYE4aAf50 z(}d#)>ANO|IT3SDvUg0nD0{L#)}_XE6v36hT$WLFORhnxsw}ji;@sJd7nZMI$!ba6 zns_s2vHd5<`n(Neid)7V_y2Hn>6AVvQwBG^TYdV$O0Sbufu(h&&4HJ%7L8q6aGlnB z&;3Sr;n1z_%T}a3DlHB%_Wu4s|LwXk4flgC#h*UZTvhuTc1u28u>PLvOSZ1kv$Bcz zV#4Ll&9Pd)YRuRJjYoLlhKp{k9jczVdhBZ1M%U9>Is80!rUZYon_}H3jYahrlHO&V z8J>d(hDYY!Kc+Tb@j~BlnH!5ATRUz}+hcm*(xmtgxr@HfQOK=#RU9&N@Tk+X^k{W! zYZp5v8eBw1UA~!^c|~$%Sa7Md_0mMvgCU8RwZbnA zY$&*VTzz?!^EG72?veW|Ht%=TY#7>Hj|PjKO0k`?u-?2Nzy=NNfIZg|^43v5Y*e z>cbz%YYY*biToHx->203)S=1Svs~BQs#W#M4VCL)n`KgJkc_$cE6d1#mEU^t$&=qf3l_zUbVI!e51xNeFDzjw^uL7`+Oyo;+=13v z3g;xVJ@kpd<;W)B!M!REDnc22rfbAGU$A+0OL%gPJH<1Uz!17 zw|*Vr|F+>M!O|iikPI>bEjqLp+7IDu;B2%IoP_TEq1hOZPRE%Dm&qa-Y?8y~vX}&l z@ewZ0;F27KA=>P&=&NVrO{bI%IB-}bqQydS8V=1TNDR6`*XpL(Ts9&=cw7#N;&hzH zz!-EK;qiEULV(gy9t#z1c2}q@1dYNK-aSx-_WaLA5WVqF`V3e)f4G%}X%RR?_?f5{ z3lUXIkX<+6@4lD1kpYPEpYRy=i3lkFrLTaj>4X;f8(j!!0{+lokSWknh8Bv6LUQ;{ z5j5ZRJ8=>RC=U__P+A}$hxuol$v@8y+=wx?SYQ$Uc_Vyrm-*=-r0I} zPL645*4yTPsyBM2xu2EJi`P~Kp10|#S99qlW1il*W8yq^aP^YxJG!sTALF0T zx$Z6uTsM<-*MH%pP&Mg#%g?VL;jc~(Y?70mJ1SJt`Ouhg7VIZq2DdzIdT+61*q8J{ zMZ*sJH{gBmyy1VDZ5(+|ehXvX#1bv7sEjovNt4RBlrr9ggM@7_pXyEvvtBn7xw`U; zuFuiIv2IINRvfi13r{+`-R{QQ6*(q1bZb|RCsbu5_l(fr$e(DvD5y7g)v4L0Ghb{~ zx_8AZCT&c0mV$HWkGaiv-n~t$QBOI^8TV+j{jjXlbKlf7^PZDaPQIHoMSrBJ%aoJ4 zyI$Yu-z1~lxFsL$HD=53&B3x<4X%fFQo|el*t>3PpBaxIiD1{EAu9Y zK8qezF?!5Q>FGbUS;tKD1Mb-@43Yf!X`y+syF=)MGbLgB+A0diTuOc(q;sx*@Uh9K zTq~;f58v2udx7u9_c8|s+i|qgT0^7QGj46wr&%T`i#@+9{5YzkxMGA)?lbRJKO==I z@4c0i`{cW%;$}Oi+Nqdq`!+M*SpLO1rO~_guBlSI&VCYE+CuZX^x%1h%uy#*=?&J( z{U0j4AE121a46G!yy<|4Ee}p+@@HqB+T@e0OjCcFls#i_{^-(S_KU6@_~cX9Z;rd* z(KoN&jhcc-9GwGN27@I&ss>@mt7E~j*v7uEMk(+{PKtiKM_ainDP^?J&yPprnGdX@ zBqoL|n#kMbvNv0A^3Wj1y|!e<@jW{V-zi)(&9b3_dY#o&k!TCZQy67#ynFXVS;lKB+Z6ay)!fwCvL(N=wZ? zY;e5(toBE)iGJbUpTj*Zm1$2m?yJ=Z`*ywcQe10zD{jr)&rl7mO|e1EGDiK}S~Ps{ zJ#NhW`hZx4jO~LWTwDe#jtm_%x0mL|Gl#+)E`F*KS$Zn<1KnZQ_7_=i z>6V+us!z+>>T;3&87lmrn%}G6r**R{KZINvFhO~Zs$M|h+VuxE9=d(^R+;B2muWLo z=>-Lg@;oGl2b+BfAwFC_Si{ZdEv<5U>Ki_@O8L{%X{RcOz4#t{Xxip87fp~WYX+%( zOWBOuBkBIq!^-M=TNZ@hqz%fK+iw~@VO(!}A8Ex*LkYFA2%{Kszej^qnZx`I!}bLi z9tfL}`qF{9RdwI27pv>crY&#?9aVFwP{u^P+hg+K*kwVDsW{RE}Qw zDo?ldM%>ZGDazT#N-DF?S6vAld{%Z)*7(rYmY-@P7*WPXD;`emE#K?wFix%JrQaZ3 z0Bc<=@$X5rc5D4B(gkn`_x;yF#x6*gK!li?Ivj=JNa}--prbytvuW@__-)h`s=0uM z2|#!qA3A_Cfp!380&NG%C?L6xzv+-3!j<+X|AL|08z3eqiXd799Ah|w1NfvvI{}hn z7!5}O+Y!uv3q~>WpOCG6G{n>@4AH^?chD)NCr;AnB&o#&aVMR`09N4twpBu8FTgQ6 z#%0q50w$NmXVZC14xP*4;{cXP9+SZqZFpB0)ia2Ub~YR%py4zOfE%1N;1`7vV&B1XFN^p5>a8_6>4wp$Xn4<0Oio(RyZqNeb1Or?sM2m^R1fVeB z3@Qfy2cLv5AUFa(2F??k!RPUz8zjob`8bMmc^m=#H!Gzp&=ya_F$M_@N1@>?ElPKb zfijsz5`S(uo4^qPo5x`b`0#^;30MLKn@<;zbPj`!6I{^|7qvbAwGY^_QZNEW9EYXS zG2$4t#0l1)8x9Ma$!0NdK1K*glo0R{SZNFvmx(h3Y(Dv$hIfUpVj6J_Mx4%shT}r7 z5Tn*OLH~2Zc?g#z5e$41f@(06!$f%ug3c!yTsDWxVu_Bp_~?Spy@^q4oQ^@mL4giG zs3ndw{@iYXfJ=h+%HZ=!1WpoygFrWU91;QVm0&VN{MUBdE?#4ZQcE18=#BOzj#5j! z8(WBwTv*c3VjhD;5ENr@5w-x~qd*vNfq@VmZ{blS=5|vn9A&{k(_!36pbucc>D@FO z*bD?^fF&Uqbd1U2vDq-r96kqS@%T)f|C<5t;#c;NSL$3SD7C`jB*qYEI0JYOYK3Fn zSaC4bEGEh0z#&93=v*K-I5^2ffq1qiO094J9vH^bqBDS?p_Vw- zjTMIjhe6;xSQQ{q;^90thaq5qF+_l&Aqhm(rU;>lc$W&b!Vw_!Sip|~UZNH_+Kmk- zNt91#3j_oU;qoy7!UR$bAsK)kPzDfXB0L@eEAdy-q#aRq&n%$?Mgg|Y9#b68FSpX^ zs1^yyFeJ1dVTckv;3)s$ZeVNRj0ep1n`{~ap8AJd>A=S^F$RrbF|>e76d_%NX(<1; z+3>{#j5`BhiU?an022Ju7c+3MK#&jv7K!@#&-=Tk;|N2>zl<04#R%jN0o?fKjWE<* zcZJAMQ`8oYY9YWdi{2^(`W@ZvA;a`P$v(e^jJq;VzXq27S3<^wFks#}gPrUX0>k^4 z?9)5z$J_QY71q8yk>OL(??^az)U=>GF?rvTz4m51&CZ_uZjs{nn_BmzvLqhV{LGi! zC{>YNY3H>>rD4n^^l}N~al~?$(>3)Q5;j?ndnKXxdC{s0soMJ+(OL2hw2RxXj7XYI zTX*=>rcr~N#=Z+_KALt)X7|i!j$z-84~INmBr`xTCfw%!Sb49QSEl}Q;cv973tH|P zg!fB0nrS8ZIHcULt-eYmCOO_lL#nfRQo8W-g~9XIau zEYWZ_A3VT5;IW-y{>9BdY|bjXRMJ0_efm9eTfX4XD(%i+Ar`v5YU3eO_QA zp|Eujl)$P;I z#G+}!D!cDP65sgTaZI$HkM;d3Rj)>rtXU;{>C6r}F zzIbwDcEfwi)T#G1AIEqMaFAaxujxWb*zSJ)F1}l7FMD}T!=++RflYMj%6uhszz$mf zN)x4Y2U*Yf;WE|Ark2Yhr_J8Ca+%9|*PRk9zra1O6`1p{C)!@QaP9rnDR(YL1Y-tB z;?tM)yEP>HXUpC$ybHlyCVoH=v;LbZE?lpcij3Ncby7F%`t%r}RR8kKLh&c2h9+MlCQ zV0gl8lC)RQ<~^5(&~5yt50y-mk#;!myT|`@Qr#`=TJunc_2Ux%| z#OzF;bieYkVQT`4kIrkfsr2_du>R83P<@|f~{;K_!T*9L# zx=bb8_6%^1qE+n=+$wmmDQ~E6t^I&~ua*`0MLBVbr}b|-S8eS1Y#bw4HT1?EvjjWa zx6v!^HARP*UoEcrcxKv#noFEhz9WkCZPp8z!SxvHPE^jp+A6 zO=_N8(u_yj_N?cIS5%y_95<-%SLtli)Vsf9T)L`oI-u1*W!>!->K}|tr&{~JlXZ7x zT+mKh1>j>li9+wBRlo!3xY9|h;GMJz-bt(AowN!N9PNJ$4p+yA&L;!Q&~c^n$$00J zI~3bMjdVU44(awkCOV%CWJSl7&L>0maL1L-C&NKUUHO&E5q7Q9w2KG+kt|Y+1hj#n z!0ABH7jk8_&~9KWM(6R_ER>CNxg^1(b66ZU3!F^AG<2LrvPJQ>a3;juZUz7-f(7u8 zp+!+)B$E#D-EJHOE&~H>&IihmLk9%N!59nXZa5AD4l4j< z(V^ii8cD!_liiFs7vVB+Is+Bp0_Xyl!Q=}tI?MvN@pP^L#YGz~ejgs-sul?mU23H; z0PK)-7;&;2M*%|_7zY&)T#UtG@DPpw5%7VH;DXaY@Oe00wBcP5w|JH~K`n9E-zY?7 zfo-CZ)DkDUaTS0T1cnMB`6QnKIspM2hXf3J!!w!-bYayi0|k);OJ_dq`kS z=rn>_<9Ii84-dlS1S!C95<=x12A>6ybYOW%0T*Q=Y)l~9@UH%Xm`0ph;~3CAz*|9e z1R9XTR0sY{n{ap>LcpaXD2I;mnJgAl0EUHtk0h7ia#2w#R%ofkJmS9O8@`82XQLb*_`N{$&{^QNU>FDHfP)hPCX>nk%~I*=uZU;F!R~62;LHelD)5HE zBZh|mdB8DT0OS;uWZ**knIr~=gkW*_Tsn&ckC?*~T`A({zM;AXs1+zPPFX<~C?;UQ zv2M&bPrwBR5Sj@B6N1U*5q$W-W(zn33f?J-h&EjOMjoWi zbQTH?@5UM;JOt$m1Q-|2crK5NF%V$AL9;|Tn5A>(PmLjh3JTj@8HBB=!yW{-Pv%!9iR(^sPW%4Tma%ph=~AgPC%HL z!DUglkjI6N6KoR1i=qt|1q|gA?Q#ED95Lw3|*sXo^Lxn7?Q^o6lkKm~4UpF=Lbk zwh_*MK*bmW{tv{@MOO+_Bx2E?iPn8KJ;X%2EHWW~|I4jl7Qq3+!Mcd@_d?pJW19b$ z`1`Iby|%$W62Ksk(oWrUEdoZ#MgL8)um4O*O7R5$v;8`_d?uqKTc0XH)=hb@QfoW+ z2Z+*|vJ;Kmu|v4iNMn`enUX%)*@+6-rmmq`3f6OU9S1#Fc>BlK_<^aRnR6FvSM1MF ze);g(<-Eu;*J(RZ{WOy?j-h?0TB;10#Ux+E(gyfPJ-PNo)^beLu!-;3M-JO3Jk&TE zS)nq#w|Urj|>gP2Z3}5Ag&UiERd*F!~!|j{iZ=u~jaXYZ(Q~mh>-n5;HtSWSe*+hjj<3SfQ z5)K}FxVhjKCn{U|(B3thYOjn5l#7#5i}^DAxFBwy>w2lpi)Ad*>*)(_j*2>#f6ROM zqM>SOHTu$lNzBWfzz6T9P6XJN+05zD#G;!AD&q#9HlR(&OPD$?ajeV*MTM{J=PD+baZlLC2iEQskgp! z#KlRMFu3CzdeZCD1CJO}pO+VhRXWBUnYR0iXlb6nO`vpFu_eUxn{5fvM zet7AKZ(T4ud^#U@PE8AOP)UBEYgHa-ac@nz`lnC1_wKHCk8{pF)V6KujAna$%$=Xf z33QuVYhSHu9Yy3#uzhTT`dskT`~*5I7S0~2kf`6laQ-0dHn ztezjIw03vpx{7RnbGh7SY$Eo{ybDW|RyD0#ReyCUL(|{h)uO+RochlOpVtoyH{SHW z=tLiw$hjb~j5pZ!H!L{$8-(>w7QB6L|H^_xb_vD$iXp=W7#HeEltizS>Lxo0X==f5yQxFOKK4FEVoKOlhz{j>MFoZVf+*(l26ftx~Sv3S5>LrxW)WP%<6 zL?obq!FZxnym0x7w`agoLQzs!uHdtP0tUhf-G&hUqGt#jdIQomP{Kgg6?iy2p!vZk zMj1SuBpIU4!7n-QJ);9V=L|?G2t-k-W03MiBXMBtyU`;3MaNJMO91&~d?t_JKyOfj zEnom8z~R%mY$oG3&ciS1RpRLw_{j*wR)t>OGRej zA5h_PFeabi(wQie4zV#NfpHMxH&aF(0b;cu1Spy?I4Mwo8nDy}V99{M=xN6&OTj`g zpc7CwkB)OeUCc*7yu@cQD3P5g)%uH6Ogu9NCLaT<1cxk83eYnszYJ_rPkRPRX`p%_ za!G=;6f7YJ)WCc;@ZS(XLBJsvVNHI~qKT(xz}hn*icYOXI=J#wU1U6`P47NEb`X5yovI}S4{Qybzu&@(0k&LOl#airv*iLSrs z85g9N4A^TJAC54Bk5i(4V5~8|fMjDlj_5HhM7iQMAXM%-r4Bc~yhoZFVFBR^^vKny;+=6T#1pG7cukX-=`Hb^$u zkk&#nP&lqplJgrT9t^XX0V4!|g$j1yP(Y9bVh2caA-c)R`HMY3ndV=^UKR zf!H<)unN*jamaUIiJ}i7&mz`62KWa;4S*Pd6lcUkM+66B-pVd*WS;0DVyiEK>9b9l$70;Z3%0Wnv(y7c)upB4@mL;&5z@CAv{4-)?|ED6E zcCJ`F4e!`SR6Qn)ni3YSMI|CpIs#TV%Dd&=Hk^&}_+SY@XbP5;&p|MPN0~nXbrQ2s zmZ+~x)jt>WX$7bTPANEsf%wI!q+`gigKX(;a8q|v0$G{>bzvcc4334dIV_w_@YrBA z2q>lnaeWbh(az?Jcg}#n>7w4hSJCMlJ zf%1TJm|%(^!uIflczXtvHsvaVEoXsk2X+cD{0s)vF^rSuAWo!;WCMGIC!Dw)y3>=5#K_WNI zG}u)Z7Z>rn+T&s3?HRBegpl_IEC^COVC?CCPILH+8Dm4yV9=kS2xJooNQ_64U=#nrPzxbvu@jwlx8P=as?l(S&^0XcQ!P_qA1bs-6?$^RI1N)*!` zRQ`+9MLn|0|8PQ~UB4(wDf$?uVHQMUPu2 ze=|CA{0-_Cv}x6~Iz#Pyf}QiPrKIJAd2PtinBl1R%I{R}&+pRli;Nx54J)X~$3rrg z>+WxTpyd5pPG)8UCuIAyOV{E)I2x%Zhmhm6jt`!=c?z@7#4Q(a({uB}v&TM5`%pzU zotC54>&~tngWvD&d(L$H=`E$M&h(f7x)*;Xu{3su%ItNy$@@I6m#E1{*P4~*e)zFc zu2jRj58G;)rom|SYa`vCkKDA}iRaJwxaGx?=zA}12P-SdXnY{m)%b7@@(H=u=b_SBSF5R`cSAf2^4%AKGa^ima_LqYzKg?p4 z25Xhh3M>sy_w8TlDDUGWpIWZ`>XvN`W1fq5z2oSx>Z6m!o>3n0>iS~z?2A`tlAMPQ zS{i9;b#hU;c67x^$BL2Bcd7rkN+50>A(H2;Skio|{NPfK!#u+`w;t#&R6PFT+N70{ zDs^eGcfEXUEr|h6Qw$exzYA|N8nonc`Qo=5pKg=C^6umi^M}(`FU-5<5Z_W{f04V* z#ii+}$~2?Un>z9tIIsWXoi{9ODlP4F<`k*;D9=pklk#?5i`m1R3r9y6jVVaJVQW-& zTS3}oz#+{^SDI-j&TjrTa(SKd=qlfnF*-*#;)aheZ*`D#dL)yXyKBOddz#g<&g`;{8$iq3;>m>LGs!lskTWhj@*H1m-iun$rt$Moexyp@uktN@CQ*9G8KBx{kzsKz9 zsh`1<23AxyM;J9@m{0X?w1Un#WH+Zb&eS2~{z zl8=rnolmBBKDjfomh4QdB|8&qsmOKvU7d-wRN`I7mCh%3Cf0&1q4QUrPwq^trSc3r z?&@rFXJRds7wPzwP;pB2U8o-we(3z;&icY2zv%emj{3rYema}cQNt9jv^V1y1yK9o z|2q9WBwR=qFi4a?1*uL{fw{lPv|ZjUY+wse9upuwBv)_+Jd}?!!S6u9RRp;#!9@{~ za54EyU6P&wqE5956sv{&Gokh$r<6{R*$PRB-9Xsx014ATM(-P4}&*$e@63S{n3s~G}- zTu2e8)7kJ7GATi`FXB-BQo2Pvb4I7KdnuhAuz;{C!Cp|wOg-%x3l(6H$_!m$GeG;q z1z!VFK7i3=u_64(7IhVYQWf`{VX&`+C8oe-gt;T3U?8NM_Oxfz0wLv(^lHHY$;J?o3|U>U!61>2 z4~rA!L%JxJ%Fz9d1rd8J3&J}>dXq|Gq*GEnC}ISbtfxJLR6quk5U`jaP~+l|r4DIo zJgxxpkqDLm=1+8=i9MJF75N};&|Wu;I?f<`0lLs0TYVl#W+37XK~z)#F;xM=65t#< z2hx2(Z3r}|XwRrKU#zPU5_2Fx3<)+GG$P#d%E+d3|Ad#DnQ9?r&37Ki2 zKmu_K!r($OHiCEByDq=i_HGpMQtk<@lxP`J(LU{HE|P782g2dM%gVB$fnK=1?z$TL89 z&4tWBHeDoTlmso0xOW*d%74=$n>W3NT^W9g5V>MQc((XNd<5c za52#=Uf_Sl-7}oRzrxCd5ZVGs9-L%gPkL0)SkA z^hJsnQk6r*JZJFoU5F7=s1fq2ApDt&_Vfxf-w}Z=@4OHBLJ>I`wDbC z&f$p07sOaesk37g{Dm|g2!{%{8IDuHzsI1Fk3xux4|%dsBoxXkfu9CAi^T+`A&9ae z9x7_{#STEIcNO7OZhGf7gDNy2!R@JOB%p!^4y7+Jk_S8u6~_Z{FH9uC2aC!44QLdS zIbuDh0jfekk}Ay5vCU8l`1jc8!yAquV9vw2kXz0XKrvdd{0#6rxlqO($OF;iOpL{v zI#we@ut694uU!Ue2FOR~>5M@ocZAR2k!+R#gYq^AR1^ZG8VH0yPXoJ5bS(U4}xKkS^4&^%yhq0e3>47XdYLfbC;~%7MdYlUxR*El~n&Q3^yzhl+R3 zz!Ji%0ff5@1Kt!4HZc7?oiZjAxrfLr=md*K-fj${vKmS6r|T6bK!!h9ID2F_LYx-;*brm;H3j+{2O;#7*P=GYQ!k?+sQP7 zTTPXYA$!g=f~NwMA{1n!5)gU7?g*HmDhC`1bO;CXEk#YE*Z~OC#e@=D6lR3#oz6ESO>^T7&YFhH?2oDnljl!6Zc;^h@FF`SlDD~19sO17V ze88pl*fxTY9Hc`O+~*@W3yMcU$rtLyAXHr)fQ=$^CdQ&y9s3L_n?gM|m^0XKLK_K5 zeBI`ccFTYOg+pgRLJ^SOkj@NmDqz6-BLR`Xn+hO(lrEA#O8!y?re_RDr{0EohXvGD zgm+$25+aHU0l?o)LIA9>|E#uDdk|5qYYl~fAILJPgnx`GhAFIH-`z3XUAx&(0u~DR zfI?RQ`CagOOn5;iILj=cw?RHG_|0Jj5J${30302#)F|N-(DYOSEcB3tc83YO?HN>u zqpE)L1ON#kYZ+2b2zXTkDF6!yMf*hSQ9=Ml>^*~2UQpl(-x3Qi6A?n?n*bad;qc>|Lg{cMb(1_6$nrLBYgsj-qaRhM*__NtB{=AUJx3b`0hU7eFy%90~)2G#+xTp?){ygAzPY zwLghl&Z7vDftVnDq$+t*Z*74C3GJ@P;eT2I4rrz>dx#Q#wo8%zM))bz z9(D9sjKa_VeX-{+l|nkjp72JVzkO#(rOkD>Y9ocbHxcS7wevMJ(J!ItxL zc1TK^&P0@46%yv`oi|9e?(xw*^XDd*IBD86^Ax0<-4<4!u+K|(I}ovHap4)WrT5P; zGRobj=SbCE3r0z`EZK{4doAZ|K)%_$dG2yG!t`O=!!~&9WPQ~onw0UFWHg_C#&ygr z*UL#{RwjOR@Uy$hr(NB2r*Fs~c4}KnfndM%$|tLkHuA?AkFAQk9~Nv5(T-3q*(#-? zbB^_Pa+z1N*dHBepJF|w4d(iaR$0M-q`t+7dtxovp@oTlWtdQSYJvM5Fug+1) zfR@Wup-W}c-5;8s_V+9Q9@gjTJ;S!qtL0*z8!Zj=JoDsjtViM5`wG@Ai={)pbH>Sp zl|E3Ye)1Y?Y8kLK-_tRw%=CWJrEi%ItBi4WGsa0atwl!W4UOA3 z_w>#UGx{ezh)j{56FYJ9#l1rsRTG~}eH~LXO77up5*={%oordY&nB&Js{8Eh@qWj5 zRO}la_fl2Ymtj3cv%+GpO;eg$Zd85DD{sB4;gyH2o=;Lmv_1y=>V}O{Lcg3g%-UB` z)_)uAaf`k5XPJS9Z7Y-Zzqn95*`c2iq4vbj{AY zphxj}UNdg&TzUD_;G-3?Z(DPte4RE8ZhEwGn4|ftLaU!=q-x&X%zJNsFeq;KfjcSl zmDOA<)|^y5VAX0`(|B>;s*?vRVyBvacWjH8S)eFWg0y1htK|CcLu%wDkIYK8`EH0T zc)p;y8Hrf0(Rfn57p?aVR$sY_ONO65*V^3v%re|@qa`-~b<-jDKE}7kKQ`(U>~d3H z;>sNVYsHpyl`xIOt6D=Hk4L=L(=HA%$YJ&!oHflhZF<2}Tlra1&0C2xZQ3C>W|>TV zFTGfjrZi72BX8ik%~C%Kyv_{{J$QS3*&=S3;$jI!T*oDFOR3P zd)IG}v5*EsDin!g&x0W}h)N*|DQ))Ns7#?jXiypqiIh|-Bt?c~&Qw%VDr8nlG9{(b zApGuUv)>nclfLcu{P8>Id_Kpk?w+;Qv!1o?>mIJF_hNS=MMt8kV0N0ED*koxd4&xQ zl}FcHXfl|WEar8j)IRczXIB+ZSH&!scVSgcdNek+3f8d;vMrS_l(Ce7Rj%Tu+O1bO-R)^WFWg=!{;pBJ9p-r<$ShOU$aZw zFU^yoU__QAG!tfhO!1PMR=w1z`dVdJaeYC%Q$$4~lkC3B@oQNYj89er+_PUNB<#wD*m zp?C`?MN0}VT%bQmFfpa5plw&P)U3;|+@5nc=1R?en$oJMu%+escCOuGxp7fO)nV0F zD|WU2v}ul0c)CRCB$uM@l3L1x*9R0g=Dgxf`&?kwwP|9->Nlwq^xkWw6y2lTzP|ru z>XTN{9;+(VUhUX8gWZ`Sbha=$rA)Yor7{%8b*Slux-)q+4_*{=^ve zJb(M<-6}6te)^TUPZy+LD#*BJ(lMTo=bB6629icr_TFcizBs?t^DcIBwcmSjZq8(% zEyh{5O?Exa+WTR~Y%8+v0TUtb^XC<~+tQ!xX}s|4>zwAfGt9E)vMa-7LypB#hTG3$ z$FFQib9QjuX+?7ezrCOBjuU0L5VPtpMTKIrfghN~sn8J2_zmJu5BxAtHA`gHwt~1E z^N$A1iOkwokep-w(LmKKky+bHWQxWh>Iwb-GE+1LB=?xVVgAbuu3JNx^nhp#{Lud| z4=rhBOoTK3(0~ieENO+r0C2;Nv845P4iN>&@vW#J5r?*dWDXQ3f@CSEI}#!G0MQ~m zo31Rzi{b3V{wZk%v;kXiY$dI~of!$w==COp90w7)1A(T+3L>&}E8yZskx1-tAjiwL zprB=-q!pn?N9g<@1NYlN^KWMctnVZ=ied?|HK=K&5TQ{O50P`IxPW*RknFHJ=Nykp zK+2`Rq!l{o;CmcfMeA=TMyCLQJyasl;bjqV5NM`AWdbZB01!GPdRS0L|53o1&4@6T zKoA1hy%`<)NY5i|6R5NUBBaqX_|OXnA_rus{WF$lrzSfJlN0rDTvF#$%}vU&7HL4FhG-C@6M;0$i57 zVE;MG+2v-`6+qA%>7|8@1ZggWnQv5FP9Z>f5wyc1%DKP-137ghVoI?BqHhWj%Klj5 zr2W?dhsF)LBUmn|SwV0%#I^x{6Co0VgH!r<>;OQ5f`WP!6x79H=~zgr0D%ZJoFfD& zKwAkEM8iK^L}8s%8wCp;qC}uVV-6h3v|+zP@y%}rPQqANLvt%=1d}LGtOjK#K(`4Z z(NOCJv~{3K0!8O+b_(atQsBv89HLlItAXb;WE!AY0u*U}%X&ijQ$><~FM%^V1p|y2 zC|F=n5`#DfWUPQG1Jd3MRugE98GVcY`M^0Bo<&0b(EbFOY*4R7)asDPGm_bcGX>7I z(Fgvg`WXFQ7>8CI>dJr+1y&j^CD1v7s&kN9)*znLWe){`_WgN zf{@t)4FH`AN^b}`AT(VAeI1nm{VN0>gI0t+-`5`k|4hzSWKNc0e);0}WW zVGlBhp|koa926w^XW;NnLOl`;93}v{AMhi8m(7A~0n`FQ#+L*WprWC$0%(Il9)kwS zBLuIoWOTqA=Gad8XWR&-0-{F(4le}2rQq&IDlJCe;&iB!fXZPs3V0JCpbpVXyKSLZ`F(vkXkhp`C*8tw4zy!k2(l7l0p&lqsRX4S?4@`navYs{#rss2PA_VMyEn z7KG| ztLYF5Shl86d;x5AY)l8!*Z&`+W(LdAfE@ilGBupz=NO!8Qf8)Xz%Ltf$_AuqY6#Ok zzQs!=h>Ayx8_r*`&EcHJgjD~FrfUtP7Ejir8_r8P{jf9CzH;0g zJlv=BH2uyuZJwY{d@Dp_xV1!&U5>c#x=h%AhA$t#(*drPf!!he2LovhmvgAmL3mGx zUj$ppFOiz3-{|ws)hnL5UV5hIu}I~_`O=|}pPkz+xnOUQg7Wqhcda}Ay=#tLD)!lX zCga>9Q)O4P{Km4gh24INnNPjK43CLO1_nsnIWzZXrSGQfyW=BfI>!3Ae%SFXbm^YG zLAvWK;$1`CQ%kGTJyJwXOTHZ6O*RuvkWZwtaudoR&AZVu6w^=3P5IvV5rJ zo^pqN*$M_cu|`GhtE!>hRN=dKNY!uLBaxkMq%dJswfN`UxZaI?;Tq?qerm`xugq7g z$~c?rk$xfSli|kf7dOvz9R2uH)?DLtZ`_xq3yy^0iOr36p6b%Za*DEB!;UJ87ARmy z;x_{?&5VEbM#k3gwMX*);#+dEFOT$CO;3KM7WnEw?gzb3-o!2Mg`@p$o?qHzd#92w zY2~Ti0)RfoK;zhBivyJ}uHaec*! z17=+7Tm5HvSj=#TOL8_>~@dy8HU{byXHe9?bEa?=@{JEoRk0VqrR5Y~WzaPJS{MD8)^=A{8q~bN6CM`7oc3s!3d()SVYpmy;eUegI zG>cA*zPyikFHe5MT~8a7NY&|-&sXvut9`$c_j7#6gj=C)Ve*2__s37}>o!<=>|4>} z(28;wWr;O)Q^dY$?0T^N`|+Ot1(g98n+7tLm2#q`Q9)PF<~K-GhjR z7Roj32kqzWP7kNFOkG+tdsjx@yxj*YJulx|TT?QluEem-RBLzKg%5MjU+F0DN$&lc z9TKebMqk8CHwtBNun`mst-Bjz6mo9eO|^c>%mAY40T>uvK~yL zk-=FHsEvkf0SB@k(9endOGp6}Gt0oF+kl!2<{vTh7fiYh=(`yBBSs+*V$P)7ATsGT z5Rb3_UuGs&Kwg{in<3eX{s9bKg8!BCfax#;uUbDQgzyc55kZ|4V&J0}rcj__l?dJb zz~o25Qh<>XnztaV2ZiuB3MfMpSRGHsf^m4@jJOSiB$)$;_zkcSjP5a`QC1}QUtr3B zvM&^lfGk&hsYQna*qg=0`#7YzE$v$Bi{|Q*Qfoy^ZVjxuLBmtcQ zs1FC?2~a7p0F*LtRRzyl&qqOG!R}VL3WAFau1FH{9Pm!p)7%OoDqOT1`b_ckSrM6xc^kHm&n1| z1T=)h;NE~rVL1699R}sF(4-DwCV=(u+DBdRF_2~dDcgR(cf*;z1ZO-7A;ASs2oO>QBkO&WfQ#_eA}`!1@$I~-mr$7Si?R;<_Txf2!;?!W(lkfH-+pn z5-lG-+##AgYzI4nAv|-iAIH`dPJA{`9DGub-3*?`62==gM+i3qQ5A`0j!4_ezdMM7 zQ8DnVpn#wsi$L@XC^-5N#?Yu3xQ)Q9(vNfglNcEy`}yysJrE;9G#ADiBWpin;ZTa2 zUhwMk!npj^3a2*p`Psc(RrHK-ca_N2l8`9*Cs8Nl#J9(&uW{d9OPZx8Dl8S?ZPX}z z#_G~O_x;CrdfTd9bxi)kYd-#9#Y@q3A_uGe`NSq`@#`Ow4i@PwnKkE(q+Ig1;(h1b z?oE_EXoxe!=qMlD?iDDmzvhYzcZv9g9|mV$O^)tr)5@LyX+gn)r6zcbCv7(_UjOWB zY7`TDPG#N6IkA(44!^LrY5c0KQEyI|G$9K8wrqy5O}v&SpLB21xgh1(+avnj(Q{vr~$6aeas)o<@Iasfg=AC<)chjXy=XaU=c6Mg0&tKy9 zvddTJ(iC{vpeZ(k>7mgh&2zNNSKc zH@Uk~@7Ax4&vcKN`&HYJs;XZ8(yw>*B z$M~##-s@0Nq@-A=(OaXwtv;qqdtr6Hdf6h%>sj#%EzP+W4c0E$QY47GI0Qd;l3i5aR?F0wG^Q zdZ?fX3D6+86u)gk`mF};pK2}juN!BkHsDHtL&T_Lf#VV~Er4^8;-}G5h-2`P{&-@H zeZZNCG2K5rWEBZOFUfTO02hKl$LKXKW0=~XD))f!4D3;s<_2XXaa1(=c0^I*Kqv1${DGY?wD0VIv6F^wHbZ*r(c ziFtQ?R$SCBr&DzF>Crkn$_m>DlmNd~n>SnuFt!PBku3As*5@I&R&^;h-$=>(w&EhI z9M2y0l+T0)txK0PuO59q`)+i5&quCzm+fZFn<&EPKmD5KVa>wY?b^=XX6fImx`p>W z!O99tZ#{fjK6}NQS;A#fD`v>=&{xyi=xKdnk;L-uz4IWWoLO%o4>MCxwRRjyrqxNyiGjeYjfH!jzs4 zJJSd|zJ+;?>pvxD>QFXkZb+N;t<VHopkGKdGT!ft98!5 zo<5tmuX#n?cO0+8_`o2mY18>b-tAv1MvGY{rNDP6Axl``+ZVjBt$Mzra2R(^sLbqx zb4xXk#5Ra31um-$=Gi(ov*d?jWun`z2!poeN|)p`{YXDQ7mmYj=5hFfA~(O&J-;uq zw$ELqO}RNszWza_wxVIa!Y#fHgh!51gmbN}5)sGSm!xi@Xh$5id?r~XN#Ad6V^dll zbYk^p`uT_ihdYF0Xeo0Qp1Pv!BIA25OOogQEL1UV^r6))l&V!))lxb~_4V1JZkhP2 zMKtj$@0$V@dtRM1w+eU}Wv_oGGA;&NT;5=s=$xv%x)9G@b2NI}MW-nz)GdT$6oQjx zbWd`x-XhKpcC+D^Wr5v{-8~F;Gd_Nfz-~CV2XHeJb~Cu?Av+Jcssn$-h#4||(+{cP zkC;|Wu@DkdEQB;57BUoeGoHeko1DS%0dd%WVK=0Bpdf)mu=`Jyo1y<`(BboU{?~BW z4dwKRcnW7=_a9G;u@5-=&i;Yj;C~_Sj6<-S48{0lAYeuKoT*qGuzG@q22hiMys;&b z&YE}Z-`Sj*+HlxC@Xj~{yZ?Mp_y%A%cw9)xghR0VPo;oKLlOyp zH?x6v_7Chv;5Q_NI0U=@d}f0^^S_(fe+;{U>y2&+s`fxGMkdm&2vCavT)GIC5E0n# zS+#&kLjb_vO>N+%{YS9-k7qUnX8hgEn1ST~2zJxaG?FD8h9ndPX#7Dz+!DkPfc*)2 ze}P(&l?=202IuJM_}{?pKc5&2svOWU`&~*JiqnR{v>}G0!R{d*9s{NgGaV6j5B4kA z8IBCQhu|T0rX#`bAwu$O4M&FEqpvOG>_>pzLnPkWJ#%E(J)}a8o#{xhdx-ctJHwG- z_mJW?cBUi3?!kcl{|neXgr<}UyCG~iX4q|9v$jT4*yG#A*fP+M=iAyl+?I~>gl~%&Ub-#qMw9Jo1&0X|El8?QV^D=SGb0k)XO}sXJLCd76`ock1d_ zE{#^u%3o}g=RSc?B;@^aUqgv(@qNE0B>GJWBB`I$@bo_!H05KD+d`S00p)I&<7Yp( zw@wKbqTXx}Z`JEirwXnCXhsOTx#C@ClgPijV^`w0~}y z;U4xjCTr#znx;wmw4}A0D68gvD|%2D5k%ga=6ibF>y#>^_8nb&ekoT?me)Ue^U(5k zg9M|%Npn0C$hDX8I+li8JMQF_Y@W1E(@vx)dcxWbHMiZ=uK6wcUh#6;d8uosv`adK zO%>ntY)R*m-WBuS`=qtb($v+Kr{4>eZj|AFe|%@poA9k7zPs$g#b`b+1Y#^F{vet1 zjxVpOyA>^letPQ2k9%3F%~^s5Cfv2x&z5s}+8b4tZ|d2$bS`#@pK@He>a~q$dwpM5 zb-ga=_WIKIr8-K~dSgtES{4si_PXbRiI4mf{lu3E%s*1KC$SW}>VBHX;hVg_%Hm@# zi);0H=d2IBygF0sll>FBrv|o;14oLSa+mo{RDCd}!zSL-Hek zbscYi5D^sfsA)zK&F*WUmsL*X+%LZ@P0Xh+iGD1Q^Wy0vSHew|Z+dS||FTS598Pap zYKD4KKIwJC?I)6$u30ar+m^6{w%DC0Cz*)q#v6z z6*Ngq1x*rDK@;S#2L29{WeCU)nZH58VhC&>U>O<;$vG${0!n(&oeU~p16fsYrh$$V zxJfvZRkZ?DB^vx6aH?2hNWh)}P74W(ftq_f1~@EPxaE<2)4$jC-}z?4;oU&ufJ5-^ z&u2E+5&65BF(_UER526A7?4~723mND97z}h|1OP;CsIj>CL$1qpn*&Th>g%7l87dN zPQ~zA;Qp=68LtzOFarVI0pNt2 z=LQV}mFYAB1?X#NzV*SR6y61F1A%J(drRgJe!Dn7lu&%Ar*FpU-S?9_TOk2O-oK=F}A3_+;&U8dfKGbjFbvzIPC+L|6gG8!AufN;sxNR96KgI zvw3?BYPxqvk0O`XYfa~!Uue2k#LY`qg+>*L>|X35OFh2n7NPKr5J9ZFLp3F^%>GGb zrIJeXiiCixO?Nykj$bgV?Qq(a#2-+HqOQz4s~It2wG~xRCTa$D)wqgKKWnX<>OrxI zye}s?yt!PC33U=&yFKsCcDb7q7fWLA9?*L5hA(q+X2hpkckR-mjgH(;IOVQ6{d0?3 zMu>y;%!Vh6&c&~+D%;?G=d9uOg>M9;?&$s4u+Y``vcjU}f!!1Mr#o)EzH|9xi#2K* z(kY1)b^G1tdni3`?Xs{sRYJ1!v?j@KS8wwuIPU0@s=H;z;aY)P-r|njKXzgHv|@VR zb$AM0UO!pu6oq%@j7=r)RtEK)rg!45NgH0cEFRmOe@Rn7B&Pbn?GqbjN87}FCL~#z zSgf-y6cKQ3ZqF-^QY%<~Ien4NK9dFOmEC7IR`h&qn?rp#+fl+enX6^zRQ^RL6KYQO zo&2?G%}<^mx&ljA(tfy}ZF^N{zi*spaGmiF3+jWSB-IWz>y4pxKiW$R*PgbnP$lmx zj$5RPc~?kXFxPs4Y)|_|7w?rvot!IeQmU^#5sH59ym$WRt%k)7{wv)nXgkr$b2erP>)dLHSk_`4IVf~2-(&*;~f3x+wgarFRpyB_uG#9Ws5(>u76{D zX?fl8Ksmc0rT73no1YEnrIY@vy<{q>P3-Eu4R1Gu zZTaG!iPNE%ezIIr$dA(zRWH%prm+?b(AfC~n&O8zN zJpmRF&-he^<<#(4F|dGZpmrE;7R`%tB}m zg;^*d_z9|1Kvo7SexSW&3Hnr2I*1^Wz#XEaS;H(Go>L1PI%EC{V&fbEn@!QeoKiv)kh(&FBK(r{)w4!koa zVrRZH4h19rd}f1vR?fV$e-Qh?OXCn?C(|ibi1ITu^<$w`n-21lG&B{oGl6a!v?f_Z zcz|*Nt_P03wErlJ{>L*LLg3GE`Uj|GewUGDP5liq92Nbs0IFf8BcVSa4<7U$SVs%z z6%c|Ttk$g6xP%aH-#;1D%C(~$rm znl)8E?8S_T09g~>!!4l=1<#J~kHql!2EMKTa|8%v=->}REjr@;DQiPq0y+~Ic_jF+ ze>;;oS1Aoint$Ibla~+Fvc{USy%|!pGlDeT`*G0ciH8om;$Ffu}LjTvkujqwWZ{&cWcG&F+-< zmoe{)@elVzDw^zo%h7!Xs!w=yre5&v?z=7T zZkQ_v37IEWgvuR|k$yzBGk#{eEpFD+lnp|@JKCNeFR;dL$&2c8nPMPjxweYu1zmX& zeJTFMNq*0O8-Z35yqX7QXnpLtE6BI4H8*7*j+$wiK+-pnG}^ti%H${J*XQ`xO@%gS z1@pOqb4*i5An4VEW-+$$7rR^nY2En!`CUiK!%?@)NT)tRiSQnT%$j@dazb);w0TE69u z*HZK^$33}~Nh7Z1EnM(3ZQ`MFiAcPkSMtO6e2e$JSgx-ocjaojyx>{gR1J|W?bt@G zXRRm8aj)Hd&ITtdU?jebyCHk>y4K~NQ$^G@MAXN(bk~$&3UevXt;RyLE1 z#hfT!N3I7(f=46EKPzi|z}H%ZTI-LGYU2`I71J(mw?MpJs<`U@_srL+a|L={Z%y8o zJxOhgN4Z~7_bGd|$Rr~TquWPoGSf^Re9maf2r9CUoF$YxSLLzC@nzXn5A@TUBuQP$ z&+(Nj*`f6z1hXTdbp|pXnsR4w+KwUR&K|A9sYHBnK4OST?On@VkExW5!K6R!ahl3?R!xfs!3$a9KT(A!&cM4;{%8D?w_?+YMi|0-&N61EIUXU1VI4NWrqSLK(;C`x@$5bX!eGx& zdBle!!t~%wvMd^K|BvPAP*ee;BW%ov1nNh6ItG$-psdZ#oCOsOK05~Hj%-vSFnh2L zp5b!-?!7@vI)e$5jX6v1jos6sIsqvFXJbCT4J-^O2-mVPAN5ok7(5VLDzP;l%bo+~0APS%w{R>}RYPtK z=CeVJ1cHEUhR(t|#nv2RB^Y+63L($a9jaFeBWcPm zk=3-SPi}S{>8qu`MCz@TmsSbB!7tt5sGo3A!lrcp{`)WSe)k?oI7V(+PB`e%RCR9m z{ka#PJC38K+!i}GReOoatHpWd67}SHfo{vw@^{bXUmAc)QQ3%IkX80`N7h`^i`mM& z?&&eJzA5VVxwmqs&Fp_!9hfyVT-p?z0(j(c`AXb?B)b{Ni7)l{ev9 z=>wg5{W3m&-rU%6CsHOw1Ukz$8=h1lcs?*uT(!eI$Nxp^9hYP6KRvUnzb)jsmM?ac z{D5@QY%!nfa?jAYDXD?i#;aXvonW8*%Qht`ZJ+arnGY+NB9Pt8_o-_^S5t^wwL>yf9&u@ z*-Gw(7U3o*+-F6!y~qszW@l7b;`Q8PQIXuP{d)wo9yqC9IQQm;D^GaWI}JhZGly0k z%{dlJP`_B?Z_`7PnP_Gs`l#{qqthPd?I;7w`a|-os>bazr`iMDBekM_IDJXvfwZ z?HwHfeDhz;!Tc(dPV${;koGg_{^NqJIBjBZumARBQPSRRgc;eU8r!$q;=i_xZ!9y_ zSnW4EUe+RbuRwhH`>AOwM7K5A>dgy3gO_d%O0+dTpt#V{Y0XT7^;1Ni))KGY;j5^9 zT0enyFm{TWeCVgsHZwZHE7bG+rLJ>1_^k7}_(uDt$;JJf97!h2f<(#JvSXh_P50Yl zb~`k)+voMMivjA>C#G+%^Q&F>qcl`I*R6fgLgy78xz#(Gb+lMVlm(qxPztV5+&73KduesqW`2?)@e)$^lSTNUFo!{Y&fz8W^kMuc5 z-obyod#-q`C^VBdc$>Awk*n&RUy3QtjO|ni$kf*)4`Sl>m7vbx3Whq7<)J@mTEGT{h_Pu8oEF{ZJ=PgVwr z!KMRuI+O@9BUwwoW%=bV~#_pxuK4n)f`H)M|p3sS#XGA zBCvk4m<}mfWw%*C8x5rYSPg;Pe6(i{>=i785HOHYVtFnRHI^A;f#4M>7-nP60yWqy z*og3$$Qt`#XFdWvCNc;WhhRK*)+51V1OklmwwNn75OVpp)g^sRKE)E`4hH_ zO?zu4q{`4!(pe44CI z$kd&_L)58k8&)^8!YLs3u8ryw`yR3VN?XqN?aDApT=tA`R%G?o=X8mE7bGg1p4a=Y zi97Q&$X>v);?pC+Fy7il(i2zr2?y}|UvIj%U))gn2Q97f*t>0l0raxXsnPcIwC__s zZn{CnO->Ll(ZYwBEAO(uVVLE$?L?L3t@Q1CZ<+ci<<80oyIM2*rE#hHx?tT{52xk$ zl`)rFBdw)3e{m~a@z&f$H&Ns^LEWb%(uPtrJ$}xIZi@#UkLP|(T=#aWmY1t;*P{Bp z+md%Km~R|d9%)Q;o_X6`!J(z@SG7u&laNowUhJN=_S~KB@8)cIqgTIG8+|oHE_t%D zRe8(ZqJIgch1=T|qjJ~;kU(o&I*HOoaQ%OJFGW;rnJv}i+3A*}~ z^Q$K-=(Ncc_QZ6T&D7}moa+2~q5MN-882}{mhItPndmJcM;31Xer1Jw=11~1)B1N@ zSXpJ#lS6$^3#G#9xeos%xJ}t>GZS3s)fxaUgnm8<=dmQQYtRrG4lGn!@_TY z)IL=~i}ts-THn7XSew|?7;Dyvb)xnqn$tV66@^{0LOZerErL+|W=4cQeCjUS=u(M5qN7Ww~Sw``h&dv2>~VorQ0x|exd%{bxY-H&^36>gh{n&z=H z0~dRyH(Eia{!y8y;)EU3^b?3tdKY57zLigUA(lcGPRf1s*>e-6GRpF{;I^-ZwMYFb ziXt|rhpRWoXmTn25Pz@mqusQ;WmCOL!`}B30>^oNkBnJ{k0(Fx2|LES{gupp2M!iS)$+h@(l9nrs>zC$cpFeeU=~u(j zLra72B=Bq?&r-h|7vK2$xoL~p%BEWjPsN^&RFKe)R&LYIoO!fNna*83-)XwNk6MaY zlnugwR86$nYR1TC7_^6aql^;ct~KfZiX;h-G#v}(tWZqi0N_$L9^aK$e6}}poy%+m<-0t0n>r2Z$tzQk}E8?*Ptge%|}AeMAn)z zc27qLfuS3c6=ASehp{sU?P4Ni`Pi7V`Ydb)57oFBb{RM#YsD73rvr;PPzJGk`rvKT z@3XLZI<)WN06MZ6{NOutBy&6&bdT8uAfU=`v=@hDX-Pl|4i!@@PX>;Q;SS57aU+)C ztstV#ES5yp^gEjs13?-kEW3CMh(e9_vK4U~+60Y%HG_7Sw#$79N1M-DpD|m@#M!hAY|5T4BrW%SyNXbr1| zMk8(JY%GavqJo2_4W?u`4A^~>gIfsu%LoQfcoaiW`~G)t{$C>(mOS6!N>mQQn!#W1 z0IVVY0Y%UYV?756Gy-efYlAcHzv`N^$xuHq>WXHh&UES9I}Ewr6S|)(^krMk;(d15 zW!~3-pv4_~=r7L`Rqao5dw?%FQ|sHY%Ujy6$R5w-?&2yikzN=ubMpFy2Dj{!pH@g- zY`p1kb6tdSW{hn3^aq9*T*k6P({{+0tg+Iw#DzvUJ-e9{Y4+As46S=8N$q-tt?`rE z=Tw(Ofz_y>UE9xweU1e5cAJP!iU z-6Z*lO5r1?--S2}MJ!qx=at{MKY-|ZI|uzidcTp_<8w=nsp@o;$UF%wJ1b|}ShzDI zZ1FAITV`vEWX>7)t`6=_UX#kBLD(Jp^<}%C=@wDl6MgsR%`iHPf8cDQo3_}pr+igL zH<$0M+THH_`YQJ{uO+&ht;F5GEC2Lru0#?l{BXeKEz}v-{%UrIiqEzcH{L#LT&H!? z#kk1+)ROPYua3{B%)iR-dE^4u56d~)-U9p9&brO*q$gdO61`zF_2`p?%~QX~9?ACA zJS^eT(mk#7y4IwWvvWMge^$+@_U(zYifL1DTeJN3*M(XppQefb!ijH`y6|nq{TFLn z`&My#ST4IG<0nHEZrQBcka0@#t@nYF-XGJ1IwxUN_MQ@)Tcq7;v-|qYV>=}UZraT; zHESXiTT^?vzK`!q z9F}xF?YXZ$=fd+3fWK3gmsoCU62Ae`!2sCTiR$2^UU+TZShFK!5VeE>@ zh-@+|Lrw8WMu{z#I;)c~%n}Rt6}y@kA}b34gDa4^NZ{B2iz>TZ3@h)!a6`D{un-1k zaZ2!Pl5RtXi$w|(*(D@~G$XQGU0_uL30^k-2$4ne4on^SB!5LK!Udw}PY=%nE!T1>o z2iP>)XmB2&%>{`>8%lBR9v<@cnBhf4KVgjF#hV*zc2?^Mdo(G&n|kB!9=8vlLkbcV zHztW~y!xnZ=TvFc(^{)E=U3&tn&X!%GE*$lqeLpIqsb+;_6yPA&M%Tko<_)iEEOS1vw! z*=@RY8|}*XjVEJ1P_z9v&bZleUrgw2;(?RPH|Zr8&P&gNGK3C|%X+UD{kZtbOt=6S zTwA|)Z5+DzmfEDIW98o4t_ii|)|`>pWb+V9vm?*Z_f;4d?ss|VVMqIy{`VJs%YK6= ztqm5L^2E55SFlfXMvPF=_a>FK;d7!t-v3oMsa>wqEE!#eR>cte>%dK|5 zmmxm+-8#{bjE{X9YZA9uEc8pC7JI%pDl2E3C{FW20SeZoT>O z`hh;>gf98@EJbG1V!mMdaXFu%9?Mmfpc$&NG z=)o*6+M0DXADaDf_Z1so#&zv-zW7|tFYH55p^WzCAFj{B-yhy!WF<$a*!NIk`lplE zl;vkoZ+OmH>sK=Cly#>hSqA67_)4d^^xku;HhkC-#`R!&eMqZw?zU=6^Q`51KZV0$ z_RLvnsx~F3^LyK&W`{D%g-5)a_{S-(O6Y7*=C69W-hbV_m<=xO`}5w;GF3Vwd*+<%$^x-SGrRvwS{3DR(q8!CG`4h z?e!;>wu|#O5!zqWxBE=gXUx4gr$VvKx74TC?7U?kT));}ex0|#k_OkvyYcJV z>l=T5d{mw5lv1CqPbqq~(fL-s`lPA5LSzr5892C}y_u-Z!IVd>R z3jJZGcpQO!oQ}0lez+xwQIXj>3rG*hu#0e*DGq8)@NiwST!gIU^}{XU-bNCKtd^`j zF~dx;7;qNYMdetF@`qcZ!C8QiEz3ip0sc2L#lV$M1klZ5%38`l?4bz3B_fE$Vo4h8 zbpGEv3xh%Xf9E?OjuSJe!t@>R;HQkycerX|>QF-x_Iw)uEP@L++2K@f_WLNk^Lj!K zft#n>2;4i>m>(^JR|!qY@jQBzs(Y$IWv@)Zc5mz}cWl}7#vi_16)(mM#!ZyGvm{Mb zIAq==4@sN(6Z5=6)-ONI8(8BNQMzg7okfDZS-0ppQadUlE*c# zAZ_Ze76HLCsNUTNrj{zCrN-D#JSh8S`7#XwCC!_?p383wM#|~%{iqaAFuP*%W7_+I z)2$Z10b-M-UdM_#H7chl%$s?%nP&THGATCtQIhhXlKxY1D?E+H=AtwpzCB&a|Y5 zXX8GL3)IfisJ0o8r5eVybexe$T^+4@D`R2kV}Yzrog3qpzIe1{n!1ZufS5r>&MaWtSi+e=!X=LQN( zn&hh{hku%PaMJg@M7J$lb$J&}`1nq&;IP}CvwA91jY4IlE2kCskBg#&O}RS9O$VX(|= zXI_f&NZC}hlk#T9kT0aO+LL$dlFU3=C#bT>MZQNn?5_8&X?Q%*mHqTGvq`|l1RwqT#Hb3#p2W25}nZp z@)wy^>%=C@NZ;Lj{JpKjngzEG@?TGUE0kcF{m?vNzUe9V_BV8u2)k=Pvu4az5!ki5 z=k2z8AFjE@8=+@wsnK;5UiwJ&>Tqq2{HkmF%)&id#HUwJEd4Ei6E>0@X*7+fA4#cy zPO$gQYf>!QQgA0)FI=1)I-a+_>qkA0Z-$aQDtd!59cc0P48Q@NAs&9vVnwmoUBiMp}5}By;8E>k;pl3CnNmUY20@Al5QmNGHQ%(;<`P z++`tortP0L|Ja%n*W_UBy!GLaC`~cTX+pZqo%g3Z`WjkfBrBU}n^qR%He|TWn;DBT ze6gZM)U+I9Wl9lDOHK2B>d><;cS~?ARzLG&sny%Nf!*g_cQ(*3QfC^!Yq#c(`y~-1 zAS04-sI`5vlFIRh4|OSXHeA2zu{^SUVo~YUB2@hP-QkInp)&h~Cma#9R!@EQYL|e~ zerNfUr+ZR&QLgVdfv&3Y+GaWRg!8$T2|rQm?aU4q@^Bs6L<}))^-g(ey;$4JE4&Rpe4>6QWNf=aW(TGP>evgO5^}!qK5M*QXwc*I>i2QAcMAMl z%zsP?3MTDH%hCP3tKeMQh3vUujf?Eu1T--E``@^2*?n2iVcJQG4bjc~SL`?k`!ZXK zo7Kcp%Ezs9=cEa&XQRq3EMLc6aY8syIa`>0J1_OZ#~Ba=z|%`A@Ph zdnc#-P<+k zGm6u18a@tJ`y}U<`fwJ{p8bWLDvlRFd#cR3QJnTeC@)iE^A2;(ULo!U^U!P^%)z;? zksM40s2|!D40WJmi-0j`Ai)zI!r=v&`=730R<3`@s*NcEMq*8s4KW1=6Oj*v0#6o~ zg0(SlxFr-#;vf#fVo74H7ae8_Rl(pqvGIUdd02*7;*b(q;1OhbC~GI-FiR`}CJI1+ z#gfP>@;KZS!fuGZ7KxFPlL*5$&mDLXeZ}`+%CiZPHjFc=2zDU|IGw*|!-KwzH=fuOr1+Vr} z)s}8O<0=(0p7*6owyXU7j3*C-Nf~_d5;Pv|O6X zA8=Kbn~?X`I65@yy4Cpu$A#jOgVN8wUDV!{K-+%1o7TDO=93(^kk+y*hAw5Y`aYS* zw#BTX1aPlj^0KV@tKt;2%hG)JRLh+o4qbm-UyLiB5Vw!F$|zG9rOuU1%UvZPakbm} z%tYam*{c%og6Ku`PN@%X9_``BYfQ=iYWR3#-RhE(JX(FynwNXkRW?SboyjRBJt`#` z@h_SZNM4<#uPf^+tlT;4b|*Q=>;1|zNh>1W$#k8|zW2mp*>Trx)g@1^5cM)clsBa8 zrlfcsk(_OnIVW+JZz{>DZk}LS@5ibshe?*5>t?HPX5?( zmwZxOxbd6Nyg4#4BJ3$2}`D& z{5LX^d4BlEW=W&%bjzL}Z80 zB(418=VumTF%+KsgFEV%NEKCT9mVIrcB#DjbKLA*S{i3WPbz+W^t4nbM1Rqqnln63 zU)&pej~5)-m#!5Pj4IDmELzYZed6uzB+p!v@UBuvb#vkkUH4bg4`Q0L#Wx5Tn#z3Z zqSn=&@YrYkuyu=ea#p^btgq*r82wJERdY||>m7>Da$K^|rS_1hsDj+FGikEw<_@6_ zlBdn&EcycB@Wq-E!6BvK(?mU(E#@pk!KG-|S%<5s9yQ6`BPt)SU zOuI^@r`1@?*X0S#UM7A8KR!;-?ZYlOU#i=*i6^*YT)-Y*2Yt_kg%;z?_aDq{N`2L- zIm>^F@zrM&^yj$nE_F=*wC%FHto}OcwpRc4ard4X6dV+~w6Y{}bqenuhYrzFwYAu< zk585RFFWk@=Ii?6*M%>d4m&I%#G}r+)$S(mN%cIV#ACIqC{iD{p;#y@`XhDb>ILMZ zZ@1p*UPKq(lseh)V8c(jqIq4@4*K?LvvIA+Lu&llyH@>2>d@F7nbBG>me?Iz*GhR1 zJt~6^8zDnwa8xsXK<;$L5B+r)@JGmv$M_AyqeK33|BVMf^e1!R2Sy513gjOd6s*V( z{kaoF5VGH#%;-CUe}~M7g)x2@_?LlhkNI~7{$(&-#x!m;fr6*g$W#oSZb<;SJu;3)AzNCa@gyq2 z5_*DI$2~YS`*-6;GuIp1xp2@=f%vcBgyP`WXf$^8^|k`39@q|02TO)Cy5&vH)v-9w!x2W;3z97zNKKu1R914{In=EKpQ*+ zd7=IhhsRLaEcoF1#lIUkl!n3@OF_3HPyykkFlaLHyukj3=rn>_x0@eyghI1KVcxLlu4tC4`Zo$#el}dt2Nu<=12xSI9dCTb8#NwdS7DFC= z#nA*33RL1Pi8w1OAYVnKh{&Lci3bTx8XZNmVqNjUb!!|NIP_j2<-dqH3Q%@R0ckG` z{5Rhw5k`!)0x4rE8bc@1aiFC{AW>nXQ;2jbg+v`b@cwI%Gh2nZ;usRFI2M2{a)hI$ zkfuuMvIKs^QNDZs_R z#Y2E}MgN&N`ndn}?&)_jIJ4dZM+&me7zpnGHz;z)OJSguoJ_!tI&N#wyB_`0q>xZl zD=RB10o1U7?G8ntp{?NE&=7Y3jiRGiNQe8qCeF?bLSCTT_V3I<2nmlK{nH)8%%H~G ziioo$QE6y21-u?iVVd_C@K!i!du?&26A?0XlPuAD9bU)G0cpL zqSL4tvK1(%Sy|#hbs0;6s~JVd5Uqgd8_&Y-O&*d0{kyMdU^l`!51CK&zcYhl7($nS zy&IunpGKlsQQ`7HQ9uq3rbM+uktm>nOs1n)eA9kMoulszBpM*l1_8H$?+guBIhr*3 z)jgJXhC@@W=qRAT0@YJ8*%>nM=BL@P%VIg{&6_f(Ky&`gwfAF%AY!lwyHBp;djP-q8K^MPSW7&XfTLSk z5%F|O3=M~8^$!@>n=_k@IWrU#fFgU23?4BA78tD|#NVE5zndA5rO^ODQSlT|L8U_; zh(f25NMtM;PoZN8ST-{o+=9oEcLr_xKqLO|#6Zv!G~NI9m4P}V_@Z=xlsFQJMnMsY zmhc}mD#eltY66z54g@1O!qHbogf=Y*_%PoX^iE+(qn~PH*@_qvor0%8&INja2oyx5 z21muQ2xItDAp)iZTaKOS;D`#_ry_wg zHs$U8k96y~Xiq=REVyxxJiyA@_lirJS8!bZBb({EL&PzA2iXH=*^*tKA)fv7w!Edm{|G zwM;%%*6jo;DXkD!I=|@uW^Ao}yvakXJ?=FITxF_E0Z* zU3KP_@>VlRgA=oh#U)r^*%2xzDsf(}$&eOQm1d`Zwd6@SS$+qmsQid#de}h$`ycFH&-u!&@)Vz8LNjpa+ujfMcU5yRC)3Q{*?`gwFd;a)w zdw*Nk)4qe#esMRQ6+K^`|8dsc!lWrJSwTYA@Mc%FyHcc+OFP%p%gxBq2rqA~CWLdT z=3kkxm~N?UFfHUYy|4|pSw~QFqyDGyo#yDpKLUtSE~q@bF`_*X!UcgO7c8^MaflL%;8K zYEE~Zikf2I5&kAcU&_01b+pQ-;^0u3n`L|rtGa4?U#z&cFDfoKN+dim`^~GJwe{9Z zRt34r5XajY-(UE+)@`$9o%}2H@H^bkG{yIm!=JA53-VkincJP0*o!W1a{wy{QW%4=c!;(b{P95PzT`HTSvs%Gvdi&zO z28-y=TfF8H-^(u{Y55utBy~uVLQcDT+HTCsIg-=%%&**ds>A08w@yWgOmUqzZ$FQq zs1w!WTm1Vrld8f8N3fwO=dSoQp4{Ag|MhCeCL0e_KvUVXe!iv<%1wOUfLO#vy*}#6 z>ib?U(uaR&N3SN>97^*D?Zrm8Y))119Blrgq`&$_<&ArH^~nx( zHDcXIr_h&=3ooot2HD-g80@F6O4n}HT8^2mhC0Y?)~9%kgzHA zEhf0sJEhxA^~GU}t%qAKHO;wLP~g1Itugz%_NFPf-e^XP2Kdk0U>Qdx19vTefO> z#x*-nYn3N;H8&U1M8nS8PQUj+B-!TB@nG(DUdAQK(*2EXts+`h-kXcmZ@Z;d-yT9A zJ>S;`^?N4`SyfPn&jWQonEIfg#o>8eM5|f>anZ_B(0x`S1kx!VUgv=j) zC8Lm{UuF&p5ap2{Mp8y2!hR%X2QYKgNXqD^9w7WeW|tT8M~fQF-xL=!8T!rT^yG=wVS*>q2n0|;r$Hk0~P^_o|kS`iKjsR(tz#suJ_7BGi5l4mOKaq%`5wR+03I+$L1fY}<0prmW6%3vQ z3me04F-e94O$<~sAekgI6bXO}FNQ$Vnk z)$U2e@F3tsYC18<76*?2QqIgCBt$;%PscYIjYHC37!sADLLiXn1U%$h2vi2Vl`3Sw zidgJs`VN!yYBG;+IQ)RU84g0Y?J-abgF*H;`VR*oWQa&~070lU6d6N@{wE3r2l-Qw zgrx$whha@Tj_GHg;5PZS+-L;v0fH+G{68FIOtKs($oTzHNT7h`FdEH35dnFFlsx>0 z44Ft3ER;0hAXCJ;-lLI<2^!8^Z#1&I;p9d@Y`{ifha-LbzqcAoAu;e&44JG#q~lSr z&O|`g7-S+ye?sbm&StqMujb|FC67k-Hb7g*E;S_`2@~7h)GSXNY1x`)|WTmMT zG?~mG6To~7=m#^1tiA~o*qF@C4P-vddIsPahe922tzdJ5JNx_92ILZw|8x~7f}o(_ z(59f!P#S;&=|w!Gtg&qN$mCVL{9J7~3V^T*Il2K}N0Lx*bVGUl@6Cp-Ohc;>F=PTz z)#6YL4Aj2>&P--Ni4m+jD*`*FZFDlWwhFSfAtMbS8X#K;lP)Ba|6nu}asgaSB~s~V zuo1@~p$Qlw6$-gPBAv!2To}`KIw?lO&PKF9(Lm{rC{DrG4rc$}YCIMXJ`|k`5`?%#mIEFBbMha#0CP%*-e91T(P`cGjH6Ym`J zRAkObJs3M|m!*+m{8N!S1S~r(+n=HMua|g&SSk38zp&2Hw7O&Ic1NS5lZS|o>FpUo zL_vi2ZxPW_lls7yL_v?XWM4;RzpXnx)NbGEuSv@6%5=@oIF~7`?-v@YaqlQ?pgS*b zpN)o)$@Y11@291D^**u7eRz1)qSu0UncZZ&R&r13z`>1X0U9+_WsUMVuM8t4C4C6H z`Y-HR9!_}V;?ci5!1dW4xyISvl1r`;a{EktH;d|T+G}yf%@J&T z=$!N7=+o-uP9oGz{#&hPUpmVt9TdOiT|aNX(3fExH+6IS%B@@1Z8Y;E`kCc@(2m~U zw?cAri{ACqr&^XQQeP-3tjd4x`Ms3uzB6YpouZ>s7*^S|S{v)!hD!IIe3GQmXe|fW(ks-`;iCd>|XxipEYxsD&PkcEUk>C{6#$lMNgwEc3 zH2pf`T*;OwW4d$W3ElAI)Qr6!d5C3Ct2d?H+V((v-#Pk%?9{%D1CML!^pyIXRUKTp z*UQMd)}Yp>&d|B@K?bL2zGH-dB z%r(&y0dBf7QEhMI!#>SYo3lSm4yC3Oxz4(Oada9-SB<0K%h(|L$<~&S6>9_}d?{Vm z7TZo0UokhGUYC4QKC3%p&it!-7)te>b;lNY-HXx0pfsf7?ORgJmpHCDCAIIqm%8H* zseQa;-YdBQS8sPUxW{XFOgT?I|Fk}C?_p;*yj^27cd8_oXpcLjJG+uwkY|XK+W8~I zL{DUG*%sx;Ep)<8`{;WSJEeC=gq_9s zRdnybDA1I`pWtYuehFmlnnRF9#)YYZIM$rxrA;Qx5W~23|I` zwd`u?!bESeuZtEt85Z-(z%ElROmD-pfZIopN*JAZC$wz3^_wqd#G0$cMoVKYtod#D zvka1Z2qi+Q6xA0Pw-kxv_Pjnc#}lRNd28RSvT07(t`ka~vGGlBdZl*f6iM?LS^*qV z2d6wwn-+VOgJM3T&|q5OGoEW$&o8-mra5mEj1eq}Tw2hA*XfWWZ9mW=ud~Z|**4|- z^&Aa99-CGl%+>6P;OkOv*xuFn%6|%Xa>=KXfoayQjhPEGhw$H5de$xKj}bmCz!6sa z)GFH`8nrWjVT~$z$Bmes7u$WhOg>txHCm|+5bNegv^rfB|HLy}$nSJFk$y1Ja(#@F zTBc-~K>2~Cm!p!u#w=F4Rc2XG{!KQgaJtaivxKP~g1cqjntv!yYrc%zz2>5~v3Oz0 z(}2**Lz<}qJ=Be9e8&{~kBF${_PxyPao=$7>^x__{kF|F4qn`=Sbk>r)2pWE&l*0u z^*PsE`Q94YM8k%mz`Rw7I|p}Vt94m?DBAn3uDfi7tC7~{inarhAvc%v8|h_Dtu6iV zakDFCk>mp_SHoKOC*K7gG-kH3L#E-L`Ojtg)A3+IrkS_KxTc7o4S+M1H_&O8WDUDR z5<8Gq1U#^45lBd>`zG>!`d&xG#bdT8jO#ZYjZ8Bc*AM{s7ylFdFw$x8i;x078G((7 zBY^bJepeXvtCeCbY&?d=Z8F>`1Pw$QG0;eqhGa3JZVJS9e(z7w$OIahOu(Xnr2~9y z05Tb5G!bAT6%3UGNHU8*HHJZMVrC=mDujMa7!xs^$&Lm+6n|s)zpd&2`GrkVfb%O1 z#z8d~5zt~Z0o-kf-=PE$WM_Y0s6s|l=?sDjvf?C=V4_114F5}kVr}RIp#Vh1y5OT- z$q6nv@DGFIIAS*w-T}Y+uk8N!3y!=GleFLvj3H&OfIb3$GC0q`7YLgbJXF}>gg->^ zL>vWA!{X^!3k-HY{RhzG-`hQ&o)UU5VAKCiYzfE4f8GvefH-C-&=4Sa31G3~%W$CD>klJwfb*l- z@ja55;-(|(%KFgr$UjXK6_FWcyGc#l*u+$FfE& zDpO;>80f0`hmp{d@LPKUgJTM3LkEKjJK9meBYyYoj0}go0Fu}kZ#O)B^kL63<&oh~ zt`Be%yWykH+kcu(WGgL`n4Quihw(3*iwG9|EzVVGY-{%tg;f51cqlQexw__i z*10VihH6|}6AI%`c=Mi-APZLIAitXYhR@FP?wmp3&{dsTp+UFLVmst34 zt0sJl*Og2;hFimRTz6(1=l!kv*f67aZH560^xnF@U3Y7XoxGpy18x0dcLa3py>V+# z$ldT=Q@`Zh^iui?-AhtQRbf(Vmd;naiZ}RLTV*>yS?KgN@AX{I(wl>FEi<%vmK5A8;9K#bM_y)) z(5zv@_jQ34{q>fw@{+d*t+z>N9Pkj*i^s{`H^b*-2bO2Qh>Cd~w?w&!w&0%VLyvhC zMX%%CPzU8RGdXDIsdA0F7!5gdu0=8P6w-Fj-56W*x_cU*rafArWTWfze67R{E*Ct- z`TBX?H#HxlKENr8&Qmq-k=IM?@pgQ*arp7nb@o@DTdgKcar#CH*&5EbsLQSK;_>gK z*Qpn4ov~td&K^xAC&C?t4|tUEC7s}N2)=k}+huh7v#*8`s;?-EBb{P~EvtrJ;0|-^ z^=`^8>ZupP`V8}WzTI@;;S;M9Qam@K144M-@L_NvJ*z=)b9-Yh3+INeNF z64DR%)R50F4@2$lJoPc$(#bIJl&O-W@B)WS;WTwe?Qfdsfs+sSVMW`v>CMc@*m2GZ z_p;hprZjCs)WQ9ym&^@VctP{}{-+V=sk}3~=PGCl^zO0NyFS=2_`czC5#PJB`(9>t z>|dnZ&pTgNZu;OpbX>Fy`Z&?AD_3pjj`{2OW$Jb3xyI0Nu@9b~zkKugk8FmVMYf1V zRoQb#UyJ4Di=~XcHSVl%JGYax;M7(-Nn>w&K0-(G{sTLo4Da7|!r6D{VCte|;~6rW zq4dz`W4m7Ppo8V>bt#9qr|s%HD14(-ZDH$-JR0ss)PZFm(h_%w5lSCa#NThs*rFl) zW{1-SGj|<-P7dLq{L=;A?q!jJ$DjEbSMXET$qpa42#tUTzeNeF z4IFqg>u4dFwlCm1cVF>=ijU_jZ&p~GF1-I%V#Bv@HQ#M6P4(XE^5bL65CfmQWns+& zNs)&J%?W$l_C|eOTer%@Zmz)o#GKBDC2nzpQf*6HM7o+}j0W4q9e4D8&M~)gy*JFE z*lux=9W24*JQ#U_f4bW&u*6R~gfTQ&h#NhDg1J#NSR@wSQWDdqzwtj=%htyX1^*Lj z0B~#$V`zCBe~SO*NXS*QTzun(;y|?&0}<(X#|RCLIpc1v@gt!C4SLmBM#4i`uFG*l znUtr{j>`JbKaPZ^a%jF_83_+%xn;*a6b%k25}2?)^p7K(%RIE=FeetsMAR)8T(? z+KDU9$D`#W+pqPdE%nP^K=bAcI6YUF=h*eLvZjtM4|xNIOxvv6hObqqZ57&l!v8Fv zf6|!-PU{nM4NYbr(VpY@dgac`dMYL560{)4ucoQnYtns75=)*x%%-{?pX#QKD2V9!7~Tjyt;R!qddNz1bpKK@w-t z@s`#~uU6Sc8lZv=98aEH8?LG}b+f2qm;lA%WF@*ZqQCOQ6xHeNOD>sMcFihR%rN*m zyU;(iB`m_#=eYy-*C{E&Z>>V+Mf&r4Ebu=xOuVx6Nw_z$B$y&w@{<46{9YmPGkc%U zqj7HYEH~C3@N-3zm(0-JiV@^&@p5fmqb?mOtgL8e(b{9i>8?|9;Zjm_T$zbQqiXlJ zplF^>51;#4&)YL!Y?siJRJ_LF9AFT$_I#DaqhEqXQ*3%<*H(g0EE)}uqR#QWz{(7m&T)flUi7o9?-^1hiXu}fXxVa2dS%W37N0fzGfiRJgZ z6$f&3BVHzUC%)gHR*<-PRns9^f=BE3d4b0=Y*#F4Uhrj65@ELUhl|g+R>c}-he?~N zno6&2?TI|TT4if~O#bd|1qQ3!)Ur*vwjQ*-V16$6yNq&y~v~{ zXTMiRb{d=!Ii{15cY)C^-oC3hyNWv0nD~Wv@lAz()=L=P>e1mk+e`S@n?EKu0H6JW z{QtY$z<(}b_)X(t*IzdM`4R@kkFn?VxRJkUIwOsj5@Q((Yz|P#%o_1RQ|BKZiU%@o zxU5+3i1GSkEYHLO+KD9U#}7r17hq-`i2x$(bWxz{_YdYmoeR`hvfjby@!e`H4@F8a zpyHgxT)0Tb#r7;ip&gxBO3OOaKaK?6B}52({787{xagSWp-7g24P7Vvy;1w%HPy5Rh}UXKO53&hblH z>1Of@8=YfbuKeB6q1DlAr$m~>WE>2cb6s>%?uwVg#HdphEd|N8L$PzM!rwkV8PzJe zgcLJ#A(x+k!ll;_k88)tlFR+No0_iJuAFhEOpAL*k-uSA*QX%LnX7$Q!rv`0%k)&8 zF7Z+{8FkUD#qIGGQF&$et7jixI@sk)t-0nA!Z<2&OyQ_$6@#9Vu=<;=OQT)Eo}xZN zyzQc?1;H)Fr#nwLq&rU6EViA6PMJR6a9vt<-|5@>&5QZx1h*K5I58?cgt2imJ!rLY zk1sTRjv`HODwKav{P<}Sb>`Z+N8Y}?J4JIAy)dGeF3`*y+Flv7ENOSa91$(Gop%x% zU#nL?mwno7>0N8l^e#S7n)C5Tj-*4nEq*~Sg#*9NSisjisPpR7jyXAJ6Rvp0o#3{= z8o_zcWc#}z&Kt9&xMR~vv}wj-EB4T16b-mr&o4Dnn<1@Cs=j!2EdX zyQr*#7+;Cgr`8h=Dt}yCE~Ra(eT9!ttPcK0Uy=Ojc=K(HcII%qi)Yz z`c(2xzN)<9j~Tv7vi(!91WF89y7nw{p$_1lpR|c+_su;~u(8{-mzH}oi}KOx^I`qP zU28BN*Gla_63>-;H+?dHI~dtolN&x8XV5pxz(L+RvUTgW$nWnMcfXJZ(XJOS6O&TT z?0N5(IHl@H(^I9V_Q{{4@&0YkMAV#1{mc{s7K+Z~Zd2I(3~yexE9B;#7m7WJqG$3u zp4Plg;r_Az?G*8aq?h*Rj?^|Q%t%w`TWzOhCZsu|6V<vE1StxL1y6LL~+ za2*+@Hy8RJtoAP|Ql_swtUjR8sJJ#nqyI}Afy3j+BJ;#&{N49$M2 zw@>wxhHbQLrO{FXA%17zQ9Vzdz7`(yZpTd>tF@Ix+qbnGn-XL6rN3y=`?lRr`a=_% zmsV@dipMmx#T?slFN>J)#^QvcrZ~5o^=<>nv>Q^Y{N^(}9m-$3+8e%cb4^+CW$#f3 z>gS-N>d93Poo{B#*_y6DW_@nj^;K2Xj4PWX_B-!4r@V64+|+h(S-!?v)4LeIQp<|+ zp>)fb&h@t3u7Tau!l>rvJA~Um5@IUKj9umoO?fx#uHO=3%?_72+=p%KV&AtOiYD;f zXgz^jP5WlNV-9LvOXDpmMa_AIGf5F|j2E;Ly<0Q1Z`oSS=#|zkG%;RCHuYTX{@z9Y zwRDAwlW!ws6YcfgQh@^!_J)}n#a&;O-!PoT6x`7ljSbs&wGouRl@B)@z3_hO+t}1O zSvt4&-SJ)Lxy_*UQaV87 zO6-tO-lU~1p}duT$WcOB&z5XX*OVAtI0SX|qg~<=UkKPg{?->NHQ8ZTho5_RAi3cZ zpB7(FTz{sb?eo31X;SxR#(Blmthag+vO;fff8Rk_{nQrSy>vVEi|dQqiX3wLdN;M* zsy3dx^6eb&3xaFxmU(QHnq8BBKAKxWYI?ahSzh{lvP_#@wfL?p!Ea-QfIv3)0xW{4?=CCAg}-l$khmob?4{mq7V zJ6F_gFI~M%Z4hI!UzpbaoPiJDk&NfvwaUzV$_x_isE^tX$qMAGHIbWFB9^;7P2`N+4-)D0+K5gp!+v_g|Yf7j-9+=|$^65z% zYj=W@!;iZ7EZ0}tUab_~BX2olNPm&U!Pd|~Lw)Z<=LAgCPewiV(g>`#zEu{zf!}&@ zJht8XM$zUC;nw*?`lg+Xok>wk8WgA2$yF$-nCTj5>T~n#e|X42_vk!N7Xxp{4xvlV z_Mdy78CR!??bul-xqDgYzS*ufpJ@q&f+mKzj)ryHak-Sf0IW*>v-1PSOvy;ASs>HP3}_ z|FLrcR&q6q5>Ht^f4xUm>i$l{hS@yIcR$smO_$GcePb^2akgJkw`uZb!P?uoeU(`Q zZ30~Vo=YDM=QuxCUqYYj{am(XYMSbKh0qM*$?D7$)59M`gUh_OlmwgTrgE3)MipwD zwSRJSP0+gbbfe+H>Ybjnes^50 zSFo$2V!ga4{>a?L>)L~~YEG#QedXCDd(3oYafnY+KX(-G&E}$y#dC%tlwur~9OqdX z6y|%0XXTbp(_;ock{;N!(Zddtd@jX!tr#v}e8OYU;=8bqSz?XetkPN2qd)%e@wmNj zDQFi>UG>!2!}wt24?DgUs!aw3k(pSXH(&MLt+W?r;hgSl>MXv5Q8qKWF`q-#Ekb(N zl&U;#rGmMfnysni{_ymcXKSM>?&`T_VWYft=QrdYa6noc+=J$S3J~uaZ6G)tYy%wJY3uVDmQd_UbMx-=}7$A#6KQOv=v&N zWg>B7)t#!aIfEPSaLuBhx8HcVV^jXOOQo+nS9&KcvEne$$U3L6^85`s@s;{fc=yeT z5=*ac*b}f!n7d$QTy81dFzE=t#Eki0v$%)mXV1C+;9&{lTk6`<`5!(=@7lI?s)_tTeg*whGmp^aY;hgRoX9IQ{cYxm*K?Sp&W&AWU* zD2c98&~A%ADN^S2rpVwP@6PYiD|wV2m%j59X^@mJ6x_$x{5Fi6tD&4f#%tZ2l0c4d z{w0ffBF@OYkL5bssIF$EVUc_0mJB~}#~?v>T@I>}uzQN;wDY$U$e$Ct?_X88I3$+6 z`)LL3X4L(L(>+h`;kk76goO+8e!LCb81e2)X@YfK>D%PPy?vEC^0JgpzV~_Dlhs}M zl~`M}DDiOcrk#0NucggaJBr%gY%L`f7O#5tgLmkC%vyGy+L*$YfAG|p_ro~2>nB6@ zf9t9JrxX_M@Sk@UTtiF*ZQR{7ra0-Reg7@RHgxbRp%6YlwgVxUZha$4{j z{?sM;FKg$1&1S?ynxNr$q!|_3nV?7rbX~xqLvW^_WPfPvAG)&sWepk_&Vo2G_sE|; zXGr>tb|jcy;h3R_)*=9U&_KoFieg3~PKaU(nDHyGV`91;X&i#?P-chd_>u6?-`k5U z7x;G2ls$eZc#Gq`!f_9UmTxE$V(0%t9&=3kki}jMWb%Mcm(4@R)pN0o1a?HA>|g_W z0_*jE9y*Dz+*rJAG%z>DN&QW>Z4zD4(ILq%R}>4d_TRdqQHC2fHlqaZwi!RUDem;n zud8O&y?I6{lw0X*G&ICh{p8LqI9__ZzbDyxh6Qgt=hYk{rl&NgZGGQ^NV7_5KBN2w zosWbw>!bU_ybAc@rbus*l8dv?cKKi6$6jwZk4kLYHOk-IRD6x{@7-me_CwT z4~=cJg6Oi34&``VzG!i4ak1zlzL=0&75+Vmn>)@d>5Ti_ZO~FGp?zUpOj+}mDq#;i z{z)EzF#S^QwS*@ZlFA+#W+c1T)yglZCG^gh2{(GLw0>^hO8w-n?B&z`FNc?etE{hhrkpPFHd9UQwY%cuvWxn|;on1de0I8i@Jp6fLFGQx-hg*U zPSK7P>D(s;J)&sjb+iXr@=?}55cg|Bw&U^EE>wnauY^ zj-hE6XGcC&UTRXKBX)8p>5AK~te6^~&ZeNQnUW?s^X-YM1J&#H^-4bN_+b7`;K1%N+41w~7E@CwD};yUL(@QzI3 z3FL0vDxdMgeaC`EiRl#6s#Qu7rJvS&>^Zn`W5Pxa53T24H=K+f@Qv=PY73E?Dt2hu zBg)Y@_4Di2pVO{b`<|B4(C%0{G`#!pzz;>6s82_=wo*&NH5zU2Y?V72K3g_2#yWX` zoN5r8RZo6-D1KPUZC~Dk!>a2kmY3&zzO>;iv7qA6;Tb3UF9!~*O%dlk)9nzkcV^kP zq}^l}dlAR_m>0S|=xr4-h1n50w(@tCXI$Pm^iop)=DDD^s@EQDNszSH%Q0PHhv}%l z>`K2uwn^KSY`iaZpWS=e3(2dsdRu~B1M`$GD4GwRNRE)&-dWnbH05yIQ&b~=r9Ile z?$n`#(v4jvtz3non6=)7Q^m3Glhp1B^@N%_YI5(;-YYamL9jqW{|inlS~Si>^i$ef zxs2}#dq3oCxO;Q4Pr;Wajeb1%2 z!L}?rNB6q&Nt4Ca5AOOG6;Dg|Uvt)Mo#F;djMx0>RpKSzxG!)l=H9{mS`WQv`NHD5 zZ9DnuZ4Qg%+9uuYS+Zi+^5CrHakn0~{RoqZuzFrM`@<85Ky>!B7su|0dq1ACvLJD& zZEs?So>`c)Q0$k}7>6@MNDLJWvpL667>08Bwq6*iMWJG73C#KKjwN|))HKPG@V~x)9 zFDvsxV?3rh6*oBD2#BgKP@08LJvAKv{&?IO$K=W*^N*Lga(zbU7HD0c#@FtVuZ}M= zvkwn7+Q?A1dAsi9`SS;rZqdKhzqWtzv@sYv2M#` zj!#^?hNRDF+pik)-k7D__S7D=qEGCRx{*4~XxXN=4`_`P`L<^jd*^&!7N#w`eZG5Q z>_b6a`%mfxq%4bQrb(~x~!CP^>ur0N&ETW8RCaErHap= zY#wyUiByc;x21cN_oK%C4%3>USFxsfVON&#;eW%w!g}7GyrmXg_m!tCy2a&Q>@!a{ z2}uO29@c-V!vFbI=h|>{;}!IiU5PS!vHk zZu99SUJt%=oo_JeVRw(P;W`>uK!-*#PrY&X$WQ9G|Mngk9n3HpW48d3%}m8Je?Xiz z<_|v|TKFTxOJ;t8c&^MJeqz1wM?Wte_8~r21a2giTh5?!hm?{VvU}zW>3=OA3 zrviB$@YRjyAOpikMF10Q$U^u?kc0y0i!u#IIBbvz=BB9TUf;#g3QfC3K?`h)UL z)~{rA+&nomgMMKo)q*g{6A(5hm?`RaIt%cP{Kd@ZbZEC^fS?)Fqe9Q63W`Dp>K-ye z+Jd8@QEX;5$|IlPTQc&U;bBJ5n9Y23XfzBTmXys5qn~1{$ofm;lp#rlQ^p5~TE(1NDY7XW#dCtYiH--?1 zL1)3pyx?O1-Y0x?P(b!Xg$}&01O||YqR7C!2&W_w^iY7V5Hp?v6(%<6&IckfhBVwT zoewzmVV9BA%Pq0bY82Yv!94Y=y4I2^DUvz&>rS0?%7KyGGm zyP5w%ICX(h1Jo`i`i%i`Cpa9i4Z#JW052S#L8nqcRE+^7tavJ(&EYZWt_O6oGy8f* zoGN67z$rM$RN^z8WyfzxXQ2~kpu!#j76NkVSyI z2njocBLSHyaNtbzJA>vTh;Qk1Dg(`cMZ;4tC_1pbFo?jnjbbGpftgLZ>w(m%lc0GT zk+wuZ(1kR!6EPEZ7lAn!$AIt=6fh}N5K#lULJ}N^z<^E#9$gllRG8VMyB;862^IJw zCkGIHz)YctZKB^9X!y}ofD@B|A(2%e!Uz6qAWVl+GZL8q=Ob(IGNvJZQr~}^)E0ZkMe2$-N@125WA88q}v7Njv6|_IAl)c znMad4Nv-|iYA&EJ`F`CAuW0v_+uN1QbBA-oYnNnOu83`qx0vmC zl-uR`(2F3g2EiiEwKY0hqSaCBFKbn-O;9tjcg(T6YdIW}c}K=e>ui&*{;~3Pg__>? z%XBz+?bRjEJ}tXly(dXT{^HqMtJ-dqUQYT#?wEdP3*`6ePFr;1-{w&yIBY;xa=X-Z5Dzk6IyO3>@7)HeY?b&}%uYMRZ3 zs%uS$%Qrr}>m1=?6%;65RJ;N&W|37Puv1WPzq> z6<^or_`Z~*$Rp(8nPYE<&1N<)H$RZn`HF(u@$B3M=T+S^qjU{Zlw-{sRg46=jt=!U zwtIFyYppu&Nx7_`Ej24)YLR&S40DsArzQI?xErayJ5u)5rb{HS`tqHf*n6is;lT8V2$id_$AE~q=a;#eN-!%*iczka8dS%C&$2TXADYL$(q zG$v`4d|SDN@VN9wIcJgf912cl4j=D&{xY@nB)2EsZlc_muxDS}qf0l;t36H3w4!&T zDlmbI_RO2{<*eXb&m-!zO`R39rmU}9sQ+j$O0+^IIUp#snWz_hv+=I;OQAI3*>@6i zY<2j8$ccyV1`bS_PMpb|xXCBXM`_iMml(wtuK7*Xq4lKm;$@7MTA^-t&2 z@j2S#`P#;0{zd=b&?CWH14B!POsDBg=a(orSb$r(O$?Rfv+K*PX07u^kIXVPBRp2g zR#mPOtZBP9V`-QUf9tbWZB8$)Als|;UeSw(F$%nDcXqyYvPWN>TkVvU7+!UxB`hU- zXMUAN=KI*Mk2Y&~+Rj#Q_gwpC;W8cPRfAT2auo$D!_D7p-CYx|Z0cOE*pjroruABF zsbT7AY+vB&Fb~T*nLEaj*Gt+S6LO1h?XOPR{_bAtZX1k$v#z>C2RB7X|KT$4qT=Im z14B=^F4TU1TA1ZH?_G}ZGAp_FkNu`zk)E^LIk8i{EAxrVJs)3M&N8puMyay<9ag5T z3Iw}L>jKf{6x!3i-3#*GEs|dRw4tos_Ud|Bj?IF_QzdJS$POX9>1(fRHcHq&IP$W8 zVRK#Rw9_#{-)_H{Czi2Th{%n)+G*h4BUG{c#~us6@E4ly9G0p=$AhLFUlkBr#4Fx# zIBQlcN8M$f-BaaqIIUKCpSUa&(&MsP7$x2tz2)IHy(p)EE6$Y*JLkroD7e$eb>keosYaglps_{{?=H;?0{zo-cNQMX27iTx-`bwy* zozA^zwP?UiL!G{EY`t+R_l*y;#Bq6@ZqJ1&`!D@Scsf*dw~HY-eCOG8kt+!+x0<|v zb}#8pIQg4<%JKKk;Rhs0+omas9!A%^d%1DP#qBTBiCaDT8;2gv{A7HwFOaj;Y{5)k z#|2AHH( zQzKJ1NC~)lM;s3GA&85V`2(@AtRnL-5dDHQ;XX{-PbbLu0v-6R18)}vFaVxFM4{<81^_t>#(2_JM7L*@vok@%A@cx&S@3TZ zaHb&Dj|d|t)VqS&zhUA;)|*D5QJ_$ifd$QbP_ld1c%X`fK$dVIg61v!FH967&c1Pw z5?_v4BgpVT<0J&xtj|PXivJ+r>>i4wDUo6yR&z(cO#g1=pOy$sgoXmvGIum;j6xN= zXClPOh@vu!wPRqce>D>!y$tQAUwO_zun2dh9kZNT+wW7|LvCiH5viGfD)d~=-+P3U!wu$1i+Tf=+X4? z6ND1}0}QYzUX$SFVLEqXDKU`~9f5@qw+}jCM}Z9g6S7PwFB_fZ9l;r(ChBi-hEn5Q z4o&#E?rp~Hvl%C1H+rntA`@p5;j_S;VVTRUsb-RrVA$XR~Ez_Q7uah_T zFgo?M-?lsM9~6jkqc__7!^F>$I~pn6E6JHQqybb)^1ZB^{;y`*y45cbjTJ#F6m2wA7_itX*6~-`~0l^n}`@Mt%ev< z+9!KTY1^aACPTX)T+^~BDb|Q`h&6VIjoN>H#q^DVq5COe#dm`AeX1h)wgy+o9tzv7 zTfFb4X8nnBS^w(Vc*)e-qU@|kPPU}&os5i)ChEzmo(rOvUn&|**p@vjNs{xu+S@r= z!N;>dlw;aSVb6~!h@Q&KQ8Wpz?vl+qdv+z8a&biZd*G>!kls4Q1q9X}QN&G#@>pBmK zgoYl-!P9ade7#H;6$u&Azx?jehC9oBIu<_*T|}Pob#Iqz%6CmSetU^UZ}am*s{&U( zAs$aULhh(pR8;V>w6dM9dtD~bRlNG^roc;=G^P}BVJ+un7*|S9Cec9f547VjhXvxdexnVMO&I{~bog(CK1;Z4F%a1*_St8NZ57e;@f2#jSk!t4tLMo zcCv+c$@hO2x`pdsivTJEl@-_$! z*`-vuQfWYLnO6Ivfwu~4)*brJV@CSKyZW)In3UgG7LZQrgoO*Xc$oJ(KU+L77pfxRHOcl;803-IcXL+M;q_zwA8Jq5k&f zyn6&|fe?pe+m3?$AJhYPrRF|y?K@;G(M0WDwBGkFRij}0gX|=mN8~VZmkWDsdPa=5ze)5*a($<^VxO&%s6;;ZD-Z4#27BrQK#aB#81w*EhGrl#QwkBgFYnmyc4~%o$7epgM~3z087?wP{Fx8l+)PXoKRKtG?hbtB1EXGzcjr=N-r*;;KD3;$}+uutf?+dk;UIcow8!MDJuYmj~d+aGL+O zNWtjloblB5fI|GbDgM};!_*QV>kNz59x)yY_y~|%V>6u9k78pyPNA8I@G>m+3}%39L(YbvJOXtT@Z~rHcw}Dq}U9H>LnahoUs|s>PfK~4yBTS z^spPw>Z-FD4vs#Q7_oUc@^}BVYe3)>JWzIoCF4n3+3p&U6bCqq-S@^y1jy!V$ASL~ zwO_2pkIC_}yf9GM4`m8U2xlwnv{~5zM?V-DityF3bDPJ=ptF7GKaE5&rIr41t>K}N zs8=FFg&T{#V^X8+9tt%XKzqn;iO0yQup0{Huy7!;Ti)NkRA4S>h5~rNZd)*q<6kqK zME8D-dp?%CW^_XF{|k@Jm`?CtK0OhdRQ}ed&oHVB00MmXHid7ZaYZ$~!#BHjr|-y! zwUJNMGWO+C7W0!j>(f7=mN`vI(Q3NwMf5(#(^r8QeHrSBkk6jc(vs~?AKWFlA0lMD zA-eW)hrF)~$|`VO%Jz;)u=?QqL22Qsy=T?WQkH6Ymzx*PFE}rGP8P`TJEm^96k!{V zbKb_^taZ9Q{&D8f81{R)+1g`tGMmUqj zvah>ZRLixjap!+Qm&hpGGRSvjBj@slZ;RYiE1Qcur) zGJQad+gtRSr-Awh;qp5X$L9$i)83-;#N-jhmM}Qf+_Y^C3=coM&M zx6=I`pI>p*`zbZjcWd@+f3%-tY8LPIP29S2SHvBZG;h55xa`#PJMn$@H@)pj>m{E! zBDSwZ+m|~}DdjZEv3PKLw}7b0oHfb|Q7IcyW|7n$u_t7XIef#FNd^4A-0KfzFA?H> za$H5)M=N8;9lm(V)=$(&(Q>yFZk>7FGSsLVwW0IzQ)@M+i~SfCO9dYM9zTiuCZh8m ztCduz2^rb7s^RX$1RYq~xYbNrinC7aBdXycd7Zx;Ms1h9){gZLX47lzFR$RSe~LOj z^l-{vCz3(m{&S)I9a1TrcUOLh2)-5_+b>_S>GHfz-y^b5Vy$(b2t6Su-(9;S(@812 zgtKRDMop4U*zHvXx1SqKX|-84$Bgdj|NU5T7T)BVhbG-SUz+3KebP>+m>(6iD&FW4 z#(Jw~jP>%Orf;MhFmk31n1kY9p2+nNK8X_lqG-|m<%zq4+dQ*jm#j;xF5V6dcxG_g ziauZYm!!RHg z=E#=tjOD{b zJ$OGQ?f$}DWHO7xV4eMT2ke2|f%&V(xQi^V1JkCzLNHnG{V_w4v;=Uavpdw81tw!I zlJO(KQ(~{aG|Ekz#Df`({6C~+YzfD2&gK6N*(zvejq+$lz!rqbg!#{(JIyOi-UGpy z;E(P+jm31cD{J*$P(``Yi&Y&p&nxzN&b3^E3bL^*yDw~cb+G54&X&wh$mn0$HL$j+ z`OrZ2j6fX&^EUGr+kMYamn%LTa{pvmD1K3^%;`oL=e)keGO7<(%(<#d9d$3$0_vXc z5gYpc!v%jnw>NyPrjYAiv(nZqEcetV?Oa^R4TZ1Q8rFp$Z1xk=b|QW!ex;@@ST!ef z_B@Q{&^w#{v}G%r)?Elm-Pv|$wU|yoeNwr~flkWtVs{V!lBKzUoo%wb*qMCsr^}A) zyph0jd3M^yYVP?yi6w69!`&TDy9_NmwOn8CNtiV)i$CT?J4Qj!eQu46j>jIQ?47=MeYolpw>NDY-M4O#>l0^&cH%VwC%$x}9UH=^STF4mg&6vI-ty!-RNiNw z`6-3H9NL}zzFXDWD3visQ)FBXEDIuzT88@1uXyDge4gh8*N<0%E!!?UPAYx!DYdB_ zYj+~2_R`xZMgEs&&odI1O_S%6th>T-;r6>BC)Z(tPoL&4*zU|DvsK)^^>gZD5AQQ5 z{CQc`hts$5#q4gV)p%{B5EJFoe7UT-TEcC!=xoAe#j_vPPa7qo#FwP33Oyia_mCs4 z_>fRmLxK?P&7v(jx+xmRu6aL-{#aWzJy)PR@fe5fC)sMB*w$^Ab@FBE!?gSFeK?&M zW8?Uv{In%OZUcF4SK;UNcY_pmcQl?2yuR|&RmwF@PTjSyeKR$`+MFS%y$i1iUT9ai zGp4_QKeb`Ytz#CI>rZ-|a3SA%XRcQ7VP|ApKou!ah#)`ov5F$>53FhUH0@OKll2;N zi)YE-k2S5VzN_W?dRn*ql8gIIGyTh41?~wrN%ibKVB9vHVM3v<)ZTrrg%^`wkt9qB ze0TTztQUqAjH?1h_QOY3oPImyvXU6z;ZojA%jZV(Z_Dg?U0SR}Uh_(ZK2Q+1LTpBW zd$ywCIpM~wCOi%+;>5QPtmB;j#D9oygIUE+Bh}C`Yp|(K~5`m>*A>UOWL+*P!AGFQq?=pcBD0Z-r%>_?V4!qLj#T#oJ~)Q zzZ4v(-D~QI>!s=}oO#aAs;RKSu?fTV=234VzitoTg@g?G>Avz_+l#sC^?JGQ?Glz* zsdd>RZpk8t;?G4{r|adr}*Jw?4SU0t@~4wM2C z>4%e;wiUxbUisQ7G)NH>5-z>v`u_EDzoy=* zYpZUD8^y;2A8c?dT{dJTZ@mOurf<2^Aqw>7*L(gkjJ_8s=P-ZVIy=Wc1#3tlHh?s;EDRwnyYuz{bT z`C`=F!QP+vdB2SHCsdd;R(}IOvGpfL_w@e~T7jGa6VXC?(|<W1SW#iJ_%nZCIy=ZG$V`}@{LFyBCy2Yj{N&efMkD}#e)5Y94Xwf?eHvfz!Zc~b z+n8Wt9onaH(AJDD+dX4NTh;_G>92M?LUBw0O|c>HNl8&=-z*oMe6)m zq+1Y(Ets`F5VWHK!X#n;xoGA$#*OlwC)nw&@{7m;VFQG6crX$KY5`e7$}vE{;dd)% zPNrk{ z1i~Z2TmRg}0lUGROoGBR=!8R>hA3Dn7|XyzO&X8_ppo8X3XOFevtA?rZRb3+g(yJ- z1+<&|G#mr38VTW%{2Rkjbm-$?FtAuOnu;e8p>zrKrqN{RHX*}tOJnI}fQqn5UvL23 zfxrM39BILj02N~Z8-KsQL8hKcpwU1+7}~O^pyGxm0apn~v!H1hI>?W*F8Am_dScrI zq2>mH6i{CNX*LEza2(R@^A~obfHs1Lr;su5MnVN91qIFeL=dE>QE^15gk!T!MkDtV zvwP$~L27HDpaD9v;T(Yz8SY!it^9ty@gOaZ#{lOj=!#>=IB41-QelgcP$2UTlBsNV z$>^NH#Oy{IOo4Jo;+NeR=+4B!?fF-BW1x$aK*vJAC5-?*_prr*numgif=4=@sDh%i z?2|Dv&=a$JWW7NT2fl8&RFK>cevu^Xxa*EEp$u$$^=ABOEvED-OqOEN-x;~y3n!YB3x zc5v$dVJPrf!9Hi5>1bZ#3F54y5!BH*E0jTiv;8xcW2tr^UJ&FKt3gygN?oIjz`DHQ89eaJP);_>^ay z$>&!aXFBI$Deof<)wYV;^YvD`suq|&g)Cle%ByqH4GIl($Tw$`WPrcXKcnoSri$tk7qfQ2uUjvHI??^?7yEFJyN(^60pP ze2H(oo%*@y_nUIvo_QMZMw*Ecamg;N2L|vW%>!-vO=fnd7|IU z79I%m=3IE^`=MF&pLJqbo=y%43X;7b7OFJc5{3$T#U0x zRnYo&Uqqcd7t^(B&&MWT*B3vWV*Tw-o=eE#tsS(rSUumMQb8*=VPk+xcX}K8VMvt8 zSJh)GSA;Qgey=&?>Yv5P9@24%{lQ5-W#G>@M{CU~OQY)Z7CO4gIyx zEOzEUN!VXjr{~Cfw)&1u3e;k)E^j3% zyq}@Zj>@00#d(ggn#V7Q-r$O|n;GojUn#BWBz`KJ%P3)w(|O~PyAKRvoG>} zP^aqE3bq$-Xx9ER^GmbSoSH)M zK|*|){xq|bmyhCVljU2zqR~rlb}R~8c76Teg7Z!5E{TMT{9JEjqFyTWZq9x_NT8nH3LlPW)UizeQVgod_2V1?*bS`zi5JeErlYVh`&$EYHQud2Jjf?)V`M`Ri zfgQ3JjcRnzudi=;oJ9L*w9%7Nty+2Qrl+4?k4$t=a;cKXQCVN@j-rO}?qi;WL>qO{ zjgjPFg6Uo?ae(eR}pc!-5B&RLeSj6vNl7IB=ud zMQdS3Y@=lIDkYWAj_A!ZZmy5YpZ?^U5vO9?mm62NyXcU)brcJKzMs2Du6P$Vc&?xE z%_q6IeTO|fjc+Y3TB9WEkat`36qNJ&Aiw(V=a>t^9>#l?278biCWXG}{B@*LIvbt-1LK>A@}2N2I*|bR6&)^5{{gv==|3>O8Hhc@ zpD-ehz_=g&gb{HB`)BAAI#>;9PKTY*e_)K64h5rs!uV!7Jd6Gbe?egKS7I96rHu6Ho+@5drNeAi$~PaAXP$oq~hVEfw(^ zS-7@r)La^R;4p3m*AR_U#X*vHJgy-lkjETWqQ712*$wbUz+f={8ypz0P{?c|gL4Fl z7Muf#XpcccG-R5+1C;_FK^RVNTgpa4n5s}pD>Ebwc|BnKR` zd(B4OBFLYp5`k3*+E!4$7{V_=hs6UlV?4qmec+%+gtw=W$sj*Rg*OZ4KqgbL7zZ?g z3@;poISfO;0NAq|hNGi+M6eC=M4+Mx$p#>pf_M)I-i;YPawLy8a8Mqm5Ks`%17%JJ zASZ*q4J6U95MH8z)(rv2yw6ARx&H0cW6TUuY=gVzznLL%>oJ&|$cjv0X7-@>EU_it0UuOo94J>F!QAqY=GM?jWOTWNSIbJ%bc_?9he1`5eY5vc#o4296T|14}a!QDuZ1^H>{&BJvJDci|IJJt60+mOdM7Y5EY*RE1qDHSdm;`; z1d%F`f>TGteIW!+1PwkWi*QsS752;w;e-QB{J)t&YKesY=To0Zgs?Udq%3K8G8W?U zpsWp~YREKT$Qbw)i}!3~Ae22bLrmWPy=EXQ3_AZ~=A|cc=#z+0#8e$aLy^HwBZ7Pz z$WCKGe}jy&hlDeYNqA$(v10FQ29j-{$osz~1M6)JExHMwA21iNI7pE60mU{n=(Is9 zi%LOqD-Mv9Au^RVK!Sg4KJ#%j^0Q}VVE^JE{r2C?AlwhW$-mAF1t~uQ8XP|i%AQJ~ zkRTiY0vvF4LJ1LY8JO?sQ7QxMnHku>h*<1@GlN|TbxrnCn8I=~)W49*t06093+9>_$4q?4PYh03v|O58Pj5P;$ppaEOKu=o#ao zHVFZ-{F!&-$aKlStr^3uM5Nr|LDIF00UCpaO8^8;##}Qp0u`d72p}g4I>BfRmWIJo zv0x&qlR$QfN@n&d={SD2t_Y$?k9d_B0w~c-Vc~>_itA&J+Hu@rlL1Nu2oW+-oobI9 z=zz@A zsyh&2dK5%*83F)sTd6w`nFO2A^c*02R}5sP5T6nQAveIT0N4;jvr*%n4&%;@ih~0I zY+8GDST*pqAU1=Bf+S?TJsIwL=IRJgWr^MP1tQgs_>>s%Pe6nj_9Rrf#gBJ7j5{-o zJ%nt)p@bR_0I(tua8x+p$tZPu3ZQ=oSZ4oZ6j}STe3>(gBEQGG{h@lQ@zGN2h3!n+J*_MT4hz|U~AVsgh zze2EOkSQ4NT%n9ZtVP6hmc&`C~5Kxl{ z&=;_@fJR4vzc9Ohof(u<17t2zDF6+t1U^2=9{vY7QHbW!Kzo^aW=MvJttTH6u|-OZ zsDsh~5_$rLGlY@GWYE>R$jYgPUo*y*A#!p;8$ZPqByp0G|rMTPVT^<~W$%;P*nlT%9@A zPEQH5^>hHCJ*46s)B;q8WkEoyB=zwQhjHgc0%{J_*}x+u8vr(J54c@CL^z?WBoyrd zR4CJmjndp;&lL;aA5;c{nSss+f(9L2;sBnbi{T0kI=urm$n-T3S=Af4-Bsn zPlEyd17!F_p?}qu#-4RVfuMKhesAZOJrQ0WvuK-kgC5Vy)m6!g3w&>F)z}|7`_0A7 zi;JpC8XJQ1j>sm&>r9qF-3p&do)MV(>#ohGI{mN#S#*)*l)3g(=DLc%oIA7r(QY(B z^BE!DnMhc^3vG{clIW2;T45+IIX9j2z**C9v0YM8=q)o{cbM6M6>qv9v zRjHIFTy_2?aO3crl%Tdq85_xjjj2zA+kz?*_0Okm71*!&QSjE*e3^sOYUfbIx4WG` zS<<}Yew7?KMeV-K1`*f8>v@wyx5(tD$=#!MMYY$@a+2*Au72Xz;#^6568Dj7WoRFxmenRdIkB?-`{$=lqK*ZRd7=jHC`u6LSeIes z0%kl?7y9l@y!+Fh`{di2HYe@K7lx7>_r8?>QW9krQ@2qs`$lxcGSVU_fBwN$y-ly~ zr%5%A1MjX~Vyg8zQ*^rKmrmdGB=N*|Jn70wsxdE*s}g>#`zX(QWvVLqN>$m;8SEX#r36 zOUj-8A#sRLa;;~r-qcwE;y*SeeHGmv6Xh3Q1UY{4&G}ynD#M{Vac%j$E9KWaB&o(a7)Vv$`a3jkCVG3oi-F?JRD|TzjwR zKtkcIC5P-MHDoW@z*V~^vsL%}TEVTii>2N>(jIsm+*%g9^w>4W7dum>!~7ylEaE83 zxB?bqf_T0=?ss}}V@6a}YHvXqdTMw}`pcg^$=bW}Qk%jfsn-IFX1eaTKP8!X^0LKs zi|D(R3r=gJH_Ci*U1Sz(*%GSo){9ei?$wsqZLfA7aQ9vZ(&_EvBvF8&90btbNbCv=_xr$zF`NJ{ERCS@M`77M=a|THR66FDJHCnrk;7 zzi%w$NYSW|+`*d{cWFNVgExXEH)1BY7uG*2_V{ppTFn_}5v7~E3r#!rJ%!k0OgQ}y3FZoaFP{Og$Hih<__rX;g@Yg09!PyV$n zWX6jx4yjhMA6gR=2x~55){$Mb(z$))x%y5^OMI|jzIRIPFSQ>x-%#A*zI;^OyYotO z%>AX+F7@?tpEURHUcQu67wWGL}I`z7f+Z&EGp=B6anQM!M0rE29B zSc=99t^4GU?k|48U)CeT(Ry8`FYVcpQ&b^?4e{Kxo&@y&D|0R2~tZ)Q|Lhlm2>j~U+#cHU5@j0)(87})S9jBlnF;X%HT zf!o9|aGMZtVEi%Tn;E!Gh|>)JihO_oB7~jmmZ6fMgNT)VDBQ$4*o*r8bTYy!7 zgftj{5LyAou@qE61J7d|w|6`vkW3+ipg+)AF;K9Zf(A#PtPbWo1Z2Riho};BjCPbN z+rL@1!@dX*!Jr;5La;?*&?K-G!FI=vO|TuGVGBh=$qodl*-1s?>>W@5_;CQ%9h6ds zLd1Y*LNoayL))7@OUCdHA@D;lHH=IRhZHe@pso5i3B|vrvJSnD>=`&?Nk9aW&S-}K zB@TQvAT5LQF`j!k9tW3fkAhOWP}D#jB1R+!G$2%gnhPvfKxhFgn#2?q1LP*WTI`(} z5||u{ib3QWdPdxmabBWv=LJPjFeH)#$=(5~F9O_!pbm1;PgO zZ$-wT9|JCqfVEeL+!Fz+*a6TAgYaYlJK+Fg@(}Z93b8;Mp4~eQ>fEYAfS;bAfn+NH zOu=U#Clv@h_VH#$1&9O%PoecXR3(8?fM_hJBh*TWw}RZjI4d&Vz#*3j z4!1f0F4EUVZMt-QE%Du7J<--DqMg6%?!vE zsz8|d*EJ(MIAD=fA%KhEy-_E@1H;hl;Wc$2fi{UcljZ~z%4XYJ#8@+cM*#fue=|dL zgvU=8j`JFkfl&e)6hufKK=F4HR6eFc=A8gFp#YYP!%|u7M)sv>80Q0EWmNHiHbOF> zi0TwlM+s6XV=fx`Z*>xeoH(`~5MW{f4+Vxj1eOBA6WmSXo)6>bJCM}@I7%df1`8I> zXA%iq63A2&h)@;{EIP<`GM^7@w;v#_1z={#0s;^nVB!F?1j}eVQ+2!rA>&ar6biH< zsF1jTYz;s?0O^58p(y}N2iPTxt7v4Doh`=$Qeq5pd*H|-D*IR|2vP%6is=OSDdER@-1eu?N&1NQ7i#!Cd$5>@2#Mw0DBa5J!o0@!$3 zI)6(z9Ex(VXW+x<8WtcounhoegCuH6Qdk63Nf^)H8*kubd%(Pc2nh-jW`N3r%MML+ zu*ZNT6QmvqL?ZKv4cL7)`+Km%0e}alDoC<{L5Bs07KI+~EgFAfNY!aP0UjFx1Hd+b z?>RuGkp^X`0px*3GhvBF*MerxTLj2Lq%I;7T0o8m1XDTgO*HPrsOoSw0JaUXov>;s zpgclG8hkRucLL9r#fq^H-T}BDfIT24H;{T<5;!wnrJug0ZSM=)Z zNZCXX2Lh}K_;83%2Zu2TQ;z+(Y4&(<%;3PF0B(v3)+N-f#G(-Fg*_Q~GECrTz+=8B+PyZV@pm=bgK8Jxi7I2ONiU*Xm=t+g8 zQkt4lYNk?Z`mXC;r9i7l0Yo=}uLoEc3I(}}f!PM^DTp-#}eB!7c~iR0<7G9T;F^ zznB2}#Nq)o4v~By76PUmc%b%FB7qEqE*gr!92^=|DdpepCB`;^_Y(L?@IFE`A95sc zn83Kl9yWl((Lfln#}fbuilyQR4#2!b0pu7!VnhfKGwp6R(mesy4CrE<)bOz3%>>Xf zJp2g^`)}pehB8HLI=|^#1dbB8ap3L&G#5Z3k&|Q`SRDYEASw+34>Sc)JXFWgV6T7- zA`J^lju81^zTrm^$Pk##P;PPpu%Mx2n9)#XadZVqxP^!1PS^*s z86~sK0ND`X$eLi?f>KLs&nlvD(9`tK^>x@~M^s8gXuYA%3SNrm%2D<6HZ8t%R&Kt8 z#`l=~yq9Ma=AKu)<|OLpyT21NSs`r);T8xuPj_TQ^Vqh?9oM@`8lRD!E3@!8y*`2IfmV$kaova??#tTkt?@ zpMv|Ip#8ev&5BQn^ed@f%{n7!R;?=j%Wu)mXNC*SpItc5b1?d{r2Tu&N1XRQD{YFN z(_hhR=^EWl7L}>J(pqC$E+ErU*_~-ko3(`hwTv6eVAE&yqEOR&_pQ}lDz5Yh4u4u! z6nFOWQBGpEb-KED@i9qs!Ip2ek(oc87qrL_mt70uO1u~UJ!5UBr2UqZp0eU~liQyp zE89lO*I6yHi24y%k!GYcEUY~_ zaM#u|eunL**%I0d6nG^0%CvIjCtrI%eM{ReD=X}*yeZp$c3rr$X|`?+_nX8I2BG`U zz2=|y;rtXgv!i`yXLbnQFwRz~_e#NZmu=vjQW5g$keyFmaIr`!b)jz{Db(4zsj>Fj z4U(?3aQMfiv4<#~M%=67h0iM9>u}iBkf|T9Q59wKaG*9L{E`3k9nvmAR@=2a%{2~( z7HaJ~{2BdVI=Ayp;Y)jNTR1Ea4AwLdJ&j5Z6xsdW1n)aJNk0fu@VB)LS7i1Bu zJnQ~)tg`(3gLgvahSW(J#MiB!WG_BNe@Eec3s+fPuXaiXW&t|O%tP4M>}q+GcG{uq zLFEtnE!rOHNbl6GR9sOwS7<+VN6eY)4Xay?oh&C=46NrlIwQ$rHKk6uf!tv%_hscT zo2HnleW!!ohbzcEe!7oxTzqgl+TFh;lSHq83_4ne918bTdD_ zEbsVgGl3_e8L=`QTjY3_Ja|HFpKAK0#gF^uhldzL>dPqt3fx_#iw`weJxEn~S{mVq zS>GO>z4>bH4gA}KFLSkbTnx`~`!?ACZ`t@r!0tn^!}ZWx>v>L;ruCUu3jwu^(E?L@qxZdp-I)R(f8VmS59sUzdfn%{Hdy!Qt=hxhZ7W= z3rwvG60U~1?z$}*+AJT@Br;ztrP)UL?s~c7m|vR3GO8upzW6yDtdlk~u24`geO&oe z?!KcH=YqmUNj*vG&J{ntZp?K`&|4k)P-1W+u4hl;mMs@w$Gp4pewHh~q{j2~xm5&_ zf~(gb_sY3Eewc8Ry4k1E`nDkV*Ag-H!;zJ8FL`|rb<5{*E6lLr_@%Ne*_(I4^0K@a z7wRwXQpgsTIwGQTb#vs(=gUzWZ#XHnEw-)`ZFExP6&JCtSXW&Ybmj8CYc^AG@h@t; zZ;Kzd)OaU-eMRl2>(^I?PqO=>l6Px6Cfnq8`Jmm?;Kj9_VF~vI* zMYOXuW$D}U$OV~cyAEj2pLZ%ZXSS2!j7$Ay*H7mN8z;qCU-;Omfm&9j@0y%cypQjv z-d&yJ_%AM#&ql~5-Bs^47Ja~TK?corv3<9Oi^i+`jK#Pax&e8GC}Ur}AGUfu2drK2 zr?if^8&NBrInRIV{X;qTqm`OMyp>+8ooR0AYeel|G22{yfts>a<}cd& zKEKaYoo8|DrSs03tkYT8C)QIS|8dPLyw|%3xy&q+!*BUa@7Q#l;BWFV`5Im`u-qyl zhUl)aTn1`x-yve{EFWlwIUCjqZ#+-X{}h-a%c0P7+bArZ|D~PESN#_`ss|2LC@=Bz z@!3Yso3q^{T0AZH?eY@uf;4{aGw`U5C_ai*v;>e28zkW%U4wiR0>k<52L_Oc4uhuuiUCogW0a9k=*6_@ zKQMqqfKDI!9soBF|GDiIBu0Ao5x$v;&4h2Ca5bF9vxf zP`E~s?3rDbQ5vWJ=(0fA6AOMDL$(aEg5c3kP#FwwPe2n0_GAa}9zY|>!5%p82!{*|bd<&l~u~C_r3bK*aL#I0effF!N2zq5~@0JQFOA>(s0aTPd z3DJFUpaB*Wa%?1+1eL<#iL)=|0t{h@M-u)UIFf5Y7^o8(_}|L34IOf9+A72GNj#z` z2tg-MN`fa3S#k)h62=QUQ6cz5CD=nA8bt&lcM@P^?1`XlMg}kmq#~H3We~q*SI`Lw zgCJW42LKCtoRSC-Gl<{daFE^~TjrlkBEhi-kW7%5BLZ<04YW2A4j7j-8gS-;NX2xd z(C-lTJ#b{)5W9i+4IL%|Rc9eN2T{tgGgy!#fUQl$fN&h_Y#K1aAs!2vFJR{(+nvPx zX3}pwHVqqKEzlWctRM`G2qe+#-9iQnq@M_5)8RoFpMa*J03QlsI*0%vih`v=^co;( zbh!v-kQgi@+qMZ~+^{Vmasx>>sL=w$2B76Q@D?&gokoQ6CZPLcPXYla$VUU%0;2DT zas-}=V?Iwt3GV;nLC6?38l)&;d!XU51AY^9E)W%hF%LpO6WK%I2arloha>@Hmf+ts zb&yX0U>=PGnitHwn~f+3P~bzVf(=y|LbeH#REK;GY;TBzjdg%S;FL;2=-i+^2a-e- z6eN}GF=QfadU!+OEMguv`?4Lw`B_8)fu1S=WdsC%0-GB)>{z1)B;j9H@1g(ZNv92keJ&Or9vmW-zj z5L$X_70eP00+~Zz%5gG~e_O_9$ZTiN83?dD7$-dC;bB7<7;L!-3>&DcfUcy1Vv{KI~4NvT;_S^;DhXxETvfvf@( zqnPfEGiJ=(56P-;QN{Sq&xm&_W7rlKvo!W)U)aofp8KbtIpIZ zmR{jJ$Ax8@o1JdVEw_ ziQQx;vroFS=T`H)UOD-P_j4}jH{@j8?4f-PY;k{}E9$9Cx!bC!ye@|OX;w_%=FU$I z*ZGPo9#*6uu4p2^veeCZ)SZkc-4K4a=6m$)M*P9Fv8bsiLot5}Q|%+rag(6Q3?JZFQNpYcy|phN^`6efN4D&rNnN zl1B`W9;)zjt&%SB6hA4}Uvn|K>*LWTji2dJ^5;2QgHAX)9@*2w_sc)9|7i5Ct@#J1 z&RB3z;-baWI+QsD_*f+9n@6vK-_;)6KyQ|dQpHvhS z*z|tCbnMBZ$Fc`C=W;tdm}Y3MP8EXSe&q9rB68_czL?(kMHqcnlHbX&IvxgS4aOMscfK0qO8%$`NHEx z&n73jcV-rDl*-PMy?l$amaB7*_i40l3+J@6UY3Qot1JVul6YPTzge`znb0MuNg+Rb z>@7cg3dh%uX*(;|rt<4VT;hA3qtfz5bx(o*qqx~(p{L%g;UXwB|vz z==;Z_T>1KL&-YX;bU2|`8;_YA*kRFM35{dJe+ zKm0FQ`b<5K-Da`o+GNU^@_9H+Ml))4x8;cnuK@VFRo7A5mPUfsk>gdr=GIHJ3s1&OPnuj1Jr@t9)>uM@6;tl20{$14btzEb|ANQkKWezut{)+nV@hnQLQsn83O+ z%bHi{qIs9hW_rHaCScURTOfOH>H}&Gf5>Hn4c}73%|+*(zlIXKiX(F^S{YT8v0qa8 z0@Yh_@I+bp>>r17D{KlijYN58R&@rN2darZi@&gSdqRMaxg~FuM7D9$OS!Y13zIsD z)0*6J%Dr!L*t!J`6kicrqZgvXA!Ie<&Sn>XiOw#M_Nw>x`n%I~ILkg?comYfR3)|l z?1#)-gKOT2#iFnmG>b1atykurwuWlnv!iy~*svq(LGKGTTnaVU)Pd+KTE z3LQ)QVjtwWfvrTuf_yNM$P`H# znkRe54ahjK^$-vsgz^!kLPSq#Y@Q3yT!5bg)p>!VL&4kQ&=7M2biX~+Mh10EsPO%} z+cvZ;Y#R4)#0{+u&l@PqXt2zI9S;#Kc;2`%fx2WU%}W8F8ViHPQ%Ph<{XlpY3wTi? zgq5KjH1oJeTIm0{PZ;9{VlN5!$VkOWh){yv3h{B`m~dB!tP!BDv^qkOgo+Oo2Ozrw zOPi{$jzQ6=C}u^=p-s=G=RF)L1biyE`QT_m&I-7KpzMZJBN>y#Mn*ZHAX*5tG}zfd z+X4JMjfRDa2ryk4uc8Pt{>5LHHi> zbgbIbB~AX=9-@;tb&z(47mP*MBQGqbQA7PAWSt?{2RsW_PWLD>4pVcaXC#>jM=6V* zM|zU1n!=GpKYf{-(gj<_n(v>R(nV;-Y)S_vu$=3dj|%1rYR@oWR!!-OH)Hk+FBDX^ zV)2+pu~1k(rav_Wj@wu}8W}L$(;z_3^ngc2a9Q^GhlW_XUd~t(g;YMB^~TiakO?&0 zl#O8&ga$GMaZy__GKexdSTZuCGBWVOzQ74x0f_Nr7=aV0=|1tmiJ@yfQQ*!`bAj5( zX_rNV_Bpt|DB{jFlu+;LpheHwBaPmhncY&0sT=soxBPMDMtSF{^S&L5NFjEX&gJ8j zm}i()B)sHuz|(UYjTM?D7|(AM_Bm=OR~1${i~Ko zLc+haOyd{blUsA0bLxzqj~r>|299pu(Vl6P{`7oj)WgFc9#?f=t0xBtO4!5?;sjzMVv{=r-PxRzuedSlRkk>W^O?Vw-zpT(HHweMcsRH=v$O z(rlSIcV4wpYpVNv2Nw-resuw(oe}H9ZzmX(I43(l@KxBkYUw1)d4h>Ws z4V{TU~Ka;33*g0k0HyPIr={vm3e>sY+&$K~Z2ocU!a1d6$vZN-MN@+OMwJon4 zKd(Z7&u}aHNOby9Dele}9fILUybY#(bbYod#W~(dmfrU+*Q451;|=H1s#ePO`3qL9tLm{;^w*o+{Wkn+Lgj{r zYx8rU7Hai>!eKXvYyiyGwi|DmkswU?WqIkDs>Gus^Fq(|msVc8S9#6!Xe(L& zP42Apt#dhrVoTr2`_qEmpI)fZD5j+EU!9%0e|25d3~d`tD{XStv!^Y+17bh>WIsQ> z%Bg*0r>*MlnlR<+aIZxo-$ngryo}U8y|;vBC~0>pF-mo?Yw4;l4?Ornt(wEcwBx^? z+J3DcwY1TZTb0A;R=UuQMDA5&adFcp2Uge>FP|~>imK+j_c^`pk#F*>ag${hzTZCc zjT={ce3VO3)A_Bp<7NGix(E>?+TU4a%(CT3-ex^hwQ0~hYvZ)_1s|;5&3^QlQ=2%S z|JSSHChI&d@vYk4f{qD(wo1Ly=KPQN)5>fctof=}yBi;lq!n-q{^UzOWt_&!C1t^b zVRA|7htqE^DV_E+0hbh^dC`4WeGgY}H;|~H|7(QK8J3QQWE_3;>tt9LZQPUzh;09U zg^g-DmIXVCPsrRWqBo00vWU#rA9j?m-rqGHMFwQq6roK6K9TtX9Hq|scTGnz`B*lE zyf4^~ta@d#n16f1Aj|xxrc6G zCjC`YI$ZY8TNwNbWLd#-U|LoLyZD=5@!L~E1clV0TnkgvQHfoa!~J7RCR6qIK8I=; zF!u>%>&6)_4jwNCa-Ph?Wisf0>-3K;5pnb}o)HLH16&xyEg-Q9R86Li0nas&=HNzQ zSc*iCf^0E8=i%NFMG7J-3mt+i6X+emngKDF)!@fG;uyUnwfx{5WifXqAAjUiXEcY3 zc`O@`xi=Y&k)m|qEwJc&%(H{h9D*VcO=8iUDLD;7Ht;BiBO8q7NPRUZ>cQMQvzNl6 zIiNaJp%fRZ<`da-NX-v$y;*cVku8S-J$Ar6vT8o&3pzY?2oZta9jgsbXDa;hIASyh z=r;*mWfp^<$d&^wRyfgE&V3?V4nublS#5YG1jVRjhm8i|QgBOI%$tt1_~W#ZmIx$) zl?M(rTqf|6BDh>A{KNdjKoD&L&Ea4qv081Y`!Ipl>JW2gwbhj#WE{~nIUq;jA(z{M z%)OFH-MyaF$9(BBGF6e=4yinT{_fOIVaJZm5eJrAVGryki<@5$7soDgC}_Y*Jj29O z-6Ex)#J^78;&^8^zRvxV^`}T{joU3x@DFNoms8Q z(SDW!`Um95v_@yQ)PZYr+AhxfnITDS%Qx!XIxSh^sVZ8&KGDhKaMB$7@xnbEsZaX@ z+_k*U-%*&gGA2FiUW4;GTmB7{LyjjO-R6IA&@H56TS*~7CtIRcIoW2f0p+MD=?dq$ z^?e3y3Fji7t;l{R-%pJC>LRTftXO$+O7ba=7}^QP`iC4*6@`l?y}q`IvY>?0)*Z!r zzs)v&>%oo(nrpu{nCcy!8oArxncl~r{xN5kOzX8=IMaPaPq>v@2ElLJrVS#G6{6Ns zN|(mYw=ccwW07U?SbUp;$)?B7wUe}Z#;arsOWLyM6q*A>l^1NO(CSts8X+{1O)lq4a3!^w1)^-KxQ z%1OkswYZ0mD=Y#leo8IebaDQ@i283c%6y;ai#}i8Mv##ErF$t?Lgc%eo@>`7WudC2 zGh;$&uhXzToHs;lmjB9DUL~)v?9#0_t);aUm3I86g8I=d1nCqr=}!J2H8b4=(KDdzNkK-{|HR*f{^IMxJ1H?7-rWSA>HxZFA17Ta4Rs!?#MTAt#Ubnoy9( zo+V_O{=oADyX9l(eF~8)PFYW2REtR>*Qiu1&JoW1=j7 zQ^rY9c=ol=eU}6-ooS1-`Btdcv70Jeea_~`yq7Z+FLp?Nx1q)cmZy6s;B6jYyZUl} zq@@b^#>_spj(q1u`1V(m^Lc$PrF=@W-tHM=M$oEsSjE%y)p*g5h$!2_)a&M(2URai z9N%yoQ|#ZeyGu9RQ1&xEgOhLPyw3t#B>fE93l1E8=NP)LqoO%0Nua<^2NOrIK*+4RZAJK?3b(55-kg!((uv!}KfZj?K!P&0pHeDW%d!FQBv z56v|yj4HfUv@`q!-> zYM1TiCgRtH4NbR2WW%{h>vJ^2%fcfTJv?X~aN+fv!6_<(OHP+>Sf@Iu`Ms+ON6YA+ zeLrMzcBUfVm6;p7@{-VLfvL$C@(ju>^@khre`fU`ve85O2cw9PAX$YR{^Mt($9Mfl zRiUJt*7Pw=AbEulOa3=_rl!L-4pK7)^kP`u*h~i6$etO^Auj@~Ay&;tK02n};j0KZ z6ey9iuoXt>Kd@{G1~F9oWz{K@C&6OOP_U1#cFJVdfyFg}CkAq4kdR?v%_F5f$A92> zaKaE;9*f?Yddv6{mqKzIgLnR$aSLy{4lfwoI93lF_tsX72I9RWDez5 zCQzH?2d`Ci6Vkl|R!IDmug+CI;$j-mUh=WC+L7N#Y}0bC(?VghO$6s=pjNG0vvh{sURE?yto^-CWm zf3+#dF3sWA<+D0>-6N{*YpSu~hbevn`gc3*&~I=qPQAzDj$XQZrOkx;NTF<2u+Q_e zA-inI7ef>B9cLEpzk=Esb^eyiiKyV&BKqL^=v#|A z?6=+NxmoFKGrL3awB9DwFDYi;`5BoJw6u?>{sE8WyL~i|*cbca#?r6z4CREYul&;(kGB z>a@b!U4qr2pY|5m98JIUO|j*4{0fiQ2Hp_oa<7v0y_siHKkxn6`21A*9)nv}@4RbEjNV)mr#iyAO_4;-^EwY`C)AuOg3J;kS+7ptO=Jq;w&cdmQx)S*6 zr28H({i)CCvMmf}dteYP9az@LDg2}i8@_G#k}4k=p|m8Ov#pr{s2P-x+A3y=i4?5% z!pV=N%*h)vy@cWH zuv8EKQFFPcUUM*C|7^9w_MrZ!)mOD!@qsgPHT~P?=vQSWDK;tHSo!qlu@9QnHdYoT zC!>4rdqzgN2E|9m${LiL>7a7XNho}ioPIlL2}?&~r0w@7M*}fee#YD%(yRl^?HV1hv4jh^vwb%2l|?0jT)XP9(*!Z z=>jN-K32=&r;P)L5C_3a7V~8`mzn1ac|(v(VP(0Fy}=m0!`Pu9G>hIx)l+BMpN!@J zAVUm3ron?c`B-y@r-lQYnH9JYDf2VNEn?sKW#BYA{Ht2{(J9O(7YXE>@aPl{4+?I zGquEEMippg>KK|r4h|7kXYO;%3IDkv@CZi4D%j}T{Lg_I?b!V8^ZX|psr|4C@)t!PGp>soljFX*lV#h@9y`nFDd!mCO=A5j8s`X>Dn!Yp z;QWmbf79pxC{;F{vWUwpLidBp&D#&}P5SmSOf|*q^ZT`ilSB0i_wMl)Y6uBT?G>z8 z%%!(icb=B~l(ot-X0rGE4KA8#aJ}Z5aY$B-@+6^ak<$Jtc2f*bJmp_+gI4?nERe0;WW(8sMoNqnYQ3U@FW3-gP!*V_q3*s}SvWK<*8A|0u-9`oH0d4kipZ{;YTvM9 zGrHl)8;*ac=HyL5=4{jek#w`=Ok!Mz6@A-GPC60(2e>P8W=i;irUCM1Bv*Nw6 ztn8%4VFdSm1bO0$#jzS+Zxvi~Q9FOU<}fbjPDSOF&` zzo7oYEkh+i-Mr_M=Lox-Rm3(CRjr)&czfQIQYm*ko_(aySZL7kQeH`B*9@J?H#Oet zPRjYttGA7xa-y|j<38JOPJ`WO)rSLK&(3U^x_ffe;rG@i^Ay%t7JcBtTrD-@dUfen zs8}{vi}JDuFMG`oA9D}nSmUbK>OOz_*)t9O8|5vlXSJD4FFz(LS0h{7rDS{kdEyC$ zGYia4Us!N~^7;o^bITyjWX9J{1=aMc9FxMD8v3uBghfwsx>fxq?zzZ;S7r0WR;)A| z^c0rr7CE14qZsC}Y}Na~O<%8C2KS=_u64W=5!kRns6{HZk}(kE8SbiC8-mj3h;hG}bN+D7X?{T)Ab( z=jT&y@?YjGY37#LZR%ya=xBb|zWmwWB3DTgIc#J-zs047%{Bd2q~re3(!}}aJ%yI< zt@k2A?NE(-FE|-)4HFF6dbep+^{aDhL>wIjJXX9@aW}jivZI;uc<^KW)`VsI6y7GZ zL>b)OvL?Y)L1WeF@@djqT(yn5=NKEtS6tfTR@L`P#5E@_$lZBQk>skM zW?47)Rf^3Fs0nQ{@N@kBphszTnx12MtcCf!45NQC6!09)`Wt1J{v(?UBJ98;0~!R# zRUzu`pjU;k3Smqj$1xV^&v62!TK~2P?a%{YSb+aHGvF_(gYFCfRY0Q%6u1y18bAUO z+n0nJTVn}CmS|8&4@<(+)NvG?JzgDjxlrmLZUr@NfB^<-_J3O%bZAN0G&NW(93Y&q z02V?t?J@L>7)%kVhd>-})yAzv`L{JTLBnZ;8TyZ_#+Vx91dwVgV0ME^i3NWew9v-c zj^mcl``dDCpglKYVss;!epoO(<}q|*U`fVoI+Tl4XWCPW3cNt(%zxe~B z#tVKrk|Tn{h1sefd#2D5A+)gqra{@KaXLj*!VqRFbEiz+{cpoXN@9~(k)5z3y*4)b z5+lh*BPm6rHCsj!k4Cu?qXk^R&rnDBymWLfJN=AN%gpdI5PyDxenz9Ewdqj#u;EwllIF|T!9C828$YBm?XLeCB41e$=l78{FzS?VC{!F<;Z4XEiGAkC6)O!Sz|){Dt9o zitAZrnkc-@{q+tlEkRs(ubtq%2Jx@vO4oH`6jUzk?h!h$;LKI6lp1NOBEjV3RgTK( zTMt_r^g68ZH;Uz1lbm%f6ZIk`XsUbs3cJ0>Q=GPZFst8ZPDVNAwDokKuP8k4KFdWU z;knyfm0zCXMmaohyPZWJeh>6A5qx6N{a)z;(b?PTr>4?z@;Pty^$`zt60Hx(uL=yJ zwcEr>gr7T7zc3@Vajk7uL%`q%jQ=!Y+vg>H+)~GjOs7r0Hf=|>P{jH~oQYA@g3~X> z_G}Z4<8ut>R*CI8R&GHiiJIsd3_j(M|J6g`>noRA)cAq8X2lc^*SM0OJ(^!%?vRg} zxyM9F4J)QlIT&!*N5sKyVR7c{&)gmHZ`bLFORu^UD8DNJeaVlcvWbtw)!?G}f|P-W zPf}FsTjpTzd%UpBSeY_6!d39j8gqeW9O+}I*b|x3>J!Ovsc-dlq^=65C!hMF^E~s^ z&zphf_Ieykrd#Q$qLYf-4^ChIEzCKC=djz{M47eR*B{^f^z4j@u9a#*g2_Ep=9%fP z*&f?(YAdf*(@ii8OVyoRysX0IkPcd~U@>JfK}UyYueYt0f7kq? zUJ6(x*1cM|Uv$!)MFqFH9+7X?%VZALnWjpA(Y)@FhMJwrcYVv1gT`CEjSh*<*!$SQ zH&WsCN3O(G7mnWVQ;G=IrWkczTXQ4Kr+jU~g&KXu^6>hYlt#xXB6ol8dvW^Zlj$^PDnY=g?|J0+ayPfi7q{ka!0!or zu<`j^z7x;q^G#p-1|J@V&MER*x4tHxZ|D8Y&u6h7Hqk}-Pbz~2!ix(oRK@UIisQr z2seR65SE38!0#>LHb=1xm&0ZEoAvQqQ=~REq7}eo2ry%Ees2k6b{r5+es2k3-SIjF zC2=TX&TK7VnUOrdbqYp?DwMNi)hScl^|z)-aW7EKXKIRNRt@;QB@_oEvIz-3d)-`V@P20XN->?B^(d>0Fi#!+<>u}q>?cB=apH^O56q9Jsw(xNCJ;TJqkIxi5 z+J*9X(RX&r8skXJLUi?1Vf3nXd^#(#%DSr8#ANxuyHWOh>AUauC%ryVG->C|QxP%y zt=8^GJu z>XZ}}YyRoF%UI0%OWaHR!hD>W=8C@E4bo@K0*jmD-^ZKI*nXI|!TDn5xoN?2Tg{&q z-a0e$#3H>T|Bt*k0gJJH-+*P`iDZqCkfvsPW+8jYl2k~cnB^HH(qhXl5|YSPkv&Va z3t3b4C8b1JA|z#v$`aps&ou9!cY5*v&+{F}cO0MJ?>OEun(4Wp=f1D|y3gyp&Xd*b z(>7Cw^=FrbePzEc%1&z%bIqZr&7I<+VN04{s0e#;C#R4<%Jo9R<%0cki(7XtESRDARVkUV{0|qWyr~%UXZ#qr^NB=^ahtt+!#=6P{VfpB(LX$IuC4kI&oUotJQXcCybqOYNx{dj_IPQ zyn~zmE~WlQ)5&M$wQOCh%*BFGf!DSqSXZV; zass__nsH8!oN_0_qP@BGMT@KlUzW%w?jCJFDX#d=+qp6G*XNn}$3NSdm$1`sR_3{e z7uFS89q-wGbH+K__--%KF81rd-5G57;B=kI2FYn-+BqviV{9irU-wLz(C~We>OY#jzU1`myaTWA+G6whW?!qknW^^ zXCdSr^)JOI*${k!=G(tNiCjVhdHL5bhNH;zC2Qa&282~JkcFV#R^{`E_V zN;$h45QL{Gi}UYK66v;T+t!uOxC)H?|3fbEQ|e@{&L!Ye`?tBo{&CJk#|4Gi{0TF5 zN#~95EfIuwapAu+b~PL@LGOr7-XQtaDA_uwxHNNieA*`b+3Et_!idlQXPqA0>N#H6 za{1kWU86#lbl+HidySEHYXFv9TrM%`b}cDnZ86JwYxc9ZS39k}dU33&?tancjk_;4 zY+yTUko^s5hY&s1mY2mJ5BEwC#9VVuGYWNebUseeQ3l0dpO&VS>}(Wkvt#TlcZb^- zS04NPsn5U>`Ade>F69qgFn9V|BYUUYdM|4}TxNaw!?wtt4m~ff9#iOZ{&nrk!*1tG zhi@#{)}xgulJjy++|WUGBObaHR$iI@D)Zy;D9_&UCM|B?}2W}0wV$00u&KYCW>*%c!J9}*mnZljFdf{X9{4p48~bI;dNZ5L)(l`hFHd~RbQt9{}0i5BdL#)Aj7 z2;XpUy@S`#xMnR{tmqkTwW)bjK*+S`AJeXWELipV>E#FQ4z76`8D=kj_|^PzfKkHG z;m_ZiD%RL6c^!DX?d1Tk?F~gK#tD0;rldK49a6vi_`#=_qf=rV<*$HjhwR9RJLLy1 z8X5JhBy0YG?E(u&vY9_WE^m*yW znd6>?cD&suD!B{aIf-+B@cA&EEk1cG^^d-~eQa##@ycdBHXkaUnG@t$EUBM<>~zmg zJs)LfCksZ}?O5ggu4DNl-twM?v8CT5-Hx7+?y^4K_xXxtuhNYl&#{UcF?#kg8SnWa zx96iC^4_F2nc6XYako{Yl8!e_Sm8*CJyzz-*zrY>1eEXz+~jUyrI@J&QcxU4VhZxvrqI~r(NNkq}fMzC)hmP z?s9$5ev!S$gzJMYZ0h#m=Je2^Q6nV7?sR=HZzk*9tye|IEaKY@xm|YXP^rNfo7Uft zU*?P`dY&nGSGu6$;-hs@H8V}@J)#<}dT9MrIJTSP?GC{mJIc&nE={%$Sdc7;iLbPo z5wxyTgiZPGLG#ZIUbXDg0=}VbGcz6YbiI=OX6qZ(&D>}dvsmOj|J1cfrL%gUE?xi8 zb9HBr!tB-E58Iwe*0Ys#_6nMIZph3xh5Zi9RfN~dWIcI3K3h^5^kaaX&XD_(<4ZnQ zq*q2KjWXM|Bi8hd*^dutt3Ds=KJ(_aiV?K_;YzEm290+g-O()Gwd<${O&iQB>AkA% znv*Y~kHl&Iy>8hUgNN1b*FSFr|FvINfeSx5;PG%4|GWKKg+u=aaM0vmDXq5DTjy`e z9XzlG|Gn#y;iy0QyZu^o)BV>c|8u`qj{N^~yBK3_6x1&Wg?if}9Y}w91im@W*&Dj; zi*sV~_nALST&~k1pR=Q1kGM?-`whBcW5fm38ax+0-d9|G@G$k()fa#+U0oR}2lfnbpWlF*No| zbL)1JR|@m;j5II3LBXlp%;=YGbh}XHj=BeL#`>1McvX-baE;sgaY8}Mfk)PE-}$}y zD*K*_g`5>uM~Y(4k83YXdC+C6Wp+gS#Auz~H&c6WDE<7jy;tf%ao?tUS{THZ94hxo zvzg#D=S97hk$$^AM8&=Qx=J)O=e0}shp&3)t^08^xVKYfx4@a4y9q;0yOh|~>3Xfr zx4dm8b!PPs^$CCd;KJ1spT#9^mOB==Ipv*hup`}gK!-^a&-61$kGZ@z+>DizpG{shYqZZApFNFJtO93zUcnvvC3&OFWyqO>D>E*{gbZKk-sMNgt`ohR z#C=F$PxI?I#lTQxq_g2nz^6qP?o`+O*2M?DRJi+aBht@bestwj*r(bDk8gWIZ^$Wr zJU-TIT3~?r+2qRUqHtPN*F8RIM&=oRTQ8r2!0bnA_kyIs3>_@JL#;hQa+CuKk1o3Y&YUC8*2jh2P@Ov!PuxjS7P zzOu4qeD5=b%?&LVIXADU(Y(#6grbX|`!(M8y?^kD9**6bW{UDYpDkRy&((|7@9kx4 z?+XLnMRnsFf9T+Tj}>xto8*nPb=URN=M9Y*yy1z{<8HQ7>aF-Ra!J1qP1bhK+cRmU zZ0oGtG~MIJ26dTs{P5|t#yqcwx=V{=KD&P@pV#SxZ$Qt2AA1&NOb~6%iJwq>*W=y8 zxhEI(D(e5m!X;OG+F0+K{-WjXgPK^cYrW_Zx8dQspBMJNcEQ0W^?uap=(NjGUkC9_ zTe-b|8&kXMsHY=Ogn7^C=$w-I#kQTEe8%mx)Xyl7Tyigmql^ zPS7Z-V%c^}dYv9Hs#n( zenj&jgO=8L($RnGz!~#e-gXo{oWV|!{ix+BT3c4l{z&}-5?8-Jsv_pE=UGbGW%@Tf zooe^TpLsfJXIGxu*%jEba+BlolzKvhu!F8XvhCr8a$Ek@niZa?KW&q$G5nvcS&7sO z2)Yz}l{m!T4+eRBFoP&^(xm>|`Ao!}1bT~v!&dMVLIGDUrlmp|C5O2wv~J~6fdu|V zA`JoQU(}iyx^Om#IdEscF}4B^-;gL|@&4P_N_pKPs7&)j5(y7Tfs_r!af&D7 zNMKXQV@qW+o`$EaYCbW<7OI*ke1aoDjfW`pkUn8P)X%^m`uz9YtsJfNB_Hv>Q~>r7bap0F^--^d0EJ^Hpk?hUg~vspth54Qrl+cINVX zrKN*<%Bk~vVuJRU`TenQm(h75J^#0*y%sj$t&Njk4KgpgaBuii>HSOb6MDN}PF;ST z-KfFHp$p=cEN(TW$ke@j+ndu5f&)E2zASi|ogvQj96j#F$@?}Ig_quM|NQK_rSF9u zxo;y{o?O;-Wy^+dxIK47eZA^A=WU-NH!p#Bn(^fXyWW8(H*9(^tzVzawG&_5FQ5O? z-}M%Y9xSF~{6xo@UfUFRiO2pTQFv@7v6 zckGbcX+I*bc1jw#V+w8EwOit{o=qB^mkASN))o6t_V-A+F>jFJfysM!Pt(nsI>a|b za-h>gZrz=W8qhle{XAuhiU!aBm?f*(uusfruV+SCJ=SH$+(hOS-od$8v?P4xWjmW6tZlugl^X4jt@$>D_O#ONc6#@) zL!Ykrrqz1))H;Z+PvyAFIZvH73~MYFp6?PeHgtC1o_m@(h9w3KyIB@jcl_o~V@5uS zwcTZ+NB63k7n=RFYn{~I%SQIic`d$}`YI`7&ZBOgBa054zcwd&bL3Q~WBuCS&y@B} z8FM*Hp+9|_+Y0|U6ofo+T(?eq+4H0V;%+cI1cuvzcu z)hiPNW{*F-DePJ2daEW5U1FLxeE1f-yi&WRIR?I=jovTclhCDooXwG__<);T4)u4G zCmF9eekUo8=bxD{YfY1570+r1K9Wv**=BR+3v=A;1$T#aDbUq*jKA}}eDE6scaM$P zUJ1#?J-PQfjq#cKD0R);Z|`Q!T|jkcc+h;S@J!|7FB_i8Tr7LPx@IxUU9KO(_gNg+ zaoCYv<6Z~ulYBbeGf$o|)^oSl#xJl>h+6D_J8(`mjiCVr#M6Ryb1bZsNsk0rq) zBZtkW^DWbZ#2fq7Eu1$eZNszvmxn0kq-EUcXR-8n{FhzBx-1ULy13oQ_VHw+Cc^^r z(?rLDc9_@fSqm4wVNqu_R>ASQH zAHC7!yeHQoXJzfn4@aHi?yi+(Q#-##deP|fM|N#?S&^?ZvQ<%F{Uc>*k&&LdqMPis z!&qBi7U()p`%rGQVVRZL?)0u+6*{Zm95Fi6(WflYXi9(4qOi|bhm8=9TE1TQ_7v}w zX`?sydbrWz$ocKZ4v+2Hy>4jQrOvAc4~}vEk|NGJvd6%BR@1T1Bcp7u-tWYI_aw=) zi>QZPnE#Dt>{@QObhMu-3x3F}%-QNvah$>C})HBgR!c zd82>-V)yiuN$VfqZ#^;vM*=k3r5?bAUvM57c*QvQ?ro0Xb0c!#f)T2#Iu3}oets&6I&DOK-O zot5A&p?;_8oB7JZoAOgt-^^FyiR2yC>5aTlf3p%5Q~s0so0WNt@*VXzD@$X_cT}e^ zd|ds_YKtYl+G2_1@Tx1UvRFdOtNv!CH5BTg!IUMt^Ge>3>ds*fwZB9#B6{$`~Jw(=eIH!G#0$vb?)B~!jof3r&QoBWgdn^n5t zeqClx=9yik90RRV-})Zbi{IN=@jH&?Zk z;~n)kE1R&%7pmJ_`06$nV$G=Tj;1PH~A{mFjzx zlsWP}svOO<$ul(j;TaJ_i`8AyVpMeXw*NU*z z1f=x{PmUN~K~5Xe?$8jR{@ZF^d8`vE6l|$jB$aY_e2xspzcN}O<=}Bk1+-kk6>9pd1($J>1dIUiAC(>R${@B$tY$G@uW;1m5pbE>|W| zNa3$0M^6<6EJx#!{h|@g%)rnnMNEDm8)ydH0y==`NYVO3`j}QA0`&E zWiSa-@M$(5c!TD^m_z^6PYh;kQ0;((8V5=el%W6&Tdwf;n9g53GM*Gpeli&cu4f{# zkSF2bFMI_Xy)Fu=SV~nhG7?~zU1gAV<6v`xwmp2p@yG}-miyoKawIzZ#mIoG@WpZ& zrI1oIn}V?$4BRBJa6>C*U%ZzTal1X>#f_j)XUZJ!yN zI3Y^#%w%k-KrWR@(Q}Gr#-=E|E2XP>W@M9Qb~Zxkh(|z5X#Y^?@1sC*WB(N?8u-GMe<_hGegv;;3fy#Q*CFXSizBU zS7@AI_`h^GG4sTb{sI>IXE)b-Q{^u+D+G0pL@H6>_{`@? zF(e>uVhNiq;?OuP0pDkzoFXNF!3bR<;ejL1Jw^v8+jzb(uEa%3V(Afluq)Vbk; zi^B`z1IpisBO)0N)iMpBK!pu6J2L3}5q%7Do`&9wAr?HIoz@YAMs6q*!8lwXmH}U* zC>bSFpovx>k_co{iDqV14cF=a3b$1u1u%33O$et>il9}H#Q{e_#MV0I(jP1|w7n{_y^QBmPCsNK;ZFa(X$i zHxWl7qS#^%%@qQhqh&Pogd`dX2!1ugQ6C*Xh>4&`5j9$ztKra&6OGn6BQ2LBf`Bz8 z!2v=}3y|$g!0o|nN{kqynGOHK6JaC+fq*YaUkHs{9Ld0p;b5cI9%;lOTA<+ZX&I7W zF_>&ZHkW2A*lYzQmrH1VHOq{k;EV7R|9QKS&_`Es^Gb1pKTfk5U5mIdUI!K^_k6o!3TsCCTe$nif31>{?d2PG58 zkae(S9I=c>Vob|4_+|WGT-h0!i_j=G;{$0V=69Hc5S_w4tv%8xm4jd@lki0nAxW(S zLPSK=D`+VtmdYrR2DYQBP%=9iA$=w$NFx~;mK)TkaiGyUYvf5G;()zO!Iwx78AXU7 zLc|k3C09@)F;6pVRF+(s9T_Nr6e1!=j9m=N4Fy`9Xtd56ad_b(6w)F#m!qKN6wb07 z0ZuRi)QIIk9yQjPvWCp;$dLa607O0v(jY3qL;_2wJ<=$Y3#4K$S0d#~_}HXr1rHgB zlq;oyh_Hd#YL1MQCz%}?LZN^ljmU?^fFa0!jjTl5OrPR%Bz&nzBI6*RLM-HSBnmbk zl~=@nj+7_W92w&o2zgc*4b~ZXR)9jp*r&A}7pi6?UF0f|5cBwa1&`*+0TTl>z!o6j zRrACc&p$Ybgve1*sX(taiTy-MMSGkP`37dARKn*=XyA+pd_p9RVgXwr6>$Y}O=w5S z!eDeRa*+K(5E4%cxIVZG9HL*(0L~~BD8v*OJ2fSfBOW3F2CTznOL#&WDw3LKGbOEr z*^wa2F^$( zBi4c;Y_xEo(KdeIoFc>+*j$MSbaE+Mgt|1079jR2Vq@E`W|c9HfWX#)0?Y`@HS(k& zMvqOJt98IfuPL|#v;y=GnGnlNB%nlcfW?5XxF8m2Cc{cz7Nd)d7)~J0s|F@CL=qxo z0A?gu92XlI0q%hgpj!mMEK~~kG6jeenx~gvjMW%Pg%Je>N|X_`K%gI3X;5C(b|MP+ zQi?DlxICIIk&7hAXe2x|H^}+;KS(STBjd@yXF>22NfZ(}j$vSb(7>5xLQMt|Ur7gMbRM#h z&l6%S2c3*0&a+Tm0*0$?pa&~Qii}AhMMMN&K#I;m#Cx!PWk?+*0?q6fxdFqe6bltU z@M+a)J&Vxc{?Ow17f`TNs1OR}U_+qTE@ulwNHRd7=YV|57D+%y)trlrlTKMEOz;3s z{WCI@a0OaFF|HUT0JL_>#WGMd!Ttb{!{;i{sS3SrxkxkCEBWWl&P8ICi=u#<%fce0 zQ|*&PDUx|H5A;PuB_0>$b}3(h8U<=Fltd0^H;qTeXB_Wf5f5QZVgu4A3eg3~J z00J5n$`FW9NTS&Y`EmeLBJde;!j#BFTumrP+4I2g&=3nbux?gYZCTjM01IoK1&i>o zP{Tp#4?8x_V5sd#f$a$SNcs7+SaY9Nws9~#Hn1ZAwPQvi?t@ms;($m7!#`~UzlcKV zmM7&PV#oywWWzXe0#OI9D4>9TXhK!W&J>2nMr4Vxh&iZtfL}K*~i5?pmWdplBkDC};XqJ_f4N(j~HDaYhikwvpb_|x#B(zQ-X-FH;FsQ-NMGPh?xGks)qi+NU z86|62^SLpfjgXm$>>SSxJS!e1B!RAYT2DzSo{~aH$w@fjQEZA}!U7JW6dQwuW~au` zesQ*EuPwY%5F)9$A+93 z?M2F3AREp%EHoD4n4@(nNv0vHWHPRRP07Tx9CleE5$JK~W@4lMshMvn8$cNz8-gMm z_l(pzK|#UT1lYH=hrcBRuL8ju;G|2J8{V z3n9ueEG`%?TuSR$B1BM>z-*0!Op*rZgSIn@53-U_EI^q_q@mlR>O5xlx#2DaZb*woJb;fV zGKoM?0z`tXTk9~1X-><)DMx9VgI;MgBv9xW!Tyc?h89#)`Bb)tGyK>P6}d_v62}`% zO%`$poOiTNCbeoypNlw&^)^RVmr>zV?$mHI-()$stJgI zu~FE%wMQcfq$R;R7778`f%yboI8-<(p_~U~K`zrgx-sZIS;b6cfy@TQCjv*R$n7i$ zrQ^J#bvDTs3*|U*qfSGExrSDA9CpCG5=li^Z^%zHvPq>b0mE|=i7~zw+!Qc3NhAT} zD%Kv66r*v3gNG#)(AWwPrwEe*iI*5>9Ept5Oq7*M3(O9UkD$mVkR-;2YCj7B4d)#u zHUN$k9WruSEaB2<>ldP_2?#ldf>xeTu8G_+=su~QkvQywy$LWu{n*g>fb)*lQ4&>t zF*w9DXsI+jML~w9&_*HxKUFLPb4imq_p{BRIWv)jkGxn6SQ5M&$S-mYS$qhtVdZO^ zlz{ZD0P9tZQIYN#1*9dpaulY}>;^Wtw3@LoU$6ne!HfvbsGC_?eE2X4wFf5A#R^$I zG|Px2fa(N7Hl7!L2U`GA4``6pL`mkM5@3*Km_A6j5FD`+u+V&j6OY!(Bp@F&$p}#j zmB|EL5kN_-Hwgzd4jCBbQlUyWTkWs+ci1n8Cz$5@yj0&O}XdgjD z;h^Q83z~==j3qW&<25T%KYMI3wcd~y3js`$YPJwm6c%5Eom+br9T-?7Cq@JTofAD6 z%0!Y~2c69A>uws9J)tgk+MyK`7#|AYEgob(EBXxDRGA)HL{LBqW`Z zJTckSaIyj3Rm~AVDgVRp$dDC7Oamh$D1sr2*x&xnHTo|r9N_ezUP$v4ayc09;L$96y`TFtpexWW~hWhiLP%p&Ek05`wtasU#@iZ1l!}TMQWrv|@+^GCl#! zft7$vM`_~8O4%ufrzEj##J&wSoe*EoLTLrZ9jyH#?FXgfAB+GZqtaOxD`AwbSXBu3*Cusl9U@DxO) zHJ=;vIf(#Kk+^1|AscSS^VPy|NPHBLAjP4W-I z8PiM>qC+esz$Ds(h+qSV3D~!_2PUzXQIODv#KIDb~zSsQXF`+&Lu^B2^#;=@h=3a4lE}qiU3{^@Z~6Tqrp&fPX6LJ!_4^x zIUh)@gcPaTxzUY^^N!X#08%)LNXQ{WX@GQaO;>9YBDn z2=X(gB>F*t0SU0|AP=E!B!Q3()Y+tAV>tq%7;-Og8BlohAHVP#Z0-y%f9)y3)dI{?IC@4zN#wM?BxiO!VpwNf@CtyHi|Hfm3 zfFX`MTE~AOv?=5m7Z0lrf|uaiLpu-~DD)~&24HKRx0OPv3_muc#X{g_|IjXkeC*rW z8IglcWJq}un#V^)7Pzt|2Beg;W%#)vEfx@5lH^+i148E^&O2J;k|K0= zf&asm0CT}kEn{;9QaRc)cx*5WdDT#XnAcTh0jL2d9=PN`R8UaL(aNsv&J73|sto|` zAa?*znG3TJJVNZ{G8!wqnk|5NX;l_Flu&I$E?K3mios&%)*hD>av;6}@i{az0u4ml z2AH7WV2F5V0zgZOX2PY^QD$~dg5pG4Pw?C*f+84Vuv`R8t&>S~p71164p`(6G$Udn zGSqM&h=qTFquyu<;mjOA9 zD5z93A(;nCM2LwH0|5vGMF%twv!Eq}(~j09ZX9ILrh#f6GA=P1&B3^XKAk`YU^Sad{oJ^~Afev?5;>6x2U#8jdW04rc?t~(8yZtkNr$`{`rQDX2~pP32$Cvoe}*3$ z(qa&jkV`^p6>=OHEcR{faY-BjP;HZ7WTeC)z)S#?fQB#`_60ye8q@@pT>-;mLsZ1F z0OraEo18p1s3L%?qwR7-K;(ibk0PlY-IaKR5|CbLyuyPcZ8`tm+*A$>43ABKeH*ys zKaCDzbT;U;vE+Vl;EK&JnF#OyQ7SYhJ8XI;u^it!z zqxB9Trb)RTdV;7g+~2G za-ensDTLx^fUm%V1dten35Y_Din`{LV?Hk-y$I+dCGD40yElf5?OS_v5`9HbQ;|{v zA&&=25KoLgbu@^{q3MPbBTpk+R@u%l{pb)HAsd6y;Sr+ao;34e17`xCWTPWdj)@3* zGMAjWWeAzXkQQfe(91QRozhWCJvyafW|ixdxs&sF2)G*x32X%|hnch8-G8y(Q8|KD zebmr=u!p;c*XUXBy)h*57dD@E5+5JhYo;M#3gE9+^L(sF`$&9fLzTf$L-QdXGkpv# zEQq1yKPHyuLlBCTik0}t5UkxQCnv_tE#dC-)Apb?x5PB~TXW0j&J&2a<>K_1+c(aN zc$PzxTIDtSI$+p^$dyLx1|RQ}Singd+Ba>^t421-2|pICXNl9E#5cFO9@{Lqz)4(q zr`*8cVcC>B4XjENt!{VF^~w73NNRc6_+D-26Kl5xHFmkUiSumb(Mrj|-L4P5-18Mq zf8DIHe~*j$GLtYD!y%?N4HCySac|z}=0cyyz?j@kJuG^DpH$~ta^>u@#Rh?sr9}ag z4NkXozu?hwo@ep(B75EIxq;akWBo_o9AuE4=CW?hYY)jm-FrMt5t_(o0m^&SV^sTY<~D!(uQLF;QFpBzn;5TY@IOf8a-`@B8$GNAUQSLf@_xTYs` z;x7;E*XX`JJ^Ia;f~j$CwL^1_;yokQnMcm#7p|LpCoD}6nA=-#SyIEpW;K7*xU}lf zjnZIi=dP1K8K>OJZ8v&(@a6fue5a87A)XD)J@AbBQ-Gj7?@(1Uvq zZJSN+8rX5Zb93vW9cFLB%?_>{+sfil?a|jdMwm_BrQ5CPx2Fab96{yO-p0vwkFKmY zD6zX+e3Kh#j>ji_PFT=sd&{|T0cTF7^>i?5vUpba5L3T1b7hW`2Cv>`T^JR3!0ML8 z@Y_wPIEnLYt7Z*5%)GQRCwP@-e2cQ#!HYr?=FYmfcU>$!ti}2b=ic`%_n4(0GTPhw zYoybtw(XW@E`K-hf=AB6hbBdfd$j8D`ogQ3eOe`by%0-p8@rd@V&U5I`gwh-IIMAQ z;8oqRIV;bY*)CZ4BCC`67fVc0!!8qHb zg0lnMZm)k(TBnn3|3}@MpI$TBR=7HT{P2zgnnW0V=H{(j7w80b%Fg@n zV@trBIz^)tzB>xLeE1PiJlo-i^O0~#e3;R|?X~Z_wSFaZ3wGYTi*6D4;hlJo`->%I zBiq%Gy}er!ddWc?F@u^kc%om69deH-OPyXpHxqIW>|QitgVg8Td{$G*78_%W4}Md> zmv#^0e$jtDLPs&ePipl-zx%M#)}e_b9CLbw>~I_&&+Wu|>OJ>;jm_D0MwyBKhdW zPsbKGM|jOWT>7|?XNL}sUv@VIZ(m9q#$F* zT=}z)x88i5a`Y{0yla!pr&2rFfF1S^8bvJXc4bw}@=GlM~@$Rhkdl^eCwUv2ib?O(y5e zAFHC^t5o z<&kRyM4VU!nFhggsi-&nWu_l>emuX0@Loj#KKNQGYX_64f`W{R{yzNA!pXLuEBe)DS4MZF;qtT9l zUOU($pd|qvI6Sxu!0-Yk7n%m&Rshi@RON_}3W!-C^(%1eG(~<@Qmwz`uzNGYpO1P}N#wc4Rns5%8_5ds2Xc z1;8Y22PQz7MF=Dqn2nsYo6`a!A1mcRSqhpe0*(F>0rS!Ta4sY5ggBO{G=2pr=b~p> z+mVR{1i>NlQh@TM2+Lfwtby4BvM+InsOFI|&Mcz0dIhf zClFyq3Z&>*MzLD+kueUGQo!zsr5#aA5s;Cg;EC7TjtoRw*b1N(02UV@aw7JNAhxH# zqeB-Bq#ZOz#$4?dWfnm79GGko$ezI47@`<%Vgiix_sa}?Q#gg9n2$lGkX;WP&F_+cum3O2U2|~<14!=Kr_dM|9%-ECPOG1>5v%ulV}IW zERx{`dMHpg2V1e43)jrX|F6C<|6DjY4nU_+dBX0BaiBXy{0CWO2?3S~VSzAfK+{I4 z4kC>L5WW;}>V)>G<_-TD4AL%Bg&vbKMMdk1s-%h=54nCAN8yJ5eh)>1tW++68Zx?W z;Hb=nyAUb>#2rcj)&=Tun%B)7hf5iSz>!y9H_?>=FX*qX8@i=Xn?fL^Q3RzWa@aDW zuNh`EXt5`lx;{V^@a0XlF|GD8+7Y;*96m!K& zx2iv0xKJUMO66ep3E4!Bg0y@hqQIbvhhjULV>Ir#@-WCyNKwyl5>kjXqWX>#6I$`_ z&n*9kJI>s``_CP(x^N;^fR+YB-d|lfF^&>qXGPr~a}FdFuy#aXL_wKFEa7R$dLx@) zE{Ln|IEjVCd_#T55e!9tV4(aT?l^gab{R~rt7Gm#2FD_i2u{>cZQ%yKzaN~qv0m|l>AjBMj&{aq?1qms_ zKcrVM>g1uh1>pqx18}w^T9nWcMN2B&IIwYQPH+ad&8obRblGCpBr-`NVlqV*<{!u! zFmpt27-Zxrw0NQ^SjItppA9&UxS8?r95k+*!PahdT8Krd6lEcKA)!8zwo}pX!51hS zp^X7dNQmIe(AfqbG*s^~3Nfmq9CQL`UN>`xSq>ySl(ZNk`-x2+5DU&nFg--)$nWR2 z(v6o7J1f|b5t~UlR6ZrJEC6GW4LL1MKu8J7GPBhKzkp^6P7SK7h9HQNBl1_*4K6Yy z7YV?F&Q@5bLE0WO1q%Z$=D7XUTsMOoX4Ty$O+?Y0aKo!7sg?q;#&TNuX~1r_#Bq2vPN<7%ZLtPr2ND2(d*1 zFsg*<|5a3nBZCV4XRN4>t>dTtKwVTP>_Fuol=`Q+gA0I)zB>p2mbA=6PB+AF_xACk zB{Le%%guJ4;r&$5{QIq#cQ)q+bl2Z#`uTZuPE#GP!R-gtd=_@=VH4T;VHT8NO8Dt- zU!z?cZ#(buGSQ|YcpfWe&bah`r#5;nx_8d#l8a-?r5(%Gt>5g?DyXg3z)SwC-2Hf| z5#iPgczeTi@8&VlCI?c7SKfG1xAT>P?d?)0_{o~-HvW<|uxVM~ldOt~ulr`z zkFI;D;z#qk>yO2xKHhpu5c7$bL)9PBu`D2VDwpk%mn3m$eP7?+*nDHyFmbf4pXa)# zub$dIwB0Bgv1iwid95~|9|H-JJNGk z$1WwDTB-b|KU|!qH_SD!`_(Hs;81qIGvA%MX4@ys<-FW+-1=zQ0o#PW?Y_^x-_b?* zC3WOZX^WGO8fF@cE}EF8h1NZF%s_WOuPkBm;WQ)1ac!5T2Gx!(z3#F!W3W!-U{RMV zb#LAhq#a4^TvxZlFmY4c@S`sTiT6?(I{44(Fl)z-;~rsWX3lLtd7;PoigOpD0-lJP z7ni)6RJOaK)t)yuIZ59~<@g!g7O$Evzu6^l@3NzLCtn868C2M@MRM1{Gv7_|XfJ=X z>`S{7{hMx&p0nM&em}jF(XQLg9nZEo9pMzfSs%5vK`<+a&02HfwTZ_=c69o;?y=8L zWQX=2w(_mf*OUpv#ck6DZ(8oMMz`~~B_r#O`RJehs`ZVyW}n3w%~Sf?@0sWD?Xy># z$h+wl6B|a1zw)U=tZ~72t0H&P;4VuK*y+C5w{`P@$8M2Un+7kTzRaYOGnX{!we)WO zgBNI54!OT@L%mRL#N+9UevX1 z;)anW4lN&S9`Iw@+uCo&7Ub+$+d0m9=|YzP{Z@MpSJbnAvpBK-Be#^+akcuoHa}pw z!KzK+u5D}eLSsf{ZK?ZU^Xuj|{fAB-KCS2JmwNruAKy)9S@}*{so$ex4c3$A3#Oh4 zx|-|Ub(G?XrHA8HzMj*_Zx$+SPsy5N@e&C)r0`@2m{9O75DHkF%j zXyWE=MVG1h=6(x5OeyWL)VRoL%lKfs(65L3H!}3i4se;$w@zcH~QvusZ1*N-nd4#Z^>?+C zyVPs9;=3r*duPZ>hxvL3zj{Xd)7&SAGmr4~tiJW|jlMte&9vw<`e}1h;w+pRv+nj? zU9*4RCZ+D}2Pt+RX?{Da{>7)xaZ{ULlJ*`Nw5HXNecA0&xrwv0-}(cGaHbV(J#OxziNhp=+Jz*ZUFM zrRhq$o}Sm!dah})zCk}{{c|n$*o_M_%VRG%E=x$OZ!&UAg#Oofzux|S7WG2*)Y1u# zw#^ybVqp228czHDBqkHaZu$yz}M##r6RP`yYQ7xh0OTEdN^8<51@WZw52($LP zMD~XH-QAB`n(SF{Zr!Qij@Jj>yL-x~yK6U>VeR)#d|S}|M$0Kl-Ab>0U328Tbh`77 zcLOH;7`}gpPWq^83)W0JaZTU;eaWiD{q-LBkJc%9KH+L!N5`{c1HQeqO6zXEHuXhu zfVu0=b5dEu)YwJ?eO(IdO>Q<0vRY?-X_wcr#64$MZ$7nbokb3>##jfvyo)#YFTUPv zm%Z_OAI{Z~#FyRno4c)8Hagio;Ngo;H!Y6pPHpNOIYNKx?iH74>jsti0=wbwExSJY zR8S{zQJmMYq4^OlzMLA>NZ)&djMeAF@K-K%yK z)ae~)Z;#ntG8*-KA@WsJof)Xz3zRY_sEiY{0A(SYl)b86R8__S9ID@`s*Iy@s(yzI zfP9=&RT)PMuKJy-$~Y>q>UY%NjGAH9r_|q!6Eb<{m+HCd+L%e>)X69O%Yh$=kPm@F ziAz;>L;nc`hUo#0-Y}^EumrIZpsLVwlES)820pJ;tO0ze?j^$)4uyH>^#iFwDyT1lz$z9fglL5Y1sU285QByup+<>E_3RnGa7cXOm#gkLU~ClFap8Y} zfzfjTRRA2Yhrq+X&nf7t$NXXV!U2E~Fp_jA;KrkY;ExKk z$nCkPbU@)3l4dkC=@iO21L8M)Yax@R0RyX+7PHq4s|TECfp?&RiJ+;Z4vHp^-{<_8 z7tkl8DYylLn+{1|*nfh6k5UJ^jM4nAdBYjRJ*ti>6s9<7z2bpr3rx=t9F9M#@k@jR zbBB5l+;E6r7!;AJF*KYuuh!*U5=2mD2N z#3G#Bc(xQBR4{*}2-K(1@PK9{#%DOn^Gf6Zn&MzKiwuEf{K>Kiibi6@5{e^}qx=X1 z7)))blL7fa1B#TQHEOG>je*(w%_lP)90uV1M5@)0PiFX^peA6ceAJUj+dR-bz+xg) zn&64eK|GNwG*wGg8yUkFj?yEV({SNXW5R|Dc?l?d{=VJ~!yq(@LpO>It2OjR!txqw zDR8mJTOhB=G-nD55=_TJq8y9f5fxm6zFj^_W03y)eN5p&^-6(Ge#oA~l0{DZc7Zbi zdm`5_U{scF{Bob2Dd^E^`Lx4sya3i?5<#Nc# zp&3=P&Vgz$^EaH0S}B$!(IXI%T?h>=c>RYP4v85t8mZ7Y#SuabRfy^-@L&b*Iy65t z#XTUn#`uN90}vOEl33M+bJ5|AKG)x0I06SG)m%$Hq>^OZsmwq%jcfWt4-=k9(#|s1vNFY)hf|tnvju&Jvu4cMZT~E^P0GCM9D`@m66_Wn?KG*Jlj(eENle!{ zcb4?|dMx6|*)aX*BNvPFPq?7w4sBY>k^Vao_zeTPr1D-|k(0H?iN%>(9A28-5P-Tv|SG;tTu7d%jTT z#`f@hwaP1a=fNSa``YF$OIlaqezM)kcYdZvjn{hpuyAa)$ufFz+XID*P5bQKH`&?I zY;$d^YuR;LHEFDft>+L&UuvlTuCrdxHd9SA*}i_kttzZG87p$u^15wV=_r+q8WmFE zSmwHA@e9BDZoz5(x}NRoH`whFx?ktIw`AOjPiuX2>olBlmCKnwv#iN-yVP1EW3Ae@ z-Vo>Ck}G*y|I3_YOLOo2FE;O7DctV7IMUZE>D|_G(-uvrJ1+it;RoN|{o3Vj;f^zj z89DOFoRBE-@bk|%y?!~!wdJL+-%67D?@GSEXP-h8SuEYPN7f>JL*}_S)7*}qa%21M zThfX9Cf+hG*D|7suFiv1lUm=~`M!qpTMONoMi-T z?Sy>xXo1;e?yO4by1hAz^$*q0-!fKDKVIkabe^zx?xizZjZIFn?-dr!Smc{j&QI#c zN?#l3of&iC?Ddzej-MOvy?)AsZC@=+KCF+U#zrcNS8uL$I4fSh>`EMG^n?EATsoB= z>m%_Ib&OazL^x(sr;9hz&(43UGwMcAv!+cikIG-;{5av`rX}9y7e~=49*ggH=v?~5 zXUX*yt!72OT{eHtVc*DmU$=JjyU^(BwY7E=+J)I=viWac`0M9H?#}5w!072bhcx|d zM>|}T+%iG4$ zissk%`K`&xSpHtG;DoQUrRNw+)3>fs?uPBkY_8X|YZTh%PWljj_~gnGAB*JyJJ$!L zNAB2Xax%vKvQGGcl%46^vY8t#&b2gZQA66;)Vyxl>HIU_&VHHn)_nShWtVJ6%brR@ z_UPD{Zng?ID4CHuxn;}bc2*gE4>@jp-SBO@v|~4PCcUSwFAhw5eE)7r4RhJ5#89u= z(+%u{l1fJf8(TDtadxCT91C8P^=^Ag^UaqgPiwhf(td!WT-a)SXrdeM^jU7j`piwW ziif7Ov+ZQN*Q~65(Xs(=YRuIQkhyoc?YnbnZd#}I%~mYwcfOOG@4Jp}-UHU`z9*G6 zk_IK-j}M(X%5ul5wi(NvWcOPSY?T-Pac{G3VJnC7W8b_U&aV;68l~UNsI6P?fSDWQ z!PGqV)uF3j)#kD5xmquu|FopV<&2yS&h?WVL!ZB_6}r-CW^0+~(t)d{^-~K|=ldwc zA>5K^OI?R{Yj_d0BIe|7|2#>)HH_{w+VGG;mZXD=aU~?hF-d&C!m062VHgV5S7;A8SRb^~D zmr3&OK!ycP^UN7ZX`H2AQm|NR~Bo_-H?WMwqdeN$t_c5}YrY6HQhw=?)UBI6CJA8?|J<+7W{4oMsLFtBj(M8Qqg>4_ty1wk78J4*@PMQ|DKzTrR;Sm8MLO>US z2o2GmKhAMDgNmV>0ZOh2T}?tEg*Fp31xY{}6<6a|g|GptwbM=@40iuRfxg>2F9Zxc#z_$arUk1BC3^=hc@w~}}bP4((N`g1~5 z-A9QF9^v(AUhpsdAJu*o?auHNtL~#elS!-lDB0SHb4oS8_4gBztXlx(*aI~`syr`L z-6jSB$e$s{U%|+q0Z5cH8K)TjBKV*N9QmmD{w?6>G-*`c(B@0h55%5mZSA(jWq~kh z_NZy~Cq~a$YBV%E!-RXQ=2>IGF@xrnAB730=9KUo=tVosPOkT^q-5TlIWL4uo~9O6 z1Ud`nuN^PcKW00A(uCz+Piu`ly3~i2!dl;FMFWY|^}A1I6^*x^R($%?oZQ|PWh*YW zX|Q6}k)mVWrVeORXa41`9h)2}dXStr>TOp_QJ ziux5L+eC?G@+1eG+s`>wCJf!bL$tb)*xG+e_i34{FT8a0AJ^y*{U$FcHq~^9?f1xs zx>?8H>T_@0{64&8#G>2bw}u?pyEx{@N zJ7vQ8CcOh&-dZW}UKQ>9w(^}kYKTcmm+bVD-z*P>3{5?=c?3J$J4inBL~;1>&~;l6 z6o=Qec5xihFKzA0$Y^iZmh{R zn6@Igg|*@8^&$EZ&uSaJTeK*%2TMNsfd%V){aS%O9fq8CNN$+eXtKDd{PEORPaKWI zYI;nSc71u}8a*cMPz(8-?b8*;=c1q5+_G;u?{ICMnMYlMqWtc=b6>AJ(0WS3lc^$u zLASrR^GOoNH2h%ik?Y4!b{RLn(a!ZnCU>%2wtR0=cXwNt=x=Ku&=pp+pX>9e8+Kn@ z2e?0KwEyseWqazze>&S#;E>+9EMf5U*%nW~HkLlq6~0SM9u?Fz%welRN4u}bukCaT zxxKVj55t{L&NXYhqw^3!sO(ekc9S>On{_(0fBRMU+lTIZEet$r*T7g;dl#_C(F zdb?8|v1Y?nhn=Tl%o= z*>6mpwyZP$jRo#?+CE?hdyX82|EGM?zj9*T#`uU%!AD1|iH~)4uPzZ#F*5-@hQ? z#{jTo2w(fgT#^m;vG2@2q zyRP4|-?1qPu|47|4!^crF!sv*#`L@N+Im<07Wdv-7$y3W`MG1(^Y!a`EI;3Imtyo1 zMS{_~5UcG|_q)6F%2?6K|BSfM-Q|Yex(LhSZY=jr?9m(#Srex-frC=dnG_%8p0#Xc z^HMjt;QG^yfY2$M+-mGSJZYNz!n?txxt2A)cbpeLclVkplKdW~gH|pZzb`8G&7h0x zeJ)m}M5Mm|QLkO;#C1I%*ZI;a_0@XGu7re`*Ou0@0sjwoe*soyw{8K$ln6*l3KAj+ zO4lL;L1{^mF6k5yq#GoaP(V@;l#oVgkPwg%DQOUq6zOj8p9^2l7S`gGbB^D+{_oq@ zwfFXVxA&g&d7ioEyzeo`JqCNBZi8v+TNThjNp8ks7DXGG=u5_kn36YMQlysi8s!mR zq)z(l@e)$0>Q}nx$})_I!n){ny{ez~9gsL;ct2xy3UxV?n^|HGCkk^38s5>>mJX)b zmS}!ORFx9E&ro4~p4pCtpV@r=QF+p4`peytjS-Tw<9f*)V_PlvSgy?QBIMA==bf5p zv$W7~N9OMzVE554p>c^9j4rAyir&`zu&AHTK=q8mouOh^I-55Sk-84cb>=!c6B8mu za!*ZcK`R5(wz=8GhcBd%1oJeb^4Q13DccGR@k@hVa>nGopl-1#uv*bz9J}{w%+qFV z(trPb!_|_|P%7@*=cRjMr{b}=*dlus&d{b9U-N0gs8?C_tfWD>fj>5cU|TB39~I?) zrg-G~VxJ(wTJ$JRC>RC3O9kmAlz;>Znt#u!p)Gl=`rNFb;Tq_?0uMS4V5bKxNCBz? zyxCw?kbl0F!yo8>+#wDi5TKI+)Cqxe8Axh@9mGMx2W23EwxEBXI4HS*jT}%$0GcG6 zpkRlvK^@pwL7@-&YQU8;%%%ImSNuB@2YsUl44z*T=Y+~X0ub#a^9Ft3|GnbtZ?@?D z1GfU~5!C6RJ_k~qpp6Rr3V?9MALJc?X$J(bMgg1vH6;N8{Gc(P4FC)5!1S6Gw2s5p z=?6cOzw^S`4gdup9spoUz~ebE^afBD6q*8ZEq_=jfL;e2egKFD;9+203Q9-dXad-3 z;6J&5@&xQc`MtXM-{IT9&=;VTfN=D4-cYkh@b8n&`@g+V{$`UN0B!)#3tlt;Z~%P= zxcNX;cc4tWKk|<_fqD)EaCZ%WT_*&X834I%ZauvNhb%qdMFfk>AMBWaX`TGDqdY)xQ(&`WibG#!7lK>*rx}Wt_NEo z6nKIffpCD%c21xX2h5Y8exrX_;Xr|jQfc%e`lDK!6pGb#+)aOf>Txz#}U0az@-359{Zj)nquGtljU7YYM= z9SsG|r=ZIlUMLLgbtDuDkFbHC!_f(I9O_qu_m-fdk6sGmM*_atgChGVaB|MM-=A45qZg5Eb{=x6B8-ryC-<5oPg7=k%L zCl|hhR!uMG!y!%su?kde{y|f7x!#-+&kxgc8lq?*bRo!-jmTBqard)6PG_^J2YVTr zbZURH!&7YQ_Nq#O^7GO^NLQItUpA>!;brNcVMLJ$>O)@XtRDOFUMJS5VivO3N|2vc zF5Eu)_!1523Sz)?d!)AC_JkD4xeE`R3LE!I$EGkJGTOOdbi6AsaL2A9PZ%8a9POKA zc(N%@#q^3T@3h`%#8sJa)uK4ouI7q{HkXFTEG-j3Iu)9T#Oh6#?mCxl6p38YOBczt z?zqvD6)zw-N~K5dX=MwyAVn|*s>x@4c)9gJDM?$MJ2!0<`SRsNDsg9Zc7Js~I?C^_ z&VDG_XCg0DxN&FR&CPQ4A+3@p4jRFW1vDoNNKPnyWAx3<3Pqa~xwK{Zyjt5AZR2@a zBIuKd&2lt6Pg9-D`|(p1l4c*RT^YZ!dCnVSxv=p1)qWq`l3ojo%-~_c6~T8e{kwZu zp4xd`rCcnq?eD_yZZMnUzNR>swjr*aV65?3;qG0kIOmXW&v!p$E#247E-sx|n*OGr z>-AEec^9ET_^vj;MAp3l{|%xaO}#hI%$pfGTaHYfR{Bthw zcSweZe8A9i=K-eVoU8ygwD&a#{6z_I*5azA=UW`chsbtx;$xQ*F{a@<*RSH~uL zOMm(XDe0pjDaB<8Ud35Kg~SOrc(pDZ13wrF$-xi&NUeKdYIuV7Irz0M*nA8v4WNf8 zaKZcig8pFpJebo7h_PIU$k(u;;DQ9)69cQ93n6A4;+V9x)egF?$F*eed80Q#pf;Rk}dxj(%kK$HjSb+BWya~~{@e^R8s zc&zHl^?-Q$Z*eDfv4$fI{qdXnr$}XXcwb<@;&=E>L9TmpucAdoE_*z?NjMMJA zn}gRo{ZH4w;!&}F-yIwYxGo%m$C`xAgY1nLz4 z^hHVpF6qWVbQC;!D*R2dduc5-Ye|o=EQaUsLJDrJ;$1jv6*}nltZlY8O^blC_U`nT zA3Nu7dVHjLE)>YE;2x@wz&nM9JWarG8kOd;oeRl@n-VX4lY%QuS)a8T-KQfd zT@Ri>U0#|keR<78D{$t{B(ltdNYlk_PO+Xf8)|&(w_9BpVm-kE1xPQ697o+H~M z&M5X}KAn?$_ufUt0$*8T+DJ~l;B{3i6NZZe5?mT8)#Vf+ep?g}JvOsua=GlmRsJhi zzev|xG})_ZRh*L=(6fsC62lN%TEOIBbk+XeJ4kGQ7So`Mpvom9j)FB&7l&&`C0nT@ zewml@nKZC^OjDfXd5yBBD3WGLPz?t%aypWS+S*x2v6y#MmwZIyzK6;vnwq^yzn`zV zTUPXhO`?gGGP^aH;r^;hvG}>pn}YqbloPWV15;>3kM*=~r~B=*k$v8mOF=OZ*`2<* z*ROhciGxAZ;FffLssa|1_1CfoI9|p-^tp(o_sL%_o4BRRh?I?qxg~|o^>!5#%~5`O ze&<83+uC;P*Sog<^Ht5*x9IhAn{tx4SS%VEoT);E?S^FsH|f8HUM^GNdsX@fg)97W zI$iS?mo8P|Xt)g5yL%Knb zCij*Wvf&HKXmuNB_iG}OFWkwW7i~?YFl16vj9TnQU%?XY%`tm1rI$@ALHaI}!8hQg zNx1%f<4lQ)+LqK!0*W(jPC2*UUjA?~7b)EM$|I*Ai8NXSInTRH$S*x1rR^x|a%GVl ztkEl$38TL?mf3v)L$IRkW->{Tf(#mibDpC{rD8hI?N>bAY0E_%R}`F_9YU+cWSbkQ zxUd$(&dqs76fEzZb5tlHBB0e_(5SX6?Y{K*YDi3uf{i8d6$s0KMemN|eRawW|Ky0^ z3A2yMw{Nt*u`YS*)|#CAxm0t{`DL*gt7@OwS23I?><@dLQjBz6F$9fcGHGx^g|I$1 z`9gC1WMdFd3EZ)7Wq2A+xPy94V)#0|BNS|b|EbN6fr}4zj3YTxy$#(@E0ke?$@30e&S3=RifI6xr|!A&QO1cUx*$pgzO z02;ymxB=w)hi&1wNI(RKN#~Eumjf!G1z71Y+X5`GcEU(7C`_(;G!%%Wfksw1gZ}Bm z06ROlkzmk2?L|5XV5==nByYBpnqEQ(C$$;2OT1a|d6KM&5@1rYZi20bPceCmIlFLe2V`$Q`6w488`HBhtv_IvxkDew-rq5g+C z_V-}SLypM5IAI*%q&WE3pJB`jH4Cc&38?Ix>i6XE4)Qp+!d^;VstBS1&PximroL!A zDCBHREZNRg`$iS!NO$yUtyq2C zWU=1XU~(v1$=?Xr806f)fhL6zcYj254(H{o4TrS;1v^3a3t=X^kEEto+%!>FsBo)e zkoI43j0UUNJ$LjlQ+-#lq<2kfer@SaoTG&hcHukr*)y@a2*g}xIWzYy!nt(nZr`^} zxVsuQo1F47XY>nYEb{EC@cC^W)~7rKSEp8Eg=Mxsp;o+3^sGUe*t7H#c6Kue{X&9| z5@3_)dF!grW;D`VD~W8#U=ShBx^%0tHHNGx+2s*;5w9~SM6CXCp}q=!=Bx=>k(XQ{ z_O6vn+rxdBUscfE<3ksS*X!E0CObZEe+&v=8t+KZ{Fpz}yW+t?ldaxh>@1FkMjfpX zlc~SVBWu*4$XK*Aa?`~g(wpYdmA*PUPVX|^hWu=gk@q7}h%Z{o`guvMOM9$R`0lIh zw!Mjpk`gxq93(!N?&{2IyK7HQq}gM?zvg6A*EKm|M0sx1JHMm;i)AULlcE$+xuPcW zj=e=BAC{#7v3a>Lp}`fAq@nm^*}&pENkY1lK`JXgeNNOxt_@i@NFOgZ2T`ic7-#Y+ zeX-fGaBB>X>AEdoa*AbH%xlFBoiEWx%3U=uD)Xf>zlJb!5e{niGjFDWR3Wvv{Xhk~ zAwo1VyD=K=7CM%UjU?(1R9^i#n#shmC8$apOCLT`M%uIIKfZK9fjLg6+4OBa%PVa( zO9KNejBeq^i(xD~EmQFtdC7*S$f`NUk)A%8p?oq}^)Sczy-j+k?;Z6V#4P$=g^A{h zSqDp(=VG!gVY;nKPQ>Pl9D>3Xv@I&b_B*lFwGt8CL)RL*{hGc{$4#!~sphl1ZZjdg zlh#MLrlFj+Phno6^vYza7md(~lO?`JuBDk{oNwh5Ic>YCf;Qiqwm{702$r7jYByeo z&HiXNi@}(=->k&(_3{U~^tSA2(OP-JCOdH?TWqhd6Ke@$cbcC*H*S6Cs?Io0G`@0i zWW8+2CN-KmE{%+&6)6XwBu4^nkPSUu;TKFuj7dL64RrIc8_H?QOtH(K%3YVd)V zaF)9ib?veqmyT!~X)02ojEeia`Bc}9#E~nLQ6o*cC=Zl3xLi1}Hk7l~#=~y9GD?0w zOQsZM7WnW*_s~kh>h8lzI+Z1(dXB)dn$zpRyDaR!qN?t5sT?-Opc$?z+B^ z!EIK)YD2m5Nz2#o;}?Yp{Dk0m-8q-w)aQY(_9g0QiTK-x1LiBwa{AUT;33jt>`4;~ zT!^tZIz!NXZ9)E{N-{Ds&A2xP-nVEY?M+8>DPaP^7P@AGviQ4^nQJRjU3)di$#;wK zJ*i!GnA{$a=Jqzv_OHH^j*Xe?T@t=aM2o*O?ZyhM`$Wx3*=)FkjckTm)&z(#PPYvj zf2VZB=3l4eGWyaEw~qcj={&BEhUOwi>uBhy{dekU*rOOarxVoCAj>;?6#pK1d>suO z2L2EU8fbvM5Ny!}G6&c+^6;QQEC!t8aO#-f0os4+n3G2S`zr!vDG;rOm-MoOtMxxT zDd=7VO1tp#$Ui>lF_B=Ap}1>WfY`m%q816~qnw}BV_udnS_IJgl9lo&YSa4Naq7Rf*19DwKtnw4PR z9GsF2PBg$UAaIg+b|9L3f;R`4Jb)SmcXZhN7S8CvI|SEXIyAdxg;kJV3=O$BesAGGTq zhN)n`8(>+14ncTJ{!n+{vCj^6d0>qPZ}KNubZnqA6kHg>KKdaUg<}VYI_tpw?1$8g zjthr2EW_R7VfzC?E9HOX9RK`Uf#w>pyM#COlk9VV#|JzmVWWXr#DA8||4kWx+&wL@ z2ZUbdLbsm3C@ckaXZ+7^;#W=24z}kL*7SwyTKD642;4Su+h6YCirxtG635t|Mr}Z3 zkCROsv$hyD>bbMlFuOjS`&BQki9j-PjE(^F+xdBkjRb}Xh?E#XivyPTWI&?!n6WT! zhyd@U#s&)-9-Gn!>9{6kcRyoCVv5ex3yP8Q>Q%=Uqy zZ_aHG%w}OXyI$?D%2LTD6Mt;fW8<{ad&$4*#hT)N7p?sCs6?B~fB}FTqN8_iYX; zp&sv-r_!tmImTPqRr=1k8;y3typx){*B7Vu7i3@b%%IH{k>9RBFu7d(0;zOPuyGFa zYK%G)VKlpCMp0cpfd*UmBl5MXSA}PZJBzG!Xk$|pzNQn$(pGFPNphI;8dX|rGKdVS zozYzL?&Ohp!zw{tXw*?J&3wD_sXbC*gjPp4OHp|VzPV9usFqyx73QV8#w@Je4VxFp zu{ib6Cli(;=$G!kN4j0nM~{6?!5q=*i zPQ9=&LdbD`H&otwn*@{Vp_{ai_2?Y)G7(|R4-8d}exX;5m@)O75@RE5M$bst%^T$L z(l7FtQ2Jm0EXj%AKi0>V`yK&v3}a}S?8=vIs}eF_eG6xO8`|3|k5wKQaiBDIg;CyC zOX8#r)v1raFM|Baq6wK#3F({Y|?EY|H7U8|3bJzjXDfI(LHrl=+EU(TFV34DFwcal4 zQ#A`TVkrE2YcoOKioQ)A<6iHR?PxJKnI4<*@{fJ5kP|I#V=7{-P~~q{qYIYB5jkAD<~TKLCAIXmi~;L*Gfi!Vyo03L9?%Oy>=_D z3>z!>(5geH~Zb!sBvYt1|_g>6fNKk4C$tw!R z#_ciobAP;EJKikO``r5DM>k=QH#=-Y`vbGthRE?n_!Md1CCa0wUG`&!?xCAk#i`?7 ziM-G`7b8E5KBlNy-Onscr68_0kj|!bW)j=TVnI6e(sd?772CBp{u?oO(e1toKhvuT z&L2YWROZaPoMrgsMe2Z7`)#>+PCNUHmHu|D$_HX?<@@hXOKbCft$&-? z^C&lfV2h@GjRy1feFpXF{z-f)g@`rF#9`*idc#ytjwjA zjYjOxaC;jquN#Z7t_ZAJZMC08SLpkA>LwWu9RW{+$IJ2$Tc7+o#~g5;XRxMjEsHW2 z_3M`1v2yUu+4ylvsFcP|7F`gV@;PLXXf1ZjviRC|O_dJr`D~#u!EcN0X6o6aj&Jy+ zi6)|I#Vx;~p-SdL#)Dk?+=dzm=HnWh0`_n=zG|F>Q+M+KXN~`ClJM*9pBw4Xx*K}v z{*Ahu2j(jI=bZjrcZ2SUqnY}l(0`6kV26B6x_Qu9{CnC0Hym7KgBE|-1C$HYj{kXe z(4!x2IH)e6u1;_gkE5A7+;C7`LN%1&gdfe+;f90ik{u2O4r*C+lF5VW5`Ml3)5Q*V zbf`rU+CBka>EP%Js&)7(E-OMsOvSn@T27++|faG31mUwg`Z^6 zfu1-ZYz=S8!;lZ)j(#9W4zE;zArim~2hLW&S^!S10Q{d%@ZC8;c?mEt*hL3(w-0x4 zP+bD$GI-%9S#(g*dq6IL7k-jO2gI#`A_}}+9_H#C?&P7mLvVL_m|JkT;h?+(Ue0hP z4|AUlFB~8WaEZ?BU<*9KcLV1ZGca9%H~L9-x&seXprj1@-C=NXxRZx6ui)tNY*?{K*a1($x`bicYR5uH> z)4~h?4c0jR*@1T@kdT8Hev+Mz7c3gMoBSaf!EuicBqpJvYH;5BNtPVw65xcFSU?}= zztYjai02#vXMX3`|26Uj5Fz}}%vt^mr~yC!69P3Av08FtJOq9VI}EPq#3X}(E7s>e z6&Ogwa>^P%7JHeYf$_+%NLr9{z@y6k*&EMGMdnl5@7u@SC^Z@y8f2MgGU~qS4hnxo zq+*@jo4z$(<5`S{mUQ~n8P_Nw>C4e9E>Yh-?;4ditWEEF%iAhH-TQybU4Mm0IpmkhvCkrA5Bn70G|oWLc~)Qd8Z&P2{?Env+6$ z$7Qb&{PV%gPnr5PcY9EAOepc+NDn zsruwY(Q9gf?%9cAO^)kMbxsZ8XS4>lE|YhE?lyT9_!O7AS0GknYM}n1t>U+*5v*9; ze)LpCY^_zUd9$K3Lqk}Rilz}UYY%Lg#wL_7JY?yTtsUszB>xDU$dSK#tJH-n zeMT=izTg@gc9e35dl^RVJZJdl9!aj)OOk4YvEvk*kIU*GF!~M_DHaD~f6qi)nxW~O zWk#d)ujVfxyDs&)CxeVzZaKZfbro4cbL>WZv-<~wyIO?dY=*IXmkA8!}tD$Jx6--L(q#cxMS7*s-J+ds5xs*Yf8`WHU;e%z-o+&x; zr^2gG$M0^&=)TsxTb%FT&|TZ4_h8K`RaCPp#eGIB=yl51)An~o{P`QhG%CwUzh`8Q zEw3?j-=6qhGDR0FLpIXJSASXVUQ6~$LBVu=uxd)udu+^mo7dHKUkYwNksS)peRcXt zsu(r)s=&gJa~h^856230`c-6->Xgo}jP)pgDpCkD$}qgzi52C(bwx4tD@J$Hub%ljhd{QlMk=tQLnND_ zW7N4U<{;nlTx@b@I!lJ&Mz4K#c*xMXi$<3|yS}##=3tN7JAydoKFLIkRHksD62da$BIxV&mT3 z*RIcURUCG7hk=voo%!M{s=2%)06^clP8Q z9oE*}!sh~90#Wgw`4ReG`Na#*mmsoe-1(x}{>)Qt?d4%QZ~P}_wgJ!-yO~*pmRD2w)6XO_Rl%~Tj-y#9mpYE>*q-fC;T{U2WXl8 zb|f7eejK&~q(n~=ejK&~wKn>%qx|@1KMvc095@gj`__NGy5q1N$f4u-*k?Zu+X1dt zCz$-P*bW5TssH)ekHvPN#q$ZmkHdC={?JLnkHL0;@Wn}@kHdB#;Bxc?b3YE-fgJL! zI_|q2i|qglxD$+iEVctVWa4z(=*MC^5SVUWo`Y5V&rb2rnmrcVfxz_T!VNzT+W~e@ zCwcbcupI~tiyQ9f$6-4_YyKpoABXKgU?eEujeab)1AG}zF#2)W4pip)&ubP`*zmC( z2uybs+_@iz?Lc5yWpKlf!*(Dr{4luT$6-4V7=9Ss@Z+!@KmuATazexT7D3?Lg&k{`~02VmlBRei+=*kHdC=vi(U$KMvc0 z!0^N1j(!}r1A*a(!3#eQ+kqT%HaPBpI}Y1{z|h0sjeQ)p^D`s=C!_m+8MgEL7ypIr zKz%t*i0x#n4O#+yAh$M^sSZ>#KZjeP7U8y?Lq+{{r!Wdc6B`RI)`e+mktW}%5`GxQ zq){|#aOX<>S!3*P&VB;%+IR4*Zaven8qr;-ZOX;>;#J72*Y-@NEBSW+VF0dn#ItAG zmp)!?mfaU{;uheoSo9S~!|+M`Vmoi`_k=n@&TV|GIG zA(Ccj;<3%U*Oy_DkVagW7I%YA8jS|wz0VbzbLsD|efA8>*nDioeTULNHNWuc?%7I3Mcn-|V;!xziWxKq81wpSZiVIi~(D z`i8XU+0*=#_*^#A(>L$szVv?=&NJaAI%Cggv`;Tvz}A<9kJ6R;Js(HDhuuGq_jQHX z{xh|EUrTHJ;#yfcGx;^fg=xJc{1)-vg&ASzE2Z=@=e0e(&1*8LZoY8qi_-g%`u#BPuwdlp@V=V(P3>uGk^Z+Y@r)WV zAxN4?a~JSaci4EYQ)#xI72)wR8ok&WJ4xrv?J_)apB8csbG7ChYqVwa`$VjZv(3un z)H%KT6dXLr2Dx)xl~#GE=M(Z|ypdTg7&npidwSc@{Ybkar{nUgtOR|V4T-vSJaoJo z@Gp$N3iv@1f6+~&M~{l+s?95*H!WY*&36{!uD$+EJ!Ye zc{^F^lt@@E4od*XttbQ5)~{DF&OCIi#Y)|fnc|d_kngmdDoP!@0}&7CeO_EeUx=M;F1%H#dfL>Vr+*P)m1~`0 zaBPLOBc-*RYt|K`>zS&$Eaqk7u!~JtbU*g-T{t$kE;br?EmO>i2hw>;+UR9fu3CD& zwz3pQ`TUNDN9&U?`&aW*1Y++h#f`N^~Z_CVJVU!%8bH~~KMX;*8;XE`i7+)6C1_a4)!Hz#7=d@07^}dvV&IW!~NWYa#hed=KZUK(i zR;*ULg}rX6=LjlsY0u!tuehfX70|@!%4rPZ1Z-6sGd}J(^BFeM*_Nl_3|*AR91|p9 zn_BydW^WruQ;9P3N~K$m+O@83W*Oy~Pf|1=Gug_TX))^~)AJ@6tBz zRAtYuJ!QsF387k<_Qj&fFX@?FfA1P0HoyA3rT}9mu4nu4R!t^xFXg(n9IGXXNE{+{ z-~5Fih<4@s4sa2=-_eTW5IX1ydK969W^pHAUqNq15B}mIvFYCtIyjI8bWSHg=%B3| zM^nk)LVv+b$FZ*l#Q0|0xn=&#dsg zFd%Tq0I-h?ZM@d zFr4s{d~VRb0u*E5MZ;D-a0UmNKNlR$1n}svpdy@bP_Y5uJ$R$TRz0xcoFMgwfXiz* zj}HCIhXGYM;ZQ>%&&w}GLdKsf};oQEA0 zwn9ExBs=h^`@?+ML6;vdC}Uv<{nr%+rpwL=ByQnoj(|b`^pXHW<-jlycF=!amtasf z4zQ{K2kB@ez(#&s7XKGz6^q#KDt5oOLmW}G1NwfzlnVMC{$@kOA&m7`Ee3quPFjmW zowhq~;<_*7F1}>yFzj{7r%aMbJWo%Hj+UNCZwJZt)sNyq{g5k|PkhyWnkekq#ii=- zhMCef$I1K4w(Mn8U+kQGD$H?QSVAf(tUt=5aIWjo@VD|e-4Nl~2%G5W%%@$Gee}{n zyp2v=&Ogk>Coy`ZJ&uuIjO}3nop2ycNJZ1<@>PGOgse_#oDkuVy-w=%$SZf+K6ZR- z%B*J;yU?3l`L;cFtY}jIim$TU`FeVcKqWUk-1#=;{1o*}rTz{`w9xswIw4yW>a!9O z`0pl+XIn;g3Hx4HkGpYeN`>lskpz0RzGbWHjTEN|5x78e_O+w*>3iZ5VzLjKAbLYKr#fdrI(Fmed@yf3JgVQN@ARU#+7zQH*xb5R%XMba$Ky$tfaZnXzzB5!I{ zWbkWgWT^Jdu*)cmriW2Kf4Ng>ql^5xyVvryQ0)8VJiY75&qPiWN{P@DB(#&RdC4j( zWFcK~vkN1X#MS%JygJe5&rlSFgQJ)=_g?a~jor=aP?ku|tghah6M^oRH3h2DX2_%} zcDE#6+uP-9FwRja>b2>4y%n)8c~sJL_Q5-RY6*qp{Ny#A$2Cu6a8Z0z?@6=PyQllh zym(nW_V7itq^Y);7fpC#Z=*?N#+iw;0JUc`=sr~lE+!W6sHTxn>XbP`um#9TS=#6gk@DznGMnODe`+F3P+KH?sbou=QL_01C#C(^VucB}zd3!0A zC|f8n6bTn^U!I%ct-_>}8e@Ey=BW!CRVjg2p7$BgkQr)dS0j{Fs%ca*G*o51v2%_~ zug6xsm-KivD%HP6ejnFiU+G?G*l|uYP;P1j>Bc}VLR(i%O=_zJ#L*GZjnmU#N?F>e1u;#M zsGw(+U7MnuX_48m)yeG8bCfN|e#XUQsbJO+MdqJkXLif5e@K{#cy3-TjYmD!e>ZOw ztr73)Y<`f>qFwq+#74QIha8wRt7@?V3h@>EQ@OIuh{*EQi|g(RSd|$L(k@r|6*9Dj zW+O3@FNg0$VvJuH`WSI zt&a2{xP7aBj(G>k*9y9{26f-U=xzn(M(u9M#GcN6&KKJmgqp>o zx!`4V|L7^%JLoMeyDgNM7OK^Ft~~rXAw##jH_{Qx8Id?8@Y3&it%MRoGW83k*Km52 z-Z0;NZu#T>brKTa&t*!RljD00gGxfwl(=~=*7a#WR7d;Y$IyzIB{AMkD7jG?GtvF= zhA7$i^gw={Nw}v)@8!)(w@kl6O9l}-X=QwB^ zJ(QsyjRcrHTp`&&A5I0rhC_dt6d*mw@gq`#W@{GLYtSK@PW zbth=zGay0W{!L}GL&A{Hp^bkXX~=ev^VC3ggmNPyovL|U7K_Eo!bVU71i~fhY1aL8 zyN>nRx2wfVF)Qynw^qG*xcd>Va%0fBg65R>~%%0Ypqng26QEgoT?Td5k6_2Y5( z(lx{VGPXx}ghr;ZaU_`*Y7tC*`IRn2 z#3*Etb*G1j$}a|*FZs^Yi6xg@Nho+A}z#pjy=>FuVzn^9V&?$0-nO=QCHA~c(5%0;46 zxT~4p+Jxs)+gHKyrms5fH6JF?kZ#!UP?OX?;gT^jttqy6c%en0%_K&dn&xXHUkuY- zOIn9Twwe!_v<-nCTr_>b-ud5ms%l)_p7_Oaeq5lM_;6oP-^>5v2pcg4-J>mey^VQD zUWv#X2&?Z~47u(`nZkrsrwf<$-Y&gB&{ZlgM{ed_E($9)b=0nN3%H1V2W>Vuz_UQr zdhxm9D+;S`XADwCxuy-HMRd=)eqU4c4$Gl^zWTx|pf^Bs;EIyYRe|T#0^WqalX7?} zN`b?)PnwEp<_F}ICrYEb+&K1m+=XY|!=*XTv$f7W%9qU6DGEepD8l&obcxHSa=mW$ z-pX<^q4xPNS@#(nl$shuBm9dC-{o~Pjp!P@3reB-;La)ix!QGWzQI#|@)SEO^?7S% z3(Z1nU#(&00GIuxS<%9$S+hia!H*VnJoQKSJ6`o|Xcv07%|4j8Bc$bx4`vi)`cvcQ`tkdw-qT~eL&WVyZu#HJzH59Bj<)w z$~_sM#~oW`-c$7{W!B#@l$5^rvkgaIx%iTc%BLqpIx~AmAW4mLDochnn?f@MU->y( zs%a$m5Kn)u0g`y(<%f6|YGPw^h4E>l=IwNs@3hI~y?&iWscb&X?@gR|w(z>mYbMIO zmia~@G|EcXMkE#LRIZzGL3-`2GB1~HK_t{FsxYD|gHILZ&d(OT{t{j=gWzl)U($Cc zRcR``KkmK3?%jP|KJ8~7jytaWT5sbRv-aNiZ@Dg6@9OPa@(J5V&jk4y7D+fgcnV?u z;KH^xhQMFxu|4*TYSVG5^@8&c&v%z?uS&|1vT_P*p7m5fxNL9fnegt#v&ztdw;U?b zQe-(@A>W+@II0V~1(GIf9?hPcBfEg@kgkE%ZWNZFjE#w$rI+?p_+l%8kf~2#v5Vb$ z?lUT9rtO#+iQZ+=| zFHKKHVXR)JY8GBC-%F$`L@?ick=ETI@R*6wwGu34+l4;bxJ6 zb%%gai*JpPhE?mJB^}~&Q6!5HIsKqT4DaeT-Jl>gpNV%tOFYEeGhORCl=`2G!YKRo zij$WUDwD7`$~E}gQ&%04nxsGVe@6*(CPgG4JS(xFi-exQu_l4kWOxyscvg>SeDG46 zgbW$&SZHE!-Gi&b;o_gfOlCra&rM3k%U`cXYqU3}5l_Y?Qs8&=-ekcnB+ zFY^4b)zwNkWsT-PvJds-N5rc~@ri+%VJ~&8Bd2J|F_=RS4d`5{e%a4Lb#>B?< zY*;zS3=xc({1t2AHN_l$SnL(nvwCGM?{a2>;*yfK4CTUxo^0OvSgk4vH>LR<2s$pM zfrHl`@QeORN&`#wIUpaMD5Ze`N)CnoTT1gAPV$qFcr^0(lm<2%I*daB=_O#H1}~5L z{aqhmUq=SzUi-9-Ua!mLyVRi1%>o-S$Wv4Yn5*bB^objW@r`Sf9!SuE538Hnv1&p6$O zZ(9$0`7qR(w0H8ChFU1L)<2(n@nJ4Mh+(zs{DljAwhs5VpCDM~JgB8c)IquBFM8u* zYXn`eM85v{WqF!!Yp(atuPc;az}BiD77ZhoTr-J(-n|l6qKfi@GRCloGxt`4l4wFI zlN(+fhjmnh5EpLE@Y(lT?^a)$=m-U@2T?Dcmgv;#+gZ~MHV#(A$ov+xJj8Ge!)Ne+X4TlU9& z9h%?VA*+@}OY7GD0@yZS8Bej1-@FY%M=6jYpcTVE7H4tzCQ zj*a7@mUm5B3weK`Usol6=LxbA^LoM#sXOD;$V=pu_N=0o>~rL{9=0T(gtoXG13F9% zhh@Xq-=4$8)EY16tU|x7VK?$<=7+BjA9CmQ1kV}5vAC=D7{aC1EIn_KCG)8I2hur6 zDtKPzs5l5Zkm;oA8^sRHvOX$DeW~TZ{{Ah*B1etj@$L4#=qrO`!P74SIK;7>xNw>& znHr{ZvvsSJJ(Oa_(rzgg`3Ra%am7=I*C{JoZhFvi?D+D3HyaYX31kEDO3sk=pDWM{(g{1kd+!K0;5r!!MIEY12yr6tOo zZ~r*GR5GFkFU2~<$vq~;Iyn45I;CKE-^$KL-|#*a5XgdNH~-@l>o@;po&!R(0@WeA zI8k0GZa8!(jR zF$5A`?5u41JnTjgeM3%Qwh7}o%md3YK6t+;N*7_kvqPa!u}=U-!RdbHfNkA58VO|e zdEqs&LSP$ckA$*A*n!+8>>V#BY{SpdNKSC84|{3(&&v7xBOtp8qRb1SISe zW{X3rQdXZKxRpC9Q?E|F;iP;)Pd?ZuIrJi3-|8WPEN-LvfO2+&ScKl@utQ^#eM;dd zpR9ahg`>Z4>!W8eR^zqZ{g=<=v><}wi}dZaO;;*Yk+X@WkHdH#-%6yGjgGjkO@2N> zk($R0`wPYHTRtAw6oYCK$&~ST(^mpeXwlCQsEBlMs%AfUw5W9Fn^s5HBiblVezWDu zPj*qfJq_Kt8u^2FYEo$D+RV)_kZY)75NhB{Q9O~jWHKu4;HD9$W{0?0QyoQXEJCaO z)o{4zD@s{`>7y$g#U-0>zOsM(ppBn7x2S}&WQ|mhZ|o+2=@j0zN*{@@Op!qfq@-l3 z*cpmQ87NqBdcm1veC3*~q>ard)BhL=O45yk33SdxF=!} zs`-`4(a>dq*!sE&CJsGM;8_p z*jC6|BP|x=tDD!gUa)y*+UHQB!u@6UPQ?-*ir zOf7cr(Mh4ElfEZO@1zwuKlyn7NelO)TCZ=;{i+Vl5f&QcsrQW!6Bog<20@?J-se;auvGv8dRl=_}|{IG$YZpLf8(npS$A{^=~Pziz`opaaN zD`io4*vp$H-JJ_w#|#*HN}qJufTAncrwTpTyQgR8+6v~wjT&@{@`k>i;OyuNxej(A z;`z>d{AA+3PH&#naOcY?7o52)JSr1H7FEI2nk2PJAXtbKQ>d15Auug_+VPY?RH=LO z-QuT6H)&qCtdCyA>Z$fCKP@A9?;Ey~S2A0m=98#*yal7Ld31HyZzkQ>uicNuCiOgr zUHJl|w=KDm^N&KRQ_NBZynTft;osaImCsZ%O`97Gl0<$9(7JkY zzAL4b<1|C$kXZ>Qy=vCLz|6xY(LB zUGbvk<0;hOYJyF5_9gkK*;Lf#a?>EX(|1Y~nHQw3wTLO{CwNO{=83Th?Y>NZESZ{f z9;+~~2uX#w6`s5Fz3zehD2M34WbyoclwkqWiJaES(MOVumHEp3*~Qakod~@y=O)?Q z(Vj`C&H495h1rr64|B%5Z{MpdJ2x4a*_0-%Oe%QmD_5MB;M%LVnGtvrRvW{+PRnO6 ztLzL9JaBW`?=<;Z@y3?}^G54yK8A(t_Svkwy&T*yw5CcYy{|X%_TBK2X|V7j1VXSF zy^Ng=bZ}|BI}#ceby=&|lhs;7Fx&F^1=*yfbN!+kQgK9vRHB?Y-?Vs*bC+|tp+7c? z5G1>pq&m{6<|-&=W3&<-%o^6FmmGJ+9Ze!NNZuq=4z+0toeF*B;Ud-d@(0ho6S*0N zcYJOR(e>r*GBqHk2&^E`GvRxEN)S#gc#CN2xhixn?Gti}VTjkK96Y5i6nZ8~ud}rE zvBvT!cr8fuNGY|$1GS7uDJhFPT?sc5$8Wtk^#O4u6_fnHd+`7AnTaR(wa4iQ z@6=F)6mu(b=T$+}51WD*5$24M9%Pr@g7>LjeJ+3KHjCUCQUqHm+dLG~A^2LmQ80X`(xu9j7*k;gGuPUEmF%ow zd;*nqYAz>*&5!-pS;P5dZ8wQ|QPDSo>iXxg*R_ZdJZ|14GhafV28V#u@YlqlLgB!S z{bUp8Gy+{p5Ke9$Rzn^`T|;i*5@x`y%WcTUYQ(9>uFH0r3J_R-=dd1pn7@>p1M(#g zkfY^E}BUku#ISh*no{=&J9c=hxQ*f|WjSq*iKAP{a=0}f6g&PRR%;0VJ}&WB1p9-%KB4FpU~sI~CXR|Iy_ z-{_zJ4NCi3)DLyZxFXe~T7Rb(rtuV%DnxaR%cp7$n9mN};lYq1HRiN)(tmf5> z%!l?GDlgf>Y2tfCRmw72YIBwB?~_P9ZlYL!K9kT-!4X=jEU*@>#u+3wy%q3*(`xxs zVNO3*A2Y|o15}&>hs2;8DGwe@Jggr0QMHs`!nMe)_>?N}#`WxmwtEYEn=lX<#+7?9UZD?exIv&9Z9GNQF%KbH1%5% z7qe@87L~V~cxf)Ckh-ZHtmw`=J7ebeXmO}!dV!gG75~nz?|!W>IreTqs1(62KJO@2 z_fCbe`Qymk~uKGn7E2UI~I>CeQ<7C zplMYc#dt*x<;=#o-YunBZl^dEt^M=%#4-@F0G%a4&)eTW5Bp7y+LfG5llxAot~etF zH=p?(O!>KC9(7uWN@gA)SihrM2hGC&<9z1#LlU-g_n?#b1X~}^!6g3g0FuA=lRIQA z@C){U>h_)x_Q+PfV>JlD_1H*jZ$>z0LU4<~&{T-BD4FTa1@p)fRj&dP#lRxprm!ow z*xtxhU0k4V3aR-2@%G+6rKY{rbr^&qF4r*VeW_^%HW|67C|; z^He!L<*3Jzhrt~$>rSJmOzQ6Oeirk+W9h7}=&ZQp(nd{5U$mBl;u)-Un+AWduR2lE zMEvd^&rdIC6$O`)o%ZeeK2OrrrnO$)fBnu!%bXt7QfFpQ=&5v!KQdIhi`=Wf~WDM8qE6W`VzRZt1Lu zpKHn|JThCS6`_`dU-9E@6A7=DVY>R}6Xx`5OLlBN#ALWd$gGHWWJ;OH8pYLcP_0P5HpGUlRHyw7uIPG{8ot0*RwfOu?$#t)m zH2?31`jBNhYl#)Jyc{C?U`Gdu)dgYM)}=UGVu?Wc`p^s zIA3A0ayjcCcCCDTUBc{`PqI61?&6m4}%sY>ox5OJTlhx*YCyl_Qn~@!#dAXH*GO@tC%sS z`2nAIwRy{G;wF{-g)NIW`=_m4Yv;8f-F>Xu20b~Ir`;m6EW7I@57&Mj_xt50k?*Z5 zlI~_yZ#CO(BL6fxrE-aEJ#KDo{A%UpFHcNbb}dNv#DzWM$YZ1Dxxc=^jCLyk7WgE@8#QCYQ{JM@xLi^8MCqky|7) z<8yhU>ZD~RtEH}~A4RWAsZRY>Qy6T%=<4Q0vDpSld6)Ej5-8i29Rx!SYcBdZB=F{^a@XzvM4SK#S{2y6q;6 z^F8$!$E%u_>@SMRDK@M{#psN+_muqkZ0&hIwe{*7oWm9$U7AxIo$T1LH0-?bk|(wY zr&M%?G)`Bjoqj~L(tM4)u!{Jz6{af{-}~%7X7n-V_1HjFQPY|v#n$D`S3_1$OZ@2~ z5hQiacByY%TpBt1hF$K7(vAF%3JVV7Q;Xj!UCE*H6V3=<$szF(7Ab8SOW3*1CneQ1 z^Jd(GbG0e~VFwAZb$Q>>hBTU#UY+Z&Cp*ID`1&6rN=#7DSo7$7!!a{W>*LQ4;&F9O zCxq6wIosP*WN7+$#`-TkST0&N-{|n`s~Q)+zma{n*l!)ZgP`wLRDhcu;$#&3;=WxV(JX7|KbhxDFR7lH3pbr$$j?JAaZc{`o1Q zaWE=AP4KJbcm4=+bkUVl$EK--6`>r6ElwsTIjZLmEZ-Wlc7N8=y^2$UTDoJlwDZ># zxHcvmo|4-caVRS8m4Xmv&teF z-l@KBhmUsqW&e{&{yCrac9&S9S7y`wNg3atyn=prud~Ou>cl=MDN(PP&%flgc7hA> zJNdyc;k45dik~HoCX_5-IyR+;5WjqmtX*>N+Ygh<)Z)452<9v~S%E7VM<1O3c`C2t z=)0e0L@T4Zf`|wDtC?Qgjgn{9ieMx$qDN{g%(l(E?|stu>qn!u-O##DZ0)Oz3xl2Q z{kq@$aCju-`+JCo#%#2s z&?o=`K(>ntqG$vXg^EH`$dGuVGl&d21%)MYg{J*9LPlpdG}8i#H&SHRYd0P-7?{>0 zGaQFw;&3!96X?tsJOu~%4Vp&5P>3`p3RIJ@T!ynA8E;Sw(78f^Y7Y(xw^)p7059Ob z03E@+|23rUo$r4&yPv4a=;j-Z26zj>Fvvt$Cs+lfS2GS72S_N9$mF37Cll!u3K5M4 zMPw2QOUD739spLF)VFlL{*%K!47RW zED017g$1w$Pr(uxcq$eH&5+P^6cxpwQgPfMMn5T;Q5p{N*Cc2{g;aFn0Y<<;2`ma~ z#xc7kb1xszws>adkx_^}F=%Elpvsgu{e0S1j7*h_B>gnGU!TwoT}YF$iQu;_AZI z-(0{FaZpr(hgrtLYdR2q!u5+22eTQ`n`@Vf&rcuJ8ec{i()D(E1SWAhQ z^;Z2@JRIBw1ALqj;x6@w=$C=GXO&gk?5;DF8oV1-&$1%DFZ0 zXRPyY+mblcd$B{z6{{a?)|+L0`r(H)m(nli5`{i0uP)deu*iJLQ_=DF&tH1ooNb;V zb+}HH)QuNtN^=_%+bA$5zhO)n`dj(^^x$dkubb9h(y?ri-;saZWm=@gX{?=3sMBf3 zcc*t;HF2PCdb9;2u-MZ4%}+sBsi;VyH>;N4_Hkc3rdhan^OUa{VTn!$?-i!pS#f?@ z;LbG>g-NiO4-VWudB1)|q%SJkd<7wW~7uNuH)c-bF8SbK87x(==ZT^2TiSr&DchMQ`z~ z&0LrwY>*(~xZB?#w#v))9Lh>|ccxLXk5R-Y$D=ilelq5B3d(=qDs;v<_&!_g`!t_# zo&8hhpDPZ@m)C54_I%CBJ5{S~+C?jw#cQ@sEneZNdhuf6mmHz#PbFunj8iVVaOv2t zq|!L&_P2jh?>=nBzB}P?QML!?yce79@@+@Vhw%?*%@_Z+7*(LRQf<-1v)T&g4H1-* zx%qnKtDFip6--@SmNd01T9GV#XP3*WMUKx_<-=0xq?&w=0+G@D<%*po~4l(=+ z+Y*bCFQj~?r4hyKHa^w7tMzlt%-6xD3oh)@6K7mxxco4>UUr)l=QC|v++=?tQ4^!2 z)l%v=^=#AiYTr(Ou9i;Bb&gH=^3hNE%k$+bnDX43#j5pjk2Ozv6BdhxHe9>1TW#jU zHOFq?xlvH`bXDM;M@@3g;isbPxnF$NC zlVtQ>lxsGfX?KfJ5{-DYt>}!7(OhxmZn~Aqz0v^5&QjT#HxpK7J$Rfqz3WFxk4*iM zh0Gqg1(Vw?9UMRVC%a>U%#81j(+T}PW8RmApSq8aH~i{e_NyRrXZ7991)py35V~|V z`P6~e8g5#dJ&BZ!XgeXZ`wD0N=wpLxHT-ZQVN&H@@?Yg#B)Z2r-q~XMaMH_PXJtd4 zHaX>e?ZA{ClWMs)X>X*%y@h|OvJPQB%xkAV7F+2oo7uE~mt;fLjz%r_o~Jc5vxG%{ zW$#qgD{ilg)IH_1@mNLZ9g!b$wb2o!GN~=+gbR}dHqFf9lIW%Q_MfUGP3(|eRT3-9sFY&o6C8Pgr|N7g8uPVE2a32$( z!r!2n?={9GSv;M1T**X6Hm>KP)5X0l%PQyZsuy+1e(oi{XPMbxU_rk^-CiG1h9Ek+cG+F@-r#=?y*P&Y&q+tIZRu2-vv1Xp* ze2vm7=+%ahlW|y}4}bLz+<<$C)`m7l1JUp(CI?kT%D$T0#vOLb7HK#}#k&>rXd2 z<1knhXao=e3L(JEp&>vAw;KFN96%yNusI+u|C?=n66{)|vkm(5fnF1U9Y{}Xc&NbG zKtrg3g?8?*qY$u6CIJ}i05&k`SUQGDXVAIlv~PShI=e9tjG=&0 z!7&{0A)v~@9FN3sDxO5c(*ekXmy1HjGAKAEibN)2P&676fpf!otbLM4?>NL#1n4@6 zMZqF~od?>b!Hxq1K|^$jAM!P_qhN7(CXIrEc91B*9+(s~76X_K1x>}0DA2Hgz&+!A z1Itm)IBbz5*u*)8!?vjj@BYXPr;wOfCYs2=lSu>yiOc|04@-xILLo4TSO$*FZFt|f zbaaLz0@%omBlIscz)Hx*g&?RHgl>Z$2B!gWb2wWe+@u5G${^86I0_X8j{7^)kp)Cjfh!1rBbq=bU@0hQQ9+@QDI^qy z!eF9^uqAQZjW`dyc{gDv)Fgo8gJIhZBtbw>;s1}sa1<2rP-#pojX|X2X~2vkq8U^y z1rh~#1`b8%PHsTp&MU*&yEq1jjYMeKf}k$o++Z+7a33&3oy>N?v_s*6=?3p3jmTg! z$rwBxi)X@mVNj7xhI^g#lg%96I>CVJfQ3z)V>cE~ZD5Fw&~OZ%%AlZ8C>oOt7%&;x z%?ZGDL_?N_!K8EN2>JG1UiO} z#=>M%NlY>WONDWuNOW#Tq@Pge=*-4IE{O~7-kx9pZ!^!edz*h${HKIQ`^mNm(7!p*}(Wnd* zhCsuRL8+EWr{M5-5Rrt}l{>Eii4$JEm57gm25DQ4;Vd5o(i|hPn*e?Z0mGm|UVsVK z1C^JIVL*!%G!DfCZC&mIlNDa^W*hu(b;J3Cfy^2L|3b(L4MKqv`}d+o*7nV$l9}L1 zk)cNdxMLVBmB_@R$V52vnFJyeJ;?Ir;du!iN)TBrNYS$F#zIdngdjE|!%>iAr9#tT z0)YuyqErH&z(hed56Tm1coG@I?aZ>GS6)syL@f}NVvvmlFk}qm?9tGd3(87|TH$|* z`&bb*Z;V6Ii5NIdIL3kN1i1uQ4#OK~M}d?D8AFClDD=ak!%X5acr*z^!;yfb4$jCR z%Yk=?V(&7>DzK!Zkzg9~xj+Dbq#_wTd@RjvT0be>(d}rEUj|z^mSK^+(1OEap$u}cqIfjT!O`YFU2Bd$`CbyORI@AyW+lc-?)K$xJY^i())v?XTaGRa-tw& z!-FC*rk2XsljfFIJ4xzdT}F_ z8AB}zxGQi=V0{gC*S@>;pmzlT0YJ3DF6|p%40b6Rl9af?B)gFY%R?KChNyqgp6f_j z{=Z8{nRNSKa;?AYVc!HC>=B^#+zV&^KdL<-6Z*a(?1UT{{GyR2Yfh^nEA;|&PY>d&kTJS_o_3d z@FCeQQNC!K>N@Eux|8(EE* zzdq#u;-Y>?%duxc&hznS9_r{ctr{DM@jdu#Rcf4bv|XM=#HBym!!CwQ>s&l5C)_yo z<56@*g1~|=hs?G_tiIUr@PUlqCO-76=d<}!bwBzRSHCT+sX%E)U0k~LhUlx!tJgSA z&M&oyyhxWhANjj=mAFu?+_@#=4i%6-xlJ`mj9W{>xzpv#wdPB_{#|)7^uZaCuW?Ui z;Ig)kxmg->uRHPXqOZ5->n;5Be$E^Il~LXv!3iiO&wJ{|GXj1sTQt_tc+bRmhg<7j z&9A#L{qy`)HS>MPHQcXHunw76q|#)uR461nnn;j@QSZ`N`T&tiluL6DLPV*Ke^2G zogE)Dw@Rli!tJ40#om2w^m{Xc^NYgf2#v`gbVp4Kk8!)9wlqS2qoiB()hH9$=TCH3 zbw+JlIQ{;1gE=q0yzNL|^KOktqQ;lGTdQScb|z}1jJ5d|ee>hat>_8QE2d!u9DRuC z@lr0$8E?y06j9!tp!vOW^*rvOimh7n#~-7XthiU{kYT{fMM;e-Rv8@VDn55o+iqPW z$>qqn@Y|#tGZ#wXeA{=8DfATcY!pl|Iyg~4xw+)Ww{!X%mu=TyEPCa`cRv@ClXS0d zuE}xk=gXC^SMHu1^*s|cw)NRz!sIap*4V2ne7jGt*|Dl?%WCNii_g#Ef4<#$P6pc* zf8Bh_$$)74@YP3S+pdR+TiiK5_r*LfI^&=bn%g0dg(F_X8$z{_w9vRhG&>lF(tv>#{y@(n(PN#!BcQnkeiK?0+Kn zO1mKBG-PLntH`|qFvtgXJ_v#g&Oaf8ME-_doC_b=IV>`}8V$bT{4%Q^koCu$UuGA@ zz~69wnN>K$`WwzKb6B=y4!M@h;u0c%!y(s_!8hwQgH5i5e`4pb$ZWO@e8aJsT~LN0 zvnBugOV9LcjW9YV5<*MJ7GYSuQ6O*u)CasV2zP)=2Mm@WJzP7=KV$c-hs>LZf*sEj zAj(0W9vVIFN5=!W3(Z1s0HiaCG#U*@C(x)E z?(84yHRSCx17s0#wEi0zc#6bfFo6*~Gcp};X%v${!9%S!9b!frokWD-26>J&8n+Zu z@4mvDk^T1?LBkn<^dU|}!4P3Ng40gK43i%C*MtmGQ#^3n{=Z63u@@Vlw?H>VUVrd` zVGjg67wEo2qmaXAk^tj_8h!0)|G!1N?$#kbz*# z{k-~ya--Y!IIj@Y>B9p9co}dvIG^!=$6<-X0EYjXVC(e~c>6#A8G_^~*bO{xz^#$K zSKwa^vt18oIE98HFeyYTjX>!svbj}lG>nKDlo8Cz3Lb-Fg2Mw_HUaqdXn@I4 zT>0YOK!>*@g9I_~-TyZ-*uO!wamXh!{3D@29Uu-%C(@vL3I}N)90NrMY7O|Vph^RW zE>|iAX(GqtjSr4=$lN2PMC@mVq=bgydW>LXux|sy2@feaEQ3lxG4N2kLq{<&0LP;# zSQ=N(0LcpTcw~tB5*|2*|BVc`c&JJl?K7i7xg8nDV1h6cq_7z{0+EUWEm9h=8gRgL z;VN8%oDR=M#@QdR5CB3}3DRHi!okCX$PMaUh7Jh-nZMAB1@QDW!UBa0^on3(Ln;I@ z3J5a_iyzKa9gcWGp<%)A1{8rtq?2f9GI$SADoe)ziHb%9ssr~a+fNl_bRHdh>%&5L z2P9NTxkEq;^jkReVJjSNgBW&XPzy?6LX{gDauisIG=SLyokH?f}4psic7)}8xI5G}d zLQEzkv8likA!143dBau!q(w3px1|?<Aj~oZ6 z7c2@KxZyyQ<95RP6=w6qFG3>~96;6J3=AMVD1933z(5iO0abu!f}_#l*+CA2NCH|e z&_t2?1nzlaihC8vZqUVQO zNPM#HhgeeB1@D+6-Z9-VUh|;^6eVzmcl_*IaU^{`K|9=s_4OsuotkZXTW-&Ay|gWS zhoG*3V2kj~8JCnKSCReI;;%T3r@af%{wTf6OXK`(ueY|A2kMoC+BUt;ZLV#~&Bqx$ z-!Wmf=uK4laqW4xu5{8qcsf*XvAIk4nWc8oWf}fhpmjxKmUnGdYs1Hq5JR(X%(rGj zZ%=7W3v5(BvA09R3pOV! zY!VT_vT}Cwk3!Pgab3}63;3jx(4pg}KDtq|u`tj}!<(}HO}f{~nlT$GiAhJw zPixM(cIKpwMzo9B9FxcDv6|gA_A+gIEkdZ>SwY)cw6%YHmsW4v{09AI{7m1_vE+l3 z+iEws3%jiN*6Q);wp@+(#IF;^kcf(}1bxvJ<}=UiciLuAuQbWe;g+9T)N?~3RXpK} z|=RYjEiZyvedIY)KU z&R9jW-9GV;7AV%8Z^3;MoYnDO2@|bJHSqo9go(D4pi4ggw$Sg7$xDW$-P87m`%dYt zK4%$~Z7_RmaI%EtYNadf_^PZLcURN9KR%t4ovm!l=e;%Z{^aV^DGoNrcb}6ksmN<{ zkD-*lnQ=ezs@8U)f}Pgh)@bqRZlb9a;}jP!Iz`Nokdl>@n^tht)WOa^6%*(kf9zaA ziiN*nLNyVu`(p|jm5%TD6wtHa){rcEBxuBqR>Ua`mK$-=~n)+FC}KZ|{V<&zRmzu8ou zU{$RUuBbkJ$~WH^vt0uXH$R+`vR?amq#woNS+MtnN!g(rwj@a2**bql^LaC6@kKh+ z{dVTm{8ZdI89m*)#DFos%5j%WL_7r4`R-}%(W!ajZ6dHDw)FA~mkVm$$qM|(u0Nru zO+8n1D$&d4t-I`l!xIl()mg8V?&@KmSJkn1`K65sfyQy`!Y%B-teIApW~vM(zC0w&&ybxP8yg?rc-v+hi&49va$#%W^#$wc6lClf^mxjKXbsVy$KE4O?cn zC(wRO*|_0=!n&I+^{b95^pMuyeI$14EMXA|^I2W&gg}(-uBq% zfU5D44UD{S)ihuOYEsXql8h4s1z+7&vHCjCb)DmH1-usbd)eu4__nm=^JhWR?{6o% zqhjMPFWJy4c-ifwVP#EtK{1ZMsp{3TEAK2UJq+8wE>$!w{j7dOcBOlv%bBqUq~ecd zrj4!cbbm*$BiEfwNfSSHSi5@JtIZuBC3kJJ43GS-CE-U@2wigOql;0nr>WqVi&gh6 zeU3NHomJL#rqj%qzR@A{i?)b4rexKR==`Yd&!^><%8yaS)_jjPJ)zBiUNf59Q*ypo z?d#Iub2dttn*G~mr}=#Q)OjcGso2{Ji(6CY#*x5fE2 z*%OH>MKZgi?iuZK@p7DSrwM%}Y)agzhF!lEKW$w}B~H}6;@$AO+cnTJ{`s7bmNGgM zX8x4VdP(2TO(BAVhdcNfKp|ouPW>oE@EwZ|!vhKt!ZGb7*0AJU5Y7!p>yFIUF@bLo zD_Q@<;oLyQQ13UK0&)-u<9x%R^{@-bF=P%)iOgmxf%%+2W)VM-U;dA-)LWR3eA9a` z_~ZY6kaJTOp_+A7?>|9R9zw{p1UQ1lU1ojg9T$Al%YT9oy(}g8(90!)5541p51f0U zdT#;W^jZiXIJZD^ZUN;az4ro~l>MRim;2F-dMB2rK?(tYG6Z}<^#0J`z=01Ateqhp zLx7crsRp6Yi2wxRAejh}Ber)HSEZMPmaQ2XpjuSgW4e=a&({KK}VU_hHe>}Abj{A z;!Xev4yx2g`^@losBS_rXizCh!eS_pdd1)vP!30g0!jj%$(`N-9wCntS1_9(H2{zs zJC^{E1X5%$+L19~6@ri+pa3K^8v0$}&}0hKloO!>mq5j$xD(r~(}TBLBW#5vBxvT0 z3?MjAP#o>ZD1apbs6oclaZD^01y~daNuq(<157z+9LUY+;blb{n_G%#<&ls)DpIfn zDL*_*!eZzxghIrj2t*K8U@)MH5elFose{8qaT1^Tu{U)_YO%058ti=pCU8NxAT^-n@%Sym1NnfA zWaN-)Hb^BvDj4?o;r54NM}{TA;~~=!eFgySm~==l0#67iFHnRJ$t>K zS9ykB_mwwx!^x%zQb%m7IYP)IGW#FvIoZxOZ_H-D+Bm4^M1fZi!V&;3BeM@DCUNLD z;GgSI$vh;5Bjw0&Gy!i2h{cF5FYxn$r3w5e3{uND^!kRa283OJRtHWoiAti8k-Bxr z4j@JNBnpj-^W7WE@Mgk+{|5cCKtl;89K^qnEeBpp9O9u6hW3vs|0-__B0@adDS;{r zkqRtuw%s_OV-OIzrI8r^uhKxhks@#AoBdw0&E~w9BQpCR^>x^BEpN=`yq5^q6j;CD zm&2}zOh1Tw3=<(z{?Tp-%#j$GH+F*rmnO(Xv1mr%n?U@ID8d7M2N+gZ^w8NH3JS=3 zXet2%P7ZiF2z>&QHt=gG1`(Q=4MNW8SBE{iAP5a5%qXal!a`aN8VVw^(^#mTLg-W2 z;UxGeL^=ZnloSSx5Hh)R62z%6U^?)|nGE2(a=9@5ioi!_cklXUTg_SCBeHrp|7C#H zY)FPT%Y?nWu`tU}!vo73%Bm426!`qZVCEFqnm3q_$EMWf=C375Kh1ihJ_6U z2Ot79^npW!ydx1n3Os}#;OU|mXy_rwBtkM0l9Q0k<(le#b@RM18eA$c8d=wHK0@vm zc`re|YG|{8)(lKcAg5p{RNxT8Q3--$&_@u#*+>lRAZGV1+8?FafIc7y5{T>rDghBL zKxB9%9z=pC+%N|`(vlHNhp7cBHbm%T3h+t^bl?R;^#pLn22w^~JiMzQLWIRhz^&sL z&Uq(CWcWXxlwdgTSiWd1_!s0=@|%T!0-0;i7;l7t#+C#OG-Qa3X0a z44{yJKVlK51;^Df09ipiJ9Ch(9eoW)wB&&XIWoilF=hb6c?aTv?hxyxL_@V15UD}+ z55YVTvI@kb*kQbHCSLT1;;26Hf!!Zg?Fbs4u@!7L2(b; zaG=&BS{x&@``&2nQNgI8u`Y!vHEFDESS&8RCd^DvnHt zCM0+?gNbH&E`z^NX-8C+3vd$0#gbWPH);!%X;lP zC{zRqDcBzdX^;Vb*yp(AAycZa+D(6URKKPa&}F;VP~P#RfQbCRN66txfoQ-;c~bRj zZCq+d()-?7xidEwnOL~qNO-8JRKJB-k2_mmay=%{QSar&tEz;@*YE8MQ9Aixj_TCr zo7co9@-H`>|L$jr=|b6!r=&h)Hd8*-dM|CvS7OT5q^WZ@ekL2QMEmCDymTb^x=Iy zOHT{_nNxtVZC@Bvj(dEmUS>f?htxMznE5M}GbcPQ)a-ZGJ3`!l`j%jQzP!rhApM4) zd{)o33NDzu{Jnp|gL~~O+ul8XP`uRINz7Y-?~n4^2L36RdfZp@6)!iL)EYxZXITP5dv9?AK*Q}%+?!d1S;M^+h85-J2}YfK$C zYizQ#Hv1v4V?paB-!ZlM3`|8p^4eATM5{MHGLCGQU(i}xU8po~+8x>ByT>~OOP}53 z5loWlP*2D$y_5-pqhH6=KaSh$&?%^NH1vR;kDju)Nt<3Iak7hlMz9o0xH9Z#vHHoR zcjJ#{;Umw^T`^{^Z#&8Ae)*ByR?FHl8K z^-;o93B|jm6^(Ohnxo!#oRLj1)BKYu`}N3&=Y&j>=Op=$yLSKRPX4-+dG{>yUCgg* z@3cZrhdL;i+llh8@s!Lxm>O-q2QPlW>Qlk@DDx3%fjb0D&VZ6 z_dA7~)@?c$_V!hbgxKAXZyiq_JwM|=@BDtUT(_!Uy5B;*C9&B#geQiCxRB19I`azZ zS6$$jFhUjG+m?6kh6_eeTBpsmWZjo%(sC$uiIqu@?-Q%tR<)d)9QG0yB68?-y_KPY zokW&>#E+W7w;o4}wy)Q_cQTmhqY_p_)JF|X6N$CgX zJMpidAD{3t%5aMi?g>1&#K~7j+5MJdEM`KTIVv}h+-xWJ^n$fj!K}l^(ZqRg&dyuD zOQmiaZF)(LQM2*K$~jm3YJbcK9nBY zk?=(!Zfj&|DqY$5TQ6$s+vsQSt?&8N{^Vhv;=ZSNA9IVnI|H^HwyS^Ku*-Vak4Eh7r3wkH0XQ9~_VYYKVxLU%=OWaviH$mhPwu$aCJ1sn)nJ#^CC2O1huhmxR!#+kS?Y zb_)34>seR&YQ7nHwf_Q-eamy|Kb$ymb^Dabr?p*=Et&PCLn+2#>wW3@l}>9LaUnnX zGm9(7>87RSzHoUv%VN56-iuA%Du&NBb+>JQ%I_a9bn?RLq#nyFso{04#|)!_kA`_? z=PNirlK05XN9pF&h@8}{ExTozJ{=Ro-=5HZf1g440_l${yu)yr4W%n5Q+2yrZh9N~ z%$f2rC^X$%(_w##=5hVRcJ~(9cK5oU<+Hyg5Yd-!M~`{1v@`!_k71i>lU;L~_{#FE zYhldTG09Y|F3mlctt{)bKP5JpZ&*HV!5Bq1zAr8H8QPTzvC8Hlrjbt3TK)wNLBy6r zxryC*4b>luv&h%YBr`85UOp7KAv345b0zUs*~<1g3@65kYbrKN<}q=*jQN+s+Bny5H#>5(=*#H+4i*@`BU}8bY3nZY?GqFI^okJ&Liypx@ z9HJ6i^a#G;5S3UZ5D3qSEqVkWI7B73=n)p^6NqW>H*9JbeBe-^*wilgrq@M)51e0S zQ@h|B4h4#(bA|j4OZJZSf%D6N5%t~zdIqvTaBK#i0s9-yFGDgI`KB*T3e4sq2n57= zJQzYC0T_g|jRf})ex3ke*05b8K~n{23sB4eT@UeC8fg53dJ(w8&`Od3jTi@lvS2sw zyji3_CsI$$u^VKCAfXHjn*W;1we5(rY-f=8=v`BQpFS6?egK9)due8Ar-- zAhiZ5d+3M@9VmhBHe9CXAA9J6-Mj;V5K0HKQjpv{8bJvG_C%_FSnZXD#;$1p*0UH+ zioSBFqgy8!SjY$h!7&@MYOMOT5t#kYtAuqv@@7NfBw%5cvye9UFX))F8Z*Jp{@3Yd z?I#o{4g|g&1Bj0_3K8n@sn7zL3FJT~4HAW9ZfKT=1Y9&MV*+5DIJV(PH60O_H_}mR zD8pI8Ff=NfiHH0p73j23oQkMdkV#Nv4dUYP__*X#V4d*p%)|jh2?f=|9K(SZ4fHaE z8ZiRH|M|@1A$=Aqc!4_uji;dc8ByYa|H4C1fYS*6J4~YL-|gnzk;%!y0-+fmGC;Du zD;!iWp|<(&Y{dWL3g_X82^zIf9xd=E1ZWXCd@1rkyv0G zjz~A*5X!+YGtM&n-&YFnPE5{90az4Hdsem{WFlZ3p#L)*m+;^1=HZD6$!?%tLe>GP z1&7Q%a^k~$0~u&oju@TH!~sPe#LD1h01YW5=?!h3@X!u`fd=t8E-<{Gg81l;OhgKgm|#4MYyc{fU^wKRKt&BQ$RjcQ z-)Ee6hbGdH8ypIdZ-B!FsOqdXK0sSS$OoihZ z5zI1h#UPywJr3I4ftuWk;8OjhIubxWDwC4Q9$W{Hk3@zD}wwh4Qk4uegaM8Y6%90^X|mt zun8e1Mig^E`2y*j3jrHQbqzh;bijCU6fy__LT^Wi<4IU1flkH(uMkIIqG{aQH*`q* z-!{lf3bI@L4xbe4*T|ClQcw>@lCZ!V8Q7}?=Bm$O$HRPL{~Ti9>>^Ab8zAi4Z-aOF zL|9e-n?_DP5m7l~{ZB~Cz!&yPgU<<{Sp~s+Yr!$1$?k=v*49Zp^_nJy;Xlzds z_w2T6wiy3Rc$r1IXY2f}M{Vgx=QQXaxQUt?ef4m`xp@{EHJ2M-6yKD4$DFR;y-Z2J zWJif`sqk(>#$Cmn+cTOr&1`CFZz@#C7iN^@>jocFVCojAC_2eW7v@ywVT4NFG}SkS zJZ@?;m7Q?tj83pUDr3^rd_Se#q2cdB{K9>Axg+vt+>GxxgDv!=!m*Npn|+S?Su4w{2JI>I7MmUU>PqFYNo9GT zKemRxG>^n;i@i8CZYmlzDNgv>nFEKr$6pRox>ND#^~=v)U9RgVHq`BZddYL;r`=h} ztIT@*Xl;pRKGQ_+T|N{$J~F;GvJ5w2)kL(p`DW^|;NXd{6YQLHP z<1BWv;rh$b>CJqV!b_Bv1irayQG8|wqb6t!!R-XYYToRH#R|r^wjJ14`>w0#PiXR; z`7?zkADeBgJ9%%I%Adyv&J~-7QC^2?E1FmbQ%NRTB4ILmOZCJ}!}j5h_bif_c(`-= zETy)rKMfZXge^$dP!AMdd)=J(x&;^e$b3rJJ9kCxr&3dul^VMat)J26Cliw^clAyH z-<&0B&sS!U10o9!w=eX~zp>AMOq@yU!%gbCN>s;UKVd@wTDzA%_l9J7cAK6qZ6b1yYP{e>&+FS6Jky( zn|lcQ^f>bSTgA8^-1U>vRyzN|j@zwAf(*wLsfgqi1yyPPzA`gpX~?B$9s2Xxd)Jj@ zM5N6Zo@dcnYaGaj+B5$0IDz7`JHF2rd!e>ZK3LK3Tj4^{#)7081HE~*BJ|THZjU}E zd0JX(tlmiP-2Z5!Si9X*fw_EHl^!d6I%k&$`+U<6b+B{y8E1lzHf5Y&6H~5}EW6gU z@ai#Y9*UsUa0K3{*4Ay;UxEwgm?u?Ocbgo_=%PyMdJ46CFWBC7AL&u9jU`^`Fo&CO&wdSu7kSXE(8Sx)s#ce_`FKH!y4a+&lb@R&ZO z>O_|CuVZdq^(BO}cVf4ksF|G_l~*sCGUcA}kJVqF(FrdJCl75UUpAGjl8U#wcE`+2 zz^3Z*r{9JLs={)j_|RH+^zL;UpkE(fwWxF7w?p33guRud->m&uY_e`Vn#4b0^$x6i zdL&i;;7>D!i3PK+=}9QY2K?UV^=89?yflGh$N5e_N_4w;u{+SD>4IVSkGbK6pB8$zyV9UH)F+WQ;OudSdBkfA2{hPR&Pqy zH=OhqiId)9OCi8j|NRbfUL$|ZmO_9JoW$9GQV47X1o)@k#99CB7|SGHI-?v70aia? z@V!Q$Awa=F3rQ%efaZbF2^hdW3LTDU04YgmXdwvg2)KeX zNZtlA&5ay0KMWiy01$&x`@2j?`L&^fUFp_ zKLNxE$%;YBV;C865L|#polx#cMMEJx6o4{7GaQ8j0YeN4{8Jn^i;;&-UjM6-Lx{#~ zVRt0X#eo9ZFpfV3s*+JO=k&fLUfx*2@cn7I+>;~mc z$ax@w12FGM6)p+^bpD<-004x7K~c#x3`pWrVYxv2WhS(4!J(*Bn0AO5@!SC-Yn$TD zPQn5BK#CM;IRfocp#>$#+(O(1(e==;CFnZ-OUr23OnDa{0x1>gfsWePygRC0h|x?s9694(u5iGB!MO{ zWGxZs03doALkWRO7e14L=a6cDYX5}>pXGD`#|^y;PzR0L%ou)JB_0E|I%U4S70 z2F4&HbjTI5VVg=GXc!z9hl0V zZoqt5)kIJs2gim2)SW@1W9Z5LX9_yYHRR3e#sHWHUE~ple{T^KENZ9}9^PyU0})Xs z0KyKq7_g&2T^$8}AqCpIfi5w3+hdsPzFP?k=wi9}!%K`prmGJu#C;`*i6C;lu%!%y z$UvUFFBM_XOA+iF$Xf$1#q~?w{mYeDXv;l}y-;ESvQOOYhvCw``IN!#ilqIJtkghz z;nKdZ;GmZx0{c*~G{~iWUxPs}g_<$|DhIi;FQ`A*l}LJ$2wuS;m-h9X2E7!7Rp5vn z?9$;D1c-aFG@AzA6&A#B6AXSHT*^I5y*-3EZ{1+_A~l*wbF_gsg-6rZX&LNNL^%Tm zl}>|P+7}WX^imiq6ub;}>Ci76q#od@;-O}f>#itP5X~Md50T}+Vafdw=l@l$@C;c- z#6Gx@WyHB2A+lU-ZM(geAnnjx#<{s@C0cip`{ViY>un%K6d-; z&#o+KRhzWQb49jn67x|pzOB>UTqpL!fpF*i=CwhB>l6)aNhlthw{i?Q5Z^(VoM$=Q=c{R!EB`7)T{LJ-S%?_6WM# zCV1vtMa3LKv17^G8+&|~DT(LspW?fqcSdr=`LX_U!fC2HV%=|!Cugq;O3nY)=yVfLQycs1U?czYH`abegng5yy6iZ)QckiX z#lTurbNsJxhlzCG2gmN9O0Qfj-~O_;qYzb=+J0BSZw^VCnm#-6)kNh(0&Z2#tD|b; zUsS(8_{vCyp`Wpig5>fr+hjf$V znsD0td#}eF(77+MdJli~T0yz^j-Gg@)Xey58#CFev$Km!W*mK%QhSv7LAKl@fJxIF z8&F!m%_Mr;n$Rg2omdrS*ugovFJ>n0UU%LJdQ(?D%Wcl~px9pjWywd8oNs3=Wu-sU z%kh4o&w)2l#}pcvZe3C)=xwjaE@B$l@}prcx>8{KHxDJ@C8YcG4>y^es=B zgC{Jjg_`JkUo@1jp8mtE*>BwzRcq^T@6wK{TR~apf=;N}wr$Fo*H-Y#q3h-PEv(ikmuA2IEo6wEvt9&L)-^hPp$c>R!6#i{kUsYCl<7}l+z@*b9Cy>Yea4-v z->(YuC!a`aSCrqj&&~VvhecUAPj#kuOMbbrtwpmW{c3B>sj#yAteh`zyrgfHSvx)1 zcIijb`262vWqg-P4T6v&kj($~v|fa2AVS)^@AXGW5%wp*ki#ORSTrDOtUvrqziDvk z*q|rWxgvi#fXdJMSI&VsN(2ppEo3YlxDpK{D4_F?0IsCam_#BL`bL0?KLa%A0Q3W~ zJ{)wh!-Kj5NH`5dbb80aBeU7DBOZi!pohSJu_GQxXizaQbXf4Ou_GG1!vC!Y#8v2B zA;UY^@P`AvjQ*G66hHuz)yj6DtAO;X8|tQzz6M_xp$iPW5}Jz-bdv|(6j9p5K>`23 zOM$;J+)e*-CERqN|JU!PSm=j=N3dCd-Vp8)_nn8l9Q+9gHv!e<_Rm3DY#5ty2u}gx z=z;eHpLN(vfy@oT=D;hVrRi|@9Q;Didl~YUp!bG>pbV;GKz?j6i-*23=W=K&2TDm? zX2abFMiu=Y66bP||KVO6Fk8b78Vdj=8e(4V=^FC32v!A%G9s}t@Jg__?_SLH?y)Uq z$wC3ke2~lgx~G4=9Hdo1yLhn6hkWxncV~$>4stc?Jm742TsMbdv)bQ45@(>@xS>Z4 zS0bPjXi0Nj2@^Ktj>9$?_9b9(4|esCyAJ1SC_@53bdbyY758zCn0+}Ag&-^&?CK%+ z0QS{TvxTV044g7po?~^m0PNFVccB^e&`*rP|HVnJ@744{S%hpxdlaJT; zbWa!D+;idE0qh;)r?20TeO)#P&#-g%%^{)1r;Zi46xcZ4P}mr6nC&yANm$T8;jP@a zvrjTaRc{3b?Rj;Q=KAB{*ub;JWWJJlXC!r1H5B~z^H*o@<_k&P5PmqPZuwlNr-X@y z8s{~vTN~BOPHWOO_RLK1$O&_~Hs<}8Xv?|gM+(>1$3IYeZK`-y|7xU_U+4|fKyin0 z7SrR_OnHkr5wzsw#G@ak@LQUM@3>mnRdM8;v-drF)OK2f|Lu#@Jud#ad2qkNi|;sj zX9bFva8uL- zT>#;r{@F|2H?~>W%!=bbe(J*Kgu-nv40kPevLKd}uZ_&yCzp`qMxPfuvl1(maBjX~ z>94Zz^iPNH<*j{&DV}z{UH?}4)fFl4v`ih3HKV&4I#b43Y}E)qVdHrAQ0t1Z+wYzX zboNtUcl5o8?CVrqS;OLx(y;4s+f6eR8qyyYI&RsTc+6EgK8t)LGu3F#sqde2Ra$NC z-oAfn@BFPN(_emamu>T52!3W*#@y6nh5GgRitOB zEmvB;T-wlvdM;RS?aSXw{5)R0cAQT2Y6)_TjgpkKX`^`mhzrjAJ?-LZN1aas^Y`wY z@611gtUY7Ff#BGHOPGfq1P5J*4-CHvIrh~t4emnIxA_NcPtRZZ{Hs=mLmN4N`&gOF zRy%$>AO8IE_*>unS5o|1Ev?hv(4R&&nO#*8kVE5F^*WT(l^Rtt(M()EwCDlK1A)5DEALrHIh!ys( zTCC;&3o~o1R)LPE;#1v{hl|a8tWM84j+r=)QJI(5vfGx?w&;%M@xZ~tkbWN3U&0X9 zYd#Fv?*e+@%m3u0`eBc~Sd^0`iYmQBMuz6iDOf7=i1An14H-~C?DY45vpab3zj z1qe7q6>TsVhl}_4{kJ)!5(;L=wUpR-N=we`Mayj)KyBIi-W$9jV0` z^abfhgZb;-A*jUwrB0M18PY2fKVEn<-M@NFIFTBYD^eX#;@(20kqq`A8l%RJx!BOZq`o z#ZXU-J#-=zRt>T_z`cjt9sU}N^u=y?7>oQb^`m9bH(B)mD*EU<{y^>M{=|Bk8;Dwa22lkD4E zPwR!s|1|=nWf>Hs6(NYF>E%$@iwy2eRetr@nfmFm2*Y)fEyFipFca!vZD; ztmw=+?cJE@9fnm_ToNjA(4b=XgJ}jQ^pGx(M-s0!=YC8nIi^;8?a6T=9i8GZ55_gM zZHWs_y&C2AIOpri=$|pxwxuP;uOuYOE;G1|xiaDXljEBLeF@VgbW*HV1P~9+%8hWo zZ#>IDrFm<7)2|4PZDH;iw*$gT(<`fP+(|un>ivdIasm$n6))Y8`Ll--)3r``lC~n% z{d8o6MtNIEjb{%^!Zk_d_ zwTXY$EsA*E<@|Q;hx^i>7IohL^K^TYYqhsi84{!FK^$T{bsrLCmE;bUJVn^EQ}O580lO;{y@~spy-_3$K&U97jA3}x~slL z!l!a)^J0pRaOalqm8GxOS{r-#zb*NxOA=n+6m)1RK~&(f)R9{fp52XkGaX+@r!N%S zA0Dde_Wq$fn)%7|8+!S>*+Mx2YnTzIji)$8Rx_T5uGOBDtJd9^bw>JYab)|^1^PR3 z?k?Ze#5XZs?wRdRo4uN?jEGBOx<=;l5nCi2-sEViYERABI7^QrjdGZio*Tc6H0?9r zoa=vdZe`^ax<1?1X}mmYrrMbIQ=Z)v6wDBe*CqW@*Ih$8+jN?_Z=S`1T78_By@TFb0uH^?wuh;`3U-spGU*ISbA5SWK zw&vBss*qLw@Y%s@Ro8b+_I%s;S)aV_WD4Ta z1SiMk@@vYhlk`iT(U37ILbGshj7uG^v0CbKRUz(3dR|Y-5{E;1nRSBO1ep3Q<)3mc zc9gx0^C;ioKk>n#dleC3M*`d#C#lt4d9|w7)#L50{2bSi))4b;Z)x)6=`EpQ`dZzjCliYj#m?eVS-E zMPr#b)o{)SxExEmP&M>(ydvTb`EC znhWM?EZSD#VD>?u&p7}8Bk!%Fx?0z+VY;NdyF|J{K%_gRyGy#eyBlewyOC~CKpLf_ z6_5@Ek@^c;CU1=);AVwlV@-flKebf@fwdV|=Cv?q#t zTx8Q)_tVj+o^AsOMbeBmcC0XrN&_{o#I&b%j$ay}yD|$RI=ws`zz8>*R zpKn-UGCS zKs(_tbNUSRfw^pUKxM|lp~uc*Y|IY$Ki>?80?`LRJI=^Z516gxV-Ai)AajrU6gAV1|#KQT~8v;LOYboau{oc9}ccRuosJ@gGde|ITR z-Tu`@|6nCB2>)061uQrKczwT`xoIBUElGa3PXL~00ZLy+04v_zE^yI5y~Q`x4DcBL zN}C24uKmSDnE}xiC(z%%dr^Rk`k@;5KMjll%>2WE?v1?`z}Vk>X~6R=@-wx6qZ?=a zmqp+|$L0gY@PEFn-|;jD5V`g@@${~mS~MO9s#liIcr>c+I8W-d0dqs`h(1`k=u@y6 z-|-~Q-2R5lsg1xd?nD)e) zGb+}PDT);pC7KLJQHV3T0XUWSk!al%KU{3DzaAdmc8(JbARgw5WJi*J%cwve_lz{u zv2IqF2x~vpL!(f*T~j^^%R0=`p3yaifxkhm&S(38G~ndea- zmQ71`V~M}Om$Do*@7>*n+94i+(x*d~ASTIxB)aY#M_l)YQj;p(9FQjpjpiRAYHV8zT4UQBD;7lU%9J5%8k6V`FVq*BJP*^MNN>1FCJ~LW4iWK zha^et&zX?3s+Z2_g2<#%8l}}b)I@~H=mJk)h-4?$&t!Q9Ind@mKF97u<>V@XLv&H} ztl(XN6^(F^bZ&9=K0WE#a98Ab{dH2W&Co?}ux^Gg}N&1(O9Vhompos3;nG zj38u@E_Fef5kd%)tOx|&V6b4@m+A&+MVdN(BLn9AKVKq|l34npcLdzO$9-uh}vxl7Ki+UUoPMT&2Z#7kN96k`w z@Sfp?YnE)L2B@a6cQYsKOnR@`FiLpfSK=_GH=x$O&c-x&oU47`Up;=j94=VR;x+g> zp)rz|$ER-QTt_21p^%GG$;inoeLa(Spr=xAE{@7{Rl{eB~Ek z1hc61ywU=?NCwi#hcbClu#sB)>pYR=2!`3~QRdDdi`;_iCDdpX&y`xNP2rJdJJ-No zTB>_48k5w>Qkk~QFUBs1>V(Zj6!&oWsr&HLRlS5*Z9SUmfV4?ha7=yjohSoJSdl1g zE}Cd?by+l+vUJkGxV?a@!1e1O5}G%N&vCnHjaKrYOq>Q}V+IWG_s;DI?6hWcOI=-X zBNHqlrZq7}H>E=qG-_SWmWpp6jZDzm38Zijvt>c-6JHxC6h4ZMozlRncYjT^QbPgC zl@*7d(xPQnV&2fnsnNznTlF|-pRJ0q54qs`JT4>D;E}5)F%C7{cir_UwJd>WAxDp# zbzq+<$~8xl%8BXMf@l{l!TSk9?puzzy6`or_{%LMC&+P38O3JA$Y`}bj2Y$73t(16 zf9OQ;Mo#UaOhvPM_n=&-grNGz9)$qs{5L#dSPvNyR>k~V*pZsCxkYv*uMuY=Rf2L( zEjT^h(t?jQHTq4vt-2~7=}a)x z@{knwqgWwyP91z0_VjH-R$N1-1pPmZTa>P`H@;#>T=2l!@R*K9%v_-V*oQK|TNtOc z)SrW#B^KUnxprVN&zZO1kj`q?*@PQ>f{^^ZWsc*3AN5(=hxRV9FLW=gUsH z{KjtFT$EVV(A7+8YbGYlC$9C6=jzy{=w+Q{o7A_6BHozB+wz0sh_vBXgnE8HImx+W!6DtnEqzST`Ga>LX42Q6PU}^+7V#Ctp0*fkV72QxeLp4f{WxTbwY6vEeR-)$AF7@L zyg{41k7b{H)4Nd$9U-gX<_-Qv z=+fs`g~U%7@ec}#+avdGA#npu|La2H$IcoM9`~OE{gwy6-P7;*_|L))?)R8}S_5q(CsIV^pEh~KZxmm{5JlL>43SKzlrJk)PCbgGXaj2U9Q#xMJK>> zF0NrH2wi(sA98}&#*iD6MzVsA?v2-F6hn>hw1h!vCm%!s`jLzC=;0pM*6v3)sh6*Z zaiR#x@I5)to%(Gf&?9UUh*1tXNW2P;qO6&1*~ZA?TgskAM__CC{s0K0y>^ak+-x=|jcfomCqOG4R!o z>*S2K>TEx86N)286(EfbNjB_!9+-E8S{9sOKL1oKf)QFRj-)>w2Wc|0VGvpZZTlFF z10h3HKM*^yt6)Q^Vaft}P@=N-oj_$_lY0Z)?6?EF#`f^K`)(sh_U7SSV0PygXY3`v z-m;vy&U+swxg_UYQVIx+O#{PJj{c{mg`ZrC4nRB`tqhPK4<#lAGbwP$@#N4lfGM;$E5-~j`L z+LMH?Pc)(K1iX4Dadw-xECV!4u?V84lWfojCQ&P*Et!J~13Md;ExSa|++b???GUt`q$Pn{`fJCeBc!lAUjsYbsO{-96N2 z@}S9*>5Ohh`yNoswXk9V1B&#Pj~%x%+z}wIQ6AKgy5-V+3jUF;)hC|r%$t#S!~9z zRhzqvo)>W9T2Og*;kPo7TLR8PU`UxN4dZ^_;!*UdLszEj${}(CV8^CP4j~QJ3sAb| zwgldwiWN1!Yw)e{=g_9K9>QlTiU;FvLs>bPpcA)DU!31;sYKk1^gb)akDSa&-dO7_hwie>$KB`FojX@cV=%q`Cj4!T5Fi6qcR8)ETeIm zPzpv#!cF1Xr`2WFLO9abRq7f? zmXKG$lt-$H0<;F4(=T<9x~L6N-_-_-fnb0cp-y0-JXLsBp67x+6o0Z0Qd{0BYKc8C zc||a+-LV-oL~!t!a1wU58aFtl%e+{|ifYGAto#xOJTY58{sp{IOeS-ksQ|vWC>s6N z*6jNUlX*LAD_Ff5WXQzUAZkWEY!Gh*`RvdwQn(yprngEpFVd3w3b@}=z5PVTl==)}*_G=Ad{A%-K%y%Rn=!(zVrWzKPPBDGA8(`JJ&q*d@aX-F;O?8t1WshkzDt_Fu|K|nll=YbPMu6<38~sd>s8@ z(+FzATe(o_^V|9Bb`hT$=H^fPDjQjRmG1>#Lh-k>zP6^na`4xAoor=OzA-0Fqkz<% z*f-5RhSTH~@nP9*!^iU-4*H{k26CMvPmn8ce?4D`UxKh7xu8D+VgF)o6^!hy9qkN^ z>~DtLZ-}dZ287)QC%^H#f5Tkv&zAx`At0E!3C6q&rC0$b=`Qm7@uGm_1duNPdV#wO z0StDJu>;hs|6yZqRMdcHIxvTMcPZ; zFhiV~Fx8Ku0k!xDb=rFg5>z5jYB1>|l>oVq)=t-6rdHcc=UP`gedWd}?{c=o1k659 zHv&AeoBx2{E-VE3X)Cw8eYJkq{Lap4Em5I~wO1S|F@zb3DPtQFXOCDczd|Va`z{Ae z58TUV`L-_%Kf4G(61EMmnj1|pm*{xDxT--pw}Oikr%Rk-hTZ9FOg#Ji0MYp1Dj9^V zKp5I0Ox0&Bz8Ew|<)Jr6aPrUjoeOE@&-A+~B@kmU!{W3z!v{2QA4$nrDIw z!hyy0`=-+7ECdggwxXU@Am`RR`r0a`Oz9k?nZ~2WAVo;Gu&0aP44H&IzX`D^o4*ZD#;@SZa7t1xXo>bfSW4mx~ zc08!FWiki(pieH>M;r3SxcI?YA3bssbNpiL(P=(EZwwoBk?J))q>T`L$mpZ5;V(I+ zyP_vHLI2#Du&9Kwb}zY%W?G3Wz$EtVq$yX#Mvlqn?g8}1i_mKH2fedqe5JaNAh+av zh2n{fmCjtQuo)sxr;+u_nyyUhCV}Mv&*|ymfZe^71q{LYUKK=@ zM3}?WZZ)2mua&fkMJ-Xj5mjlNyLdwxr^s>YqD>M93cJ=Z=MRLpNu@~o=8;ngThj}W zvw31rz7^zzM9}FBh>o8lig~P{6AVjKrj3QEO83PKKa?fA@S_qZ>IU-uSq}bZPDm(Z zbBnQ6v8L*hxA8BZ6I*()7;co)--42BOm$UhZC48oye_)|ocgzSE4dnWv}BKadlv8sUMV}7OP z4PQ8c0coeD^z5uW(@;jn+BWk1Gqj zON2m`K#np3lhdo&DHX=Uqu|e~c2$CxkVkA~Z!cM|rD`V-Bp8w!oOE+VFl>CLdX1!+ zcRs%5C3^)n_ypoWqD?-Ny?It2>YTgZq&%qh?NM)BOeH&QszWF2E=nruWToU@Fu~XM zNn;Cg4C7g9>tfl}v%$XC$I(P`g=L8V&^yoCYuR$Y z5Z4Xu`tq-Nt}dUCIl`&Z7xASg&V2otT_I_oV%50Ylvnk5{2<=-$PVLP@!ecz4 z3i}}ot=h;3;>oW3xK;EPkNWgSY`(Dq!9Jb$IesB;8$HB>;U*6P325f0Vju5jH!9mo zO}%-gRbC;+Pr1j~t`WTtA`Q=G{j4-IHL#cY8)bI!WCSY zV5J01TLMHT8vyTarY`{jIPm+wF!W>8=LB51j9CnfI1JegH~@Jh;F8Azq%j!)gT0J~ z_hOfTJg*-x({EhnEl*1PS1=lYv_IAW_m=`N8i+3f_{@92l=Z&w8<6b&2!?OwMOgu< z>^(@!_)|0WR~H357=f&fUl6GGmD_hN$^z&~Spn(j-HQUB^hdVuR~KahgatQ=w|AEU z?vHxXp9?%54Nl&-%~Oe-OO?Oq>2lsQw?OP=AV8`yH*{xElRk3iZa;S_Ij1 zTIE0~S+4hKZ0NNZhZiKr+f zZ(yG09@_s$WZECU>E%*SKS85|ei#DBbI~X^eOy+Adb*`|VP=3yMzb@)S5a>iN~tM6%6CngK`_Z6pM zGGB_--cC16Pv7I+K{9mCw5L@?m4Z{hCxyseFfzl|+)MQ%f^f%Ak(4;wY+AkNkh{q%5vax!IE@D08}BY28d zPjb8&e?Dd1Rz5~Sa^6uX(OH?7%KD^PhMhPv9KW-`%rU++#M2ZTJ5;}Jn7lW5up`*t zXb?Xpm&4iM!EA!n93W#3OwzVIERlhaW?k@It=KJ9V~!M+l1rA6idl~Ieg!&l_2vOJ z-6uD-@{#30g`SJq>lF%0ZG8HtDCq4FbRab7g=XzwXKos)Z~f*9$vqLEl;^K?i6Fpb z*!*a^To0Vu%J%0pUgdlD;-2<}J+NaXcAvZelk|G#uEp0T}IeJ=+ts!t%znZ?J!vOXLyM~GOG9D%; zp?Lq+u)+Ctha{F@=Zyror_ zCrqWIN9-PrvTc(sEv~*28ZI$G$0_sZ++$vDdFeX5Wdb1xR7B<>Vy;8hozohPSxy<{<0d8V84(>b~4(@~0$*HZDw^3b0G&>W&_$Y6aoDj>%){ z>rv@=JsBPIk@riF5A!$oH^GAP^F~Am6_kFwh&5B)TW!S;26M}~WxIx6mkgu$vBf=W z|2!B1ZpK1__aTvwm#^m=)0(sZ__slJyRk_1C~~gnX6!^ z?6Lru&B|o|3TKeHTj*q+*L$kOn8wY49l!ooRw-+&AhK3)h=-DqnS_Vw-5_@Teg&4Y4B$+M0ooh1KR>fhP|k5{EbuA zE>7ek0$o*@lKS6=ojzN$4D@WlwSIg$Og&kkj7&b;|D8vzt%033omt4x-f1(Pm0xa4 z+WYW25}Q$7SNuarj>9#Izg%PP)n}sO+)=VB;s#Duy71NS+)Gw$pwzQ|1d_k2m9@w{!S{SF!^`_P;P&0|KJ%v50q;0-hp(hsrNxiuXsq?ykInoxk#xW4up# z-dV~F7*F3MoZkPW_YmUUmB6eS`!9X)ZolLId#KBwLjQgTLjdsnyI^QRRl|B!1l?;x z@mkYPpUp+Q<&jnZ6#oLT;JbgpH?azAm)Nu_Jgj>8#UaFP!t66dTZHWl_GXYEWT zJzWG;m9D|DD&21!H!7oy!_;|LP$zs$g(FZCETlyyM`nkg#f7VFoQ{n4jLasE16^cu zx;F=b)B{DIdSL_+9t_w>5jLwFJ3J$=t((S5b!0HkJ!6##FZ$qy@1@9NEs?v1%A9kB+UOMsD5E zl7(sn!)R`n`PnQ@@dkDD(58m`@lgum7xV5U6{BMGg59sJVgZ^IdFaSP;htF&ny7){;`##TxToROJSOuJtxSYl-CIx?Q=$Ldhl zP8&YML}%zgxZrj_teJHAj&I^>k9K15+BU3u5LZ!HttckFdrChlg%qd%xuw0$0tr&S zul%frXJLfmX71<23BHy>VP#pq=tBLWkIK>wr)sZS;I{zNwg!6t_M9%SW%>8G5AC1I zn{S)%!h;54A3a1O%?q-7w{73A%HfR7E+E{rd9@H<_E@riPugiTnB!Hle_=Rzbf$Z{ zBh{gFE?xSCN`YD>5scOlqC4pJ)v>ZZ9QOz^y;)HeDV!N=)Iq?gYnk{A`Bl&mL8=cYb< zN>){Z=`J=Q9@ih81`h?A(5-$nDPn=l^dJ^mC;7EG+?aO7ezj(0q+J%CcoVCbJm_v7 zht2d_GoH~nEB%K?%Uo&%THO;)+9}e!^cV4R2~Mum?cl-$>y9j_4Xv3$FHaCFwJ?2y zj82MbisbyAKbcWW7}z-wJ7*VxFSIMB6wDJPy!7jNXntL5<@iDo>ty--;TPM1hVL$6 z3WIWbhW;caGLkH3=)01p8Gq(C}{6Gy<9CagE0jdcY_>dW28N}meoO*R_SwSlI;A#2?b>NPS z842I@E4eE1@)oS|M&7C=H%h*nd=e7wn$*xzrVYzC$i+8!zNz5v$DsBUP9x`fri1n& zm_s(`PA1}DR!Myk23CO2Tw=g7JHbhd<5QU7Vg1j?FakDEJS41D-eerl$pe&hH>V48 zDI_L4Cv-6+$E^`)`{q$4_eoerD=A~ar=~w#@5oOvHcVr^^UE|dBxt^U+)-mxH-bm_(Hu4#6AD2J|!{qh)sL&5!?Ht zlL$xGe5>d5&!SrtdiVpg`SuEFK4KJUYE4(rHHr)&S<#u7Q>P7ryzPu#9UQjUx%xVk zG5UoslQLJ0J2N`$1+>q*>&zxU#p*_u#mwu&PjuV1V^W!;G-OyE--5IDtS)+py+YgM zxJyR9uuE(d1w97MB99t-0$+jmWgJmUe`749UxQWWIAjU6JVDCYU+js9B zy6Cr5_`hMp@JopCBVF`+20h@M4`2--=Yk11o`3t{*1`AoH@~qTKvec`+!FA^O)Kk; zbsRu40KfcaNT!?kLpFe50i4JfNrt`2xB&ipAQK%J&-l|c z0296c@xE`rsefkQzu$1ci<|z&H{tgieiM<+&i<$V^#8@ifAWU@-%d5%-te2~V896C z-#7fmypQ>>@+bf2H~c=kd&|7SF(^9fJGj~y5i>}cSy{j_2w2-08rf;w{FN|jF^DmU zGYD$jP;HEWd!T}mfdjDyFt!g283C~}!1e+EXuu2@@bCuQ*#MO!VD10sCWHUuec%4w z{xc5>5PW!Jw#a-F)eQV$0+wumT^ASdIe_@gzlw4EpYQwT2L3bq2K>^2xJsGBD9&WMpL32Z(QWc4KBvMtycRc4I~$R+y86%YcoOi}}7C zB`~M-pN-!ZZntmxzf-u~*Hiqo^hSaUlrz6jwXy+U$DdvF#-t1=X8?x!ZXpCbgg-vm z_xF1v9O3w-H5%LfF}^$71>8)4IQ9G07hsz57KVd)1CbSE;zsP83fL}AGq@W{}A5)uc=jj8pQio$p={A|5ou4|8=aRW!ys4 z93yhz{xQ1!3o*R4(A}B#=Xz?@7#M-i)I)_!SqvL#gPj0DM;0XURM|CNm_qlx%ahj1P>vTCkRfkrFrFSE zD%cn!JLJ7s$Bg5Be(9=XqBTDC6#L=cXlhyIQM06Mxz>@#*{&gdC{5+N;)yr3V^vWdh#?O?^&d&)M5@HSGlrdhCuH`BQn&c%-qmB2?XhO|l zI;u^b?GbWBeU2kYL~=GGAIBPaZ)xOQJAddQMXlu`fr;3J8YZ3-tZM0ue=_9-E|LAkJg5B^_mYyR=rCnu5hswQ2nMV?S&CaJ!ig zMtDP?N0XxseELV{8@L3GmoyKJ>TUYCy+<>OM(n253mmhO3I`TqUV}I4UpgcnYitYP z7W5h6%q_O!x6M#pEPWvRC8GWDPxB9ycejt$T}1nL8=${Lv_Fz&_s3Rmr)U5A-OKjV z=*Dj^q?=Fk`^rBVEWYua{P(-}AAC9dt)ADNSHO=ZEdQK%?WZ2&zZoE41mkbE!`c7_ z2=LQ67*{V&Mg`K;Cl?YYLVvz(X#ch;&OKAs6ix!)+JT%iNQp8_5L|YWj zIMMWx z#G)8p{AE=(=6idG2ZxTM2Ih1M5(Y-^B)R$LbS-fa9D^c0_XBbqAwT+IrVYM`%5mo6 zjD0TY?Q|lux-1?V_;sn%2yJDvq=a_r@H{E3r8wr)^V7K;Hw@`QqZ&=VuQD{$=#M|N zc*!r)FoG^mQ3dsd(*^J3Mk#VgSy;r^K^oI4=c_oUbqFRQBdO~^9u)n) zOy*(g=U$}EZ!>8HZPK=6eGor>G2>M_`hX6ROGiZuM4TC3n4@AVTMRce(mckrx_=5@ zPy(hnJhNX;w%?CPP{xlmqnQI&Q^ty~jp)e-l+FjozG*jD|iWkze!$L-A;>c0qt~~0$?Z2>{EDWC6J8r0vg%y{H_f;od6TGZF=6iPPxwji_6{Bi3DGvslLSo)+aKP=AR zM~xF)J>4^lwD3J61xRc9i7LC239@N>0f+%89J3UP>@7x$kg5$?xk?tqviR~B=3d(9 zLv<3tQUY9hEwSPSwZ@-be;hen65YogIwrk}a;{3m^TP3OsD(yDQyhc*kS-)81ylCP zH3W7w!2cY1(pK3SO>uO~HRQAI>31XFNdY1#oca2w$5x3|hpmGb z^Hb87qSw*M2v6Q$6MVS}aJORjTS@6)#tn7MYEXB|Ruka!SA{pL-WAer)~KDfyE&<&RFuU+B|sW!nFBYw1Vc z=U)~BfI0TB^g=(49xy>KMJu-Ea(d z%RaXIVx_w)0e0fXLFDdAz}EU+lkm<`R-n`Oi)Z;giDiPQM9?=CzTWjGXSWHK(Sl7zmvL9);F_LHH9?6@Qo@g^jS z!{cxE#G#!~nLnV*8hlMJZ99hy1TVY`9vn@t2~_#8@&tHkFXAp(c676XYD!G$f=%0R zW*L;9cqDUC;tgFgD7Nz@hi1IpQaxsaC{hNts=qgt^UN1)piGz8@#+Kk(trCrWKb{t zwWLaOtEp?t3x0yBY5r2U1bJ06ovt;sr;6XMAoXo6#+JO^q2A)lOsq}NEsMF|@udcz zZhhC>6ro3OgxULBe8~Xd%bbXP+ZB(a^1R~xv(TsW!@1qMBMy%?mAG`8d3+@62WU)K`7yk;`TmA6Cm&4W$dzr%8U7T=JxpXVq;p zj9j}FbVR%h$!9XcD{DHI7tUK4{^U%57jbQjBbFUe32y8onk|TXB;B^b-Z`QLDy6?4Cnj2q4BVzXz-Ng5yCJ5Ulj<(742ha5_zC zrAm&uwTO4H;5CX0{3A&J@NP=9F7iW@eXc`T(JA-^d*<-TDZ1>E5g*j%E)EobEv-%& zG@PCM=?jYTg{Sh7d7b<(EMG()J21&EC?-G2?|fsWtnJ|DPvO?`k%?I8@qEULfSyDS zR=S>^c{*rQ)zFYy+d};FjBfsp=Tb$}Xv;br&nFlMR(i{79Jpn5wqzZXyCqE-5b?+L zao>3K5@#(YfNdbKI4*uceXno)Hds!gZ!W2tjPp}n(SkuK##aNed}lX0RT>NZ9oH&s zFubokGN2jyTrP2p@a?JX?Y#t;h1V{JlvAR)CK?!PPE4wk*K0Ap! z;yYPztI3s4bO#lDQ971W?O%|$%hGWsoNfA$dJZL5&WyK-GVSx^%vUcbM+eu(oi@`c z1ePBpkxd)AygibysUcPHDVIHP7tNJh#MkywV#c~7wN?gOIA8WK7q`EyF!#AU;tvk1 zHrSNwOlOzq7!A(;UeBF`T;2_z!iY?2$+XH$A3qCF`WS)8qi5c}v*Y7C%918b zsHTqcwx^jN<`%!eq7hoS5qdH!eSR68BU?aG)NHVM4BR%BX5hAM);hacs&=ZkTVC?f z#_77Q^Wx~MB7PQ&iAdr=YQrBv6ttK42>xbAWRII_8%$>6DX|;nWIt#`6E_kR;wie| zXfLP-3S2uY<=FCWp2wQ9hx!L_YSy*IfJQ2r3Yn1a1#|r{WyN|_rFi|YE|?oS>BTE4 zRs|tgi2Aaen@Ki|=iMtgZ{2s&S=!L(!gS&O8T0`r1^fcWI2XL7a*As(X1)2Y;}>B>`*Lg-iNRBGH>R7RKq z1AEsM#Xi~3!>1SC?dzzlWU&axzLTl&hDCa^;Ejl6aM}|nY^K1mLoYLJ+b9!AdW?lm z*k8#zBc0LHIO);y0Dd7+<{C`T)uG{+sPk`dGq*3}JKW4~0TTahxA8}A=Dr2mt$Xp` zfH{mn2IjvR7KU4L=BK4M1`~iF9q2*cKZ)<3;Xl(lK>8^?*Dtk2w_^I=8xX&`D3Ejn z^gn)e{QuQyItVm`+-aP8xF~`KVPu-M#VX!c{Y z4*7-x*v-%{M!l}0@a4ELI45hfB`rlV0%^jgC4EtWCUbHubUm`Fs zw$P*Vd1L}TPb0jUmKHP#z8+{hx?seakw+xUwqGTrwC2btL^)NoO(eDw+t~J32P>7# zgnTXOWVDtrldF~oZz5O6O^s^dcY~?O8HMMARZJkun&cN$efwZvwp4==2R-F!8oiLu z%yDg%IYMCP8W~m_6K$Lg%yfZ@6K_?W@k^057Nu%5#goYh=Rp>8prX&_CW%}q0#QkU zxD|DuRfT`s-VusS2X_w$qS+}Ab}W7(BHkdA?3EyZ)70SPmy_iC9u~}?d!Pxzm)B*z zF0xG$Js49K<)ipUD43`Knotj*>@FpLfF+0SfR!47Joas#x`wOtxsVJH0 z#gZA;$M!YiJQ8Fy-TPPPuRQQa+23OsYnk%zcuM5JNm)pDMLtz^-cHdp5PBm_4ml0B zmBulKZsJS0m&`Wyz$~m`<(;^^98-V;8RRNsgtm}^O%W-Hk)pUQ;TIGur<{4ou=gud zO&}JKezj;=>BJQDxer|y9aah{Q&)LDkO@7rEv4HRTAlBnMnifpkbc>)wi6n&kPpTJ zA8P4tqyBWy9J0Gq9khMdEU=3;jlTa?ZuR5pUB^~UYi0H<+6HysEfIARZ`Y~jP-R9T zjw!e^)E9EWbporTks*k?7V-|F3E(I(=?WR-^BC>^dxn_VDW;T!09VU@Rlnt{2wPd9 z@l`HiB3{en$t0o?{VDg7hC05|qgNdlGg18w#x4RZ2k*l`(TPwSH3ajZ?4-_w$-&uh zw=_9o;5Tvzt%BL69+(BaKYZshP>!?f^jPS42xD>A2?ipc9bVIn`hz(`ND7>6j6LQT z6uXluON)17+?m`sy3#JMBuP@eB|K5y@#k<@NZ}O+9os}R%J-F*1VLSH5kImtD6J*U zwj8R}aY}EKTfl(qvpIoPlt(w0l^;uesf!_u5?jRyzE28gX~h2cCGDUF%wXDr0KdWX z%g~y!AP!|rur9roK*%#4wTsh)K8;L_j)5y!*QeCvKI!z#n|lGtY|2+>3RkC!N{_&7 zPvYYioE@`sVL#JXt1eE5PmeD>dGeiyXZDO$94lA4M&|O!>G~NdKEIi3^;etAjg9=J z?`lbyGFD#4{yK#Fm%6%3Wm$D%VG&Py!=-l`?5p3r<2>;1atCt~G#QJ)c=Rg2patRr z#NF=k;jb{sPgbFSz=Yj8d)~n$x4x$TH52v|CbZuj)JFv*XA>J}dSv=qQ30I~q` zEAO4RZ1>OjyDJ%iSdw1_AN=GZ@T+UG0)!RUFB_tO6xAjyxg?_ZFF>vxIu-)Oj(s{jLM zoHzCm2l8O%L8n6o9OB`1VzJzd!oI99&qAvqXW~?n6u<00Ml}*WqDx$$lAn6Z)x-4> z-jRuLL7r6&5hj(`j}P&RNQ|_~m+2|yBXYNrbV0%=~ z^-$MV-jecSbHS4YaOaF@rFR*P=$+Suo2kjz?L7a3=cflC_~(HUoxqaVk3@te)UI65xv$&-6Oe^dwb@uDN-^ zXqbCq2o&=R!AMbS3;a~em{?X~PzC&qlmgdm-qgcu3&{BKNMFo<`nG=J_Qhd;@Z2on zBS~V{=(T{-C)-anXc!(;aRGt|YR@BHzdO|lSGPnKCmXEBI0&F_d@m=61EDT@n1Q@E zT(r7t`c%cJckXR6tK7bp|HJtdL3-*k;arkL#wNZ1$54+{Q6nBtn$tLb;rdGQM5B7a z0t9gmPl4%;mTIkhwSiesRoH69Ht=)Q8cIv|<@#QU&(n2cbNm+L+B7cIWYfk-W>9If zynEo!;L_jD9W7|HGR~^M8eDWuy3gVQE&&N6@#wawEVVJzf#$*i^bPBo4yo+;Aqbf@uxJ<4sT5p4~ z1zrc`=(?$<#it;d*7ou2E)2PgPAY-971e*Ir3jEuk+V-i4btxh zEouK;O-G^qzVCS@e&P6ja&FHPyILNJR;W*PTsU%?Mw9U+(-*GW?&(-Ic9^b7&zrp^ zRT@jX{3t)8N~lm9*{Hr>EZurT6A{os>V71heS{Tpa;P)CJnh|#NeIn()lZN1Sk65l zPU%r~h`m(c5wqu+(?-1e{<;}_s7{~J9$wGMC@BX2AbYak{E&ACU06&ih`H9HkEZ8| z|EV*F7o*+i2%eEG*^y;JdA6zY+?g;Y+DGWzX^7{+BYM$h?M;x_?F-Fb;1?x&n7=$V ze=PL==+yj|qTK8@z zFOH$#x>vtVgWsIDK)TZ}vcQ1Ds`a?`V1$Nn#Tkp1q3ndVBmhQ|09*Qo6h@bIY~op?fLf z6Y$rsF2<;42R?tnLQT*6!ZUoVD$*MF!dNQ2-5_nYd0Cuvl8uQ0?hHo5L7K=tuBGCW zYvX4)ULXG8IW=RAoot*n<4)D(G##5P(Ar_qhu`0FWFbmqMTq8frC@w-&*iY~WS-Qc z-xKm)Zmw{3^txnY5K=S#!q@T5Kcw}|*!Nt`usF_i!XbeZ0@Y5XFA%P!QfNvBShc~U z>JH4o5!MaXg6~(lLShYvxZfMsC~dEUto3rHKo{dF;Fs@5S;y~-(FPvLiM*_f zGBoLci28)&-vBid&W1g0RtMUf3L?MpsYP=X?A)D%M&D^tlj|<#60a7`^%AO#E(cj;qV?Kk@u8=%pV+7<7 zUfCjW`A?oblh=yng+jzq6PW5Jae0xUje>GmNAe7Y|0zuV*j$E+`_H=A{+`RCbDI(!jo%>AaAUJY+2Xj%RS_&qZoAO)8{@jUJ z4Dam$=Q5t6w0LcCFB`Xn!7!(C5}PO*=bVUC$ebi@KXxll1(6*8oct`^0TY@P=z`tX z<1U$>RJ%8DWHB#6J}5Z4z(#!M{~+wght3Km>=%OlechJ4`R%38HT`kIb#h8O7k)Wq z!FkFObvdfmM0+}6`Do)JIy_e9o?)3*nO9AvLuOf@t;#~OCNGE|ep%bt!J?>o&mjz@ z36t#p=)RDH?1C*iaaGzg6^4|ieAS672Uvg5aODt;9J*DzRn{}n)J&gT?g~S~@QQ#2 znN!~PZGE%QwR!P}G-BToKfx`3X_xQ^-70~g>K?~EPoO|Aq$Po_4M-13V5>cpJg-cV zhjJdPo4|C*7tX4RuaD)-m86AV?Lt17?pG;wFRAIC6tppaRcO1|6n3nd6+fzEf1w*7 zuC?XfRsMd}4eoi#G?!J|aOlgmvDY@@rf(ALMHVAA(Ov8skc zgLAFuryo{s$y6smEi^xMDd%JK5sXLVtYB$OO!4@b6v#2?06OB4^!NAsJ+H@ot=A_%2ZX!;nR=MR zI$_qhYK9=~6RQ}*2p5t0Hgn?!&HRe}101&YM=QaNaIN;K*&HCg&ed=y0;= znCNjau^V&h1LAu_BQ6$WK)}w*YG@49sldU?XlS5+e-7aGru<%V|1bFEJDT8|AFxMMP4Wi;S2WanaG*Vkh<_{IJH0apLZ@9Mvuh~i=cf?2tM2`<)K zK8P9kJ7ouyJIptubbq1~yM4gf^??V)7#Pyw)YCKK;xOPax_Py*8nYN10TWd$#`kac z{Q~O0-8U1Uo6O4S@uw z4+^x^g`$j65R4I!w=ls#HmCVw$q3DBhs2?4}YKo5j(#?)J<^tIWQ0c}-mBZd!L zm9<7>!$43IvV2`|O~5YLSOA9rJK-181~@#vMpP2)k4^4)tr^&GWf%%6CIJD(rJ#E< z0yK*I=Xk_&4bAGF-Y^_o8B~FTU)YGifO}s19&Z?mw;>2gG^nx!GvA~$92mcKRdnkR z7D=8Tqsp#=^8ljyQ#@t(WS2FlhI9R#F@|$0nKA8AdLtlJ zQyx#F7^wm=K6zn%98BBY8hOIR&M4 zt}Ejje~nhSTc1Bb`Pp>`xl5;ogz|j{W*zTb@UskHK~?5Zt9Pq>Rp-c%1?7* z#j3XN$=s%Wh-WaFfJHvDd(odGCOEcv;F%uvtF9fykjNdxj+)r3p(lm!w=TRvi=VNi z-5zv+iq&Bto^SZ%0jALd=O)9Ol5z;RF7~07eBFa@mELwacH?%FKTS9^>FzdiuHyG( zS_Qh8na<1oS%;Et9}g94)fOZv)fQw8*gcU%MS9l{dv_?mJg1KI_^X(Reo>lKNwn~u zBRT4DUk!qZ8$m*SX9bXo_bOEdBccR{-o{j`xDHg9TVbstNt928P6#wOGqv5QPrDd3 zRA6cY;k*1mJ^xBxKukbrTPk|gHPVmFV_X)(LQ{&|(s_q$_v&Cthv!9)%z=5r3-nQiqsYs>9^_{gbczo=3(rH#xJ~M<&Op$gGWz#AEKW4th|vlY zrK#r_?+?#5!*qWAgy?&js?6K+=;eLf#WVv87yrxbc?1q$nOY8NAIJ_6*}Ei`!5W01 z>u(~7Be=A^^{GWQWSrDp;QAozb03P}(#FHIhbs);?5oe36}Zl&oiR4dO)1NvR%Npz zt^yGlc9iFCpg`mkVgmz4rczwLhs5-bf<4T8>E5$r?#G_b=hPOS3OObH#QqU&fo(ri zO81o~tZfF|HjKT4OtOm+J$fW?#Ppo~^~>j4 zdf>hx^3Jm|Z)y>fMS^@&fpmw@`9(6{+;(lB*zV8C>C6g4s7~R>uQv&;!Ku2&I2SCKTp<={JFybzW7g%Vck#|0twVz zLA?eD-$1Ci$szd9Gj!c>aDpI#ZWwBl;}cx@pRU!qp`gtyaIBHwKmvi{X65V4Ej(AB z;b!*?^mKt(!A6$>T=}0q!@4VjNdpxCP^iDrTR|*Kw*2y>i02<`) z;h>&pGc{er+Pe8=mvG%^Fl9Js1`E)b4NnMM_?Mdl4dNGoG2y)(&>?rjaKv(9|F7;C zzeVt>GXM0Cz=eOgHza}n9hf(C*|FO=Z^Syaw9TF`J|YK*#wHhDt8B1^X{w*ON+7ra zZ$JKKx3JL#K&IBb)2qoRygbk!CZArOdX8r@6wU9U7&=6Ty%wi*RBAF1ee8o{P(jFh zW+keFdnEknj@s>dVwTx*rHfbO0;*}_@+rv#;ivL-!|nGAsvo8g zW4W(U7G#yC*QRNE9_BoZfkcgn?2!#MNszQR#D zOPhNaC{Mjm*!kA%)=753+^GGpuc+LbK|bXALjOw8&)lOq`0IB5{^Y7l7B?>4F^j)4 zc%{9?l%kzl&&`q+rdhfubmmwIfm;S=w<;^g^>gf;UuadRBW=24{2BX9LaHoG0x+*)_)j!sO;EW#gw?jF4KIN>;SEf`x zJ#xH%?s)3gx$e&Pn)&_Z-`vU`(v^5z*&Fk{UgfJxWf05E&7+U}Y7SPRgIG;spYmI) zoqX#NecGh>p4(-mVrUIb21ba=|{zLeWXRY%7s~JeZI5dq)=t-OFYCCdRM-iCWy+&PRoeYUm9ZEMINfE zM}AKlY3-fxT0=LHNt<8GS3wiF2pyUs`)VwXlrRxi<%=H=$(YQTs-^CK*~2%;aMUL^ zf~wK)H0PmO`4ITH@9pziPmJU)QYh#r%sy2ug35GAKj)@DI~O#PfI2k$MCIITqE|0V zX?-5nwR$NBU(=`6n7Kr+m1j9qF1(oO8Qys8NfzOeo9>ZEUPAMCjCW+*;*70^c7!SF z1hh#Jo4zTkZDJl7$`SsORV|y;$X7#Ub?B(9i)Q!$Gdmkc-#gJi*W!#Ue8E-vh?ff1t!{IN)EoRVd`^w_A_P(CJ zZlk%v0=HS+QeG>v-Ak}Og44Bbxd`9=(utvssmEZCboVD#y*7}-ax%Q#qotrZ`t&X$ zo`Ng+w9%2r*Y&-0U(q>dbL}3EU=29+KIFhtny8To_R|ny{?O|E2L~MD60Vx3xf42@ z%MoYoW4rdaH#*Y8as*|)s1xeyb?!(&LF6tL!(rtmV4@cI=`~6|*IZD${+x?7CR1OU zXXb?39l=<&vKQ2g?ytRSKV1kXnR`#R)`_AuJH;A_tV zG1|gQ2x{prDFxN?8~44WIWCRX*v`B?>BlfL$N50I*lXl%JhiQ%`)eZ7hyb~?ez)05 z9p|p8ecX~XGX*c2YQ=G?B6K=zEY4ZCds5o^Fr@^Oax7=4M1# za5-7S1Ay-zDHbR|6PEKR0Fqw)1Me4r%nB6fvi|r3e|9c^vSQxBfBi_YfJ)XM{{xyS^Ee7$4W;7{2!}7xT1lJ|77(Cmr<7G zFR%XKijoKZJF8P&m7atCXmSn%Q0R|otSH`rFaMD10e`IiGB}D?rn)L&2mJvBfbXpS z@{cnPe6q3#`c!Kup!mL4|A)lL?4j36j1R#FlH12`WRg@GZA z(MHBF7}f-i#2Oppi~za~LEsRQ#yBVzhuo0JS=lnHKG4?O8K{2-PY!tB_!|N@3iOiT zKMxlE@Wuebk43|f7%1omA&JHU-mC=3R2iX=5E#nH$aq6zh?Q4hYi|q&B+WpR1n>&s zH3k6-2`L6TRQwW^{ewq_g@K%*G0p^zGQt3rG@wfeRFuGVFaW}a;-JV4vDETAy|s4+ zO4sq+AACv$0#cGl7}%r;#NXZ-3}ph5#DeYa^boqm4W{i{sa$iPBlq3e2A`>*s#K;%|MPLyi zFq4qnn7v!Ex?B3p5cq5i;KkwZ4Cv1BfEPgwhWxqmya70-f1N@66Y~L{ z4-8~*;aD65VT6;!C#InwpN>TUIa&;SLsl2M?1ydbje(>zUeN+<2EY~pSQLn!DYvCYwrvYULX)4+zC7?Jj($A zj%y_Pub&wfa8pdcK?btgk{}n01YQ-e`4A)ugN9>ZD8vTC2u__XHXr!p8C(LGdR;2Ex$AHtbmUV z1%NXg5V4oVL?!>);v2&;Ab7$UL(nKJU`$|uT}0u~k~k~`EnxzOY;t2;?tg&gTkhrs z0B~?ROYi^-22_3j+Gmsmq%6Q^0dxdO90Y_CU=tdfpioF4WDQ3f!QmS><64AvOHOGx z@P6?p3E%>N00!{P@B+n^a2|>XSXdGZ+z|qD=Ae=G6U^ZxTuLPT0 zYCzz?6rw;88t}gXOb-L_GoH8e*FK|>3E&_BkzkY&4h3uyAl#WiaX2)vAuvgpq{${m zf6M(3U_8WNAUcPFQw$Cg^>C2Dfc!!&{SS@45jdP7#=yX%&}eX$fE$A1#gB0itdS&; zMc!x{*VX*}-EIWxR$^#yh~Qa|z&QX94WAhStVf^+5BaqP0ZcF$0NMf52iOB+3BVbI zLa-3PZ38AAgwePS9K03uVoUcL*baa*2x3Y=#RJuq;5P6gXuq=$zneJ57>&hYaUhh$ zApqS9*a-vx1tby1Fu;$*LZA{G?87=*&fh(e9{>l4MOI=;z+D1nVwrLx@jLVI+Z)3> zNH|Fp#2EO7Mo<%&G4Ls%SQ7*e0x>bhpf=(g%ka|HJ~Dj31#)lridF=8bik~GKQSPm%RlFQwi zVBjzYJuCnAkx63VfF^AsDG5Oufd>aML154@V+0NjOdr%3vC+L)$EwZ2(mIZ(lKh9~zl}kQmqs6DURkU~Hh-i;<+Uu`vL*B@i2- zjddi3t+_K`2GMx3JP5Xc_YSrsIHBRc^9Fyr6^#L`0XY947J*`sXh|$g5)_PpQ8>T^ zHHMgMG#=|%5?ga;0HI$AC&5bw3NG*m8t8uUx6jNN?>|Wx8$s}xHWm;sBrwJ%0Cj_c z(gA>TY#>mtBy6_yh{h{t0`L;#Ab=z*;Ku?U7NDN|{TYpxlmO-)0m%5khJZs2^eaID z{~axX#6nGQ8_mZ$p2yZaGXQRY&LrUd0#O(6bMa?2u+e|}%mC3GbX_z7cs&A?qCkOD z1qwTWZv~(ZutVS*-Kyo3+Lk^uP}c=ybU_XR&$(Gff59P*{A-hsHj%`@Krt591Rxrc zKxzeK(vToEXoN75!~idOgKgZxUQa(fVLTAEQm6u2W#fr90MGvWGg=ZjR3P9oF*e4S zNC3PZjR5IA2@C>-fxux#MjIW*<(%i%JhIiG3*lk0C4t8RfX@#a%>QyXVj#vQ zSl|g_F($^~#lfI4XkgKS?i>PyjK;>28*%upY)-V2e3by2yb_>f5Wm+z1s0$<;p;4Z z=MesG;ur`5g#<^GBxu482LKIlEwB<;ECjqmAk4%VZA4|(Hih`h;;7ZV21>i|a4x>T z4H_im0i+9}u-`d^zr8cSj|8oajF31C@GfB>NJU`)egHv%ia`j-MQ?IvTjyac`$*t; z0ueIUHp^v!_$n$;EeKZi=UWX5$}!O(&jwz<`4Y2EpQRu#JA~ zT4CiCD&jJ`xKfJD(LVA3Q;z43D-8#`F}v^TdPR1W%UnWSFFK= zS1EmHP-F6kl)jGB%IDjZn2IO%*n1}Dcle&JeO>8T6KZ#z6&jQ*aObwVhn6D4>)X^z zt_I?zN<4IqGCN*Xz|-Ef7v~{mBi{RaBVJu>3Da$HX`k=xZBgV(ebmQa)sx%T#zbGA zX7*mX$UoHK)|BjIy-?AcJ$H3Id7{pntC}!RK)%XU*(Lev4e16o%)Ni6Qgg~kp8nyB zZcn@SQPg^c&rVY<4D&^lPQ*2k7a!|PBQ832V@w2BAN#WXSx}U%MG~6Ef zZMJ;MR*sys_Pg%5{qClz`DW8t>pVV+!^EZY^4fZ5n)+XyFl-dR*9$#DrHGI_-jF6D zj3N4%sZN74@qas1a*K8}^x)Bo?ZQD3xiUpxWqb`k;-Z8tybs>Ooj3&{@eyXzu-je` z7k^TnqUA6TQG``KMSfjGHJN9iZ1VWI8VYUsU;-ARDZ49bne}gSSmUE-jt=l=Jss%h z#KhJqD%eR=ho9suXn$TP?Hx=YQFZm~yeiW!+oCX{ZAEju7~*Zkbirf~xQTt{&6vKJ z9wsE_Gc$}K91tS@#Ezxau&~{Cz2mj4{Zs2ZpFh>UC@F&m6}^_e_`H|oiT@SNIy00E zkr)|7B7yQ`Ftr6F^!0IHmwnfnN@tdM3SW{N6MkVuB8H~lB?X<;n1s4zDn%rxYj$O-eyx5n0NF% z5K82v6WB9Z3zZ4uO)b75&?F=kt4~@-))Z?|^1e7&>oVI&*rU_0k}K496`ej(r+Kr> z(W99m4s_XtVy0C)R9N4B;zZx1Hy#s|I#S^Fu@2TFR{7q}pu zM@|&&D}3$C$H{uPlA3z905)UH{87;J43CAbn#_)vn`6j*!w+fRJmqT6cxJ0r(l3E? zRZ&bcFe5e@oj5~qbO(C7UWi+r{P_;TTg{!*_r={mVw}X|X~mhPUOg&ectuUt*us-& z@rWCFP{Aj!qpcXpbKOkzVxZMj21|AsG4WyQ!dJp3?ftUM*|_UeESS5fS`EEXQ!IT# zYcd;I5Yb%AdwXd1=_k;LW19Ew(7c)186ie-ZuAoSRi5(Rg<6H{3!io|!7GB?JYMc% zx+Hyx^5LQA!0BiqGWE>+{`3j;v2@hrGb)L}RKUR%isADJ$8A5WW)b2)a8 zvyC-xJ{LT%#ItnkN_mc`XQ-8(sCi&p_Y)Z`e6^~HVd?0dU?7N+DV!1#Ia-WzDeU@5gKy~ zf#KVAj&Qqn`l<&z?cQ}Jb)xla7CE4WXF75skDF_{6`kGl;kk)9jeK?j>Z`WKZH08T zS1@{}whnwYAAjC$N$Bk%{nlpWF=rlq zY-(0V)Q!Z0w2^w@-F`wOZ%5j8F{OBvuv?{-c+`EG`?7zsja8z!_;uoLgJCYiBua;8 zC)>Oi=N}Awy>LLFaNFDm%~azK#;#Xkd%|F-kBgUrb>#bgX~j<;SmX+Ol=0kS35BB2+O z0u--n?^T4#k?^S8Eg!6T)cxpgO>L-(=$B$C24!{M(bF;dM`(!PHS9YtoRrIzeZT9l z=7Gn8H~c%O?OVmpED5+wHCo=oG*i{mlSa;esTw_YTdpYbWYpcD&S@_7C64_cpONjq zksY0=*4z0xqlF4H&q)ohn@)}Ta@@jJ^xU^nwrSbar2Zw&MA|Hxulu^N4Mr!)oR`ev z9`5u-yWgWZ8WHx5wJwigmwr{-%dy^sU49`Dr@kkYOXC&XF1E-5?<9A4N;R zCHp}Ig{`tyLFBOdoz-6k8Id2OR(~0!zkhtP`pc`VReTf2FU+{mceY0YNw{*cl6C*K@F8i7*W3t%Kx<~Ts|?jX4$oX>h@lpIG!ko z$7O#zar}b+Td5&{scrR1k7rr|3AO)Qa8PIt4$iG!@GU$rf4Elvn>Y~c0eV4z4ELLf z;}`tjN+bb|+AUvje9uCFLjP}v17-w5a?35s)*qPg9}@?K*noTk1x&WzOnha*|DCiG z5Tn`Z1&2w39S$O>|1CIZp!h3l;eWE=TS$XnwJ86aH=x&{LGc|Bulwb^@yq>h1*t%t z-Uqz$>ZX0kAF1+^t>P)yy3z_xsb#-Q!bT=VO%UfD(MSI@kR=+Uwi_ zH``nbSOgKz8@7t%h*W?5?HJcFm zjtnWg-IWHN8@O${Wh~Irrq)K(hvIYPZZ09E&);Zd%#U=PiGKPcJI_Rw|gY5`QfUBtN$=ZffQ4(ujh3Qp|t)+G5N|A4E!|LhYS?W8+DmbbPifrGWo{Pt|T7h2ITt2R_9 zsUZC}S}kZ;J5DvAN1$1p2?@2Ae+KjM{90*s5yptIIPEl3D-alb^96weG|E3{M!M3z zV>r4j(93z?1ECL=au=mG*N(IEO>&7x<3vD~K=@LAf!8TUsoN7iicq3L-)O;-0D*lv^rj~WjTIl%DF*XYy^K= zu=8RAGe@COsyq{d^r7=zlr=-v-Bd-(`_lG)7U2_7*RW)}xZVTtHN}42o*C@yN zy!D$0ja^JSk0qIRkpQA!=k|r9r~xO(>}-qjdndB?)UnZvo=f)it3)6DWHMM4KAv*? z<_LqfzixmICL@LWIP&3~m|)_EdWK+ZRE=YA!mL0)CF{YQ&V(8$;qX8R+tdY(?TyN3 zor44#3S*zC9H6kzA9CuI(V{Jnw={o6rL-U%mVKZwj!B@qwSZGdUEMAsl@%`Xg=_N6 zy-BgOG$}rNyNrPs5neK0Q=<|LN@PWH0aTf=fB;NDjNxIMmK!I@uQbXZBP4nWYS-U=k4dkxw>fA#D@OpxFQu@G4tqn|8uTS;}3if zA?G^Ol%BhNj@#MhRQ+yGtPa;ns0a6bcYf-0WQKjES{S<;{{cM%xn8M}L#FTJ3v77_ z*tzYulixXUAeTe=v7cgmz20n+yGU-F`2%Scl*%zw38OpoiPoiOIRBUQpQmiNDDd6qjbek4zC4^=(WS$V=Jl=(EdnvEi7T(ttyD59?To7?WIV_3m1u z@zasmGi*_;H3{>n7Il4^5xKOmZ?@Ytm}_8t$&N9eS+30A2C`nW`IM{o9}c_S#&<%F zLDEnAW>eQ*GCA_NnZyGhg?1c>#zJmIX-2vl@?ZTNMHu3oKCbMM`noYdh?`(o@Ui7w1NyG>$wVB7v=&*5v@KwE*$F8<3s2i|miH5Jf%*f12aj@`OpyWvMd zS7^fxOG7pkd|H}GrdkzaZOYQSkm}7ZiP>= zb#dG}iPhCO4pcq-c^ubn*QSJT57}=Sz1#1ztFwKNs*izh^7Yi=dONLDheA{tjBpJrhaR}{leriF*&>!w+YZT~562O$; zO+o*X+4OTSsjrxC_Op6}W3TGZ?4hT3_oIV{bt>M+#3{!m9-s<88>SFNmN9rKx5noZ zI);c=%vYyKX+mo#zF4Izy<<_9^i{d@u6iLtT|eEZsN!y6x7j>;#k&6f=S7dbNkY6C ziF=*lZr-`g{8$x%?eeFq4|}gSZL3%kazM{Zb#Jd7qS$^suSZZ! zec!_FH(zTJSk1=^1_H{V+IL>{C66toXsO3zzQ|HrTy+iJ6*w{ktF&jf{u0>i8=k{K zC9yx?0rAl-CafMjrI`7vKMr((wP3g`r7QNY3Q&N#DbTPXqTSkyvL{THn;H-qjTdgP)dFyIxfdfDua!R$oFWH*f2 z3C(bT=8NbVi8fX;m@e<}lJnFr8AOS_AxmtxETjoqNbWv$9yST+^{=K()eLXo-ObQ* z7SZNS%(|QHChIjS&pOr7>;_EUULP})!Q_EVGU21uH8jWCPA!}zqemS#l+H<=ayMo- z<(Z*(qarw*pPxSTHQ$eAfXa_Ufl+>Ej7ns=ZOQhMCm+W`4ZF$RJ7|T7Y%_O3TA*?I zmA5*G8t9q!mmT{+Z8Oj{0}=4dr|NaMCOw;J>eu1fLiM%Pb4DS0I=g%PQQi@YyGIGC zis5IQPn2FkZnrbz4=HjE+~xzJYY>sC<~=u)S$a{7)-L{*1xJwr@TRAPeEDhtA!2`kMel2y(WW8bk5V`Re!(WwsQ-Y zLN(8Vn(OZu6FaPzm_|>T8Di;|P6P+&6`u{Cd{!;XsMCoda(K-RAwMfrUl+n`SVsT6 zCEd7N^r+04iyE)K@>)k;I$9N!A_i^Ves?qwZX9y3Sub3xcHnr=^8jP>eKZF4q{2+z z#vP)P0y{_&FY^UxQW-SaA7MMEBb&AjQbI?U!9r%D%L<9k^K@HyTjzo)@wD^&5b60; zBmAW9$EH_>VQp@vw9;WLwnTo-qUV?Nd4~)M7pR5>ce4AH&HFm(47ZJ0N)U%o1@y>_ zd+6M17k^yHrnJ|Sg7?&7h234QqNPDX0J~`2mw*Peff=CWQ_p#dtzuHF@6t`#W z_NPhEr`~l-BBA4ENge`{j~=%ovDh!WUq#8v@s<4rPM0SgC%kgI{B;9klC#2{PcXBz zx`lDDpDq-M=X-;F2+#coOxD z683P=zG?z8Z)5#1k5fKjhUGr%)f)mS*!|w+uaKX5WuCqbuHm{PdTPR+R&8HTdlI5& zo_yRcwDO@>nEt0oWH;Q`vVmPcbO|ZIBN>+B^HMo&Vs?%ZW%c+PJ9D;4#9HwrH6S6r!ZcsnmMZ;;SiiyKMshUQ+0Opt1BKIPDx#E(R) zYsiU6@1zTS@bsu-q!V`^?wr+#`nIrXdANs9rjWOXwG#iS0V($fyA4rSnc@cC$;pv9 zz?4~b5@>2%Y%Q?5YN<_@us@d9dDNh2DPPp`=)sBW+ttLD#yose9&zg>R(gG;rx5M(8G_~%H_ ziVQ>^8%6?`YJ=myekfiW4rJ{>wIJv^vSB<>ocPV0fq2BG-Nr#x?5{@RF9?(yfnq#9 zRNNS`0LhJC4abiJ!OCXMQNR;g<3(dyh)sXzATbabrS73o}? zGrD6*G|!E^=!?Zwxt)m9+PhAkMiVU|4IFZv0wa*CtOvA*F~ zFS5o4p6}VK%@r$qyJefwRU)}b=10@Umre-QVj~2DL_RFeNa=^@-eJ1cI#)20&oang z`b}eL{(+>IL;VRhikB`&4hT@@rkXO=RjD&uYDH%~eLlQEG*nVamb#ZtgNL4VTk)lD zMXXbD?xJi*MqgZd{4HOcu8cPo)yXlG@`j(|dL$hoLv()+;hCdMN>$IDlxhk%qaEoo zsf1&iPOA$Jaz896Le8NkD=UYI`;ZG>p$fqy+n+n%GN~!mkSRFyklH0_JImGW0~xA} zmrThg|a+wzAUFzZ3p)LSbw?g-~REPe(aTqKDNNu_5Yx*Zi71 zI?*@fKQ$dAC$^xrlc&*Pyk}Sb_$9JRgDHy#BFRic_vZC*eI{XE<{7T>$y^z}34>}OdHgyc~gGK=k>7maq4aNZq^dk}}cL7Fxp5X{S`pn8eSNuKDD zj8G}}Qe*s4x(5w+cjzQ|i;y1f=a8%5NX=#1eIvq?`4f>{IM0srnIzIxh@%u_t_;U! zgBi->&QJE(Zl_l!<;s}4c0_JCHKk74TajOPUKlmBm+&l(S*`6R%WhvgV^kxj%vl2p zy_)Kj1G&ZqEpLlr^%TQz$`UzR8#Cl+?;p(wBDZ8|k*uJ0-+yYG{Rr{}B?G@lHSTy~ zbj?g%t^GUc&ZqN`uf-~q93q_bCkL$D7se;_bM#f>v+|RolRDwkdp(h{txL3_ zJ`cE)sLp+C)cfMt6aa0@zdNA*?QPWy+xDYgkAvz{L|anNp5{Nm^TD&IkgrmLipW;` zWO+B7wBzxpeMgM)y3(tnO+SI-UxxC}NakR&=kTl}<( z@A%&GClQ_sr>=U~QphXn+Sy2Rc?djnKde7FFTmxkc;;ri$g^lfe`~4+ z>nI4Yyce{~_^lp07M1@_Ap#y@En(y4Ycn-CVr|iL=X5Ch&ZTV)X)h%E7 zZr8BguuaFqx$>*GcLYA_P%0o8N^xM^|G>mOf}F?3^mY`vp*KlRNpSx`)?7j-(vh(J zrb|V{CGtKw#HUVvgkRO7JQc5e>tO@bRMjx3No(|Bv>VOI3jKRih`1XfPkA-&UlVb& z5GEaYclAKvLH&O7*aO0-CQ}>hQgQhrRYB@xg&du#6K`tC_WOn}45jSo#K<0h?btBr zBlY}*kF8cKMwL3w#oF>t-YzT7_LohUjlvv@ZbfxxS=WE_u&n=fuGy&>os%jl3@c}}Sjjf5HOzbFkw}1BA zyV#Sd*)z&flZkBR>5ThbjB`VhL%ByBOx_6EvkZ1R!XJ^C3zC0!IXF69yJt9PmwR+S zNh(r@!Bj28{LIK_itkST57dGa6^}ASvF@E^9XFKY_nGK{zU!{YP`i__z|iP8s7Yy& z+*(PQ4+Y7%OKr&>kphin_Iuu7vSgAfgUNVqkXd1`bwNJ5`{tF*x9Y`^2Df*e9F5#| zC$Hw}Q#zk9RbqJAykYrygT!I2uq4Gpa-RY)oD`SrycIvVR6=YI!dqEmNT14fxeHxT zdMPa-Cg_wZ#OabopGim*^@Pv5zDH9@mdp}%XS7iOS*6R^k~0*S|72%s-TOKvXm3G_ z?1J85M|F0WW9=FR;htX_I@AtYmOX1*LTcRXe4iM9gx72K^%bex&q)p(cU%hQi8jwJ zyYpy{&~j;Bzqj-%>%Q@_@}t_8)5i$S2Wp8+?@YMP%VekDOBrU9dX%3-H+(Ri)5BN zqAdTh0;#PpN?HEeDvY%<(f`_95@ap#73^?HpvDJEBmg>!*8xHRM-jB-{5f$F&(HeT z4BO?K+L~!EBYXhD{xNSTzGDh-Z2xH9|C*?}u1n0{EjM5pFZT%iF>k=t2eQ(D(EKOs z{jd3+%VPPf>%9yO1D(Y`3_>;_`Rj&)AOz?S0oj91LV=Bu#M+Aj30#n813FV1MuH$@ z1OESyi{e|gN&nS))5SB#fpW)&k>E{RgF|m&7_ipyUmp^zg>~0Kxd8qBAIiIR zu%WovNLRK2C(&k6%4#O@Hy(EQ?lw zXtR!#bUe|#X=~OI`_qaJ&D_4+jQtSqYO#}$bBOq?y$ZTV@04VWI zzOOH?O@{k_O$cR@bt!5;fNd!oFYI^(JE-~s4r8QJSM=J&Lq%#{Qb!bbU_kjr;O)i{ z%&k|ay2ZUzhaWtizIXcKxU+WOQA^wE*m9(!qM@v|^QVG{w9xp+kJ)6-okQrr%q`Nm z&an;(a}hYETIn0QvC*D*b|aO7l**eUWIqv|Knv|&)_aDTh4=ZBhzbkM?p)HnoP6Od zcNTei5qaBG&q*!2g!5wt9CNLHGke-C+==0X_paW#NjcWxLm50f*yukJbCvg9ZbsiU zV*bvsYu|L$WqF($1X2s_J8Z^jdjL{3*?C=}&#xh8?!eItJ4{;_#;;?BngsQ=Gv$Z( zBjn`tFBLwCn9k}PZ?|sh#SEW%%*z6Szesl5M>CLfULsKGz)@j3!~-$IeLEf=JC-eF zWmb65k4L0z1Q}tK)#ry@ns@D$Qz>`t9j!)N8#O8Vj0)1wU(rtxYFZ*PT=FQPpqLgv z+MXvibbr_VCmi!vUV4u?7<_4QY!6gi;C}WUCp|K`JA0hwY|KlOh|cz%-_*@r^xq3C z_Puy)nW}f&bI$L}Guk#|HGg7P*{PT06xyF!O>lZ`m*f;wTRK$ZuQY2^sW$1$KRp)S zUkslgi`Kymd*{(BT-HabgpU*^SZXr4@R$d9rJw7*U7}n~gBS~o^osqeNT+*GwCVe$ z5tu+(h+7xNtNNx`%6rV$W z-Rv;2)Wj6??49*=Z=IqGTL=+5<6Rl0(*^icj+N3dP^R9iI_U1+jj%u2`b|YXc_DFP zVT@Dou=piQAdXmQ6WlN05!`X0#k1uzLDoyZva5dE{T>Y~k$1E2wI`QNC!x}TY?l?0 zzl_)}o3C1S$e;YGg3|mLyA%uicN&H`?q|6?*2MSv7OGT!;93zI2~qVdO+uabbo%U^kUDz1ZVxHgEi>wgOOvOon?DVhsIpr(=z6A z&*WwfUo`maGELPSe|_R?=F6{6<(&GQJXct(S@|qZQ995E~GEPi=Ysh*AsUClmuQngIeiTxm9 zzpgFr0(X~A^`h_WRa?L2JRIls`?Y2R1f=Tu*f*VKOr;GmHs$n!H(B6K) zJ&{Y2*4~bMH8LTrtk?Rw`)-v(O)>1M!|5-Vv@Rf=pQk@HO^;H%_Myothf7~{JBx!& z@N^=@V4Vxe<)VP@xbkiSs+Jh8Yid*%bw+ugD?KRbw}^VYlj@0xb!vAEHH}rxwcKjU z=VHTkEHZ9~tHVMox#}kp8_s{W^&?vxJ=NX%i0OR>0l6Z(B8h(yvk;$rRbU=7!k>z3 z*hzWlN`DZ+NbDztMt`&$%{nb4m~+jrLB zt=7$RtsyC+ge7TWY*md0s_-mo7x~Jae8zdVxFAm<8u|jxcT@D_Gre{tiRKqB zp$~11}A_c@73^$H#t`7R_No-(mS-d4#!zFEk$i9GHGU4fOxOzT6YmG(`) zXO;aPX$S7qKj88o9ie{DDqC0iyzC#Z8wz*?ptUDzQ-AZ<7sV?E;sr-HdaB@l)*#kf z=x?s~G1q#ZKlL}a(8pZkLyNCM&1eAaFaM(wS2VM*H@4#uSG2&`8!H&&tc{HE?Xk|= z+Zkglshry0p4L6lj%ITGd~!x2)Xa0_ZdFL<*@Otu%B4BF#|nsHHCbvZ;Cg}4}OD5O9{iMUl;o-wz>VA=ox{*aZf54 zm(aT0J%#nX*e|sez{g@$|8RYznO&$=bC=q^+s7t7+2TaTV-j$DineSS7FKUk1&7~~ zzV~3dz%xRoaa2Id*5lO~8@Si(1si6VRKZ^DG(NXoJ>?}%J0r>#lIQ6q1aE8bni+*t zpGv#cD1RWDH!Wqlfts?8FNqaf*Tq-c3zy5wmQnWI)AS(dz{I|Q^prrGo2*)ovtMk7K{g|)4ijB>~ zLFaB%GAhpW!>7;t)@6jcv3zuzEOUm2e{vj2m?#&&xHOdzm7jBxM`q~8-P($|wv1}) zQj4(E@79fH`&)ASPzx9el%L&^maQ(?$I~x3oP?y zh1u<0X97&OUnjwm=5t?vZ2Gb8Q#;(|D^7(sYj0lezGTXWbgre3OY~@qb$Lrj84z{8 z?!u*4_gv?xWX_urC4eZ>Ds{r%(BbO=Am_*k&FN~ZuKB?@&q9m$6R7Bh=F!G$B`gZk`s8f0LEjt_{_J0}+ z!;mm|G-Y1WkiVWT&#)si05#ss%zSiluKR3!hEK2UTn)9UTuX3HdeBn_)k>1c;iorW z`%fn_QiWd=@Sx~BZcHcW)wlmRA8Vq`drWY(S8(KYK_?2zAOWzqPN zZTEF8;R_sB2EM-|b&ntveb-Hy?vs=?)pBQt*vOMTIi_|Iqw1d-92wt9lY1>N!5dzj zWODh)$LCL;e^p$N70r3z;FqHi2Bkvjc`nX!rgY8Mu_wk#^+Pp~Q5>yL&O8xfY$8@< z)0VpEbf+>j!ap>HNd7~~jcqR;Sj0ZyVRDk8zkV}!KV|Gg6+Rs;VS2GazB>~g;n%7< zc$i&f&k+eCVzPo8T*7#id^>jV6x&OZI_(W!oRMH8Q*$??S!Co`a_uU8r;)jUqwu@) zUWBAciOBLBnXv6UDhyZMwZR76qOog;J?9oA${Qa?W%?(N^$8Z|`bXALUbsn7XPB~m z+qUT%n@2TjcX*p$rswL6n2M)GwUVAe4R~aPA1n1+D86~uHQA=6A|?|-($5eS^`5r+ zTWRYxYpNPV{P|Zb>68`Qxtrd`q~}y%@7=99G#F}rLX&!0wJRovs`6AzPjsc09Mj9o zDQtd6mQZwG%UyA%3J8G?}B{rRrqL7!> zp4VoYo3`*uexhI+(Rfv|{NjGiAl$77`+J}Aim*#@%c&M=*AJT$re7|0C<+=XK9X9A zLNm!&B_5Hz|9!zM$o~e{^ArlpdlPp@7rJLBn`rCrPlz)Q6P0*54c8DRT)OgNQtGQm z_C?a6gQo9W3B+kp_FW4Lv?M!6bvE^|@Br{Ci1#y48h`%&gUhfi7XP0?X>d9lTN&Xm z3p|#!u=t9bv;s>1frqtr@*9VO*4#kh0kkpSfXgA*$pCFS5^y|Gn-<)ymC4^i4{NPY zwcgwMf8b%QYc>8KJgg!eUF&uv6DYE4h^4L*6*2hMB4~MwX836fF;&i?=oWiy`E5P@ zu)eO*z+j6PxB6;2rx;!b*I)a*D3S3g??g|>Xa1p%i!B%B<|KOV@sge!x~AlG-}yGF zx6R1jp4Tbi_gtC>`d_^cpY4Au{bJvNk;Mp%bBEQ5nTmtK z`NL1Wvts0}hdkPIlk#mxrYjl^i647VR@=(W4j59gGMv(9Rw2P1S8#DBgzYLkqVOSx zqDDBx@~CRI1gVz=|Fqsz`5Wf~$Ep)2-lo9)`5EcsshN^4TESw29Odj-zBm>ov#7Ne zBz7j~MjV>wTcYD+zAK%Ua-of6wChuVLGYm~X*(}!L2+cl9Ug5VPLh(9hm3TJ$OgZ6GxLcsi>u$c#jKI)gEhXiwqehT$n& zQ?@8cw|=#6ZdGTc8N7*I(z)F@;zKbGrKjnk!K^}8=L`=SmOt?%HYaj7cy~pr`&g?f+Ila{kXUKi(*^6Q$`P=g_<*q}QsR@27{BlH8{;pvC9YY^=* ziK*XWJzd`?XIC85$LXKkCz|*2m{fG2JL=n0=3Ne!4zHli#1 zugt;xB1xi7wfF>umUmEX;9U)G3J9cbx95f6aH|XVcg=W9v)%4`N8D(5hFU9ec$+!d z73XyEs|G|i>IeJVLpv~BW$(Vn)kE_NgtOz)(ad(ia|-ok<)SZ)V|QwiR03d;f>_&= zXwQwrIC0Z#ohPUIW#nWdBN7xoUwWs}6QGdyLE1i5dpNx^qtZJ!%t(eU!z*gSK%> z#Dueh3f6j8{&_)nu=#OEk5Kp)obd> z-hwdI8u{YQgeO_M?%=v^tqk5xE@YbTsI?)fx1*RI`*^5g{*D>1yVls+k_Ir!2a~)Hs*fwREFFw-!;SNo&V(J+?Srz_tuBMmYxofqS z5V%pM#T9*=sTOWUc^`Rt@@byHqTTotGq45FXoPkh$?44#z#i zeW$Br(yyOcMfvJoc8?oxIk@*`T4tTCOwR*O+s^ey@4LMY3vCZzY-!<-tk~`Bnn}EO zp)o9ykeP5uYE@yN_oR2_0-HwiutreEV8gKbMZt$-SpCxB6MV(-{ez zB){E5_Mwn&B?FZZ^u7F)f&?UJT(ReP3G9&Dusa3d zj0Ab*5lT#eA61BscJqKMp6m-6h6GV%Rc)vZ0B=GQk?}ks$y$(uC@mzR_ zb6oCtiER5hszEpDg_Nq00pGSR*T`R;X=_4#W?Z~?9Dh&8w%LAXYFpQLXT9gNTMIr3 z3Vw6^x><_*;rzoqM&%m53m?tX4NzU3Mam8o%P0xT7LmLa)V_I%K&&}W_4#Jbn!|P> z(hXXQfot9h?6tKK(GG3dabx-RDHb*j=MTonbUsP@!ufc|kjq8|roy{(=AGia`#t&F6|u*wJZ!cb z=ui!AqfX?$OZXlZs~{VGUqdvmZvMtMjtz-{o7cUxirO|4yY`mI`%ls{q??LMLz?eR zTeALSwJ|woQMqgW6UY0gS6`Alx=|PXte4o|4;A9&`W0FwqH|T{nDnov>IW?=AOEz_ z-I#GeV|(*k>AN9DL8>a{@4Jn3$c1hl@j0_U{iwRMp5)`0SVE9Zu5aBW9anPpFlO5G z9GT>(kGPR*4=#Z7|A{Vi+g%KUAEGAu2`4N9_li4Q^ zlpv$PP0oKoT9ikns+7Wwb3~D*b5YXV3piI$4aw%*1nr#l-157;WvY!9lS^MD&hzUN z%IW!h`N|CKT_#JZDl^Y|`aPSgkgCVKWzmJ34m@>Kp4X_GCIT~0Ye*EH-*%=o<7Lx{ zY4djnmUuB=`0p^@JpFcMc1d82`K9cH<=4yZ-<pDgEAOeeqx^_6p9D|Q~1t1NC)Ie5fz;|f|#Y=`{gHtU+~-j}U! zzB7;TZpX&@nKel?9xp+?lL@KR;?2B%^7!TO`Yl#@@ktxD_O;{cX&l>S4_vBUZ0uIi zX_a_>v)>V88+>Nk`&X}GqU0*~y?7v9;I^?cEVxfKT4GK>@d=Xy`E@Gq`5O*-u8Xgl zeXi)G$cl9H_vQDkzX`k!IVRq|L1jtL-bcmGn7!)mE}iv0w1&e`@iiSaha}(Y^iA8b zPXGIe2jb9^;V+N_Yq$CXa)5Gs77U@spgU65Y~8iM64|l|kU$}l5fVN_$~K1c4y3wm z;2-|AeS*EIb&%7>++rhWO)miHHIP9Yp^X>IGGgFtn!xCPc*Or33z)bh3Q%qRKFJ67 zf8bLZ@BZIxZW$5-A^y{()`cr`uU{{U-DrC1%#JILEA&o1(orZ%6yVhNRbMkl%f0h` zr|4V){g^iPg~_IuBB**7rT1NXg1_1=7c<5!+dZX7aJ5ROa_5xl@&oAAliiP270@`0 z%hbN!Af{VmaD}_QQ`(ApdsB$%)g0?*PAhbMz9UZA&01uI^4b?uR+*OtIaoi+`Lg2d z*7cjbPyH(K;t#*OFqzx`WUtenc{bJ|U-DHPz9yK4)oouD++Md_K3bl5Wxqzo)YQzz z;EL2BzU|leio#5}i}CzMhZ(#Tf2h=5 z)li#wuNbFz))eo4m-cbhgD*$9OQFAD?egG1uizNT)Fup zCA8p8EbinYWsaxq5+w6>^JH|L!p+~ma!g#`dR5Pn<4BCrT|1$}+z+>6)?8ng zZRww@X2WwmpRm~METiFZa`STARYEm|M4yePlEQv*^6ckM-zptZV4-*1$K2HUi@eCD zk253cTumOStEitKNQPRs^Q&vBPjW7;e?M;<+J{lDqk1jp zkpphk#lis9(`IcCk7sZhc$mo4RfQ_m(^fxiZR-8B3A6IzLF3Qc-S-cX_&x4al*EYr2HrjVjHas|~;B^BwBzR%Qs_z$`?wfWfH1hKCh$#}2!vlO03`p{- z-(G*X_{(f|r$yxJ(&%s+c2NsFJo!b1*NqeDCdMj z(M)TL)-DRV{NcO0e8o@qMf+l#b+dW)`|7lu^H~{mY|5M(%cCMmoL*7(sz#GGUsKXF z%%mIZT;*Nky<@4X=*>H+^zRy&qc@*iy`VGq*@A+XOb_SQQ1P6sJ7#m6<8B+yGrUF> zb9P$WoOi|HZrarq;X0Q(4!pETA4Fj(=^yf31sN@-`DUsk-F_gOM7JX-Bxcv@oi zy0`=<*9%q}2Cv^Ai{5)iL}%URxGkZVIe(O?W+w-9T=f^FN1miC!HN;zK1pL%9c<)X zL|q?sMPy0@mT~*K#|10Z*9Y?3_TT!JL#T3#AZFn#M1|Z*^pAhiYHF+=W{Ll^O{%dP)?g>-Oow8}w+HyU(-p{^NBfTlgn-$}@EZ2H*! zvoRQ-{i9VZeQc0A1L1iT#A-ls0|J5|vqwU#{5zL1iew9nz$h}Ccxe9D-G>%PM ztbZL(%)9|15>V$L`j$Y>0p1iA4SX^{K!hlGf=20>GdF^9L#w|3ZRQ5Zvq9k=dQK6W z5E>97EIiP6#E)tlaIDj)L@EX(UYQgE9Ydzl&~y@($-sb;IR!_jv#B-qZv_)HslbK= zt}VQ`{e~kvHwZcWxD02~L5C5;q~eJv3Mfm0^d61|+IUzBsLF%>;|LQz)LWdG35T{~ zGH`MutreiQ3ZjN6AkY5$z5^>2)Fwd96iq>&`ViMpE$OI6F2YpTQ2!;<$ zWlqS1gYFAUP6c)bgk}W|nAvDLFs%8eFmWJ22pS)t7fz;;ad6Lg9F>ftGH65+8jJl? zj``3WNAPg1+>VRevVlX(jH9(W^f~BKfs1D2>;tkfUSzP$qkqWS}ZL2 zja}MgF_K8RCq1f1fa1%!t^`7WP!FU|7{!~Gmu`5 zIux`i!Als4{g%KIavFS$>=zwvBpa|j%v^*90Ruf>BS8Sr2sX-{Vqm=zA)JS;meq>I z4ve$aJN$8FJnZo7fI1vfV-7?Vvqzw`0%hybECxse|Cf>A9F95^@zwxsK?EI;1;BQ% zED^2Io)5wm2fA8+4utCt^_50?vWWQ42=@vjhg87!(^UL1zkvTMfr`Tj*Bt6)jCf5D z?jD(@9jL@dSrahBK$eb>rn6s^6|D?R(LVx8LkmTILrBXsfie({8;E88WNn5O-jAzI z9Az_ZM6p#o5RZ&A)$sDaY7&9u1KO%ZtT{Nu$1p5Rf2NkL*p^2 zx!>RKlrz%*P#(B!TiGfZs_>zYZF>)VlxTCnrOV{wTI^_>>}vHs?mj@9>w(JOA0pxR zcK>E$brMnbX7TgahsAnR+OM~JmXepd-CoVzM!uB)DL>hInroxDlH1Xsy)PpSv`}Jqsx6yy-8S z>NL#s4`FUbY;N2@bd2MAcxUFv@J&CK7Q_U;TA+8=PWMvljB2K#x}&eS_`ZN&G~Uco z%`j2xU5xD;9`&tRvULyLYNwNAR9>%@e@)!0BI!62gCh0vv>AMxU4ArE-WF87SiEf7 z%Z+XAt|)E$c2^1i3kCV9Z}dJoW!67l^f1rtVCfgTgKvL1yS$5a6*Qj`W!$ySz+|I^ zzrd`kCZ9f)ay6pqZJPvZc9e!*YCu7q0oy zZWwg&bn`UDWVOjh1LqzMq)X@ToRb%~qu508Nto@gJ6BbGW?)6`-MGIw81pG)`oXW= zI^R{_Sy}l1-1vzRX4TQ1pxzg!nsd&^DS@-nY|l)G8#bJ6+N=639vqdmSdDRgEq+Ux zdQsLEZ}xer)|JKgZk$R<-kp->`nf2MyF5%-`pIJt^c?NhrOG6~;!Pf1j;SZ|UBh$@ zaxRlB6z)3BSrv~u*rpeI{CjM+evsvo72fMf71ku%g;|ny%eWaU9`e~rVxF&=+>s_* z@pSf_v^m62 zuAA%pb?5RuD#~A0S7~qg>31{X_e;e;VdGESv#9MZBI>TDSIoSNO|N}<)u5DU?7m)A?dY<3R2;cAf$^YF(&bty z*P`?I$@)GOGtWHuvRd28aQ@>9GbXu3WqzM;>6TJj6};h#e51Ez^8V%A{`hFyG}k7} zv)|7b@m3vDj_NrXH)(yAZI#H(AN-VNjLH$ehWUnAGPClP;nsaVPeX$|W^thCZs#I5 zXj;7CC@hUE+$ZpS-?aG8vv=PypJMSgT{ZAw`Q&!#2f}=okAqGuYHF|co<7I_snT^? z_sr|<7ZmFm&!;Pwc81nU;G9<`@Bdo2r*leJ3Z`)y=bAk`LsF*B+sDO_BjOCRcg~dw zJQ%L=IB;%FHTA=;FZxHgz84C`7s*{bt@B}JQBXm&gs%UVW%DIv+vrym=z|Z=5Mi2ZA(@;9<%ZlUA1GM6D?TOR;SdUtK(fq zB{Owf?@BSZyF0bqmg#-CnzAJ)QejzaQZ{v=f7#aid-;x)o_l#Q^8WSD&uO&su5@z^ zYfIw1>ILuHXXFc9ek!<3xhX>Qki``7{dE_$ZdzqtcWuzyBA_Gkj?}XEC!f=luewJ+ zUbeZ|r$6m&U8FeQ6@^7)N7vXL^JN(4Z{Zj+D76_}O$NInnx(>*>PzprR84Lz&n~n* ztuq472m0?r&dz>^_s;@}{v%~L&Ih0sj2ll0>X@lo`G)$e;Hck2fpdI zc{l*cnwdcd?%#ru|KcQQ&_@B{f+1j(FrcYJ0B#5(W>n;b1@*Cm6s)H;F~)%=2^fP0 z(b0b6uuz7-vsmq9_a!g-W9mm{jz%dYJ zIN;v^@DnsMAuhy|M}>{Yb7v47qDc%Y0mr0MsdP{Q!jW(UV0b4|fkK#uWe4k7+ttK8 zGxWe(!^6u$hOG&Mkl(@Y;{i|r-NaEJ+W!vav9{NVF?{fmK(L7eEmppx)7Je5Rce~pGhBNOu)0nCjD zv<@It=y+uT6&UkUF$DuMr65R-qo9aP0u6(wU;v#3{18Q>lL%Pa2#;(i_A)Uy z24EZ-B4tEVmk4a3c)%7&sIm5kG4G6kAycpv6dp&%A_ozXN@AkvI5H7OA=6Pr6#dUf z*6*QA%xgBdKj0w$g9eo@3?8;VG>|AkvodOo?csk#p8FlDiLrZNdw_iuwguQ803RZQ zV**J&IGe`W9>y}9!a$+0Gy)0mI~*PIL?jXu^2zYj88}#afTprP5q5w0|4P3#cxO<~ zgn?WUa%YIV9wP7nL`S1;*dPi&MlyiHfLDi#hP{SDWZ;MdNO+J57&?wX#lee(Vv^bK zY$(7sA#V{53mY9^U69a%Qx={XfbsAg|Mku=cm|z}2UwGcg}e=xgr%Y23F4V(3Z6_M zFxmEpejk2fS0j?q1#I=dJA>@=C|a}qXVsXGj7orIvf6pi+JmTF@i6nT3a4;v3 zOU5y1-~^E%8B1dK==x)*iFqPe6b^Kv@&6ePhdOJ^9?NhNB9BS}jZ!Qa2n@}5DhehY zkEKD54J6c2R2mIC!cv+z?*P#|L^L)rWH>zG5Jf|k1(N7T3L8Klbkw&532B&A6b`&- z8XXO59tWEjfruhN{*z3jW7#r5xM95l|F%?+iUAVTUk(}$VK|)rP=YZY!~fURRewx9 zF-zsY83*YjL_-6FG(dZ)KP-baKl+U07_dRJj&C%YMuiiDfFm=Y*MZ6)GMP*g`^z~j zuk&v+{-5EH-G+A!>LM^K7I5SY$KysnDkuyLnh4G=fr-H~$Yc@~O@%;HEP}eD z@mT%87De>qR}*738mc#l!1stownBIzkv$y^6fzJk!E}!`+h_)wLc%Z^cqW#PB`_E$ zDjG$nGbm&XiAHDN30ZL4#Muo+5Xg1{ivx?suqx7kj18ivLI`W*JRa%c+w-`>jqrW<)Hf$N{OkwcV&M&3&F7-v0-K>^jKQBs__TC(-d}3Ooh~Y}gH-z#|hf;Vel2WOXAhGdPwgz;)5c0!NPlsKF+UqLFFH zq*FNUQ=792QSu&>2Js{c$8FlRZM2K;e0)YG5g8510+*mN4y51T+q_|5w-*e8wTT z3zFaOPd$vIL+DLr~C$c*e?nrVIzVH7`DoQ{`|(kF7l6+0l%~S zZ2A8&6~QK~1qJc_e^13=3kuSBInKI(JafyfQCbMggz6iS(8fon_f3{9QBH9;VpyhK zYfhDqJ)yDXwE6uiU+ojU9lPr}2>jRIGMAkc;))=bwd~ox|K=kH?pIFFFTA>{aW(DC z)_MBSG!e1U_s5*h#CxA%Eg!)h z#IQMrCNpxAR-U-RL$W>}_9j5++M=h?9=9qB>n(l;7`ZDjo}^w|r~auibwz^G2G<*M z;y=;FRF&cd+-kfTCElpV*{J-vLC>?;Wx1s zM1vc*v$D(5o)G4p@aNNrC~MlXUe!Q&MI>(Q>J}R zH=lh|TEFIY?x!u?O>ME8Q|U|Gt+abze)a^>WyOldQklHf%3o3>ozF|4FWAi2JwB5SXAdL2 z=m5S3>Egb5UW|tgsaCOXljU>IExjj`bIRs?gYndMxz%g-P&yp7Eqp7fCeC###v4TA zH8eKO)R`?R(7P-pu5fi{yT$xvg7X?yo?WGtto3$lZTL3JrNW#!{(?VCsy6+Yf8&&F zN~{#Y#F6?=*HP)Ze&(SZU(E{~i+wyUo!~5WGCrK*+f;aQ$(q{2sWArysG1AB^|re(cc)K& zt1OMVh^KI8b!N?_op`m3f-c)sD26A)z8>H-x)bNFB_-`ZJ(^Gwv zQC8rQR5(BHNkzWr+?g91Qr@dPUsEL}zH7F>>+RQeZ{x@+PhWYaSVS&F>#TSeI8*nt z8AoQ7lu3^y{svKWe(G^FeRjUrJN+fel?TrHf2Wpoy_($7Jn2I?r$|@jj1LF=nvzO4 zR?$8e9#mM;km3}N)16bhY+ zp+VS7VKQiF=r5p?Pz(khz)--d$N(y{xvoRmq?Y}g&pnvsg2W>ViZPKwWN=&w(Dy?m zj1j>9ul3XYk7r`08!1vIgS|+?6tNrBU;&&WjK^@Ou_s^|fM{dUQ2I@$ks(n9Rq8k> zg&;!FI*KjNi({>(iLo1vMoL!!zJwxJ#F0c|G6;hgAfgMSiCZ9*-*g%kMaL1*cnTWq zC1Dv1CIGUK{(%~6G?C0?UnUcte*!1+}#gLeg10zG<4h}=3VW6!91z;Nk&DM(nEe}J?X1VPB z{48TD$-`P0btn=i;2>AdURDm9&Hr&Ev}mveC&TQ8i$Vh%yecEa7%-#1U2^tYh01Wq zyRusg*Bt9ckrecZR~#Dhj(Ekt4IKIkunoi@TJz92h)2P4h5(X1oMMwN#QiZ#NbE@% zvFRU@6r;})QUFhasQAyT0$Bck9En8=6~?$I7Swd0aOKa7!cexD^N(8veRX*0*$H%D z=vd1TbX1U2aHOk_b+4lg9d!jGSREFg0i-gNh@fCU!VQnLj4=d~5@hh$hC-dz7_*E3 z;gBd}8wpc5=0#zuMv|fdgk`LY{&nP7uN`!k!70RUEf$KxMqf?{#z-7tC{$7XA4dYd zGU|=O<3xHQfI$k9$m}NLFr(h=z-aJ#MsCS~u7}ZY7)s92kQ8HIO)S55;(?dHwP(P} zhW3np4|u3Z&oa$n>g^MU!whSF8^mFt{$#v3Op*DZbmxLLqs!c7yCs)yJpc9R@&My9 zGrvjNb|@hy!3*jq)^c=~?L3(orXF}qkvwy6&7n7uUGBkK?RR0aZp+?Rd;Z|QnvY-E z9dA#L?H4IWIQ{4gcAY0_s2{Y$HJ`E4w{SVx@vHHU_;-D!Fm4bbWjJlRg)>{A=@{5+sA&WOdYEfp}d3aZeE*|I!)9g}hTr2l*ty1(bVR~4;c+>vDS1#iU-#fK?tE3ib zIX3Uu+tvK-X+l%x6E3PN8Wk-W>xkJ+l2pn47uh^&QJzh*x?OI(<2{13Q_ALhghR*V;#Ft3kPOw2XS$i* zpe1a>EQszi_oRNccT19(}q{?kKx=BYAlU{_i$|ylA-f{aZ>H2fmuohuIxXp&(yVWb25$|eZgZR|X#2``8Xsn<*oul7 zeKN7#PVKt{rc3pzJlL1p`_SXFw(8Ymlj^wE7tJl(lN)i{N&SW?u8KoR1m8}Ur}D=3 z-3SU7jn7Saz2Y%b<8_{juJl3?a^t6I1kNcxT{gJy!%ttYbawxVr1B(Fcd4nBVY*9a zPp?^XGwN;ntAoCeLV5fHx8;C)Vah`0(tPIhwiSp7RX<27KuZ>Ew@_EL1%x z6gRQmB@Ft~A9Eax(oU}xw@%QwR$XJfG{)`J^8C{eJuv6)Ev@D$V{Ft*t3`+1$&P-j zbV6W>Cn>|-Q1w*H$x2CKu046*+I=}Rg;PWi(-zFlhE5uWI^J_yTy&Nx$k#G z?!Ifj?3Ktbcg6NiCT1R43$+e#I8EcZ*x=k?y_&;gzt3lWfu!D2*%{B&@F+p7LZR+X zRJ+A#iHAi(;$-=mA2Q>7iRNO<`IgSCTh66o_uX&mfrwv{I6nHM*jWzT#&k1uK7oY4HXPYT>0q#9y9y%q^h-5GDy>{=eI<2f(f z{_9?o*gD4t2cKMNbU@2(D0uc@H=l={N=n+pXH9NtuTAW@70%BT)3DmTNlafTLPnI( z+pR%-l>Eg~SvwlnMf~nvhCOIK>Dc77V!!D}+E3lSs>+%CI7Tzbq9nDOC#ER3Ir#Ge z&KG>b9>+3nr&LOYFDmQ_4SU9+J?Z275L%}cXHLzBGY%W`@Wj$HpC5-x)_pawTyA%j zgF7N8mv}Ff5%)DOBvr{Fkmtv2tw#p~T3U`DXx-2`yWzVlL7bU%r3TlF;a>FN`LfD6 znzyzWUR{!wb$Pd2W}UK5(D!tHPw#8Z0hJ3r$dpj$3RmvOSQN@V>B^;Dmh=jX+%Yq$ zn=k27aK|ixSo`pGeNIWU!#w9WUAp%qwK{eGw+E^Ry`G~dS(;j$2wtV<5qafcZ*#~36pixW*-wg`? zz?Eb7%n2-D@2{6dMgnRAGz07xh5FplE;?|-5ZMEG#C~D$&e;N)5pEbMO@##QNLObI zW=0wg$xDD1MjFl*R*W%q8KP4gU8D@l)#<^ z{$qyFu$T!Wb^ha68`!{enZS(f-vWbS?*AW=0mSK0TZTL{*a0+$hY%30qM@;#^|y(O z8iqEi|EI!)hQ{}CW^Z3Y_O_;9g-P&Yen-XETIa2ei5U-WCE|=OT`8%;XoV)<{=Df| z@BD{6GGTMLU+Q!VFFxH(es3Su{-`%1+Cq7O!+!1zu^xP=dX>PdDPoDC!dkrhFNew5 z@E30lm#~iWQ{l?i=lWQ-QCjEv(PI}LDF~ge3Y9Lxy~;iRNO%>0(i0h_dPmIk2$=^@ za7y8XCDDy9UVM1+X6b$1jM&}pNKfLN$WU2|OQG zCrHFUQ4N@`V9jX#q5e$xuJ>fycmAKw>1+y=S#NI0*)-MDr{~Sj2ywr#5_7SRqccMJ zuk&x_H4Vr~J~M6VVsZJ)xQC~^aW%LueeNhzo*f*QOe=OT|1u}^kiho16~PZ1R_=S; zRV?h-+e7J%=1C}w*6VANR;Z0GRP>sA)#TYq_dO{Un)_~{GPcIZ@8!r@`)R{VAyfgM z+VwRXmf9Z_S6ox4Fg0Mxnf0nXQV+7%p5}YewL{qFMD;Qe+m`&N8??V+qUq_$Y1b}V z+?LG}OFEl#rT6Y8H%Db#ltMD%>vPeSuRfImFLf zB&f7dGS8J&lz-WEHLl3dopH0P@jy{@fOjWnt=V(nTV3fbS-AE&rv9tbW-m1{E zIvI9{d^BW}oflNC{ndN-n`^heOC_8YkAf^khc2Ty~5@an{?fbHBZ}T#$8f1+!?@ zeTHuiL)r)Z^4Zso_gBBn&wCa5^2pvhTRQIP+Y=&kWQc7Zd2{Vkx0$_?cib!N<+ej; z={AG=Z|(R_`N*8Us27!8O7IqZy<9Pa_*6@TcP>Nnx~RoXQS#wDzE_9l=TN06(~?Dv zKMTyk-kV#oy{_+MuIQG_87dp)g=qeEOTS$T73H*Fd2w2(xk^%|c4BSEcCF8!S~Hv` zJ1xnnl&SxA8Ffk0EZ%=Z`ZlL~*V676du%ta-m=}iYvY`R8@qU2L$66)`yeH-*aa=U zMN ztG$z-c$}7ffl)GgD*D#jb$#`%m-tnc);(QLCyqFfrU;kzonLA7%WUiFTFdP8w4_(O zi(F@%&Xij+Szl@UIXXej%QgG*efLiiE?2sK$~qSkF7@)pZr`H z>;Ue}TJ;l1BQuN^Y7nNxLh1N89geH!mIk%paI_?~(iX0-&8&TBVXj%2qsTkYQHJZn zvr|Hs^)ei%-|X`Wp-np`bl%b9P}J$}ojqOY2Wp@29%3d;UX4|MR(3y|Q+rADG_q0B(3i(>qs=iKr3Bd@1ySvtRmW1)e$ox~HxUK?)BX_Lp{L!cw6;~%%_Be7r+K;Arry=OzV~UXs zujL!+%NyT6ing6MNgYZrJ*v@c{kauTDu|C)>zV* z7HTA}5L0<`atZpCYqFuKTyT_!p*-rS-Ma0Cw+(8QEMCk1FngAAr7KwfZC;FY{^^F3 zFP5$KK4-g2_v9_D@R_%?>VNH=ZIG%H_af(-*$=lJMw1N_y>~bns#=QPQII>KJh^)Q z&x(py>#_D{w<}6Lx?&T4%Syx|^HJksU$0BgS{7Xrix zuk5c*$CjOZ_LN~WWuMz^tMF;7ub7v4R=4j}pB&Tm*lg0gv)tu}`P4XEQyGo%GUw+L z7RqqHl@njTW{*sRjJWHj{UL(WG8;L(6P}5|M`aaCbt}D8dPS_-Ul`w@u>g^K?AE|yL7ZnyC(-Wzf&o}2t zjh6V~&Ce619O6Z4%Nz*?*xfG}hD!`FsUKEfN9+VW0d5R()<+n0-T*SDq_E4EEl<=bfUKxWc{=@+t0Xy@E&(=2ywom75! ztJU_Mr}VDA<;i~g&L&S1&BMnld5$3!rP`(3wlleTp66UE!!pn8RS%EkTDA4wo9bD@ zCqDJy+EO(w=EBwcXWZrERx7yYct@ZTolg{S=IRp6vFO+~XEM*113UVJH)^eLoBq`L z(h}z>uZ%jLC+UE+7(E_t5 z4_@rG6Oi&k+E@82WNKZgH~w_@ z-SMV++fBmGSv_?E%T<1U-EN`oA~-Fl!0%{nc2CWdyvw#$Z+15Y+|XA2zVgwlK*@7^ zj^*)n<<+g5Oi=rn%vqr&aQcDR-A8?WoY!q)tVZ;AhGy&jQU}J`l>hX15U^una6L9C z0gg~sh&vWBKaM2>Jq$A$Hnbn38tE{Hj_KdWYCp2}=SXOAL8vJIJj=liV1s%77>X1J z;h~1?&!NE2F~&uKS`#D)*u55T1BP7m{|9csFdm3OHvj{zZ{u_Wn$4R)n^2(dOSbQO z*}VdKM$w8h9!w(I?BDU}7p22vU2%SHIv1=Bwo1qmvq?ey8iw7MTws0Pj)^P zxp}{JW!1r8m(Mo^ism%FK=Gl2IB-v%9oc?MM}EnsGFnW*g~Gkq-LJj*Rp!@5JbYIW zyx3mF-M7+OX~y1FXT_;m+K09^JSvI$@We_sfO77{T;hV_6;A%7JJ+?(C{*6MuVygI zKg3V<_6Wyt0}&KUQ$3QmWH*w?Mc1td~-{iF0S5`YI|^bl3BEw*!s_j#nxQD zIvYLW=yMoOHjj(-!)Z=2ddA7=9g#=HHrRbryQ@#?NK@%Rii0({@Mx0{EWgkYeX}wB0jpWDfK=hpg~{L9p%^bL-ny_t&Xek^ZDlA zE$r-_wN8hao^?-sMaU6wHYF6Qg-wxv>A zUcZv9wzD&M`GarT`Yqq|^45GTnK{qm%_RLU4U&YOhDf>Ypo;`K?b1Fe&Ka^v zc0q*ybF(6pC01mv`ARp-M|}$QDyHaa{k+p}8j80ERDRT~RtpF6;`sbCf^JVl-_NTe z+6W{l>6=sDO3AwVaPyN6ygxW4p6mJA!ppzRI$UPE)Z`TEd~H1FmnrCZ=D>1&=E~DD znCqEb7HOBaG}-fIK9{|#^!YZ&vE}Wh*WNGG*A$FKX=v$o9Gi}csfr2lu-nj~E{C7H zf;@L_7Vo;!8{c|1sK43ChjsU1yiyU^nS9o|T}}AIq6_o;BnU?>?yxmTI`+# z%u;nXVb1pJ+n)7ouzAH?(Q`Od;0vG05(Va^vNtzXtslPwnf@;ei~DakXx zt|E}ae_-?1&T5aucJ=f3)-7*4Cw95=fj5WlwyA0^rgOx$z&bGs@>n?QHNoK7oS6>F z9Iuz%yx;57*sXfy+P6LY+t=E1Rc1-aaeiNi`uI@LGb!NJK|e>|={xSY>BT2a+u2^E zCh=f>K_|Ky)7ssnusdntE3)e8`_Gh`^3TTnD9-hzU4Lr6^B6`%P3g$#RpDy23A}0% zoJm4POH1F$Es7#6*}nH?k*nd|uo((g{5RnGYKx z(N9Y@OiK?Z@J&A&4Ihx;nDr;tWs!f( z@(_>@{l__cVC4dlZ;&IO^^XUCnN_%he8WmRu|5p`@&Fs#Fpjc*lLk-zf2%V6Pb2~8 zvxv~Sg$BlY6l7x{`3F^1e{aggvA6LLBhLLtt5^l-2yYS8c0nQ!@&b@;WA#*$N9&~| z_iH4u7q|{1*Znu!5J5ep`WdOeL_typTCbsq5~A z1^a(DZYWwfK{JN|4jiOw8Zar)H9>5J2^{08!dt{vz|PtMCTQYdf`FKX)qsuy+z<;| zAsFCAL>e9lxWB)oDAvS{XdHXs^M7UB>1R0vJPbI}pgkC`p^<1Ism?$764}Inc zWBe$58)Sr z6axu*mPiI1QP8D7`uYYI1saorrUS_qmW*MLpx*`B<3XbV4-8T$96KSwu)33fGaEJt zFciOFz;0-|0gf*yyd96-(7R8DWevuYV1bZ8!-GKv?khaB^aBkMn!Q_R0{J}$o4UYy zcpZVaj@1PN4geZE3V#o>x=~ad5MDAV7z|KUpy{AhgCZdWL`*Cd8ea%(Op!>j#P4d1 zf1GWYUPQ|S={o_lu~6cTXyJ^@Y!rr0!%>0X7W(Fx1PTpLXELaCI)+L@0h0ndhr%#6 zMOI}K%S#?lS3irdcW@tKANu!VFamoy)v$|>Hgc$YGtxExDm;M$e$?L&h;1m4{6gmo zbijZ<)kwk>NTtyz!=Ypb50DeQ#B5*{wCJ;;Re#(r9;%9fWsq$sfu+Sc+7$n7BwTbz zx~m_T8+uXbS3`g`NQ$v&bJzj4At)L9Xr!JA8sA7GC|ARU$9hD_sfZAu{&`UtI@TOR zrvr35i~#EaIm;N22MxX$1SBI}b*y^@It^$%V;|Wc7!Ew1f5Z}pB@2hJO8md9K7nV+ z?+vcF0lbg|Z9e103tw)i*!l(~;QbNOhHXCA=S9hcSX(5xH|9FXnns(3P32sFJfc3v zxUbuqdQ3`z?RG91f zYkOZ&hEl2k*MbU<@T-l@EmeiC0*lHQDP3*tKG{sINsoUju`(sz40SBcKY7QDQzEO^ z*Kai2-n;Zd9N1EWL}s# z-*IaX%GhImEU$O-uEl4!mf@~C^(;-X%?eUXj(jtx+?gWvog$CDjY?VM$&lq0n{!mB zZ)<&YN~nd|wdpGBu^w7A83hOGuWFQeZ?4_!c;Dpr?1ODEhYcJepF+tCUX?CaxB- z<=5j%U9hqA8;=>M5(*D&J{kYbkSBH4&X65%^v>pcF_*l5Ec`b+p9iurLfo361n-@KJCv2h&Je78*Sp<0 z)F&iKt}>3{3ko^LAvi@rAzY+;`|}0!P6tSHimcdhXU5K$n}u(J&+qN?%9Y27p}&Nz z_$j+Mf1%Zf0}qV83VpB5I>`B~B*J8swM%+)`Mn$?vn5k!z7d?2dhMl~UPaW|8Oh$E zih4(~lQ@>uHHL(3N#k`(IyPmQrBB)2bE_gG99Q8>HZfDC)Ei!<&`(zi;{58?9So{l z{>lE%lGP|?4pFlVrAv_WjQ?yk8J(+ic+bfIN8^s{%EPHA$}oXfsFS`VB%a-SYpv1a z)k|oTY|dpZ@AbO*_{y!d^<5dp8T0o(&w2D?4eu-qyvED8?-kk8OEAy!)~(kkd3V0kN6X$>VHXxr;&h-8ODtxjWi}m zg=aPO0dRyLq`L>oDde}2ZwBBdJgX2K`DT!N0MDwrWqrdk1o;zkC#-J zAPYjZ!EXkCnUzmMMzL}{e@J@v+cvm8{hOCH;2RR4`4nXGSPXJN{tq1X01pwz@Wp|$ zoCde2&9xK8WYk^Bv5=~;|cDc%89WX@nR9p#X-ZdfG!ZhI~kYZREUXL z?1DHvg$y+PXbOeI02Tls-68{77vs;^r~lDUjNyY54(K36Fsun@k=6q`2wY*K2R{&^ zF>rV~9hM-_X_A1)3?u_E3_Jy5WCo4Q=GXSG)QPbhnQ(-t9Z>~9497x+GYb52piv#$ za5@7+B{C^=3I!zKfJd5vBBQWCyGp~*Dez+>tdyZ{>c6ehl9^_6jP;$&t zJ3x;S-qV46gF+^f=|lz{G#Us9nJJNoK>_&!6Q~5(FslBYV1i~GND@H?6-X@l4aWj~ z92t6I#$`Aj1!Q+*P`L!!b>L-yU=z65K)?$R3mpaIY-~$>Siiu(&G=xv2?!|x>_^x& zkvch~O#=YR(U&*_$lPd5kh=u-6ap3l3!I4t{&5KLaRk^}*y4L&!G^taq9z<7PJnnp z=YeH7a6TJ7aZKWIdz7mX&+NRT=NnhpYk4SR=ueaLb^z_0!|V;nFafa0SLMM!x@Chvr6 z41Gh`uL?s!a2?hHJG25|+E`Zwk`FR;IkKZj!w4Eix+*XT0en3Y6vWmUJA9hpfP{<` z4hpu}uR656oQ0UN4aEZ12DdfB(4hzUhz0|v8p3EZ!qB17;z&agH4%j3h<)f#nwx(F zuf{`=I$^DJNU-+X?-?vrjAE4E75zb=WHz3E>(2z{JmD%JG(hPyDIu0%dgyLmq^%kPg=rd z(~R#o_@^ptx6U;^WnXtH@KRD$wC87O(}?Tbd_uaHQ@FN@9p9|^tZ1KMZrST?&L7e1 zIRq8$W^xA~RGq5W^ZrBfuQ;i>4_`b=Ph4IalE5I}UF82zyUXN3V$Y?klL8$1SFD-3 z#hA0Tv-;83T{~<)wJD#|3u*6?R@&h`XR*lZuX{BK4R_O|&X?6caJD`AFe+Cx4>O&z z*Xh?hm#mvjuZS-cdCi^_bZm8^@JCdIT14OHxpn_SuuJ@0iF)n>x9?X6yQI&RsODaq ze*b8&%ZEymrRlAEg8Egm%P%ZE{cMK*2kyn&{9+`k<<^Egw|?U*AaTO!Tuf%i)ak~> zZ-hB@tS3Levv2;|Pc@ql=-7(nVX%sO+m)6PzBzeqHZ9Q%&NxAHu1mKhf8Dfg+gx(P zNhKErk&F{T?;bCCsIt9=fNywPdSd3yo^>nVCVIw7^1oH#)$mJ~6r%Adl*|cic_q!EbKd5f#@mv2LfR#Xz9O6UKh0gY zdVd--rY!%;iLRZs7K}7Wje08sL5(Jwfu<<4G^JhQ$$q{`h1czkY8J1JYpO|Jny$1| z_`AZM7wvlG%gQ-2@6gWV*)o4R8IXvtj#l2x(Q_yw8a!Qlr~RvGOIxzo*?Bg-U*(@( zIP&uR8H2S+%`f75wpN$q**;i1KULeR)qnrHxT_AqeH-TYEDyAty+p?O*e7aMfxYWd zv-h?tu4S9H99WmFb)@FJ;L0*tP3^TmT~D}2d~+)FeDNW6L9^lFNAAD)+AvPl+`A{dQwL+F zgli;TNZOq97B}B;?c2%#nNv!OO>M%8V!WF{HhGKhufwr;H z%xRb0QKdWIC}FhC4bJ4f#+-fmVUCb{Isg8rwocpbyU6*S`{>+cKR=n#H+jW(?%5-O zbt7bk*}%H~I;>$8WuQ|5TiAqvb*xkx3oaXk-B^h#);EK&8zgS~VK)}%7VA%gup6uU zob?UMB7ogkoo~oDtZp>chrwTFwQaG!8T@5d1vl%PK|pVic@#g$Jc?-5^k02|qzR&g zftok`0IwQ+GYAzzW@+Fb58BM)*h4PC;>=@x7=#KT{nY=DS>$xcpIEGK$cMo~JCI-- z_&2PoE#yywg?13`4gP8HqJxEY;6@GpX>g(j3hjo~&f$mUG5;-e8C3s*AQl+tk(wcp z(1cqCKoKe(#z4m)TxURjlS#!e(SUYPLBR|KKqP?#3VNVcz@{LAAI1*xZ-x(|df>^C z5sV4!N9F}sMU{XqWVFZy)B(pb92DlLWFi%m41k@GfkV;IBv5Fhp&5AKx+OxL4_o-a zTKyB_p%2~}R52n2m;c=vq~)P!?_ci>&xC3dC@cVp7XR4sc1yy2XIu^quv1jV> z!*anB^UQz(5e2XLe|LrhBpEYmv^lP428Bxi9a1oO8UUUI3LwrPrU5b#3>t<)q_g8H zto>W5I)R3E;Ri9EwR|LJbcB)`6}WAiD70v9HFV zvD3snGe86&LG#}uLxeU))4PFMmT|mh6ey+w9aIP)383c$2s>aOSTvCi5i^wt@Gtv~ z4UOz3I2wb=4p%|u z;&<3IF?R+#Aqcenw;1tIp#;a!zwV3xe&I-DDuF>^l9_Zg4ajdG!A2xvQ6Qi;f=F+_ z%QB(+2MDwxfc@_~1L;T9XhKKhd(BW}C|-fx9a3*-DBGjLdIX3Z4Mm;=8azk#EEdZL zo7g*poe+{EzwZp;vPF%ani$7>Mxg`9jKMQO_L4#+gC;d7{vo24fK3w#40aV?0Inx| zHA3w?(%lD%BPDQu0Q7}(dW;;vIPMH;hykLekfF*FVWNlhBL;Yt@B~n^B4B`soNa3y zMs6@M?-{T%qaZK--<<(D6R@BE^LvJ-kQwlFm?$b4REeP;7DXdsXcQ7;`^XduTOBO; zToe7wz^{TjH1HZRz~u$?gfPP(vHH(D2yl}_LIg#}pwJX3Bmlu;ER7Ca+>k${f^sWc zDLB0D6Mkpl|Dsufbp3Y*O+1h+{^wnv0#)HmkTFI>7qO!y3 z6R(f}sZ@AYuBUdg+A1;=qv|_sXD}3b@t(*H=cx zz=lYGioZ~|7%pc}$Pf#$u{spLq<|JqjX`TN0@bkfm7yX0MJ)Em79&y)7_>6~b!21+ zsiE{dl>(Lcz*&Gw#*v}=E7UQDIFdl2Fowf)?1{Z2gYZ`kDcnB#nL%O;ZZwlr1^Kg} z5lsOC2$c*qGc_>49)fD&WE2)|F9a}=QUiGcLjXbt)7U#Qq~Ri)O-OpreEA9T|kb5Q;-;7~zkA8V-0FPI0Ez!IGO!&38;<`vGCT$R zd4a(XCmEScfP%R=4I)$-B~Xb-fo=>VxI@Qp+565Q{Dp`PxIQ=)Jg6oRgH*zQhZ+f> zX+^`Xfro)X0a6Hn;aw5cz@rld@G7&tk?k1BNKJP{#0qjkglUKrto`p$BTy|+1R50J zh4RL5h=7Tj1_V`r($a8h5brR;R)AKp87hEBB9sd{=~DxFcK~mz{dcGlb_pCr!xS%ibDMuXyC zSRf!nU0=Ap;Nb@}T8)Y)6G5)V7&T6)|ATLC)<_`i&MK0Qapp0zlZJ%YMiKvhP`=81wg+G+18hVWr$Y^97Hf@F z$B8&d7Qr^BL58Yu>I4l8KvTelA%lvOLPD!!7=uE3l8`;GWOQUmMIk6IO{W4QHLlc= zf`SBA+XKsyk@3LZCz63nPQZeg7DXgMu8#4h+yx;MOD3RUGi>~nhBo2g8NL&P%8Vd53a1j32fx*R>K)^t; zQ8L&=0LF_Y;xUlW!vc8#529(tYd)P7z@BetY^fpI9f**}s$Zg@AOmJ{X6oM?FCe01 zHZL_iQko5V4W|oeb`i5)0;?~dm0bjp2#ZS{;4l;w2>DbDV44JwtOGWU22%z&QyC&2 zHu_+Ut~1~XLkbkOG8B9`cxj*s0|3HenXw!f@D*YI!s2mcJcS0U3{3%=K9shl(V&zj zk;yWf;D12pbdGvDv9zro;T4UpP&RTpA^M{i*hGpYK-4ly$x+q+cX2Y?*q)H`8@G7J z*q&$*)%+>j)4Il`4llNMz)C14I6N?Bq2h~(z;d0r@^kJyHB&t>#fYdNvP0l`i=)%r z>F=9@#TLKXo%e8#W-CvPm|l1NyLw-vj;yA;_wCPOg=TYctIQ3XaY!LuSCUgQlOv%Z zk(*Q;D2Y9;8P8d}tJS%cd~cVZi+Ug`eU8k9Ra0JWU#)L!FCu!TblTpT_1pF~B-Va? zx#yhLPLV_D%impTUUsqX?yt*-wtQc;@{V$#aC-Q$ci-0)rZ2p`y+U@@_Boq4(+8!r zWtHUDc^5Q136t@Z3|pi8e06yL0r_RK4s^6B20Zb8+tc)}(xPvj=&JSKKUzB&A8?<- zfAEv_!Pp?}{j1GAHqexIedZ;)tM03l%Lp${SZ?t{s76e>dz$FISr?^+xwd-jK8j0P zu2bS3{J>(9@4TT6#gVe-X@zS2-xeL8_hTSa`awad+CdR9v$B&SGvz;35>p;5t3p+_ zynV7uJ|#(tI@5$-Qzg84Ce;vwuiZG?qU?FCw84$uy15?9O@IATepkKd4!06^#y%G< z{ZA`Usr`-jE0kh+Z)wD>Zz+(>*@gEMS!s-xe_YuR>HPZg52K5wCgP6r9m}4_be&&! zv|xd^P-l>nN$i(y|1Tz=$wtoN8)7Nax$o0^dgsM2UOYYRt!~jS#cj`1mz4>7yP6uG zQoK!Z6G^$e;8@e?vc(;i_3uxYir4#^EQ@}#qv(CRQL>DD_v_nEs}@a9zQKX}DX%2I zOk6MVgn6IW!5bZUd)r?&4)EJwcIXtT?>BE->19Q#s;+wO8JCoQCq?!tHqh#0<60lu zV+Ffhn}%6GRcsRQ-g~dV*Yj?E-u$H|EOE*vJ?mxN1~vW?x9#GJSF7mEi}YR9$@|S^ zUxV$zyS7Tt-XvW6^5}Z^wQFxaTyM6uy?7GU!EVFK=T1I5rBXzeBKsNgpsw@Y!bjA-Q&`(#e=q(OUrN3EqUbxk`EfzcD}s4? zy1TQF(RRqxbFJe`ru~pk{B@!DY269?QX87alg7BPb=%R7LbpFETz;|tR&cbn-iWJW!V zvfaw*|5Zse@ACAs1Nnv`ywNl28p^jiG{k55oa;=bF3-}LzGFs$U7X)aTa+_OhG5q3 zU~nj_sK-ZK=88H&C%86x%e$$WZ!xxB0&`uj8C?05=HGg2-_b6EP5vv?W4>f5uA1NA zZ%`oGa6ahzp&k2DNT$3o6_WcndgpHKw0_x^M36|EmA+JR_OyqVT3hz!Zs_UrY8O!V z$&M{DveAE0U!rKdVZ+%n)12i}ez9_HPR}aS>u2=Vp0{j$o18G$PDSFUo2is=^!k&U zSK5~>J}7CKkbCCbw8a;v{VFp&Tz=Xt=Hu2Aow1cnIIbWw9=8FH1lYfGTt_zPiGp-Q z%L437*7eF*3erIgiToz8c%zg1=s%1yHbKrg_E)2fO{99*=s%`EA89p869sD1=wFRd zTS37)_9vrUP%J&ijXZ*$5~lw!_M6A3t#HvFYc=+p$EdB?F={JV(2f3^F={Jvp&02H zz2G$Ro5!fF5OI$E)!1(yqqc%TX7sPdsI7=RY~=UQodS^GL-*{U|1dHe6G*Bfv&Y`3 z6(9xh2Lqgvu8anXTrek6UjVC*jRL& z2=r7E09t4?1}xlw0D}kE4vnEfJ_;0s>J*05^+a1A_KXbj79es4m;tF(4sQhP5b-Qi z*DObd!vWV8Shv6@gS@pG6$Okypv_Sbj1`op3^Do0_sHhOHY&SUhan+Gg?}Ww|6BVm zES(z9o{o<#Hbfx{u3&UYGJLcEyCckQ7S{<@9Ve>cK_(99l{)YTfb0$bA3PB-Kzu^% z5Xb}uV0dIhWbep;nhDZ&I)@BI=vc^26IkFQtPRzO7@$~z&l(<(Is%D=2G=tU4GjwX zgoDfs0A0V|{{Z`kjgYMaL#UZ}&}1U)S`bo$0ULZxcvdhZ%U6cS(*U9)p~!&FpulSo z2xS-w3b0`k1t14T68p%B#h#Ik0SzFH0NfEl@nL9SW~BkFRPY?0bTxuv_wQ^@Mow7v zbbRzH0u~`KJm|>>M3Dl<6L9jdN41n09@xVNyl7N_r0Vgi?hY(o2w?d{Dp>;%A2N8OfGH#qp**XBG?)r`2yhx^ z_=x^5c$k3UBYbBKNQ?nW1OPl7O_Rf?usyIE86E>xDv+KB9uDY0$T$G?iC}x6fd!}z z$dHWN0~>jmV;>Px@Dwuouo|)SbOp>TVBeGP50FOwJL{T}5R|PS5$rK^;rrN81m55X zg>TZ2-+^Vv;bQ^a3ZyC3z=@OyMO8sI0D!PM?9~X0%51T*o#lXmBCrrf16>6E14@6e zCV-6ge;pVo+96p^A`+=U2}G6~4mjylU;(S+alqqX$gY5IGP}12417H(6yzYlRT3Ok zz$O69hW_igNa_?sG(Z3wA`$_}U+OgAsQ}grTmTIU_@guK4(vmY|K}T0hkXutWr(FL z>^>N{L*Q62+lYT_Ml^CoWA8VFc-?}fnL5y2HNZa`{8u0;#Ojr>>NpXciZmzyI+K7& zsZOO*X&M*`oJIsNh@ui`1SYS9@jCv$b=w|2ViA8?$W#9_GH@j(sIl4|SdNT9PzMf@ z8XU1WpmhNS1>_Dm0ue?CWHvP#K&XuvSX z5Wx-`hXcbkxL)8v>_&xrn7Rf-N^At?V9&_LHVC9z1R8>GFyT{y{~(M!nB_^gKH}ec zXCdy&Y`!}{N&@&l@J0~tGWhktJ%xRaRY1q8KlY2OLSb-(eu(f^fJ%(nIIl0ab3&H{!tZl|Xm_hSX##=<@(bfLSAu;8=nM zMTUq9ZhuU^BDO~l2;UA5XAm%TR5U=y2IVn<(!eT{$?~1S^^ODq5grS11Gsa6jExAv z1DHwSXjB~B)EVCy+rtOYII0j3VGVptfG(=T0M*r5r7~F#jDmu!B^t!ncp8)tgQyz| zWHdGKV8l?=u~aIPfw4b+PzTW=5JBh^Aq>KH0M@lS3o#V&Z>`>t8Z2zyAHZ7-yvg8= z04#*KX(3z&pjxr`idc0V07qayf^v&MK*QQXEGEH~nhGRD5*0|fjO=hw_Og9s2zMGm zgU3b&oN6Gw{nwGfizOjIBN(w!Nn|1l*tlvGU<^Vr0UF#e7&OTvP&s?fqS43*q0^xd zZ`iSs0fdKVDRpA`&M+EiJdui64#GAHQag1xaKXWg1mH0WoZy&@jP2+HLbwArOge%O zaRZDHA|sY~G0TwwHVOA59MqHn@-!6#Hz;5Y;i19_=w>iL8e-V_#+y*7vIPl8fus<= zE_Hw?VSFgq;R!6IPAtbo1RF~Y0v@Eu6i~$z0sYoMk{O5vEtW`Qbg=`L2fM#Aq-+%) zA*BJ&7+6X`Qh}J36{^B=VBp$H!K28)u>)IRk{S-!b~Ny$05T2;pvXkVfNz37{C|64 z;IRnNI26qR(i4;e0DlJhAq?bT@E)D~VnqbeC?51!5HDcpQY~=t1d2Wm!c_pi03Bys zXzU9f00aP;`&hS;I|ke-pccyH-NvgUHFHqv5Zw@oV6FhvCNeN>;k$?LAM7zeb--A9 z25P;GTSo{NXe1r`2flqH`Pb3ykd0g*U|m6?X(TH-85`&y&Jf$UKsa!y{pVC3;{qX7 z@&1qt^vR|UxIjX{1!~{1*uL{QB1cAf4bg<>hz^rr$p@Ldff95zWC8* z{fkW@Q34gwhr=&Fe{#04dEw>4?i$5i?*}GC?< zo;NSwR^8#G;K~_?TJJa8D$dh3mFD&=MhCB5z^5c-v^WCqT5R5SRI^`pPrzoqNVR^! zO9d{co$F$PDu%HB_x$^sZ3X*hw=5SbZsbf!SNGBq@0oLJTGQeU!UuQtZr!BoG$lFF zt&p-!QbtN6pfUa4-l@M1tovmYs9;&nxjCw(f5%ou@fkAZ(OmQG2XYN=#p;_6OFrP- zqtgtIsf! z-b32+ZKGnaz1W*Ti+S<;W#Xr39b0(+z|!L{EtbskuwD;VZ*{BZ>d26W=XVhKF3@Maz^E$X$?}~<-oTBPw`?H->I*R0$ z&9n^V*>F({wRQKw58dwG;*X}j^=ms~w}0{TvgUrv5XGr)sZW(Wzo$jSkb3JqYP$*+ zB(;6Gnsu3W(Ku{{T)py{XP%j1HU(-rp=qy`olzZ8T0tk4Qct{|y;@f8W=B|gW}q!+ zZ!jPFmqtwVstyO^>krQG_*#nZjs8kJ{jMn3(qXQygyp0ye93^x94+AW)nCMhyDbcJffb8Az2@bwiZpF z`tY`hucz)h%c{!-pXENkO?cSw?>HPNu2r?Gx6$tWY|WX|Uyt$H!CJ`O&ogZG?iNeq*|DHw8)+;M7ynHs6D;6jnUUaN;CJL&=W&gU+rRVY_kYdBL%jTSg{+!SGIIc6so{h1C z1i2>xyE-;BH-7IF)~;7m>H19NZhWS)W4HTBLb+4L*GxaQr&jq*omO&HM26K zV=ryGx;fukO5Ws7U)!G6t>>L{;!3srBZ>0rCsv#wdhSKf-#pW>Ph&2s=^~0V$>5C1 z^L)R;axs^CJl{86I2(JV@`Z4<;gM>>0zVtuTMJS(B0nW)O%)^`zRLfrFI?XUIgV z5{~Yq&K9Z?-7J?*#TldY(5qx2e?qT61wY{E<)G+4jQZe#3J>~C|C3P~5@Lij`X?il z9%wa6L&8C*H2PPgWFffxjs6Lg^&a^vi0DUu82inm{9Vv)j{WAbG9QReX!IYC{pPVU zAGonHAK?2>|2GqvMH8HX|6?o&nKa0{gKZp;CV*KE(la1B(B1FU36tk@AXWuL3YFq~m|PQs5fLzLf&1BBY=KAf;fL4JJ=O zA3@6G(JyP0Vm#nNiDZ6|%MBQ5AT?XS_5@1-K?e#8-dBt@6i4ddTDnXhN z_&Fo}MiSaEUw_u`zxCQ5Ic3;1-y_QelDfd8p$pe>FzrABP+^g}L7~BX1dtVQ=mQ5r zs0&B}^GJ|l0qzFLc1AuMqz%}-wlRQ}<6&)pzZ|e4a8Ty}x!+F?tq?W9X#`JHCxZMN zYMFxH6d-vRpeVu~142x7CToO!7(XKP(|`($FxN;CS#Yz5WHfLe5LchclNVsqMg?uf}B1jGv4jg17f#ifVIJ6*2<;g!tU}ZrD z3=+qHNr8GC+>9ttd;(JEYJd+@Pz<6_up(mL8W}w);dBJY0t9~paVWq)z!-y$Pu_0| zED!>g3}#P|(+7DtqBf+$^kV@Lg;0fo7(5cmv1hiCiZVb%MgmWIzvG#OurUz0;_7@y55Puf1c1GMmC!cOCiAqI7RV_400}r*60H&o;s1Tq4NQl(S zU_hU7^e~yN-3Aa4fzy$#1VVU#`Cvz30cBz+z$pU9J@C7P%>%4*!6O$A8>sDprU3Dp zfnyCSN%k#n`c9&be3G!OBL4-qn$eAr#o7j@0NDJ3b2l0T#?vIwZG#&O&<$Z1A%me5 z<4!`qfv{<^VS0h|4ACD%ec+3XIKY4vDr7qmbIZvWi5e&|31sk20vlg7b#TlE?>eL! z8woVHRA8wv9PacB6MOm%Av2g`|I=^Sc~ub81J=F%+k!8Un`Pf38Jlge9)=l**#-(R zh`f=k7y-rNn*{nPjzpqBSu-N!N`P{PhoV2=`GMGCz!}fLZyLFcvTM3Q$BU$jM?0-= zRvr{E93(>hbqd%7!&+xNEa|s>HqAJALV!qXtlto3fHEA(!u>(N|9*+HFOxlT=pdd% z$TkRP4N}e&g@U^=fyFk60R|wnusm zVISS{Wwh6j7R2IN#N6PhL7+jGK}DhthW2eXOCP*4;1Zw+( zG}H*THacE5rlI~xFHKBB!RJ1zuT#|@pQ>VC5{j9I(DpSbX&C}>az&&>l*&4Sl9&WS{j7 zm&b2;YGM+Urfg7ey{z6FH-zoUdaOO$-2mOxy;YaUUy!X@Fj2!%%v(BQMo#jt(3S{kmf9tFFa&**b#IK7=^NY;ZQ4HZgJ>|)&3yA@E4Nv_N+9qds?!%ao#>fg&iDM#;wl0E+m1(n zCHyFL6xbc?ucCH@bUpR1{wj%LCEaxNvzbPB2dt?_FE(1d`xIRtrLB!VlDx)VzWGO~ zm`~*CuP$k4FZ<n&KCY)D%{|+Oow)C#gO6c5i5i+q4?1Ul+E$aJV0N#{|ee-4(h9(i+tamU(@W_Q_w9X|!;ML3;x!&hrMBJ2k?? zR`$A8L)}G{$n8djYxkSYUpQa&nTz_OZ=@C4d(@{#Y{0ke_9)Wn|*Bcr#fEycAW>sc45Jhn0s+9 zZbF9U%6+O%)fdEh4%)@aE^#7VRLWM5I_9)3)m4yT zzZkSddwjU^YW@VS!}@|hqWbH)G@s0TAg60{=a$nK51#zh9B2E3c}}?M=>!I~O6{&U z=;^FlC+K+@v$kLQ_IXW1iTTc@M@=h|sd+_Kv2BKt-VMzyc@1}rI$!K|UaGCDsqtf# zT~)oEZ&qt5*M9Y34679Q9#_ig{SIq4ySUHfmU)w~eoth1YwStk2Q(|472o@d5A z&y4zAo(H1q>O)<%qA@2pT(_Qoqj}(vt?~B*xu|DR3xqN`%ugjNt`@j`_uy=mVY}ib zpCWZ!og;_7$=4neNWR{qvH9S-eMaHwt{L|p6<5X-&p9Wf_GUge*L4pQIZP6dp1UW} z$$+X7-cft;R^7FoW}-E9OWwr}w$~YA7Lca;AF_PIBmTy!`q|cO(L(k5;*)~sI%$=) z-GKpFk;@zs!hS66J-54B=bLFdX1Q}r`^#@%J|zAybgts77S*YFeDwCQ(l<+PxURnR z@q9*!L4bd41+L-t_Ej^^J@wz2yFYROgU^sSg>4qSZM_U@z2mUWBf|^kbI&$5>|L_w zigVAE%Z4O&HyI{LEqr! z5Ac>WAUQw+pFH4&Xp2II3gUREm<-4pPzBL=a6^NdEKtW6sHqIm3>$syNBayOkf0MC z?K70%WLY4H2oz^i9rl3-B%;1!y8jkg{-(zz~twK(Q9+H~?@6 zfC!0ID69%#1&dr8xCMhIhy<>mSV*@)8C@KhtAol86dGVotHBtl)4yo;EE6yi1ZEq| z@My=!ZgYRs@xQHmKe7X{r{kmST@A?jKv4_8DS&BB9|r=Ev&a$tb-&qYY7Z(_kd&bS z$w$6{LPNz~sA`&n(GE(LN%x)=YFf;(MKNPV8b2KtAIw_3%ApJOE z&pH8M88nuFcOqnAI+zGs0eqiO?s{@T0TGH3g8e3#dgI|I5L|;P1{9Z~LIw!&7DX`# zA#n7wlTE!IgX8FFDulWXLQ3FFKzb7(@=5m-q8f;GA#;TTEs+{zIPo|lkZ5T%b#+Ju z0Y96`Y>(du=@FKKX~xRL6S6lZp^ePBH&@( zbbK1%FPo0fS2H#Rlo}}uh^V5V6c@8zkLTesw#NWD9h@N{++-NA2A!)k_8~AdMVbN2 z05SLk4;{wlBXRO*b2g$$<4q0!4M9@XACEZ!ISDc2riaGt!6Z;IW0=-RP^*9Ovdq8Ioo>_=si#5UzS9GtKBaNrt6Q*-d9=e5dh z{k!^iqcjNudyfi<4X@0Y<@~sCcDQt=_S}m?C9fA{tgK5hzL~AFcl*{O$!m41o)}Tw zS9sbiZa5Xa|45!v+g6EtpNsH>uYowdf@KkTQdI(C?!o#Bu19Es+$tB{gY82dB!5z1I#UM{hN}OLF~S)*irH5_d41C;6emgSb~5-IN})Yo}ftsbl4LTlch_ z%?LR5ZB_3|!cpx&RYAuKl;VXUEd_4r^KIIfZ26*_?k>;K`sw!aN4+h*nva}R`CZM`yP08IU%K6cc z>bf+X>!OQhD{v_KpVW|u>fdp>+^QMO})a2H@;wTTk;Dv|6}Cs?|c`r?zr^9oEJOO zbeQbodkB`E>!6lnyde0hLgc$xho%1%} zG3Qq|F0T8Xt%M6kJprGOCf3ft<`nX6ouispROxa%gTHUPZjDk+@KhUvyz8<&pEvj1 zD@s_gp-t?SLT}Bm^irvlJ-5ZrCJpHj3Ql0(IlmT{yX9oEqw~u4!I+fG>K1x~m79R%I=XAFV@?-!#*%7cQeH&v3tLs zo<>Uk-PUWaSLCL8`Ei9U#=u^NBdZb z^x7xwX==IM>IT_0k%7Ju8}A<|n?A3?vdod7&*RANV5S__7~)Z3H$VMUu&u~;EvDQw_E#GXJmJ#M||FS@w)Z%6@3*VQhgOM`-Ih9-AE~K0jIJNBNMvjk?Fz&m0%hCA0mD*x$1C9_g#=?^=cOy$tgpCfAqb62f=HFPm^$J3;OS08rze0kq;VE*PF zjVj&YxWThgQkB8-*JNW%XR0(c9my9Em+u{Tcl3=bMO&@rUXoI_Av3gziPJU%-+82z z^+dFZ?mhkod}lm_!+;p^s~WiN^mK*ld?Wvl4)C~3+XBc$*U=-m5o9YzuqB9)Mt>M1 z8Uw9&^iRg{Cpcn9|74893L)(C*n`^4vfVU|B+iddK~~0 zJ7CU?WLu%685qtXuH%z}P(;w(qT!+i23RHnuBN)Y@%SPz|9I!2Nrk^@TZ_~2l(QE{+X(dqhGsdP`Lssr9j~q93u>c8y~U$ z$7KR`=TIGkZbgQ49Pu7P$`JiQ$B6_e+W`J=6e#uz;1dDdQNUXRYIuS7JY=15jM-xP zx5J+KMi{XOX$HK0V8W5*JyKyF)cma6^ufUeEX6Qt6yU|GW60o)1cv5Ru;;@PfRqOX zQW@6?8)3iEeq*4&2;UJ|D1ehnYk*k;3%(VvZ@{4gizZ_6G}yM` z3QU8dxZu0O&~Nq^z=5O(;$?v_!JzL5l7nTjM%2JrmW&}`P-;}L48Vf(9|fH7fbB!V zBgQHW_DUlMDtnf;I@FQ_yiR(g*MO+gKgd7mHWB6%;4*{{h6j-joHA6XU<1|wI11GI zW>Tp64UjN6q_XZc5h}a^GZRW{L5dDT#zJ*1sB}UCc0EAh za11c%Gy~K}4=-7Bd?p&P(lMP0EiuxNrvc74Gb9P}PXEjlv!;->K@2~cKtcHNn+LO| z^m4ucqcea|IC?#eu|>tSDeNM^=V#V*yt3vmn=$})Lk-lr{_T=gV-M-m==>qGw{F0cO8<~P@n}4W2U_x9|yfFT~DXVn_nlIqVF@t0$sMVNu_}`l1>B`Q@))hin26i6f3?qRJi?RM& zQ%D=LY6>q0F`NJWy@HMV|FR_-aY>%MzbJsqA=~-;GhzE2_fR%MxZ}au@o?^Vp!WZW z5(ouj$4$lZ-?Y*xN>si;r-c{ z>{GCb9rsqHNodAY$m*^(^yUbw%0++OtErim%hOOUF5i0berb$a&#mrMPUGjiRDIH1 z5mVhIs|g%)o=EbfxEmc$D{dMTt<%59|C$p>G!FG7FLuvg! zK|e}w*E}vQn;xS1HTvzK`i^Hqty10Z_CI`dPo6Kctuu6`eQ8GYbXxLY`OpW+yh9k< zO)4{M`9t03wp5rYOr7CLxg1JzNhD8>CBG$A8Q27%lCm*W0SCJ5M~_ zIeb07?VHC@iWI);EWh}v5bW^bE9YuuQZ=%7i@tR{%!!WnY0vSy)!AP}Jyx=JCTa;W zbY;~*J@*YuTOMfz*KVP}S~oc!x%PVXdnWR)60ZmGURB8K>=sTs?cEr)qe5;%M4 zdn1?4#h(uCz4Gh#3=cImHg|96%5ooSGD-=)*ID^kVBo3H?ge_Ym#uM@)LV8jqU7D% z^9$n6S#YIp%HDG*HvVddOVw*l2hPSC)1`%dM%!#fWb`lCL}FSLu70_nF#UWYt$*VZ zjoQ!C7JiKy^28qsX?<8%r@JL6dv;n+$AfNx87X@GC3+2baeLjb)uww%`q}Dy90Tgo zowgAlFP|yPxb$w?Izo8_XVNXdO?Io+IeJu?olsmA{o%PRHSCoBeQjm3rB7=5N$SJ) zGqb3JhEq12%JOIy2>#`fZga23XT=ts=SojAvmV^!JAdFn7bi~>r{FD~c_beE+xf1g zpIi&vT`#S8W2zZ5vzkk=n)A5pO#B0>Hx<4G@p}SgYPpU(^59!myg9t*#EoyFQ>6y* z%OY;r@t(il-uM|Sey)p)FMts7c<4jEl%u<=zgt)l=Ov2xiLXv^v8|b6Wm470T#wj( zEsqu$FpKLt_OU;9{rq<|^@gsi%FiFayS-l0MT6Hz(W0?|OPx>X*3$p_XP%IkT1zmBa4cePx4g=boe-P?l2R z@tU#}Yp1>Ip#H&2msSk*^u6AyEq>a{&F_6sVXC>jN5qDfWl3BCqTY=r(R=qKbZEUN zw*-}!+$&GDh}j>1rTFYC+(N^eUq8Z>m=%rztNU@g;>hjpcXV=O=LCS!jOxDA(~V4Z z2YuRzodIhu97}W(5p~j`Lp(jBY$iPcIvn}ivD2D8w@*0RM@ldv;SgLd{-7`lqE`cJ zRT6~+(K(b`rGa1#irLdZfq;}0VZ>HO2E(R)k76qzyoD$muocAE91duJqJRT2sg@L~ zej|l$so=*4?vGF&k^)|kSkO#pfXg^kDrUItgZI_A^?(FcBP)dU1q1%!@1gI+rqE%y zkpgPS7;fO{P8wsg#rRYNCq-y0%$iP6e*In32_j`?O%d7}2DEkz@eu;HOpL64AM3w2 zrF(=-HdchX1$CU6J@xl6o#Cnf-t_k{ouMhb9B^}F_SE0Q^uK8O`}L2ZDexJ=Y?aAV zCrEIZzMOw)iiGZyzZ=9^A2h3s4@HFKESiGTI~Ml7-&X{70x5u5cY)gYFD+R<6F4}4 zqcS`bwAHM>I1D&Os=;^3*j-ld^xs;-L&seWR2fDJ)-V8)gRwG@X_#_Lz~Ot6DIRMM z+uZMch9^(D{KuNYvH&t9v*wdd^jLH7+(f}i#N_FdPT6R4#C8zzm1NR<(obQmIpkG< z3CY+TRJ?yMZ3q_;2U!n>r(+pzt`k1Qv8RJE4(LXiHJ|iU7;6r7%t5xxtofwtbgVfD zKH)57*8ERa98$BJ07w;+vH!`6Ln>o4W2>PN16I}x7BT(=xiYh-|H-O@x;7A!Fj=&q zQT&sq!)K02PnnGUPgWhoLr6I?hSnoN-sn-qMiciXJN+C@;QlLF?1p!tKCvPWg=MX?fXHO#yO=|%VuZQ0WgBOW^9wYTP_kx=HAFDTUK zeW#@xZ!XCmaKHYf>Vk3nT}R{K8-)YcWUhZaXZ~p8({G%@_~|E}EmF9I`ugk9o8F&= z11jQiSFY&oij$B0dR=yl^||!|`N?y>AGZ>JQ17ZW#nJXkPT7?!S9AOevZ{=ugjas| z-R(R4q1Nx^o6i@qJpn=7j~js5X|BoL72Ws`+n$IF@ zeKJ2zkSLD#I3sqjY=+u#-22-`!FgF8C%e!^1S^Op5| zst7L$JJd9md4ElhmewxiHT|u8iZtPdhlPnn2?u9|{dDz8|MAo74<~Svx;|^@++d# zW+?W_c~6;UOkHb?!;^CE3s?4$Qx+Z6l#-A0yq0OTJcjG)42SJ|)iZoHU0ReOQ5b2} zb%|^F(2bVW+g}FBa?DR^UcGUVAufG+DVh7j&@JuKGPA6Ls4V0~GRp~`m)%i+9W1GU0krKdg@mvauhKID=1_=7wbrHT@_ zUdo})@y;UMHO4Ji-H*I6oAUG*1tpz}Ej=C`AGc)qJcmu$t;<$M%QQ5sQDr%<$A;sM z%`*C|zao6Y)FRHd&V}b|cpLCejWv3gjW37Dxvy>UnJ+Wg|BJStwBY{F^Rih>^j7fL zD>NI5+j3Yt?pbU<`?7x0DT$Y=-m5)Z@-vzKjyzM5i)2mxm`J(??L>N=XP!6)S~Yan{D}pwL?NS2o*FtrTG3BEcWtD zp`F&xFhdlje|@N8|}inol}yqhkldY`VKPljaOD43n`V z8X#aVFlo&Y!Z2$M$$9YdV%D4?gkjPg1LbA$P+)+`(-}e-X3e2i4grpTCe0Z_7-r2O zQLM%+>IXBpKX`ADpjQFkI3`c0e>h_w+`lb4H00P3PZY+^)4R&DIiydabPJQ#f3oHf z$pi9LGHE{PnnMzT8t`BynJz3t+ID2)8AE}fB``LaLOEca_y_Y1g-QP9o&&;y-=b|M zZyN;@#{8lJ)A$F?K@Njqmgz=r*^@Mf8AE~W0wh$7lZ_w0P}mqNPl$pix@i0pEVD5> z9zTC$(J_+orvHy$Ro`j52h~klRew9tgQ`m<@1#)q;py%kZdBq9ey`f6n{3@<@rZB6 z8{zrNo%@BJeEe)Yx9#g%YZt)V`VGlKd;*z|g)xBEoon4@oxO-6Owi zv&R=lw>@jGdao>aUH{;9(VLP$vc#ZT@y5XAM{`fPDlR%}oA%1yBZKrBFXcDiFJ`)a z+kV@i3xV$2*3Dn~p8R$=Zt*3pDLk)bZ|B!IJfba5yLq_s;T*H$pN`0E9Wsq#9gtH{TZFdn+_)wTdpy2C zT>Hqd%0*7jr%BbAP+H}pqiyb|*HwK`f6~%o)#(+gV5QS%T738dpXY3Q-OUo)IgjI= zb=IY2CYQT=tmodL#FfKap^?bV*Ho4BbJd*l>*WPSGqF9do9nT=8%qfleU%IAPdPl_ zyxY;)c8%YH!Tpv6CTHV2QJUdvd_KEMVhAVeY+`+kc3k#2Rd=dc?(|a1b%&w{%FhZc zVW+p=lC$wzo8YZ?VaJSVG;*J|(f;t)C%mQ$^P5OyUr$-5?(%J>``N;otEHApWlC>9 z!BLhW2w8&pZQTM2JBKh~n$N#EHH(6dmw%x?SGVYnNPd4fWCdN?wmgeTwNz!-6IDk$T{q zp5Axh%nC)?i>K-@DtXfK$`dzV6L30pe%1@IG&Q69)S8u+eVlqR`zTv2tfpnoYuxWW z=b6Nc*?Zp(wH%y11G|E7Sl@+f)rSZniD2O_UHN?{gjCSYMv~2swo1g=w_(=vpQgro zqU@5w{r2qhu{^!!Gw&O`rho%~ON+-H?7SOmIr(|(hO7qlE0R;}H@c(dZav?=*c{jU zR&k3;-=$EO_%$2iCA7aPD?L>|(ks39Y6njDa+RRjobp+691(^RrTE&QwRfj|>$Bgw z@TIo*yweIxI!>%e&wVg-aqR=&LqPQx3G)rqns+3V$MG@O$xmK^*cDpNGYiUtZSvJ_ zc$jT)-*;)TsI93CryuoRsbJll zg(oDuqMj@`RDPLqb0MuE^!R0)%%`oTG83l(Q=zBQC0MGpbP&Z zdz^S~y8nr_dm{(Z)}pFaxA|<<LI?8#tFX9_Lx`I-dbOn_))Cz>NA?^z`#-a|Py zv_-YEtwzP~%jSj+8i_;K=67Y}_{6Q7wrX19cZq|Sewohp^GC)1)E?}YvK3Yi2oj?1 z+qohtcH^m>s#_W9pLxzZFC8*Db?Q;Q4(G$UTuMs(F8PhyB0s-VIz$rMK@%z)#OBTo zw8Ni#!T+W2L-Y;j$j#Y&nZw;p$?my#UmVaJROn02iOLcbebub^b}j{br}xe07U{Yt zNfjqwMGG#>(vvdnmy9#XsAU?3k3XdTEec0=(%++SSoU{D(!{^7)6 zW?a*;_#KG&h{TggIKmjnF=-Bo9>fEKp*d3eZIY+M*$lq4z@=vlA;BJuRZGaEAz&B7 zL!lnrWKGAyc2K&4bpf;HlRkO0IiehA@@x$zH;!@h1p`JT=tz~J-@6UjzR7xxw1k@i zhUtI-bem+BM|%vl{~%ar_Kqh=4@Sb}aeW?Zj#P_emdrz#?~^>%>@~p z4pQ?>p8Y4A2=tr)<{b>J!Bcs%p2Kv3OoS;17MyGTY01X${+}3rVr>6g*bkdFAm+%# znXwTHha}rS?{O^HhYIw68tfNsTDub}HRTUmwJS(HIU%;S))p7$k`rqnDxpxZbjquX zJK|5Zuj$GBF`y}?XpkwYxod8({fT+c(M^75d6E*IKh@w%?&IL8kuRKEWP1H-Khan()!$HD z1huQ<)3n5k3-8Yk&I#oej6V3PwV+6WXjXe~$stE=E%)I&xfsbNjWnf2N-z7KJW0#V z+wNhxRN|=}QT^_;sWN4sUJA~NF&=W6DH_U44ixy-(w$S6Y*Uqvntx8%Xt*qJ*_4+v z6jz%mrgV13Mz-cYzv`y-O!KU~_c{O5o!bv&c)C6uy6fhf^e}uCwrJ6{o*d0H;#2*t zb5UOpRUAs1(XO-8*>E<=_~S!=%PmV|mo>fd7Vvu@v|(m^w5!YB-iXqbKEu@)?`klC zxu3nCPIbDQ*%DQ?`}rrSfva5he24Fs&+YNNbI0w3QP2)Aw-D}iK8i8(PVM(598s8g z%;e#_yvq)Qi3Ek=3ra%$Yijl+IHR}L&edy=&Li+tze&z^TG-^Wx>4{gcac$jwqO8G zCF9D*eX*L)>Mozo+Ew_mk-L|cNxqfB`)mI9ZxYQ`Jom#Ez8DmElPVv-XXEa8iF;G; zwpZ+Yhn{*>JAy~#%o}-%hqh3ZvGc&l=k&uWT1skJ%8v;JOOoPlqDlJ`bw)2uK2JukEro~~VAOWu^5 zm!AKfd^M-5N;r6$Z5xkXPN3Dkw?%^GQX=wO(>KNWhuUg9F6Je^cy%>v>+MqF;?&n< zbDHV(vgEtLF@vk$b!c=gXw&jJb&9-HA^VJY?#vlmsz_PF-V!DO7$-i7`!T6P7Bxm9 zubpniv2U*>IJWp#p>pc2rieX`^$`UqcO{+aOM|{Gmpf7N z=zT7SbihVhPw?zF-#hnB=lw88$joQj)#!~M>+kf$4S(WlEiT`io79%SSGQa6{I8;U z4mmr%DPo*AiJTWZn`;<=UDC2}JtdbT`T z)Au9uF14nv`j9Ut@r3I8q2ObDD?&v0RaZXsubBQl+E2cHea5xaRkbZ0oo-yS;`TMf z)~?t7b}o8BS>E6v_UU7Jp<~4S*mi7heF+av<(dy}hpqpXm51^qO$-j~jXiC;RRvqTzC-_h zi9k+3wp=10>G{w8PY>4UefpD2#JJONgab06APhmHmhh?p*(*cGnGm_g4;x+)g7~X31>GTe#CnN9?Q^DgO?Y1n;qCY*j}94vEEOon z#L#bO&Jf=+X%1DZ=x%k46V7et(K7Az>R7H+bdxW!~NvBYxJ&I1ZA1YiY(kVFG%d8 zSnS3h19rpGT17fN9-Xi1pL}feI$({O>*1C0M zTI;d>M5zY7^Isj++@>AYrG*B4pOdcL%y-t~0dd-(2(8=EO8isK^$3f(p~0TF>P}kb zw%eKvK8^kOtIa;~v@PE?bmgv;vYO+|qqc0)+jlncri8WqDG_h?E1$n>zC3V6Z25^U zi|{_f>O!@1aTjD4>xKr%^SAokonCKM*g2SGbEmmec!u0%RAyJ(k)m&1&NW6o<(qL< z$%B-sMhc0P_$_aQH%t|?_S_b<;f$tl^)o&5-6}06oPI7gRP7c?>ISQIxmMVeva*mT zLtNW$DZigi<#N;5sY>w7^GaCR-mB&PDCPC{h@Xaz?Jco>j|h=3+ro1&N;2tgcC#H! zc-Oi#aqvfZf2%xzE#Q3rb?RqAGS4ZYs*jlKha}#hP1l^ry#H_@*oe1dZ?<*Xz`N^? zojcq&H+5s3X06Dt|I`;2T(v`crAlmaW90OE2V1tO3l^pOsc>CVDyzt`v&b!4yUKgE zTkd{SV-t687rX8SA3|3g7JZcVs=MqbXUgGsXr>|B_`~zxLNsJ0{T8ADi;-O+8shl z4H-(Q`_k{AzZ{so;BddzA(2M~Uaor&+~qEBI-oM^VB@v;TCXW<9~yCYKFOW(Yv}u7 z-EetoAVv0k1n0|z{Mz#O>rH3(|Bw!nQP$d6AVhwB%zLvU`FnvQ>GOBPq{7EC%XLGi zaV5!DnoEXXQ>y%A6Sa#rP&_v+Br?&$^5FbhIoY5i^IhuHWDA}qyrC}Se8$bUb(V;! zh?d*hrREDhbXtoIN`!vs=+2s#G#H-MtFcwgN$|sV9aD+^nlqIryZ0`&^sG_tNVd39 zzvc4r$1kTm5ax-+<9R%fWLtF%)vm}}F6mvR^5*D`YsokIF2ohwl<#>{kvseSzWk}Y zJ>lLQ-#J6t7k-ozo3~6tPw0qgu=eBo1qXE`r+O_GmNMZjuKtChRja<>cERy_zVkbG z1!Llz`)mKy`6fXp-ap;0d@JCN#?%?zg3BM6K5XV&qcHV!#C|D#o_cf|MfY|-iu-|# z_uAK)!FG|SwHBQmc)-1DL%{8@7l99Um^)sY*K%LbC2@+I<=1dW;gQ^N*`|2i z#`Bp^`}5jYz4jwX3i zud{0L$No zQ(1da4E2}l@VDg1_ZIhZavn=`-Yz|w{K6t&?k-XJnJ;D>T(nH967wTm?#lP-?eFLA z@m1%O1p8QUjosorC(&O**-fRrK zXKPbhCHolfzBDbkw?xyfD0W9O?SuY`0W8n)pWJ!UM`tTUHQ&EfbScjB?NQUC#3|?J z-&Z}YG3QW?RfI$NnG&bQu+3ag4W66{)2Y6{QBa1vKH`vpPR#q1kMDT(r|LxXrf7W@ zy82?lHGu~4g!yMy)8=4&1rA=)bV%lST0GC^bJUPO>GG!_1?%2QzVOqRmHh;1dJ83u z58EgH+NQc~_0v|D_OlXtnO3rulnrZ>m+k2f3F=xoD8yq_FkEho4_648EtV6g6YXB* z6ql5pQzLIRWkuI^uM8`t6WmgdGG>%L-7-&3?95a(>v_8uuBgi5eXd-lE1$om<6+ga zSxR-K9Wgs&&05u@*X?e*^w=X~|7z8(*?0G?UzeJXQ`*{o12y|Z*E6X?)2auHkGK#k z@|5ESg0dQN9Mv7lHit!QcpI&>{&PREd-GBOG|!if1ZAHddk1}?^0U(w{bu}%@7-CY z6tF*>px+_yl+-QveB~5hgT3J2=Hl6cFC=R2q+WHqsk%$aj%& zTT}{DerX+4Sd`~%nu~sQvx)CvjfsEUaqjva^ysvXhxjR3v*RduC)rRzy+=5h}m?oa*yVyc?hTT-WcqzWvj= z4!76y+~VQ>W%i{bTAS_N*uDp3*W2@2Gpq2T!=*mk=jw*sWNAip>JL zYR27KM|l;_b-BKNKK}d}FK@SkN)qo`hUaCzGJ2O~ALZ#%-~5<(`GNrJo&@7wA3~i{ ziuVjo)NlQ^#1P-$WxjvG5V$?&dkleN`+p%<3?z1OU}HnBnC~C?H*8TJ7BOt>tHize z^#JfWtpC;$01nh|VIYx#C2g>pPY96t!I~Z6Y>N4RA}#&9uDNKSy7B$-97l-#y#5rm zxi}2|?NESgfQ}1RRsQ=k>PD;H&xq)|kwA+Cc%g0@31HY}K49Zeei)dxEn0>)8tra% zQLHl^YO9VJY#HZ|CJCJ5v2KD}s7;`7l?Pwf#a0&u2H?Q%Z1Wre?Dx$l{fpS|A5gbZ z8DgWvn7;@U%T-jcxwOxs zz)!g4LYz!v3I=#fVy>49xgiLtqH^-@r=Ase|FCw*FOLP|H#^9oNHuwD#gMZyPF*8Z zTba}XLToYTFlWUG5hv58mcm)NWNof2%2j`Pm2uLKQ#7CnWv+T2{#!K zArwDH=7 zIss2~1a1&#xN;`YJ|(ttM9HJZLIhGbn$5IBE~RVK$JiA9!PYdB?CKu6q3f-W?e2ea zgT_^DPpxJ+KQbzDdXO%TgoW<-F$C26OygBZ3g*MLvtm%c^Z0)JC*26F>LqIW`-QEQ zSe5J5*(m5LEWJx3gqS7Ej>n9vs5o>a@m#6l-bQpFz~`!roxm;jLf^va0>p_or}X;F zy3>#Alr-Ltd!=W=eJNu+wmV&rz_r21>l*rl=-Kmx1t;RQSU>n&o@T&9?)H!z_u=yv z@vx)-Wkr6Mj|BMpFcT@c66q4QCA@08_8gDsJaGkq9|Kz+1CPMT$J?KKzv{V8NWS-q zs!&2~l?=y8dwhO8mo!?*+ws&Z0}MBkSu4S0jyDUoX3CIgWhhd=T_99c7L7Ykk=Xd)$trWoj?Zo|QUy~YI+aI3C*6kdgT z_WOz{10wP9)rS0qiPqzXuAaF@Ge-j@m1rZI*+!Re^N1zo2!w~6PC$xyghn@CjsPut z;Y3fK=dI)&ba&M_SR8$QCc<2Leg9CkUCAH ze|Gv&nt_UQb9!CKssM*$i@&P-Bd2(`=C)I7t^PC*mK_RO7DV}^Zrgc5*eZt9dJh=s z@m&;RJ8gT?_g#kS!FTaG4N~oAAkIfj#C14bPP}(rJjc9$w;kI9|5Bz}9gT;qdxGSP ztP|a-gL>YVX-W_3QT7_#L|*$O$f|?Xr&YkmyA@y*Hju)C*o z1+trK!;B171lfq;8j^?SuOP*PkDv5#RbKKUNV~Se;(y`U<45}zqoZyJCIzeWq`pbs zXXx6c5^0j%}>LEL~SmuHI*7gSUBQqBShr5eL?(GU|?)ImC zF!@$j#%_81Y-Ac6L@rk)TlBg@K(5%~U_J!msp+ew*aZyPz3lj7BnKrmsMzn&x<0Bx zSPhVg-r5#X+*)s)V{xZ+pHhX>`AD%-h0gKRcg`IX>xaL6C1fDvts7<71Hb(^;>5g( zGeOW1vaC=2W?oMWqZpnmM-&x5c92JC;=j#(Lgu>u#3295DAk*1vucf|49;gf$+$iU z)H@oW1hTv16ollRErL?pllkR2-ktY59H6<%eJn!P(?j18@pLR@3H3DaiIw$J_Z!o@ zcHVwr%m57JBu*A$>I-2>2y(yjiW`SG3QuqyDqeFsqSo!VetzkSJ!#K_z4TH%Nn^8u z5ktuNFmJ|3+7WwG`0+DZnIzhm$XPE8dp{WNeEQ*m!~*lZThEx*b4dILtAj!%E>t|` z_cqR1h-MkRRqBX508I_<8DB4%uz&5e=M)oB?3+m66@l<0yvKa`ga~%p?Ux9$Ik@UF zb@_^E#9_p&aopMB>c!UeGlV49NkRu==H65f4>M@rRbpbi!FTg*?X3CRnR$OT^(eD`*R=iWZiPUpCOQ|g|1!oBC@D>YWr!YL&M&_t4KI3 zL*VFx)Pd*#VB(P83B&mwtU`d37Pq-QW6}}F~{eS(;n}-5)iUdg` zpq8>3oqkJGZaEV1FZm+Wh7zEyhVp}UdiWMC<*3c#85=$+$RGfvWBx5Q@;2w4zZ(iv z909Q1oYq5a?#2FoBv4tvfZzIlj({h~H_YVcl=XK*fvzz)wrm=S!s*le=zD>J^d}Uk zKW@4vSSJ76U4Ib~|62d_4-oPH2m^0${rL|D26c*W9 z`!i7ES*2)S-*H`^(VpBA@T65AMxVD%66{xhLA|b77Nc3VLgRatJjFAiYVr6835)18 zA2H5H0+H-hX76*_CX}L$lA-(1WlfXs+#55CFssKu=IZ%V?6WmK5zlQfm@a4-l9y6{ zmPOQ;#rYUBbAbQ*s zxoh+VMHYE-$JMEUJIig%K>#P;O!GNsPSzQ2zK=r^i<84;Bp`c_X%-|(Zi|_Ic{U93 zp5|)M!%H(>)nTcGSz=F1b3A;+)Ftis=J3<;qn76)Fr==sEkSmqs|WYnBO;_x!CfIWqYHX^1`t^)axa88AK^n9Z{i0t zJ#*_`P%CXfXeQvZ+&fR)Q+9wHR%ZS1R4Q5wuZtA<_?(&~j5tjV(v^{WgEdk$%af`` zF~D9c3d&*O7`;=Ed8A!BHLMC37N;q2?{M1Vw?UphL>Cp(KnTofB(koq`EWPsCUGMr^i##ghJ@=TtM1q=lF4S~%S!ztD zHKe7BTVj;ANlpVlZ|u6#t#npw6JtSB%AFEV7}cH?MGkc2i|vh zFk44VI-nw@7vkpRYqVmkG;!;+s!gYv{GGdQmsO%_JeeHbmZsU>dP`NFml}Ndq)poP zm{#4>q=9mw_j;@%=L?LKb_Vly?%5l%FHpgUYxQL;%l&J1ZI7EU>c*_LllR=MNPF=* zkUX=}0_m26K-Es&&ft)hb`M6i$W5Lb`Gj)v0NVq?4hJzeT7PZ4Jl016cTdfkc&o@h zUn9<4T#>!iX;P6l^vn*;*V^tpBctB!IXq6!(tPL8l7RB7#PV%1vGQyd8S?nZqot=u z$anhqT~WfTs*rwpW0xOtx2tZZi_Er*4bx91$ZDO;$R#T(kylSf6P8yZ89A@TI-ED# zmO*jb@qEK+gBL3P!t6#=^I1vm()1h)rO2Q`VaEIzv7AzqnD-uT*%VhKjH}tEeaCq( z#>~-4rXibyoy&K4qO#ElGHFKX@ea4xs#A3$ar@vj93z)jmGCfQ1yPt3NA&gSB_HU> zDy`dx3!`3QZp`Q6ZmJ&$_dgv9A1e}}$gAs7V(Kn;dNlCnGUcRJU+Uu(0l8cgcyQtK zC!%FDHO-l~Cz0V=1^mHN_haRBEF9;g&ybqaE+pKiFL1Em!Pa@NaJg-y-GN%7cua=Q z5n7Gf-lxzR7Uo%-PVU<#yPrzH?6AZMmEhJ}q4UCWjnSqSH{|BmULEFbu}-ld6fNMn zM=gU!)r!UMrgDmJOfE0>sHTNGNbpX%<#!k;itoz4^>)Xqa1rmWx>cT!BNc)|oc001 z!35}}dkFFI?L^lUoHSdQqN|R&#xYTL1ow__Hy%Nx4OGj-PhHe^OEx$dS);3W|mhtSRKGBlXMQ*Qj%;8PJfm2nza#nZMrss^KvOYNEOCL*8EOvXx zk>#1Um$A;;UXMP}5pioqDz15nfzO_AOvxMNXv!Gvk4*lx=)cTGvowr9@`oe5%+;0?P%US2-RqzfPXNJeaW z;O$oMcnkZzP3m~KUGIB%jHCGe3OvTqz~7O#f6+hkD|rjY*0_LzkFW3;juoB4{6upb z`n&LWgTo#UZhmpF{i%2W3iRv#b5hwn6u@I3kGEBw4RELZ*+|gzzomE&7K8ryq6j1~ zK?9lg@39}Y+UO@ELDd^-E5#rb=(hdwP&iiAYYU{hSzlqp>|$A8z};?zRDn_APp%1! zDz|L-#=5)yI9jY+A+{_0`zZpasBh-zFT&$LCXD}A@c7%s^tI*)G)(;}JhoAkxfBZ_ zFJCw^ae)+#ELcIO4YHrUb9P|Q4Q5fy{gJ^`JV(8RJD=7_X_O^XZ%shyE2A7-o>7(Z zc;x7v>?Kpc%Pll$}FXZ~YI@y@SSjFtx-ivDEO~Y2A%rfi`INL5T7jYbv zm0=J&N!0mNwF{zv;V4X7DjrSYV3cIIoHQ(EmdPf6y-lZgDTvQBKrTM+o^>TeGrHj2 zh037^gT>wMS-p!@CGQ$MLPLg`&WV)L+o?!2FM^S4W0)+~W^( zp_lQK%`soSJj`3I8ElxQQh(j$)m9W^~FI~?T-T7&M#_67kSPq7iQ;nbS zqEGb>Z@KS$`>^{LTIO@QW1}kTXQ!wCcHjB7!4&6zzTwmhiUM)zm7jQu!qMNlJ^fE^ z>%Ujrv%%T(>xmWg82;)l`9h@`oLH#_*NznBxkbNNb5qH0MRbuG21^R!vNmeQ_FxX6EWK#e-i*xqg&deT@hD<3&?#G)$H&l%YX*8NjQDl-VY%Yv8XBqV zs5NWt{N$c)+Dt-B?=yl)KiD1(Wrf3INb^wUd$E@=t#=}q5&fj}=K@dbsEG~7mihZU zOTY8_6R!r_!u!(sG+MUYr(8TltGSo@x3PB&79v@Lm51mFcH5>EA0?&KKWY>knE;pe zoJ(mQH>@DIp=ld0lxn>E+)-K9O-v-AWh{4zy=~Aylx{FhvRE_Kac=6B!NK?uhHJxn z85-1HiBiAik{}9T=F}ZO8GMa?*Wn3Wr@5Pn>Vb8!P$V~AkhHj7#^T(7!~>mMt^wUXAau#|86ocP# zj^deo3M=nV?WN%!cp5n}0Mlc2Qj=a7+bxQN5er zC2W$=fBXSgXP2@}B_?hX)%d}3SDvpEce_e#dhM0t&#Pgldpvm;b3LNZVGTGY51VA_`g1H??k-Iz1;$d@(_u9{x1=Z0g>C zBLBnfA}Yp(2VP=`$VnE{&WdjDu}R-noAgwVQ|OA=JzKt$+dB^u`t9IMi0>YxYJadw zsd3(DQZ)hJ_})j$goGZ z<5>rY9CRg=Qop-Ozr7RoN+aE>Tn2vBVzVtDslIE%Gq0c1G7zdRAxdvNrC)C@=x)@AOX^xJB5B#v zHZ*Q6T`4f?Utg@h1c6<7&$?bTLs}SeEl+2jGsUC#384+ELIVw=fHFO{{hiGiGK$(U z;gyjc>a0v|d4lSM!QNbx_rVd4SEpaQ5En7t^Ozx4XM5~1#avnHKvi^sE0*y3ggisL zV8zFG(c+&@%+ME~Dp9dJoBYU9RC%aUV!45*KiFM!^j&UAsgkjZv+qn!u9evtMxG#d z{MsWsT|*{9E>cX8UZ7u7amEWx>(33n78pm!6tNGKPQ7EFx`MB1lA~MCSp^uI-dI$-+oBxr?;|PuDd6U2o(}R`q zGZ*W4U!@eGSKy>WvCcm33ya9>{t;aQ44+O%T%@vj$ZJmNKu$1u0`LBt5|Kc)avi6$ z^~ju01pDYZ-L~52HkdO1%RYyDMt^s{!uddh_kJp*@YCWL{?ElRVAtB}poRPC*In=r z^AK>r-UB)ucvj)myAj~Ph1C{?gVr7Rj~xJwbo3GW{AeVwjR$63x=&E!@AK zcZj@EQM2k7qXKp@uUT3=-VO0}r9Ux`O_7=I&ua}Bd&|jq&~RHZV{~KXY+)!l<@NxN zftyRdqOXfxj4E@*WA|ibqaD<3X&hJs)NMb#zL0jiI+{XmPlw-mHqPE?5~H|WJGdlo zXj^vNEJ0i@>I*Qe+VKxC)D|%>y`;RLBOp0ZUeSKnF@)q?uKg{sr^%&NYn|N5C%p~c z7m-9eD_Lo&?mfHgnJzo0{>~;gYrp67$}1=BlBc*dd-6U(gaifsJ-sCY;7|IvLkEtE ziP?XmN2c11OBGxcL%`4O_J0@tjIk|K@Ws$xJ#PLX@R%PAR6Td00rov7c1Ll3U0OX73;{Zgf@~VZ4+G`taPDhT|W^mvE?XzGal-RPoe1 zjNaWfjAUAQg?`1_>hlkuV6uV%3f*4{dT^&T=exKfKr2 zuX*e|DS{hSkAG(_r7vPie^CG2U2Xd#Z^RNOFv$!vWG5Y)JWswSN~9XM-FG!$e+Rd$ zr7S6O7ms}5(#oSTmlLBGW|$b|Sm?4iyV}_h2Dj&BES;KBD(B3NZT!t2Wq9UCD?M>& zqn3NCJC6vY?m(>H>NPnpnoJ>KRD4q=`sg`=otzgQNQwGBy++qr5zZgy*TFc$9?tZgqdJh0&TKk5_ ziozQj0~22hM-^EoOF=~UyR8;5XlmIum|2onJ!R9P21Rd&KCGHw+KrGEy@wy}exx&> z$4y#Oa#*BdXt(XQy-$)#!p%=`aI$5fneU!jmSdw*U*v;v+=eW_Pu}HeYiOr=;-d0; zj$~p^wM`0RYm2dvo9Y3t=9@HBRJ;QF@vT}C+S_K#Q!)+LQ-u?A)@+_VlG??gN=78C ziLqHtVa!i#^Lv$|?JucwU`UZ9gm^kw#Fu!Q6`>jzQc8JcPI&O06cqNCC9rz%j zSXR~(#1S-hJ?_x{fW{d%HN|ats!9h>T&4C)=(9Zlx-A)}vp+W4_vXVWUZG}pv%MXA#OZCKjz zZm3(HcELMBMpjzLMa0S1Gvm)Zdv~VwH)>4FwylT7eOMRMG#k&dWSM-VON(id3%rtr z?0UVro;^QX=qHc?r8{Buph7ACBCD8KEQf+Zmfw!~h59?OjONdu;)gxDz%LypSoUW9 zUD34;85?Kx`kOJuw_f%tWzUO>-$S*^Dz4n*%Np}W4qKug6b{N=fH&h7kn39@vE@k6+Pt+bs^>t3nwxZpD82r2hwS9;j{FjProq?XTlJDXRe_dBya(5oP1LYj0iX6pvcQ_T0O8 zN5+sY$UE(VzoMTtTc-KCGc|r{upd2Zs*s?1+DinXXnjHR_&*5n;bkQtY^Qz zoucKQaJJ_YD#@h#3H$4Imw5VQu12c*ho|;Q6QTHg^ z{|d>3JcToliE2tl@;S4Mnx0j$QzgBqNu%Tbd>z~_Q6jmFZx@(jb*TdCb1xjP*COE9 zR~3*?mbJ^TOSv?E**)oivMK|T7i5Oy#)@4l>Q-ukI1e7L zTOsur$hsd0xj_8hn*33y-uB0*$dS9+pKCYabv{eCr#vASE%dDM<9?qzpN6$G%htsp z=A|_PbqTGd%q0CJYLEI~Qt#g1&PC((f%c}hk&i^nX%lxoA`>G>ibwFLXYahpFRSPW zg}Lkx`bfe#H;Qa}%q|=))+jE~cVTyJ{$7&j2P|p&J{d9V0Pg3&9;&JJj|x8 z=p(W($*N^#eEU|XH{pjkv*RySk3WyZS58+ffK3%c7 z-cHFjQ{(SCub{UzyM&yp`mij+8&K2Kz$Y)I+TWd2B5+fMB|_KEGP=gty0S4rAt6t7 zxKZpPW70WhJNk6T;d*FT){WBo+H-5h4Rq&-cKK4Ax$(lQg#Jt)L9RCKiw@I@1vKN% zCwIpQ-iGSqS@8s2rVF-s!c>DmlUgs7L`Rv9~QVRz@2c^Q}B{<&lhQC{Jm_sMFwI zI{8ZVj$uk058~>+n={E)rI(Kno*dPdtClfl@Fep*Do&wsk$wF@nBuN(UZtw>mI9;8 z>c28BeeZ$qN_f2=% zacjWmmfC1i@p; zrI!z_$Ld*$rQSA}-K>0c!I+cikuSqOXJ!dmZ9_Nq2+KA?XpiZ%eCS@u1*;s6Vk{8Z`}}UbDeWX_1<)`*n3P=lXH*M zt4gBIofbZ7#agVd9ZnRjgu1_LAIp;%mrbx7FUy|-46E;Q*8&*0Jp035G{lCWi)#ADrNc61kAtZCZRtm8p_!^DPiSa* z__UZ|;^a)D^mS(Q-`>#|j%%z8^`ZTx`y<=8$Rd1JqiuWIU~J6+z=xuj!Q?TVxMs%;C{-$N6EKR#{pdSfN; zRV$?|Sgc+0PEk2!U$IT?JUpMDB_<{8{%H=$TLZ62YMbp$Leo8EX z2Aw{antftOV>yYy)jT|)Oa67+wY|Cxc5Ma_bF-#~iAF_3wSC0vvkiIfhlXVN-%A|< z&5bJy>TgfAtzESe68B>q>fcF6vzsS4LTUFSElPQ$&+fVrJ8$2^XK!_ot9q@~TGQE{ z$xMDca({c(#}-nKGvQ&(2eqhj9TfUwWL(hCXU~raa|bNed+qRDTW={(XIeiiLIb07 zIdtC2!q4mdPO}QV7Q6%7cOEp^8$cDpuX2^3eVm)TP$mFV=W{h(k6Gx9XV(!z!Q^BE z4oBf_?J}*$ZNz$3Xu|i)r0#q|qN!LattQ*Fz2oCZSOvXAw`qicS0m(lrArfC<@Q_v~rnt zwLf@NSF-ZLyP2_wEY|aq%lkQkAe!SRc~%4OCm(!`vY>mNYn%1Zm?@~j?EQjBQ`h94 zzyqcZRzoA%6MMW?(uAvJ-&c+H^Uk_y=NC0m_J(ThcY_5`Q^wfU6YoNvDetw`5xH3M z@@1@aiTSdYoi0(^S|u&iy5frk@fds^?LFC>*- zxq?_CB=5<(8g^4OX4@H?8&88CnY&t&z{K;5cpti0P2IjnL8AV&M&RwPX^S!Q7q+Ce zys((?Ll?YzFNhC`AKitiey?d!-{^AluwgJVGtGIKGGDl3^-YpH(=+{HPx)=f8-$C@ z3VAKl9~}<9&ELp`KFz7^7Q8KmPQIE{Oo5pbGN6%1d*IP<&$Ct0Je6uZ%cZtE-D=7j zJBjH#>dIAvSKY=7FBK+w%)Bv;-oIjhgV$esXgXaPuTXKIwy^>3^x*yqvI9(38xo)S19PHf^ zuztI5{|jKnJ;%QTtT=7HU&(p@6tLn{uoXb&8K;JceSuT9#J%{O4&SI1u|YNa&!FH} z2#e$gP!_lX}*1Uh-Z>-)##=x@ipL1^^P z;)Y{@I0xYQ5MaVl;H1J2{s(9hxLWh_|2S%W1DGz#P)A=!2LmuDLdO7tfxvaq`g$;Z zV26&;-+W^D7FGUP)G(l1hys)=475DLK&K%bM2$6tgJICTKo<1Jfg_+O0|W+Rs0%ZM z8W^B-bs#_}0}a&ubirik89+A$zFAWilo0Kt*rx5dVVSLI3S%@cs*;l&NRsFZDyn zT^?r_iF28G9~#K{*mon}BwaS#P=QT3k$X4EbyjYs{^4Pr^doLJb}!Y8zMm;wt5_2Z z;Ls!WXV<>JZ5OKQu@KbPOLy0PmNS%jBq2w38dH#5BHo_NWlwm#rfN?0$Ozp%1*RIS z;A@Ga38$_{tN6epNwWrEgWPxKpF7{~(>|MO7IHJD=FqWvJ6G)!bsjB;xa=ZhO?DU8 z#vX?^y!=2)Lv(TEW~tIuU5eA@hc&BMZ1Q4)o~in9izg@P@xLq(HY*`AXVzDRRm7*O?Vyf%uoOEm~nfx6!#p&r1(F~XTFYkYKFzI#D&?Wgp z%iYh`k$Hn=V`JF?zWN=Dd{jz3S@U*-Z_2{V6&+46=7tJ{jiWAa3p*1gOiIdu+Aggz z#NF5#RUcda@C~j16~PCj>7AWg1Wgf(Q!O?YE3Z=)ZzgIo+=;t@Zj`b?Pg+c-t@Lc=HFZi(%jS}u#jEpqS$stYf}wY^8eWEx z@zxg}j~rikrp47|&NLJDfX(|->cXi`=J(q3rysgZU+d8bJ9X58e*WXRC6sEY{Lof- z11Ky1bN_vQEN|*z!mYgT@CN!H3z{Lj%p5@^A zkMrK3KpntxJzxX)&H!|X{mC0j82mV_ z=&zT_-#ajHd7xl^P^+r26HXyR&dRpLBW?~mZl=JXX4Eg+KXrzc)$)-)Ug+D~TEiNz zuO-p-`wBWxQ2Xy(@*CV~onKPAudSebF7Dpg(Mx>CHAGxq@@!j*tMQNHw4Q*?iwN31 zc=h~MT4slA66&*h=t)NvN#SuuZ zNh!J=PT`A+-y3GvyuM!1n`w41_;~ot$9IqMU)#7ly6|4^reuGyJJtWA>{MQj<;X-y z5y@5ZjO)&BhFy^MH&olTC1A`CSy;sCe9&ZKA0$TNUB=xBMpy{t`5m<8kGFaiRj9R{ zQA?H~+@=5cdbqEX@~*4u2Ppid^KWVFe}9X-%+#PJV1n1TCP^&k4ud~9sc>CQPr}nB z-`8WVv`6_>z>4vq-aHFGYZxOyD=bXr-tdaI6`tTt?6RphC-G?xIsX;#X-a6g9J60<0 zzS@&eF<{Fmm1#BWJ2v+sinxq1xH^&e)-mgv3H!D^PKwlr`tH2v5IslHMpHBHo%X3~ z+1)JL*cJx2ccB!hpcbR}!26-S1M+s~{7mB*9mlCxs|Qo78W1`Tp_9jjT-?yp(*ff( zA(ObufZ(Zv2`lqxfi`g?#*()^y$;SGLXiOkM*V@MaB{Q0(`G{_M#SB=^Z?(#g|L(&(t0`%Bjg^0YK7tVS3EeVyjGDLvt8FYQejm1R3wd#wpJ+Jfoi5J>_>Q5&pZr~ z$L!%!x+n9D2d~MCIL2^04Z?k=`{g2crNEwjZyE)J&TLy?7a!F2dqA1MU@Ka{qHZ=n zwPSQ4Pil8uYKwX6K$-)ouH5;giw~Q0=t?TzP}N=MYbdD`=wz^bn2{`GXpKNl&{J48 zjM5X`(@)Uox`}y{Pj7e>4?=38dmeRrJyPxJi$H3X=P&f_qvzBjS)cN&=t&`W=gvKU7l*`Q%|UN=JGM@{vg(LpHn|^W>3?+DX(jFOP|y8I75>VuxV37FWK^<8T$# z2oi;o6D&`oJa+D{+!1oqwNfdrHB~Mh1x-OgY`Hzwu5>-MeJxn=sW&vke-uR^*JHxP z9N<0~%)0hq#|km0$)vyA!33I0;a6vREjn*?3P#rVJSj5l3OCRrJ1iX~w9TpVamO1G z7ZUzR2hNg1qi=;OpTDmfTfs||alJ;m7fSW=X!o@}x5COW1E+!lT3_qWDcLIQVwu*~ zKix(MNmPp7D^fq0V1b%-IwB&!`0g?{<|6Zb!@!qfRu5R?Q4f`bM0jpfWZkBcOS!v! zS-Cw>W*@!mU|Q@U{`$9dO9~~~mTI*6rFlbK>`)~`^&BtNi(zrgc(d1!9CDmhHJj7C zajm@4w%r|l;2jj5^nAxv$!l|O)WVqcvexEO^JoULwqH$D@kuYiQ-^WILh`)v&&tX8 zzF4w-G~uozTzmkr-u$A~#3l-V=5_0|){N`wr(I>rweS!_CuanWrk`KL(Gz2qCM|fU zyX4--w7fg!;R(;Ybz>h9!}k{{`!f^ytW61Po^{7bS79K>DBr##EOGNX z*?ZV#uE?hTj&)*x*Pg2fw=ea3K@6WYE}UBCxINj&uNdw(mWb!&;ilHxv&e)VQASWs zAYp#H-CFpCFFM_wLPg@E_M;%Vg_4X$5yXzQRNT{3*OIMI@$M6!TD_uhoZ&@`iU0LH zSWc(cCq3iDw8%9{5~yB@y+bI$srUuP86Bzeyh0WX>;3jZ?rptGYtAY(2Xty+&t*$1 zJ~aro9B{vsSw$<*O`xgzAz8D-KcJY6$)HtGKG=8ZGC6U-f9jj)UIKqr270~Q@_~0> zUS(Q<$v2~C>|+EJpBWCn(!KD&o6XvQHs=%UP4#Q-&ILz?{dD$;?9L*y3e_ir&# z=$oD_m%0ej{#FW<&x5a)rppDA?6^c<8&OOsJAA21e;w~(e~t7O5Em=>^AA4R8sdJ& zFdHE*2B%^4S12`{>j#cf`+T;Aqj63g*mrRD7PuFmWy--jpYz1v1rB0kf9G=n8+d^$ zA;iA(nTP`~uxT^g@GpNE1Hm5u!8;g0D|~tJu(la+u#B7XK^F2Toj<4zQoOs&G=(K#;?Q; zRx?QF8|s5qjzsFibs_pNeZW5QV-Wf}dJsK>%?ky4xBi_K{^iDmgLompL={-KfOP^E zIFKd-;>G{Um*VCdqKDQq0JjJfhA;pn4!STDSl@v7MS?q2M-O4RIqq-Hv0o&u|6A>7 z7+4r^Q0DqIa5$)G!MY#+QsBS8z&BGN|83x3CmfW~VjaJ*_CyFEGy=z|4E}h&bpc7C zr;pM@!+^4&p*{wN(nspTV1_Wb4jPJvAU4nU=I!kd!-fHeR5+-khQeTgXXj^xp+Tt# z3iIQ*Q91~H6pUXNJQj5@Xam5nV{~9hT|GS=2tp6eue&+!Z)t-+yDz^?Hw-Kf6u3R1 zQ1Dm*3_1)Hejt$lE;0W3d7=k106mg={J>xh3Lg6qAUvY42hs^hG`0q6i}n3&rOKbh z4KxMepn(+z0r?C-vSIH_2v{aCpsEPC{U6Ww?>`6s-j2x+f${PKQ5Yx^tZyJU#1H)G zFkmBsf*lkJ|H*{^?tS?g(fpkUAq)+yzCi67wp$ef!9KaMD}@mX;s=o9?@st<0QPs{ z{<6RUsRl~r0JH)b9I)>|fk-L;4|*?f>l*<#&98Jz8{GM zTLzFy-JBuBY?7b)ekeb9YQsRm>ZV-irl#*-9SO&5lAqcz6sux}eHy?348@kgZNBoi z5NxZ70%#ljg)I`Im`zRN8?TH6$p`S{hk_2Bt+KdSJNJKX!mX|h1tkV>@C^7qX7F8p z17rR$j{x?5Tpv1Ej^DUvf!F+uU-W+dUKU}4q|n#mL{OIetHp_LR0l7CP8-){EhcTU zu&XNU8MC@H!TpjU1uCioPl@t86D9I^&OT6gMl`!t9u2+BT`y@`I8{ACJ#C#5Sid$H zH^aGHS8aDT=Ek9J(cq9}DY~UWhch!rES!vm`$|sZvL+WNaOEYH>~=`-tSNvZFUeG`!%v zjrvU4)o))kyccvS?<9&K>bdz!^$F!go2W}A`)^znU3tEj$yAwI5P3a|p>I{GF_ke4 zzd%g-;F7R(e4py}&{?Q=wm^S>joJjq!xz zLrNG;Gv~S^wUJhm9`Z`w8Fbet1XmS$qUeexGmG!<8B*uC5vyq7j#)*y3kdKvi8U}s zBrl$;wp^s#hi6do1gTR56)lj)sA?W?DVE+#-y8!wH=*np_X=j6}Sw@ja7< zZQZZ0xi2;9_7F-Y3+zeV7rY(8cShJs3tyWrATm6&oRMnMKjkDz!S<{E@0P?5Fr4ae zrIxHE(Ajr9%xRAK6<*RQ$hp;*>|CDya|c=5-|c_N_vm4h)~ACLRMcG_ko=eHJC92+ zo*)pm?F%%%pDaoi{@((;_r!)Z#Q-2`L4>HO=H z*(L`W4a|1nKSAzFLD`Ew;=3t0s$o%nZd~x}Yt#2#D^wbNy>JQf-DTr1;)2<4b8%OO zhjLHqB-V-UzJ}j-{#bmy%|hONcJ&<7+ed0m1JXToJef1lQM&J?9hjzx_1#WM5M_pG zYBIi=YG%%!=ag$6F6ib|U<=3HmGT~?d9@a-JJNG(+tnoJ6dwwh6ssfsGJ(Ey>@Fe5Pl>S z^diK9r>f$H=>d&~Mu=bn-MaWtl2z6*jwt4u-~kUBSasC)OR@U$nUd{yMQoT@2q(Sd zYnnrEUba>pj~Xv@H)|d^U2}BYhD`IK(QR6>_!p%mPvA8c4m#F{hC99KY8r$Si)I@W zgvHcZFP7IG+npLq|00J~<5+9*8CoLqlZmRc+>G}E+vi&twG(pM7ws_Vaf5haE5dL+ zggyL#reH+p3v)9o62=%Xsf9z4LBu5Yw;N1yO*@*w)0qq=rA zGd_b9r7X60nm+mrLTBi!&C|_Gr4rHld4pE*Y=pxF^$f294_~PJU~91M3!NN-Ix~`u zE4Y9jIG(QE=GR|MeAvAcwVZ*VT3g&l^gwIL$@%O)8;?op$AxbcxGnn%EFXux%*wrT zQgXPL6S{-)%-PVV?05kLhi&hhL>UlQaFZOovd{8(f~>^3_)G0^H8=6CTvOkoSMXFB zo5K!oe_Xb7t$9~}|J7;c03vr`@6|($#X;_iQZ2O(&=X|@^d#HU7b<1F!|rrU;iI3# zEv`+Dy&Zo&&SN1f7FIR&w6S~OZNW^;aq@h*lL8}M(G+^nEK8Qb)jevzE`j3?(Z~;D9P`3;YaE;Ko7hhOx%x5nM?2o?2j59;R{m$2zaf-FLcRt6wfua69 zAsfGv|CZo@0xef;KMr6Ec@cnLhryw|fTU#vr+!}OPtJe8%k*M4)bRZoQSjybhXm(s z47OM53$=~}=WQhPXT;2JQtO|W+h3Y*z+|96mEzaHL9Hn$y1{^AoL>n1cNq@MhFZcu zn{XHuP%^;B>}%ja+KLwgs)v3l@ZUbXf^5k@X#dXw|GH9uR~?`W|5+*EeSXN_W@d0t zpTE3Pz6AcCmGUcr|Nct(d)y-o4oFgP+QYg7VrLutj;0u2L9VCe1mn*p9KzT`FLRB z9w}cQg+K)nWEBBh`eoDP2VDYa*iSb5-(M)-Qbm6@-yjVRXkEane+eABP+(wh`=!8t zf1!NKH2qoNSiKqGSNfm8;egS@>hJxJ0{?rPCU$oRNv{6{jsOz+7@%nQOM(CX694N7 zJ8X#ssFL{45=UU5fL{FT&%(dAX=0y+SX}ru@UOf5F9rVlOZ@L`nqP6@*TBEx!e0vf zw|C7A@}YlrZ^GeN{|iu@^JS?ZKtB#hO9LOaUsx)?oz~bOLHcKbW2=ckBKkjpV|xNo zAUpF*f&ccsxj{Yb&jN>nG!j2>>%f*5L2yYs;L8EN0XS$t;Q#mTI>e@uq0fivzq2Po zfj9^nC?;Urv9QMjAU6yH)CIPa4vqX_ zC&I4efZ7bOEC6Q!%JiV%z=eSPq&h+m z1Lj&+Ul#=jb$Mt6Ob2NQ16{@Zx(HxZgMe&mPsMDo=KQn3VW12T2{yN{fy04pAOz&S ze<^T~1x4!U86pgI4G;(eexxD4E*uHL=<&lqc@0=cn{U9sx4C_uaX2Gzf5$o$VHJ3= z#z#=lY6{2v`xEO2_ut<;^erWH8#-Ag$9SIUx>{}2dxjPG2wck)DGnG^$>b! z9pF5QHq?j0bac?b?0wUmZV-0IWnaI#$N$XU1cMWb|9aHEwrYLmhc zX2Vqhj>bNFfJD;wBf*#R&4ca_bH4xNb~omHzs&`M8dhMI`R0o@gDPquA57)4oK1e;TT-Ysm@1>WnqJ`O;b%xQlTtOe0tl6_q(@We9VsvKKG)$y ziKiiZ2SPNGTj}v6Nm{Me+Ux=YWz)upb=9elnW`V`v+r2zAB$uno5>kvsUK;vI3Vg_ z#S*(LD`qu7V_0riKAc`22ETdGgE8L9L^_+q3(6dLspyF)@6vT+eJ6ys@PYHrO*xpu z3tZfHo-yA#{G<~?e5Y8VIUykT$*Q1p@mal(S1#8HMO>#@C=Tx~j8Y_?RwTn9G&+~z2^%w&fIeIr%U~Cv&tS)+Ca%; z#LhtA-eJ8OTr+%DWNyt!Lv(CV!8ccw72_un1Ef@j?@U*c;f;^CETo)rejP?jLqgHJ zD+Kvmx|&wxHp_mg98XnFxXnEx9SZZLJ? z;ePQoVU-ex@Vcwx^GsTYF|m9uPQBbNth+X>|H`pGSBl^zoD zOqp#DWp^SF+9MiUw>H)|=A-y2Qrp4q?c#eOih9u}T6*p}>d$%(88R)A zZ7ZxQY=iF5wi<`^kY1JDDZgK?XTf!XKg`dUykls{PGh|IZtgOFoU)~AKULp-7cQX? zQk~Iu9+-@F$?L01M<{tTt|+L*7HiY zKUo`6)wvS4Go&p~LS0hsw)X&IhDgrgw&L2Ns2eJgY|C6x0nQ&O6WTk=xEk($!pPr0 zvKS<(bo%2lfq1>bqx9CyhcNnN=PkXQCd@pPwvAqD7~#$AiDqa$Y`ID(yE2UTAcOAR zoh2rVnh*EIoK!>vcjnkI9T!Df9%VX$7n2vj{7DUwFUc!6QcOJZP^jMQ|1tL_;8b_r z`*?;jl{qSz%ADcMjxkB5C`1TxIOm9vc?g*)DRZPUXU<_sZ9$$uZ! z^WbQB-unKo|9f5U^`4iOy*~Tw&)#d_>t6RF%N5nA8-0kZif*XgL0gG>;>mj?5)TqCvVt>)WMdlJ1O=cN>lHiQV?JoIH>ugZ8ZAP%a5TU zTkUAUf8&qke5ll57}84`MTl%4d?!MGCZR0eEjzaQg^W(O7x{;SJlGq`b!Rr9uT;cu z@h%?NGwC|}V8nHkSLD+!@!q@`X|FG%j(0QPpVMaAW0A|q7#esW617K7xPLn`DXh*Q zko0*HnyYlmYpBN9&yTWVR@@AK))S6r8MT`~t1l>5vzbyxz?#7{Ax(?CYc*w2(>@w|hDv;3(RSRVkE})pOeIA{m}7+>6FN)DiQG+66M~ z+k_HZcQp8IH!lcFF44qBvM|R6+B^(CM8!3u)KoLpC-`PxSWeOPYj8{Cxt{PDHoFR3 z>8C}@hqz0;pENg_1lSL=`gAB9KdX5<<63{5!}?& zXpiQ6FMH;`B6)+@KL2s|`^N9nZr2oe(5Qu|O!iDOzwgNF(MLa|xLIhe5Jv|)C2Kf* z>WnD|*@XjgPWs1B_`Vqz+rSki`e1CxZ=RE7lnJ9bEb9UDxNZ|~9P;dmo*`9{;1;A+ zlV4%W<-8E`$;>P722Y=Y2OCyhJ<9m#m?(M7A_bX2D{Q@N>u*$_gJKFovT{`?Gl{=e zeJ=5>2O>B^zn9A_ccCOCBbPgs5k7p=o(3Pj=R}t!@|J(~^$-NV`krn5CO!uQpTGY> z5DI?HwtiE91i$*8Z3Tzzw_kn#%b+j!x1W6f%iq*S;1XYDe}F&BiX?>5zbo`etXD(- z_0hhEB7YXre!Vt=e zP*8Bs1x!Cs0YUh`gi4>EC&Pa2Hbw%6hnm5$fF_Q>Ljl$)fddyh6dVgK)uwn99z`5h z{yk>x_vZpUW*{)MEb<9L;pHx;Ag}$?B*fQ&2->3WZ=WMBmw}p#0C)A`}2YFhY0@5H0YULy_Q`1@;C|SpkrRUtGlhb3S5~ za_FD=4Jv-XeHL6lzWPl-5&`P-TW^^O4MzZff&SKS`TgG~d zoNQYTY5&qn0U3Nk9U`dX0EiioRRjqEz&hYU5cU-4FA(7WoU{6ivWlRN{j1}IJq5%t z-~3*#HiP`)r2o%J!sQ#oUz+f5Ne%+T0}18>h6YmdKx+UF*l=j*FHRpbaflfNj>DK? zu{boS5CrKBaXb!!6~~%kz;Yu-SwZ&kZ?WEB1gZpBdEXs}6C4Mek6$^Ch5_vXG^mJ! zqk+-@916-;%>XwPG|&XKt57%ul&`{xE991MWPfMIzeT%*SOrK>g5w_S>3{%C&=Upm z;ZNgu%zsW5EMFl1j^9ww2$GPB`RXbGYFdK-tafYWxu%%5_SlX5rBel97Kjd_#dqBpREuK42m}e z0g+5m*F~4OsEtFFDOAN0shl7 z9s^3>C9q(dM`Jm*CjD|so)DVN{W+#_zUAhF64q@Kaa z16jAqj<|+$ttsJp2e72I$r|GJ3Pgkcz!Km+D|bxdCj~1TbY}zG8PTA?bVWSz7c)dF zvqE57{8||Sss(|kAVc(3iU#D72vW|=xYpmw4Va4h3ywSxn3#M@3Npt4M>dMs-&ksJsMHyRlPqvVzP$MVE5Sr z8dopF;Xy&#UeNOECv+Ssk8%6Hbow$vX=+AypIqfF7iRZ!d@Qw3tyB75BL~DINiXL! z#*Pb2x?HLS%)Uu=WUu4z`Kr8uv6d?&*D?0EobrWCYUW*eFU+Ux!tBZGf8B1odFJK% zT1)opIE}-e2A`kGOL)xj#|H`2L?~yy)>nh&+-I4|zne*mK7U%5Gv+Z5z-p1V#Lr7rFIW-ToM&JaxI-J)AG@?+w=Uz8i$Oy zuSwz>^ZQRbjcUbR<+qCxuIYS#v4dvAolfYci-L>{{czN7GnC zewW&lRXs+eWr#M3NVCw-)u+1|rz_x}E=)bPu{4?}++V@bn^eN?-FUI&(#IibmZ#hC zV;l}vU0N9&*>a?$cI=cdOZmr5S~W(gZWPfA!@IkmcOJ2lA@jk!N_#Gs_`>3u;l4ZS z$<@M6-pW!5kMkD$tcFAJt%v(B(=E-6JfK^+mi6?~CplL(y^PYy)3L3W?u+})_qXTs zF0yRVNlP_44xbli_dy+QJ9q6yv6#uuLz_2MJu5DjiF}sMaXk^`_B39__GOK6mz6K3 zf4JzbP?N#9hn8@wv#!kfBWA8G6HsN{L5S+jwzev)R;K3ov8zn&M^5Zhr3?6^Z0hg7 zc#5p#YJ2rea_8M#m%fJ20XFG^UKvV%v9Y9zHbzXqd1g<+jmeeH>MNp=M_`?*Bz+r*n3JQoK zp|OB>f;2USn*#WpIFMR?n7`yVLB1T^*a<~F0R9Ch6hSYZkktV9OX$zpZ%C{uR2&aC z1=<-XB-#vvHbVe97!*L_5b%kJmjk}*m0&+OtG}&~Un@I+f4GuMPHYM$8bA<)P;hN* z0Vl}1|9hue*AxmgL)I|`qppY$2x9eX90sHQz!Z%7D^qa&19=$YW>a8{l`QV{M*Wc~ z81*-^1Ez0;8^^V6Qvs4@|+RzcdA34jeqzI-{-$REcJo09OCNbWP+(Yzn{~ zI3R7UGwMnb*1FI7L({dI9YmuN&|bi)T4&U?${W9JN@zpz^Ce6GJi%3+Xi)Io{QR8* zSSb+B0rz?$Q_%44SA+h*5@dc?2BPcDFG1c32{^ZFzZ#-W4McN9;Ao=2LlIm4YD|D# z0PVu{gh`gqn{WH+U%Uvc3HVoB1^&Gv{Jr2GeEa{dk?+Aj0cNgm9k-SrIr^q%E!D}WGlD7@*hlIB;LKoa5C2u#k zkZ@H+J-#d}>3ih0Ps(M!xP?Z?iS9DiE9i&GJRFB(Qz!HptuA4%KAF~aX*Cuq7?`XN zkW)M4KXtw4s_l)c&A|q2bq)jK|f28+H+!sD6Tu(8B9Vh`*l-{L0xTZt5sRV>~4nO zX->xU%+Fu0J1K+|B;nr~;98N!Hr68Yr2PulSo`J&hLa~)c;2z9b{sj9H*K96JvVkH zAC6K6tbMGk+A z@}|$A;;`2DZ*sG832vY0eBit{QJ;NtsKhIq$GMIpvw6cwpRx<0CQuPivRhjlMhZ!K zk8VNiNbel14&W{9XD}AT;FVvWY+~@Iks6xJ)zelGcxE9*^Q>GH!gsc1)E0r_iSwWk zxl*VmjgjxOlGf*f#Nn#L_q{jJbM-$ssl?ZgXX~Eq4QedWY#hB+AWeFRmioaNE8Kmm zI&I92oP`^YJC?Ax#z164{vk6Dy;6+0l%qdw4*O;rC5nI<$)_7W#!gmly7AC$0I{f8 znr3gmx069hZF9L!NW{^Jj)M-o6ut+ZO-W{A4={MoF-Gqw=Qta=;f8X32{he?@rg*O zif4>nR7bj)cFQ{j)#&QH3{8vj9iA9(M9qAI$64XfE{jB0qpi%s&E0i{LHav(AiL*{ z5V4>9HIFtPn22?@H_UAg*jm@qfMXjqE!j7a)Oj@Z_I_QTbeo1-Pi>l2k~Xs5o7d+L zIQqy_c;~gfmT%7A3Obrxh*#N*%ykzIbS{o(={e3k ze=;(e@b*KEFjqfSOqKiP4nPr%dy!{w^JONfO%wUi%1eRojxe+CJj2q|P;64%7_7+U zO6!*=$oMLmO)nRve}l=@wV=B9xh6~9;TySJTkg6&r9`|q#ql!4wi+_jwk=i4py?8Z zCAalHi9~{FKaE=}P1?YKFXN3U3%65~8Sn@5rGoy`S0_K_T;DCo;R;E*X={_uotxLR zJAUY%-aJMl(je^FG5?C|a}}Ge$nA-9eWP5w(XX=)(kWgTSaEQ#mL)|HrcBVO%e^h7 z0qrRJKu)8O zMQ4%0^TyiY*hgs|yZWMwe8q(fbk!rb4X`_r7M|$tN=&K9n(9`3N6Iv2#F|(;$}cqj z(j~c%*+~6%kz(0c?%`n`$h@ta=X9s8^!o+>&=~;{8twV_>U#pBHc|&^b|1siFw4VT!xPmA`syS;OY4Gc=BbKn*1+h$rJ=9%HV@WNt)v+G43-?1|%&pp!O zRaK%?QR7uMDDd=&nDt!n(An8-yB&jzGux{AR?uq6VS{oBI(ysVgVw!!^X$tm+FfGi zGOzBeIjkGwY|Abi=&ZGq`S$C6;g`&;$V~2bqm*V zY`yChfNlTX@qci=T0Rw4U9Z5cX<3Kjm%1E3xL$!13ul8RoR;7p5CwkAKK(kN2O$Dc zRKCiTaB~7&1rW~?AM`{K&2L(QL08V$a$K_36h$CM5yuDwNwk0G`oCHJa!eq!fmkO@ z1@8XpNrBQKAZ-!fA;ec@9M^OAN0tB;B?|ji&JiHH5#*G??G#kXtVg?FrR7XC@;7q? z$jcK%JJx=;AY3Ji;?@}*0mN7l0ODS2F1{*XNi;a92mk_e%k>*;EkQc%x`Y0}5)As&xh52$Et3j~=b0es`t$Wj5Ud3v&_wP6>713Q%zFMp!6YFO z#HK*y_!onM>iY+57gL0Sod^7i@M;l$ zwK_;Alx72(4q*{$5&C{F%1PpE-`JK zt0R|~iZ#X@x1Vbz&;%;8R!;dpu{lVh{AO(aL>~b2iu9h(b>F6FD_p5GK2|7q@_Aru znv?a$Ew9Ko?bp1hp`tAavtD?A%_xFVH_bZS-uq1eq6qHbY-8>`V{h-I^0Wsp*UY|a z*kfv5j?rgJ1rxSFUW-d)Tbfp~tAwjt!JAF?*@6+R zdQ9i}jyS@l_Z+p8J*=AojReh3?$^Qe2f~+T&rDmMykx@HlkI+0>Pc~?+v6hptOt)x z@1Dq9uvZv>JW9qz@OSVv&&`m}?OWu?=<XZ@0K@^(@XSg=~&USXqZIodwN9VWr$MENu z`c=%I^;W=b+i7}L>FO@ag7)ihfd^40&>ctQA5OKNjl@VM`0g#VyI8gezcN(s6rr8) zl0ik$OfY)M4yJ|u;5NRWGX6Pbp?;rs6MdwKg1z_a@rvF4F%227)ukKDIz?xwNb~~5 z9@TL>+dy~c95;BZD`8&ZmVHd6Ai3;#nH}4SBLW2o%OK5`n^Exs$~0RyMxzHw<)29D zrut)_9owlB&KTeM$UIXyrpLE~O!a0$+g!xvoVmRE#;{rq??R7?5C3oPh-33nTdvYxdZ{n1pqm-{r=$*{IJSv%zAY`egg?8>-K)jwhM# zJAJ^PjG`;PKf|+E9j{sN@Nmo4S#js*!{IoksC`Q0VRr_cO3vM4K;Hd;%Jm;pWGU8s zbw)S2r|iUyn>Plie71^z5}7;`dW8C5?yCR{$?Z|yr8vb_^~;Q{Sd=en+_^etcbl)Y zNJ9Ce#un)dty#Gz&mLeIS4>rp-)eUp8)j}s+sV%vNp|Ca{+!)hj6IGRdH$~zEZi{|Ir{N_bEZhTcR}<=#UIk{rVHv1KVrYR$zF~$rPWTFMiHSckiJ7v#k1O|*xq_? z!?+=v-bC9@&Nr_bA$RG05R-eOeWiU+dqRgy($GhDp%XG5D3=C!(#a-;@ok-xO!D8! z8Lv@g6U@4y-TQvT>+HCfS`!yB#!;Mu*{+&uL)qm*>`8Un)%P@`lR1StOphGC_?~$K z+@nBkj?Wi;>|R}R`0J^7>~6uJ((BtlPTb{6D0AVoL|%GbDwtsDcfF;_{#CM~TwF65 zt>I!$u}l0N%Ox^hb7hQxT-+W9LxDuw+XYSXv7)ytz9>(5iGXYx5xqZL7)Z!6mo+da@FnnGN%x{2gThlIBD6 zP9iVxWUtlGo)P8(XDNqI*J!o`ojd|>D9dPcI>IT}#JhWw0?a`eL8c{8?b*mFeSCr^ z3F5tdV(?0s+8k}*JfAkzgx72PqgG7C?JZipS{RzPyWGYHkhx29b2qEB^c%U^(ln|q z>h(V@h01X|p}P*z=N*1oVf0Cm^t64^z*wvCqZm#xu}3l4_ijHFIaar|zUt!9jfIXS zGxm|TZv~8VC4)rM2j&8OVU>rom&mN1-E&(vCSQ3({vak_J`L8!F`$Zf zosfPN>1Vw`0SQNRy^wl2fd2m7uV)BK8bHOwI*{rr!p?e@Fp%XUTnE;9&Xs87dZwVW z0Dzs>iENkac7EnA6coJ!wh_^wVCk=z+`ow9{+PtED*XIOj0y6Ah!v6JUkWN$UM#-{ zm5|>De8=?;o&rH-)tK&EP4e3JjaBn6UR>y77B$r0NQzdJI~b*=ova;f75;gl(OyL* z3dbA4SQr$KKK53+`tm83vTd{z-fFrsifR7gV=ohA>UfF-;IA|IR2g?mY`p&P#LM#1 z%QW^y!;9_iQg4ka;K3U-(wX`1Qic0!(%iG%zr_KI=A&D5(UBFpb%3MJu;5FZsrHlB z3boOc2YsK43>0rqCodVgH`sL93x~|5nN4I2(5v+xNL)|}f7}WaIm&)=FCCOF!(l^$ zPjQ^(5%a03hYz@1BqCEtcIlbhbUvGM%pDPS>R!U3wXN zu;b1g8zNu<#UY9E{)qSponsWeR69oF5{3&6q{A*z+ejV~qlh61#~s5q3>7Nl`&?ig ztRt2Oj1^N6zIQ`IGib;y51KcUkN$v?v=F^HeLVpw!!DJMBU^bIcurhYwdN$YPBUE$5U=n+)Y1w>kRT->FD8>WSU+k($gm% zUQ|mu&o1QWf23f)XQA*%=z|(x(q8dF5m|`|yD_!LOd~nm^W>rTk#a))G}TgL0eKM~(**HnB4G4{p5n zkT|&5U(`Wz)@(6k%fs=DZr%5@r=AWJOEg#3`Xsub>1UUAmJZ6HFOiRXd6VBRdcS98 zC*zw=&E0QZF#Bwnw+4EV(Zmlr?cL&B5r4=}Dd<@MX;B+y+)sM={k|^(JKo4^ULPnx z49_)l4SPjyGA6H(VvNmTHoi>xF*)#4R^U$Gjr0#QZ+382pDCr;*ONZ88NO3D#hyXY zaXybaY?%2|?`Kr?UzXmfa0mfA- zpv%X|H{su3AMdL|9%55)NCOQLBuH|uJ-mQ63V5VdC(x=vfhFkm0)T?GhTwE3!b8_s zf{sT7-5w%S@l{p7>v;?05J71iv9}-uT7eS&|LN5GKf+R0$x;1t>;aO;Z(=FqfaKVZ zB9I)XG)XPvQk7MNJ4riSkEEVQWTtGO;i1{Tr~aHqhrLsWwdQQERE0o6g}s*Bl^{}2 zsM72SQS-*IIQ_!XA%&DEX$`VTxTKQEiXx_}ICB#(ugX zk_I)~iX`kAjbko6AklSuQ!H;+fPXrN;{#RIlYKfYf3Q946LiiRhd`5V;jX=eu=(;w+bjq#i|j$O8$kXL7h77l+PjwwcIA@!tKI0 zqxri&fa>9NIcq5H-JN69|tK^9C{bTs{Tawl3jHBE$$=7&u)xflFkv2;XnT|jQfxs zS|~&IQ3D{=SzO&l=BX;jxgj`Te1M!jOzN4*r5!_TCt|aDP$}oQm-z2{1#T0I*FCJ( zAyeFFuai1tlezd%z<*QsSPzFw$hj{Y-K7R1(7`7HWV#^XJ4uuRynDlJwS7ieuh1;! zzP_I&dPzup^i>cmY4^dx^S0tmZr%3+m!7M)@u?eBnsydB+ZlEW4e|0^dx7%gRz_C{ z5?HWO6btdB8#u1gNzIp8)Z~tDbyFLgw^1xrerLyRd}m-{W7;_Met&S?zPY(zT;3z$ zCr9&iQ}3hmmDF58C!vImwQ91<2|w}n z`N`nCQL-n;bO!ol^Mk!E7SZu?9dBMLT-rKic-(+mDVKlel=Jb{Vh;O{m6;^BKjL#H zZIbDm%5bmst7q@$c(HTICEzVz(p2>A_t2hq9_ymKZ0G}c8&em#Fn zB-0%BtnA6_NZ-pl+ewWk)n1-{J;8MFgI=vt@DQf6ZD43#*E#o5y9D9@^}LCG+Z+ve zjj1?uILvy)FK&NqxI>ccE@%mGo8fVKhE^vJOXPXi)>`H3FmV-m=!JZAHxq|Bn*Pkt zRal1~E!QVD>#@;K>z$SB$omm-9hbMRHD@KrDEy_1|2N%xa3Fj|0KkW3Pyy72fud6a zppAfh)4c~DzCzsK!&e|2d|1v368_EdiB9-HxWj3!g|b&3abIJC|5IPYwM=IJ@WS>`wzYPCI`4I=}TzQ1@I0KhQNXTDJb6kp;J)! zSH-=x5(nSDg}>t)49MVu&YH{J2MHC$Fu>L%gcEQulW_5$6z2ZeIl{{LJI;L0p>uwZen zOsV|YHx2_;t0+(z_uX+gkS!;O-~E>3;&2?Olfyy~fJKWoLqXu6<0=M&#KAzxJRWDZ zw)ZMyKbE0-y;=}+1du2TN{Zs9U&uE7M=V`82SaChb6BpMXFh|9Ys66ob3Ko))N zZCD)q?BAn>$Q+Oxkl>tO??MYu)n6C_1Q-Eigo%e-d77;|){Lh)qFLLqahL zXkZSsf{C$babnubnrTJ=W)T>L$Y=1gUwuO`1n2?@R{q+@1*X56VnP)%kQ-UYbUE() zwv7J}HCTm$uV6Xhtr-ac4KSNS~hWxW7BEw=!puVf@! zPyhoX!k%PYX}bGF!tpCK7RuCk3`S4e7JRPZJG zp@RuZFDhpQoM(iQz4RAL{TL`HFwCYCIhg6n7_-V_T~g?4@qT1w5rU!Jd3q-AJzodB zSTyHt+u4jbVe!cGjl;$;O-3_(deLS^0beKQ7AM1py%)-vrqtZ74q9AQ!`vpdMOlw| z9ab55Ab?h`KZZd2yuCMpWe%jR5vXN&`r$}TI3S zpZ~DcQdDM_;WK3Nb#^&l0g@5&ZidfRhc@E|8C+PQCbf}gxpy%a__@7vbYVIaMweLJ zEfcl4l>MOhp*^K&j5tS)jp37qBMo;vDR)-1adYn%48YE{?%xz4wNYo@U#)o#9qjF*FLWq}6elC_u*&4F& zhC#mD^>}?sQf-#?RD!QgDOt9V!KD!S4P(YGsv_5)kYvJ{U+racF0TA=@>+Bcq#@g0 zr>*OR-+TJvlEv1M7t$iOJIin72#mdWJnn##bxC}F{PTNT*(ce!kGr_;ZsX((Yod@y z7D&D3jAeavuHXZAY_GcPmM`68?;C3MG8(1cIWB$Fa%-1AjR<3wygW60!c!5yam449 zJM3hE$_;fNt9_UA-lPe9+38FFG!L&Q?d3!`?Xs*xZ{iZX1eo2xW zA|Z0I=TLkm$`q6XsgH`qRL|=nor<3gh@&=$Z5o%p+!p`hB4V7WQ0aCfRn*#;*>1Mv+11PeyNVApjEx**(Lw2V-+fHK^^W;VZewq? z`)$z=rc-lPq1qzhope{6tevc*XW|#ou?eFPN+O9Ur@#23 zvF(*G>DFHM`#39CTb#R@#TnT%Ll|c7C*;i=nC}Qla3@$fE8ZJp3K^^FqKvoUL`Rtv zg+U*tJwBbLX%SWLc1$`pbqC9W0MAnn77hh;o$hs0?t?lDL(LZsHCS;=nWy-vQBJ}Ts4&8(u=X0Kr4jq3M z7u1!0W8_mPQ`cSG+zhN_L?vtVv&$}ArvN#5{w*aAO!0Z~?i!8yUA^6rnr|qQawRme z=Pv|$(XrLA=69YF)9~pe$IXw1lGfQqzB=hIHRb$%*S6WL=Y_GKMs>(CHqIPh*p-lR(qofPEveJ_r2#f)E!Qox z^1{^DI8V3KGK3u|fVA<_@l<*T@;U~eRdbMPxlNRB>Nm~h^4O+)K2v< zdaJtC|8AON|Ap4j1l@(bTq)qi`) z{Jc)c5#_z=E!>++3@JrF(KXpG-dYd#Sw~Zj2=@7^jJZ;+6P#7c*vkK!A`x4LTpR%P z5ZrwTfy*+s^Bwj9a@b#g0%ELhAHE_v2*@`v0`TGcKmI0J4Sw<+BU+YmApF7QDz4=Z z-!Y}BXCjDe5{MK~5Pv}c1Q`wmU)12EHs43fLCNpMnX53%eQ4Ok6@71qV2YDHMwb`u-Rs8qm4X zFg(t5t%M|4Y%8x8f5~xB$qWatBMkg60o6nS)-?qAV-yhpcIFr;+SJqxio;=XK!gW~ z*q8x@4soG<^HN!U^Z)Y8 zNkARJgad6B!tMczNP!&b&oATv7&9{kjXxl0aLop6dLW%@2Id(|JO*M07S7sQ_ir23 z-}M_w*i;DQdH_+O!Oa>;*i?QZEJau-rT~L7#bPn0FbD<Fyg*}t?AV2r{pP^Z)^^AXIn*4T_(&fh0`0 z1Pm*I#G`-NZ zSmkR6MLkz%cm%1X{`$LozK$Qysbt?Dd(DKi0QFY5a3rKr9@p_Hz8AS7HtkmG`RICGR9%XXWyNh*kV#mU#L zmY{1;n?3q<@cjqbDn$=3;YLGcLyU_|uS`D8BtN__RV}Jtf>BW_ZMV%i7GVf3BI8;~ zip%c%Il+f7?N>BAE7WqVwBVgJac zT-3BZ&vg!QzvouOlz(4YwX=*m|MRgN;h~SygJq9d^^MVek3@PNWST_e1}RbTs;LV2 zHVZJIUduvLBP5$f_#7D`U=yPWZl$Sg&M&s?uuG;j}p86P8 zNAsa)l3z!YD-{#z9=-eC1IBC-7DoN+eu054Vd3Xld4%tm94a)pKJ(G?q0Z;q9nYia z2YGL+JBh0|22Hemk-gS zTb<~;Z$EFphVYlAoVe#sO7U>flKo=EOpm_$4XH18EXLeQ?4X$vln1gk*(qL}(A1PM z@baI>F?EG@ed(ovwa|~M?xRdDv>G$mO9^8?;Z7ZI1yL`towg7#IV^iae>Z1ijN5kS z$xL5QRSU0|zQ;Cjv~J{R+_1Z%K4?BFSgx*cc$7rwTx8taNylpvBCm&hO7m_hQ>KeF z)LY&bb=eo+%=6TmPx2m_AoDA^3Zu9kV|{NS>TH+FXQQUl^4S7|1Hz<3awkYypK;MK zC*>S^dY=1;-Lcg3Igf+SY>W?iX9!!;hRtiJHc*z_mx-E`Z9Wk^qf5`H5tSg*wo6N! zsh%-s=;_w@rxTvF5j+ubO#8<7OUqf4bRa2~=*g&Q>{IiRugMOJ$bRgjC_*npsua!PD8z;9~s@)Q3FCAY(-hcp0#~t!dWW% zTeou=Y~U0f)Mu;Rb;WwdwLNdad_MN=g*hL-dA}_syeF7Aw_AA`Q`tTAoSc?j@D5kz zQE_GM`gq)GZ{zfXL@A2Hix;Lu-KVN(UToDo%1IsDoe0}bVWLW|uyb=Psl;X5_oi+HQQ2GxI<;4C--K-K!YuV`SG5bx*QgoY z_Y4c~3B9F%>`6n+mSmeO3ni~wsCuvh=ZnOLoG%l*_dxnM!~)*w+U~M$@h(Y-$hlx{ zO(P_{V3VjE-#8HX*Lu?rV1w5A(8Lbd${M zK4?;!^FZcv{s9Z|s3Lg_%_C_Tbjo`~qA%u_PS@wjlig2^lFA`bNOnikYX7diZkL3) zH;dxWP7a1&8xT)1z9MnrEkD-L2~! zq*qajraOPIHwK+NkQ&$|K5~;ZLy}_CZict{rP5te$7m9(G)0a>YKnJH?ai|bC|eJI zT*X!X8Wj@Zk6%?-SL2UgyW{)@{;_I)M_n$JJ{!eHP#EJOjZ{XMQEI=}dLbX+6%NP_P2I_Y@yGJ$Ya9KO;TL_-7y04M}oXJBxP z`uQURam8i&!CIfut5E3WIC1TuKd=PB>56FYYec-pbh*kAKwZRxu0)X682BvE~C!*dWRH&>v*Q3X65Utjyz%$Ii0Rc z(`WRiGPF|n?#q!e4Y!bRJ;8}B{PE0D3Xf^rl;wB0-z}JL^D@Gvz@FUt;=O0o_)Uss z(k&QNw>RjNu9aBOoy*&EA5UTB4l2L-_+IM$ecPq>6oq@?^Hzy0@yR6N!hwt3+*Xq?g= z;}xFJrb)tkbu#ZzL-bkpxA$KTsQNYO6w*~e)BRt)AoPB$XMVe(@tf$b$}B#n?vY(j(pYBMbPD%s2tkzZo{?LO zQMwRF?h?qs#B(X1)Qco^zmIpIM69767t7hSDEjxXFyxE6*#a&btnh2~s@!9~*^E3+?&zSp3w6 z#*b!6>iK4l2S<(7&(u?qXG!=tCysA0-KWaRI@02c&h2MPeejuQ3_ei($}4=yF!j2# z?Hoh9WbNSR_S||`!{LDzjGpzY({aydX=H?k#MFhJHfhT#zmigq`7jzit*OqShYWvo zD$_#Q&s5#X&q*3BV)nEd7IE}ox6mFAL;ZIqX7~Jxc;iW&;%dFzP%cq}ZZKzmOVU@x zTQWZ}_IICsCw))}PE&G~Hvq^)r4QV^l5Mq(ZR6nv{g_;~mTb{}Rld)CX_xNkHSu3r zkQpv=?i>*poURMZltdKy<0V^NXZ+=#1?M!YB|gum?@zhxJi3@oGjx%M?bLIohVdYH zx!`Q5s@OsIdL2i*mVkV z!sqBemnLs%Po%ckH$mg82IGZ*959)sbD7yKX%hFLfv=ulzhA zV%Q*f_K82bQ80;gPyKEw!NiU4RTY_ECF2)5CNBAXu(3NBIf)xorGBy3W&BxLBf?!M zX1|N8x$*X{msGr}r)?elKUh`|W|d1sNP8bYfQs8)R3uT>I#9;C5Tbq&cn%fiX7b zr6^5NyVlD~6vF-|qSL4!R-W|s)@7*gZ@CZ#IYmAl`fLL?#v?eTH-jX|Zu}5RB-bl> z(_0b4E90Prbo6<#Kmxc7jpK5!%;fb%I-R!)jHZ^NU9;GR>KZ z#$YD$4w4~iY35=u?0}* zvfN9D^spQ0qoV{Or*)%wU+CyJTKBuV>Yv-LmOkobpnLRk(eXZp0FL4)>3paA0&M%w z1U5)M_)ONS;6<;&8e6KbPVaiB);Igg{9BUR87$ekjoS*@$=`OH<Qd@;P|n+w+d~^ICx-(EXzmcM=i;G6!G=q ziQLB<^MW)qu5ooXy5HjnlDdIxPm%AZXo&lQ^YzP2N)Vl8@pC~^2=hP@eUJ*K9i=7t z@uOI5n&eI|+@c`KL_0ju&{N)fiL<*m;P{1jTls^pNAvrS!u&pYm_84bnK}!{vNavL z5O_ugx$EOI>)hO+dB5BOrm2ZjVtYFgx?WR>M~_L_6vGA7=K9Uwaz~a`PHaTfm4 z=U=lY;oaZA zo$;#4{&4(`lcrBygE^8LienM_`uC_Jc_hX7$Gf+xkCt#eNVt^GY9^P)5q(;Xy0~;0 z!pT7uSNnxu0aCJQaqRk_GGoBTqWs3EGOmSLp_xU>`oR~pyR5tmYdU9HJk;*z+*ioF zqu^YOU`eAJ6})k6{>&@ndy7scoi36rNGx1NL1wQEjlPGS&fN499Se>#eo`Gg!mT5P z_D&aiPxEiB2^pX0Nff$P+?l&LmUSX9x}D-^fC(w7>i&3vEgwII4~}2D`F7){$eTH} zFU!;FgNMSF2CvYZ=Y&RvyF6UF8m*&;y>R9E;!VBQ%Td-(ONB1MYVB$T&t<5_-%N`+ zoPAvMLI){VLu-1swcvcNCSAjpPSWG!`ZjroowepwSnmjJ-ruMmGuueZq$j{My@f&x z!_FT?&)CKuEjQ^P%C-Bd0uHsM`c(jR0~ zCyfy*XLe{%M#UjwA)iLCr&g+n98FOnhm_N^@M_oM!_GVmmfq9d&sVPM^P*+qoni`C za?CEeB#uy@PG}^1xl3raxVd0bm;o({Q50g}>cDnncvR?`RL0RtCwb*MZp+j3tSTgL zMPCG|Q3{$ETE4$XRlqrl7uu0%bA^858kwp2P~ZWEooqF9d#JBEr`*FF@Hv!Du2u_W zY_H%*_Bg0NSG%a9f7DKlG`DHVlveH5f|G*;30~B?(~>4(@@;>1 z&b4WWPGwNXIUO>5uUynlQtwT!6eI?Z_q`YAF{y%+&`|m^I5g`I9o=WpMaONj@0Dft z2PsO|z0aQTdwMT0kXPJt@Jn{=#Gd-H(dpdQUW*LTPO}%*Y_Rsry0+Z@Vk!waQWS=| zo87J6DNJoq>0^WWaI~cjJssPg+MJOw9o%zFNePP7JW@0Q! zNZSvO4e-|lll5Q)jEwyA7s8*qG0Re;u3IkZ*`M3^ylaoZOYKufD!uhzbwxU;v5GFe z|A_kFI62snDx5Z+DoCcDv$S2VFTSB8g6UM_mye&doHX~%#8igQ?7jPXc1}`wqWkg2 zB-56Jm@9=Rj(8oI@XLwU)a$MsH0g1o9Wrd-wLa4L{PJ5b5Bbi|>mA^$8XElQ0AD8P zt;YP8lbe6%04D-UmVNqumcqF}mnYEaXr<&H`Y%=0zM(me4V|0(GP6`&>Ib5ZTC_YlDkJC;OqtK7%_1PC zl2=`27W$+%P~Bd=I_Cj?>ha9ukSA~LE6bAGi!U>rJjpQD`ZjMnfBbGazN5n*Smtx? z4A8zO+w=0tr6H;3k|O5S?-%f&^W#ODq-~zwp)wjY7`xyBWEp~}nvU_eU+}c&)UiI+ z#41dW8kor&OZfD>&%CDe?*5Bq3Av48UUE+kTZFf3UX0An&3(jISO0O^zOcT4f!%?< zDYENSs)+ZSlO+O)SGw<)>1zm;dVb`(mUi#V!xo8wx&G9-X<E(gv9{%nAiI@a*qG)1ghZI%31yxrP_*@0 zez9Ot^A0KZPLrGITlgYSydK$+uo2c{CZxc>byKD0Z@K~VEY`)J&N-HPL9Y{iqwCmyniO}k4kVJHhDMY%lP}RnZUlOAQqwXE(O5-a+d|Iz z`ess#($|~CL5ueI%zT=`w5w$w$gA zjm^5+d2c;imP75Df(-oh;jU@?L{qEb-j}aZNUZa-Ec~1jZ`2eOOTUm1E71=*sm&XF z^3_f88l6G@P}4WBcn+s5plOoLxe-;tBs8xDX_D~!Li8xzSrnRln}s(!Pv}!xC+kgZ zp?R$?tURN^B{?y6+gq*MW@h&RFF9=)Z@uiXusFX6S}jr*y81*(-r!Yt-dxcHceKBWuO5QEJ-w(G=P?vMYpKNfPuTcRpZZjXjsA6Ko) zNoSZi-C0)+iWI-jNjgho1|EyP+U8xX;VfaB3f1H^c{WzoAwr=$l-!b?m52P?U};QAJ(I}O>*;Vm2J;j zdNO56LsUOhZuXs>HS*e8mCq~lLQD6CVautA$xp*QG0C6aDoviAVESYbT?e99H9BS` zuEn4;Z~A+m=4vG@kRZ#U?6F_8$wn62Zu>PI9ock6+;gb@-SO)AR9>H;EM56MTP*8% zi%~umJpIZP@tvCIgVdA*Y0JC)&S*F%KkDO5r%k3WYiK?pM`vGNR$+M9dho7Y-RUO@ z$GcCu=_)$42=lWu(Wdu}EiTxeE19ch3L|;O9y9o zMc%g2iQUrs%fd(1`QQ;zzcaf>UKi)ET?nD;O|w0GJ7l}n3s_TTKL3tG${Ng&z1OuE z#uh5h2Ga^D?otYyvhb-LbxkVea3Gyv>worM@fitjiL|v{-D;f}0}A%-fA5g%#~5FC z{M8@-^alq0M~S$&f3raua2!UcV1*FGHI~zd)pI0Z4P8>+g5Bkw9C5kOlrNzfCb% z&`lSEf??n|1QamMVQ@Siv`mHJaG=34mYB1>vJcRos-%K^AE<`_oJugk%j*QhrhsMz zhl7Uczgj2afL4hYH${UMaA*wN3wEjR90wB&xVM0d z3tDgic>sb21`v}0TwKr|_{U^i!V3S-ey{4Z`iCnV6o!L>rGFg%XTpESafmn$Zi+U8 zL9sx)0E;svRN(-^Hqb~Q>?`6#>LZ}aXT`owxPE=z>3`$q1_gJre~$!W(;urBpoxS8 zRuB3!%e5EHwU$3rFMtABoS(Q0`aOgF8vv0%P%j`z@czX78+U=22>|AEEpEHkWx^Y_ zo_YbANC@C}Ey4M>_Ex>h6agxYKv~oe)eFG;`iqDCp?U#08GdF8y2t~iM6jCx#2G-{ z>)fw@HCv#;H7Igfhav)wq@Rrp+Ft@TDkPN*k*Va2q7<2lqB0~y8A53wk~El=sYuF{ zA(5dpNT?{OsPwMq$o=9--J9Qg|H(b<^Q^s}z1LpDXMNVaql*n9wrw|cC>s3Ar?D(R zWMvA?z3Y(V+StmsUn`G1s-|Ht>^$?CD|)f_laKkII8st(?($Yf`czwCHXT`gJJ#7D zzxB1$TaP#2@g1TuT3#~6XZU%v--WCYm5WqAp(W?5yug||M$P*bLx;eu;O@^;m=;iwEl$eJ_$8Ja* zvDk1VVqa0@POdfe>`jXw@k+|!8IYs6+PedudU7{pQzSjcr24E@YC;=Ab54!TOH|~ zzo&cl#XC3Gi~HZomfl6KFuH#FqbQrn?8?HNBC_#LP9;IIzP!>cEBCpl7nGTV9a%HC z#pk|F|9gWc>904;n&bVs=!K!v!5;3k)*VXaYc)cp^qr$6uKKv@UOw0AwR`rf+$pcR zBa|MrX}9avKfkFtAmQI2?Yud3)dkuOuCxuepMAJUEyY@J;FBLLNb9|p$vs7+@I0sf z!q}HPr{~MaBrL5~UiR&avPnd-l3{_h%)PqHnM(IDo$_VRT|GW-*zx1ZL6=b5bG{p% z(3-E_Z#lZ%k}e<;vDI~IF7JS$&G$!^cQ(YC5TXr8d!5qN($cJ|O;H^!rB5{G3ss}djdHA0I!c$@C2?2e7H*jB zdSJziDT2fxHPx<7Z0nSF?^T=He^&2ST%=N}$&5i~zL2xg>~jk{_KW$p_^%e-y`|qC z3jcK<&edLajOS5Q;nG>Wo@=5y?uH2NdR=`db*_rjYni1c7s``2?+MQpsz!4QP*} zQB9(=tPL!-r|rzTfBW9Fuwe1K76nA98uw)jxpzgLx~ao|f+F<6elIRg!-`*IC53Ko zP^s=I@_qhQe1IlNlu`Y`+%itQ`&yE-uR0f5!vBP$ZDPAw;WLVm&qcnL=4YFVriLZ* z&n~=lIlHK1abg%wSEyp8?YpvoJ@N$(Yq$69Ssfo3uX#%I#1*2^u7+syDktUlY+2s| zeV?IcS-LrXr5^Fky0jzXlF7+^FRnzKD!W;7sqaH;$@5pOYgNwWD7C%QKOFlqSZE_Dy!m}SY&d$m|v)OHjd-{Of z>N?G-@&`}od(6om+*HN0r-0M;Mtf{`T>__odW;MO`_5y3NNm9Z>>9i4?QVYdo4R%n z_E;s_%=Zo8N_E;gO(VK(TFqU;bn8R}Sy1*pTN*EJbY|C5uPisk#?!cFhVjwqje1YYg}5H8p1(FwI-Vy!Dx3QYPn@Y! z$9UpH{6qgWT|dGTS7f$rM!alh#{}jNLzrLq1i6uzpD^c_DZ#0{e)q0czPBEZ!_O7@Xn9K;FgRIx); zm4vbJ2E~z7(tqgyqX80uw714XR|}tA%=s8?&mZp>Sd6Dii<%blc_X3 zONFl@eDVKWiNA9e(NF>og}1|ULj(qLWq&9)gFqud={pVz@5v|zbYLdp7)VJw4vS|% zH8_oR!ACk@e<$}aQzf*Ahc4|vt%-qr8@LV#idzx;pLWAY|LpICLn8(0;N1=jhk+I> zc%m$s*ZG{|4wjlzL;!uSSZv) z!!BcTZ9?e<7BEnx32Gz$+b$mIBmSM-Kg*`Eu$hrYhKlh2u%n?lBRIwrk-LE0zdwFJ z)j(w^k|4B7p+l?{MTRgG6-p6+`T$SH;%O{VRz)T|^5m%G;h8}}DX`aqMn>)psADI= zZl74K{K3Sa!4I86rJ>P8pcPQWP#IV%$!DuAmDQMQMJ&AWJ98xGVVe;4@g2TNikA$^;C-{G2 zpHLiC6ZMxH1qCrK2+=44I{?^#O!^6A!4cY$iCVEC8yu_`3Jnd%0gsS;Fc5MW6oWx0 zLw1KshHqe9@X5PxAw&wfG9=Cgb}O_@L1;5DV8R0nEeJlL-TLoyXo^fHVRE)O7U9GK z2WMFD;ZXgb3I1;lg;Digf4Nf-VigG@@K3;(A~9JobtItL5NzIw70SO&{edkv!q#QR zMu(anNc^s04J3Le9V?dLOJcAn4} z^z>aMy)pC6nJvZyk3#QpKp-q+w2(jUb6Rk(IxyKS?R{~qN|qLCp=7E zzxuwablKN_%*<@hCf82tUFGXKsCfORAEl9RgxAbcdp2je(bU7&Pxo9wZ|1IyI3nij zufTtsEtT_z1REXo{(}8h6a5)_v*j+@p#!|@-f!sqZnQ`X#~{1kack7H=FfhYx!v*@ z`K*29#k?hlPmyD|q(70*%>SrzFK&6BtTsno?W&5SgF<#}PpY0=Fb`IlrRVJdq!U@f z7WXvN8dl5l2pIU?z#D$^b66~wR{rWLXGoQ3%45x)tEM&8@NBHt>@B~mwoBxfe zwvdB(U*1G^AP?_RGOev0?+{;U-YgA7F>#e1t%Z>J?>&Y zmE>cWR4yErQI>6L?AezVTq`Z*K0hJEwh-^(u9ZYQZ23IfHT_b0?3)?8UFx=8nwC*0 zQLSQ?>QS@(>Ef0xX1;z~2ed=F2zorOl@2>h=eemhZmr$q{P0wrr%+_jYSnq~^>^x_dG1h`&i>C#i&S&EU&2^JNwaqM{1Kox=2moOt%@2JgkstFQc#zd7!%gZ`^( z?yl2kc845X);8^$?-lWqYNtASXxf|e>q}LO#D4gT3O>N<8W$g2xju%?OdF@5Xx-_; z@v88^n%gb03^q*Tl@2vgZ(l=6BgVDOM{js0h1Cd(;ffPjKprW zVpaUYbG<^Z3U?MOqt9QBb=2Jx;FLQp>5?{C=S9_prCPjFy@{1Z%lBTR;#`l{?KydLLeiwU=L&Cmx`sIod@Ctl+|1e z&*e|4(4XTOU$9Op z5Hsv~W+Bf(WX}?~&-+F|RBp<1wELEmj+@K**>%IY%dbd&+hVVu>mOioxqFV#T=7N& z>{R^6YeDH1c1}CCxP{cCO`=rGdY7Kz>vB};>H6Y!ZR5+-G;>`4+{#a~pG`6^H2W@> zVE^L1S}E2m$a!}Dm7M2I5_P*m26ozWNt#>L4m_gIw3qif8gpvL^oVB6fQN{ z$Y-UHad{>Fvx?f=b)`b8ltaFb?eaU8EHqBJ+^`9>qdr;lqHwF32(cX`dqH2N;MFuvP+ql{wM_VtptKg^nZ` zh$fFUP>IZA`)hH3JrY@#6IjEskl_fQ}sW_COpJCw+L4HIpLUyuClGr{)w#;Pd(Q61SZ4yBg7!-y^jIV%lG{ zVecP3e!y|%exm^CNG*b6(Gj&w?U#|e+RjlKDP-*GSpx>^;`(<+3*O&fz4j}atNq*{ z&%>D2^N)moTAF=B`nA}T0(O(;1s{EP;51Ii$R!@>=h$A!sX=C zDk6Md+wX8}(e>wLe2)}Z8>`^4IaWDudVuk(D-MM9X=QSb_*CTqb*YY$8owT!1|uoA zGySJHo$CGe?dCit;cFM*PdRr~sMnugEJCmN)PSz{Tq37c^Oh=Uw>k5&9H+0B-gQs9 zS)}sa(apwMDLxC;tQ8Kiha|rC2{*R(&m*!1eNWg5%omF2E|@3TxSZKIXs_2+J!GFGx#F;c?2 z$(t=JucPAU@P3{4EkWEqDONC7T2#BHK;Uz7+;iC(;-|}UXViYZbLn`v_&Tzrh?26A zseJpYBR5n|Yie=~KH)Gv?~AaKDk5sq|9I`*whTppT9gr>dCt zlFQnw9+25C9Q-b^oLxu#+>NrBE`@9IZWignCxZB`=b9%4ytulFUasUAyHI?eSZVHC z_8@jL#}+^L+X*@yi_{aWMZ#ySvLp3BsCK~1hOR&EYe#?d>O+n3s>b-Xl?TL|Uth`m zWLGh`BWsu6zQeDt&c3r)JF$1>^Rj7r14TxItLJT$=0Cz^DAu9ux<1B<|DZ_6o@^cQ z+QN8^&$`x~3Fh+&Q|V$g;me85#3?23J|+6xQWI{fy5ics+9KOgyKrkMM{)k+DvidN zi$A{Bg&C}ennCVn=H%w+-@P^uOvy~rPIHX10A670Nv`^GF|KL}u`or13 zcH48c>n{#eEj8b{LE@_Ilr67XoemeRqTkV?EBMkb`tJ{i|5d7dEB5`KoqkT)lZc1x z3j|JP?%#UgOuRg9mG3pa^OcP4b3fd^nMJbiBYl`H86FghwEACbB#hyA6C$*P+UiQYJBN=NmzH8E# z6MUC7yqddUpe>qM_U zW@~zv(0lV+hRW7CZ{ODdM=;-5NAU1mE|k3GLb{gCu>vAx~} z&F}P%6(5_Ce90%8=k79PG2Ku-Nkwvw+Ns+SM;rU=n<|}dNTjqXd~0Yv+{r~0kfWSa ze-XJ=<(rQ9bjdT@mevZgReayK?d3C5lF^)BpQ~D2TjF5Yb>6QPAyAZkAh5$rNa&5%19_q`2{J`|y zkspTqgVER`=D=YS?(bsr;9w(l3pfbTqoKkHve5wEL68v$VcZ0rP7nwC-xd@pP9ljo zByVu=CJ?Lv^b(&q1pXfUi=Qxw^9y{>NUr6-#P>kUUIa-7Z4QPKOnAV*1NI0D z7CGPoCh|r9PXGqYy)-$yWq8#g*-C(*(?6>Yy9VeBCz{!>R(+&j@OL|Tc-2t|Dg^<+ zLm@vv?*X^|+mOru1^R)&;!iRa&akUL0ZD-(xD)@2=P;e6QA5FDhvt_AsMlb%|5-fe zu_M71B|!jf>_{jp7-9bpx%y*$>A`m4#>!t{Eq_ys_YLPkO~t>vaRFys5g4E zm{a{!Oy1t7joC9!EV|N_xOz$nmta(i|Aq@2v}Szx9Me{VmJLNK?1^4l{j&1(V^UF6 z+Q;7hVp7Pdw}+1}EWCI5zUrJ}sSKA2zqn`_tz$0*!xokGz3lJGkg$CG^1*$D-ut~L z*Ug^N8TOnu7`6U(A}1yLyblRou;gTQna9m$X%nRwyW-fi-8XiWbnMYYVBi*3-(=?|BAluo~z z`LLlzEoJGh%kFF;VY1z2x>jY{9;UlrEE+Ij#K5d^O?3=HLlpcdLMAvpZiJ$Jm)fm!w<9xJ8nI5 zw>}bwTFPa?t%t2H?01(GdhD6?IICb9yO-JeL^eW*?p^(D*HTZ>Ow8|Yxf-jmMOQC3 zHe>#pTaqe1<=2;PymY92*6GUwNo7$EauiiS_N0DP(%QTE2mDMrS%(p zwKFcakuyh?vv z;p*p)Wcq4vU(&Hm>&4|IzNT@GW>nPQh~->H9^dZ__Ql6S{Nb z{k(Ea@UAlQxfOy2YrgI(dvgBa{MEZ|PrJM^z5QW|`QrR1M;8hl+H<66D<6Hc{PUJo z3Zd_dyCRCM#m~MgDO_gV@WR()(}7*&8D|&l(mcwbCu#_KU6eVW)c(#lzU|xfIcj#n zN6RE%#PYfS&|liIMnp_t;3@YD?&sVZDT6!I?WFx~M>p`bUNn#1$@hqFg?75;imri3 z!Y;}lLC)RWpQDXcs_q=@;CG2!&&^rBQCm6OWu~-r_Ri1pPmXBD6CZuEvn$t=n;#N$ zM=>+v>T_dMi=oIa`pN*RIW6^CQy=B4b7)c2%WS?Jvff5)b+5yA|Jj>@P@D_KCI1=KJ6x zY(3M`@Zr=C+0Jr~e9*BpXl3ld!c|3gJqM>POPRxdCNk`>oA0hy7W~#TryD+W^4PZR znoybYF3Y&R29Ef!`Y^_IgHNBlZ@k};c5uG(mB`YUk2FKgP=-;>-uL6~zin>`FwDJI zRD;UF*^4p=nVgaiCm-9K?!xCt$8E~Ks`g0siL2AfHYLx7!d~yYHij4XHF0TPx_xZW zE`N8pT2`OTg+#$%gDbhJr^C&ogXqGCim9_p>sKlce*N0p^CT~z{=NY2v*(R6Z(-t~ zk-S8i%=Yfgo=?R)x(WS)y9M`VnRL8-lV$t9x4+BQZuWtoqF4tLzT&I^hv2xHZspzR z0?B93pRbx;a(?EI??$3Tc5-lEX~C-t&!>;)b&O(`{*~9kEMgd)&SQ2i`OjX*2>L@L zjV3@E(oyR;B1D)CN z<8-zmjxy=Tdnm$02>te0#D#~lgpS8N6aw($vW_Eo_=%PmYzf50fW z#sG#!SVyDEFn?_i{a;x?BiHz+1w?}SmR`B{n6USWS!IY&*(uJ{I;Uy7iw|zE z7(62z(pqu>Fv4LqmuhB8r+Q#j}pAK0v$Sk z&#ja;l^kvJcc&;u8QMw@1f@>eq+Bawob=u3TxR5(ehbrfaVPrs z>wwzhm`@gRC(lnm!#QJr#PpAMxLp%C?@5PTU%SteCKy+lbs#3wVnfXwzK@}wL=vOD zrjoa}Yt*0lM3K65es21FX{bg0G$*zE?weV$>#cj{FV#*KI&v^fBWRX3$K0h49fGEW z?Dp8A0KHa159m+TGHWS0V4m?gz@SE2K~O5EYICFE(U9av^kW}vmc%SyO8JJa%YSjW za{UuwAMce~1?p<6*FB-#!B)9Um!Jq+S6>WR&HI{v-ol0P`)42Wjh|5xIC}@>^TU4i zPoB<#TP3s7=kw)B%ySgkI-9d!s^Nz1{49fKryU%FgZgLRwD@SmNfBSNNhwJsey4Bd z^rS4qw5!n{-U*+3lz!jSf4`kz;99=fS=HS+M5hAP+~d<`ac`WvLFozQ z8wg>e_;#8kWU(oIsZk;C*C}($ox__tIIGtk`}w?O`a<2aZBZA@*$b7+zC}Fa?L*f@Sk?|N2EJMmog$+b3uuBO9QPdVa}#FCAohJ$@?7j^b0BwvoT zdH1>aYM}Pud&1%kuOEDYg->w!!Q%apHuks-X*ttrC7$c?fJCI%&7j#NAF|KT8cAjX5|W7 zJk`prI_@=RMn?*2U+VYWu9-rWm(G0mJlFl>>@`N2ke7q;OKET4O6xTC;;u@mkUki9Xt&?8uJ*9+^5tGgwf9_W}CT(YjiE~xka+WFC_G0{4(S@qSfx~i?# z1cMl(m4+8N&(}MyYG3X5@NUuSv}&A9X5Q(P<;Op@ZkAwoHZ@}FFxxS}&;Es)o>Sj- zH4jx+geo^skss~bzsU8zmg64%vE>Y?0{@3}!2`ckO9OCvFGL(|o*8~yn1 zoX?AUi@(w?=aiKsowPRYc~-#MmW8O+jtU_?Vbx_pIVPq8FLo`@+=bHjQ#`H_<}b%) zxgX8bsy=(Y^I1Iq7y6b9!u$1)IDJ6x?M=U@8lQLvUE5~%w7uzS0s}S9qG#Lc)7|zOsp~sB#xLY#tkurBb}F}7V9rC=wRL*Jhn~#ls_RrcS#K~US%>lN zN!ZKQwO6v{X=vT=wvq{)A~A~}NbYSy#GOTdD;5M=k@SW zA^xr9Ez8Tf4D(-Qmn)*l)Y(w2 z=bVR#mN@3U_yUW#nnb6Yy6tOkzDN@AeKXf1MZ#LwJsp!gN2;N%sm1QN-`7Pm&aJ;U zgUXnt5$(O^Va6hkxyMKsJ9dP3I4VYYhM{MOTWS_=^IPwR$QXO_~;B$Sa}GdoacNIBzOxtJ=c*$4oW7^VtpFsEJ)#td&P<&^V?s$LXNQYB>tw zsnL;V^*M&>TURvXay~n{x>If5k}U^Q<6a$FFEHy?qBq&5iC6cO*&LMBw5*4B?r%4} zwzu83FSO4#HsLb1WL~ZEjct5JbJuDc8Q#2mG^A>FbPl?y?&iao7JOynYWC2YBUMKv zJTl4_E_%AWA>AP-{jH?N>b*PF<{WKb>h;3iE39YXSFbHai65r8S}hT!@!7P0Ct-DR z`@0U!KUezFqxY2jSs|sIsn?!OAt(4&hdFbeymspf&7*OHozq)y>k9W@y>wf33y-~;LBkX|F4>2w3wsKs z-}|cyJ}`N%;Xeg;?2CAU!KT&~p&tcN5AzFhvyHVCXWr)bJooK=KyF0+f}0!CPCu8X z=%l{va8oI8adK5Ema#Aw@hdq0u%V*cSIqpCava}v{uxAh;m=bSD&KxnSGL<`(Q~3p zHi=J6|8eWHSCTG%2c%rKxy+V%zrsa_S4>g(q*DHhTtAGZB)dY;p*6+57mdDk^kdk9 zrbism|K1?er&n~^O+d_0)rsukBKgEf72lzmr0U%noNvzN|AR8vqafSYU85=0s(x#Y zm>0W`kk9pwUT*VqUnKN)^jG>7&?|lh78Y4V^RF>snV{=pQ6*)%G!GyE)@oO3}fASMlGa;y<}2lsTTvxVa~p zyw4?i&AgeOGV=%4`6|@=cbk6Hoq7<>@BjL^R0=&pRAq1Sag^O0+x{;n*Uq+8I6+nr zahQLDZ`&uK=!5a&S~`H&`=87A3rmN2+l{ewhI*I%o+IJ+nZ%#bX`luiFI_l_e|Si~ z{|d;(;vl~U<@Atd!vOoja0wCyYX6~`{I3&0I7k;#2@C=rO4TWN2A)WOLS;0th7s^I zX#R^*WYAghq0Gq)TL^zA_s=vZQb9@tI}2DLn9&BL)ibp7o@}{ChW42zAajQdn}8Fg zepnj3Az;vv)*}H>lhAd29J`Yxtn6KoC7C#C=+6hk$;Xci03O+d@h{z(QE{pf@vHxPy0B54oIjluJcRiEG)7fn zpuP7ria)P+`ToP~ck%el_$2)kHnG~_?;_&$FW3m`NzAB8-R=E@>>3er@ZL4 zeYdE>tzox&NmP|{4+MkOT2Hk)Jpa`hof(qv7$@BfvUl)YFnHIgi?uR(fyALlmUgy-Jd$Z1;(a&_-rYsoP_Oz~O0E-PH#FrdA zM#e6g%jjKkg)b*owDD@MVso8I!f6U`>Pt)l68xWpOxoc@$<>byVM)!nm{^ycKwz;g7>^kJ=US(j~Dmfi;VQPh$StG zELytAcy`c&>@RJo{C!oA+M}~KI*~O}A~#qEMd``#KVLi8+exr$y1l>U)d2}=zS3f~ zKA|}a>IpN53*T-JT%a?Pb3dn*oQ=DtE?-H#uF^wpkGG-AIPhFud^We_bTZ24J}bi{#fl3q)3OQl`k0D->W+FmPFe0S6oqI0-yl-Z9tG50cmVAKLB+3aApF?E9VpNrdxica$NpWJHHpcTJ-o)uYkSnl zUvsg-jv8U6{N;V}CuP|-kne9bUeA1z`Jzoo1{{_PvFiuwkPN}YQJ|Ag60IRExUapJjnM^C{0D$Yf(&pEc7P`41;>8;$XsV||4+7z!|=6IQ4VvpTmCT`}w=5ki|dUe@P z7icm>%@b3^r+dD#@O6>#t1@vf^ofxVy`WNK=X>IlbMHlm_KiJ*o7;<0vJ_UMO4hp6 zaJ}Px7I7k{yx3#&6#D+kK!MOhg+B6k_x(Ytqc`;Jq%k!R7;P+YWtp%`~0z+$QtRQ?Z7$j(u79^#_S1 z8S}J@;@_caHZSaL%UVC*`NUbB7smDxh#wOIVaNXzZ!;Od4EJ}&|Y|GB=t>8-A)R8MByRp?~kR-6OuwUV`)Th%c z6P^Uc+HO}r&*P_A#?!GfWQCyIbK|2)*FD0!%*+~HbA3){nVhuV*!=M7Dc>6HLnTKp zE&N8?;23qQ{B>-|^h$*?S!%@nORlJc4&3uodNt8ho|uzjr@-uk-!A#YZclwI5$zmp!&W!{GYv`dMU$ulU(B z&#XI!^EIwIo_d8>;=0t87vCz>oUy{5!pFXCuX=y>(B@U^DrHh1)^jKAp8M#=$t6xa zaqFG4Yqy$wa(X7h>%HCf=qvPl6PI;lu~ob;<2GyDdQ{%EIlgA)=JLDx$>DsxMC~(A zujzJoa3x+aHj5i{v*MW|TNqOz`mM&rgf??dRNkVTmU%u;ujF^M7B#3V5Tj1rGQiAo zFx|F-&r)si^O!a{=L^mXxZpRL$<0yG*N@?C9_zV0_9MSB^trzxL|T+wiQ{<$sE3MD zPGjF);i^RYTe(Z7J`U(jd30$H$J}a%>}dnLPB)!U-jtR*dole5DSVnJpZk(GC)+pZ zoQT@2kZ$ty0J&jZSCvuykZY=zN*jX%C8`>@Hy@jZPb^X_U;s{ZkK zo0$99PhQ=O`qC*r>)!BG;i^N41?xKwtl%}T+(OJ@+Ez<-)u8UpqcdDs71-H z&G}%qRFV?WGs|hoA|alYsXLZm^tM?&J>)xk-U`{qaV!-yn)O;6YpD$B%F!VcghrZ~ zF#26p^KU~Y(9nIzKmj_b;U5s0z;;11sm7T<3}tTM6K0+Ye#P|TkY6$VD&`MNlsEFp zPc%2Mpa1+GCXSQ&H^biyD21U%FhLE>Uk!gVGvkDOGE8%cX3`-tKNb_Aaiyjc{&I|&Wiq4)SNEd(5k*)p`KlOz-x0rKLQkaUE-8Vw|V z2(vW~2PM9kUsLN7k(d*iiY5|)C5%ia6KE(Zg@h$gp=%{ckwRu*(KHr*Jmf0=Uv%Iv zSMw(&6%Kk{AnfXBumW*laN(HTTEAXSrr>BQKw6-RS&@dp6M-C@2%RJ77yvaBp;C{A zWnoAf+O(6k;wXq>0C5~xj7U3Aq?0=e{01xuEJnhwqkK%k@iYi_0IMT|h$bikkcLK~ z)1U_iib$pcjXRlzOA9?J2sAk>4j~wM;M@bj(Xer$NB}Hc!mo=0hIR@b1AS3|ijqW! z-AkrI?+!&e7Fh1E&>V%#+8t#`Crt8!LwgP)1c5Lp2qS@t#3I~r_+QfnFa^gkV7-Yn z42c07hJmF5i#HwztvUz{28lvJvy`t7>99!_9NFTauaKPrET5poV8wqUH;@S8XlOhc zHVhs>`b0X7LWOuTnL!7lIamc2uVYjw>+d$oPge*7P9q#B@XvrO9~2$1Yayg@zf^R{ zdPCU@i9sR4Ockkg5;WbRLYF=gnu4X1&R5I0^$= z1|T2fSdYLcuV_+c2DLn(x)H80;BNrx01Q+?5YWH&JpXWJ5TT@@v1sTHLq*XsKs1Ua zAyIt{oy-6VJ=8ewj5Rg#mySLf3E4yR7pR7Tehzr^0K*3~bbtHK2xtZkgQ1})I5ZwZ z#$%xO0v!(%Rir86DRfpwx}jTsa!%3D-H1li6qqGw=$Ao&r~w+dE1;6)Z)b)<5h+wU zP#MzcbOsSerh_6@#6t%qB9uLlC@g6g^r-Ta$=QuS%7_R59de2C(Pf&J0DP zpivYI@Q;%4GztnsMgb!>ye?i<+gtQutUF8ASg29-Ey#3+Gq69KMDG(w4tnJDb^ zM;Zh)1#Cnr6$AZ*=u|Wng{Ps&R1y{gysKa!vUD;+GY!kh-Ho6NiC_((AWYC{<)^|2C#WXp)5g{Idi2)85 z=u6;VuyQBhA~G|uIp8iMN+1QtiW8e3WFWVtkwK3`6KSB90vi{PLs99Fbw!iu z4De@JU&zQJg1AZg=MR7n=us{0Scm>PF+gHz#5MY%6OA0X%Hur~W(>P_oZL9v z9V5a7;|@hI5974-#)5VCo1r-1UPJO{EE##&DZd*EW(XXP@rI5~=8s(^9HfEJ<8e2k zN3nE|H&-m|EuiQb@2S6AVd%;R)&(n!8kT7+h-R$7@Fy^o74iU|k2Lef6Bq*mLv&(1 zfkz~nm{^#xPeq94V7}uFWrikys+#`~`6*CAKiL;YS2<5!u4)vo*+03eKL==s8$Gpe zB=UQ)x_OB)hWJ#IAbcp5IfaFfJlae@1k zjIVFsWi7W7S+UnYW5u+FM$-m2)h{HA292rZDRUP+pj>Us5uANDSzAf?!IqNfSBD-P zy1WyW|0zm?i+g_D){hp7Jp1_WZ4vJ$rpGo6SU>2eyg=fCr0JaZA%|Yus-y0H^4|M0gtBsao2P|NG2xh1M3~l@ zsPO$;;ukG<&Et5KKHFRQRo%`M+&&p@_HN^qi`M-RPkAJhp4nA*(Y@!T=greURLq_f zJ+&UJ4;*~EkYT*AXP3g-b=&9%-8jeu4z&!g;+HRyTU@5iy3Ny{-{iOa%10d=ugKX& zi}VgytKRyoEU2rgDQm0bS%vZBP^Z7}Bn3qLXx8j4-W@OWK4w9R3f}ySsKRPea(d!* zd%pdCx11Ma-Wtog3%B)`xoq888@%>S-}5=XQVg^2dUSd!#?4ds2sfLN zRAZ-1$SsZhIfZvL&Uab!Xq{htH-K$Z&@BcjsJL24seSovu|?#n_GI5}Pj?-@JKcXV z+nn`*Go>-Hx@w*-VGBy67!k7K2V+l&uV2WkvRk#gc&~ng>Z7Onk(SqI?)TW-TY6Yc zI4-8M$PbM(Jd~ty(B0tSVjs2y;t zdp=Z?_41l^JydaFjPa`5tE_h~KXc>iXMyj^PyCIleR=f03G7dKu}r&4ILao1@;W>$ zDt=CZ0IJrv&iE!*pO0#O&_lKI^Ly7Wvp#n}VfNH~r?vYr{X1->v0ul$o`XevaIh)>uB^pzegg^!*=!P4mi)>(Y;yX9X)^cBD|;3 z(t;(8Nk@HBcW0(&K3$7qe@1z9^~Am<^d~R4W`uNQT-=Oxh_o@5U)a-i=jCgy4E8;K z;cfI#HS)gjQz^TJuW#+YcGTR6$1mXOCMk=mnC>m+Vb|R6$n0xOB{g1>eEV?FZAoWq zu5^v*i?$E;}k%pVXs_u6?rHQk)2b^DURrT}eON`{PE zoTi%FYus9#L0X>Iy{`+&aqauUi&Ngb?c>-?7fC;D^EE?op`8PFhKEk3@6M*V#0I_i zYTJD+vs#4nxboz0NzHYws}*UjdBM5nVoQ|G0`2^!jDrED1!wB(@4eM(!@iL7cILnI zbhUckK@p#AB}TK(U3;1`x9G$4Yz0zP@>%Qif=^a;zRFMA+Jm)Df4x+Hx_ocz&3UGL zP}z5FZ+^42`tsvTPALam=G~Tjv?$qf>$TK^#Bf~X7UK=KN?=SneEYwmeYwO{PFb-e7>C>qKk z^Nl6DHKe>p;cyU2%RkC)feAMBhoh*4Milvi*EZHtV9NA+OAL}D6OR0~IfaGT$8Uz> ziAXb!@yrtzQcCFKflTp##Hhaowj(Sxm<<@`85(E(I&Z7cv4YrsE$E9oeK{$gmkX^e34m4tg_yOT%h-LS*ZISuvJ{ z`Z*elKtacWCSxID{3pYK)D?JE#(Vn6Ao4Gt4)I{5*Y7yOvlyY{OdSEXBMs`u89w2G z7@j-C0g>e7IKwAAiNnK@3@ap}#~IFIkd7xjM6^gilse9E7LRP4;TWJmg;zh`@Co|Jw#r|8P39>I_i`R4~HNK(m#e(cos_Dafd@}d0ZORA#M79Y>@LQy1m0bI@yNX zrLoP#Oy|WqLC*)*A~iJ|dF<_XtA6y_V^O^4{BFv_aErO~-MQxZ$7C$6vZ?#=1S7Wc z&TYw;Y_Xj)&qTiOuOvOa5$MtS`GM?$wiVv%{LblI5npkN>rG*_#4P9ID?%0vCu9Z| zYIU{J3iT9hJZ!#qX7L4yF4Q}!(!{t|uqL+5_GHsNGM|&5?>DaPrc2*YbBcCTVy4|V z5oPi@HCx-?@!F4DdEJBUq`?n;t;SQh07aHLt%K|NJQ>l1YY)#=$*ecg zn>BG3yw=Uv4ic{O9J0FVBYjZQE-Q7z3v%N--L-ywIrD0&Kix^yw&_~4@u7}wkjlwZ zOWnM6o$e>eU_X zn{v*n%e+%Z&q{Mis`=n-zPR_mvky%Y{t~%P4lX7Z+z0h3DfyR_bA+q47O(VeU)sMs z+xlC}eJN6p!KQC62N9 zw)`d5iB57Jc@5buGFzuyAn0`X*vh2s5@{~;G2obH|1G(Ra6d4*$)59e{>H1xT|!N$ z>r%GvVo7h@zlwbfay+BL$~~ddJjO zHXqN|d#yya&p!3KLZZP$@Qs6_oviX6b%8F>BT2AytISNY;N-H>nHbG%y~D^YP>agpO>g5rtes5 z;9QO@*9J!p4&Q_JX7yZUhUXalTg1B(XV%_#kaH{$5zJW?X_34({(j3tpJzt`0^dZn zp=;Yw+8sxqrJY%0aqyPN-SegbE6&iwaS8`c882gG?|XDgdS>V?Y~Y*>u}ztCcx4~zX07W^Vd6E&Cl4@rh8h%uSQJ;c7_ATO7(i+KK!8=11 zMJ-5la0{bbWtI*cFc)l{vPN{!TTC(BRw6e%K{mJ|&)ZrwI8LSV(#mO+3&D2UzTSBy zt>PxhFEMtS@}CM_cTB6s$b5{=Tinv!o1MB##XCE_bNiq+cj(hsnTCr#eH7*lIu%$K zEub+d<$g3m<6ByKqF`4_$6(6WeaqOTyJ6dwdcSzRoe#|vx*aDr23x`E!kN$ zpmdUwZ}qUfME=vZS$08IbKh7#tqfFRC;1m){abHEGF(X>kMjL=BU^~nx^J!n@1Hjb zZn9qy)!w$ycTIO$GcoOTS?uY;1gWsPtWest%~cT#7kg3CUSIRHFXb!>YPoWY9Cih% z@KZ?nfi)b@pdSYs&SKCH0o_L%^h0p?-vjH%H|R$+;XtC0L&&jV(opm;X(;fY5B~}= zH4wnqPts8MVdw^eABJIOLyV^IHxT|B`r{#*Qsk3inAuON8~9}So0*(J$TvgO>F227 zZ-#4c=o2Q}0`fP*#fZ>?bof`p-#knPpeVC(Kf) zvG})9-2(nDRzB3x6xxk2?O-%y&>%Vq4iO%5p#=P|v$~47p_az1_=i#Kh<_&>1`Hhn z*hx4fS%kE|1Y`#|FwB~QUjsWP5N)Jc{CA=auNzWY05p-1fCN#Y8wXNaK$wV)b!gqj z$<&XcZu&dn(9DGbydVK9Pef{0fw=<-uLEoVX$}3$WZTb`8&9~ACjH+D_s_}!{S_L) z4o_hW;WjCjthro>(l>;}Zidg#Zsq zqoJva49qx-I?@aJJGlYW3+*BRAU7;GxLzo5JO4;v&!;+k3UYL_3_&=)( zz)#2@fy)ZmHh_J?F2?-!_>ZHRMl#6#C5bhh5m-aOpbm+K)Nm7#jr)7qEXf2qoFyEF zfu%8UieyFTQja1dmH&W?Au&i8GV78~;$4LFsE2D83y@SO$A*ncL^dc=k_e0XJ8h3* zJ^f50XmVaR1OyRu*gvvia8M73P*nd;G)urn(Qt|k0OAm-08~Vg0Qms;DJrzug15q; zQCXLC5-%x0+JnLZlLK}sbU?x&WsDH$!ywvrq8_dY0-pv+Wiplsnu`F0@dQv?03v{H zU^F;mbWp>rf{%=N{hhW2_&FfGK+vCp;{fkV0@LD;1poIdK6%$0^L79m4{(yqqE>*O z0Eigo0l@qTnujxcLX)AjGMPc3({V&9nE;kQg$5S~8cSzT0m)8c#WgW4_{rJeL*@)z z$6#9_81MsOx8*ea9;NJ-q{hz!u3XV#rD$+pD5@=K$ zg94@@3QtEL_CTLMTJmV zKqaG~?GTlLqG1$iL{`&$6w%V8Xly{0GLxOiT?BoG;4}dMpY%KZ@Y|V@pdB~F6cCziWt6r0oJ%naK5B6(QYh(O8&eG5T>7q$yOu;P9;c9HS_s{%&T&79HexVR1p@fh&NK0K*?@hbFWh z$P^UV0%X9Sqo9W;6jV{LP|O0vZ)gIP#wpTSD?Q*8j{zAl!|uZvkO^qhknTzo4gED} zg#=pszm6Pv;+d#~QQ`v8FRT&{K4VR6kK*YWcPQd_GELr2D<#v2MqctE?1GZe$Z z+5!$J1OG5$byR3iulcs z9+zHa-))n0LQpzx>SZ>8>f>GYdxIGq>+j;(a^JtpZ&<78taD(8cx}yN7Z>f*u8(e4 zHs7wiT-nZ7B7eg6n5eibpR?#QeRZO9LRsbGx)Y>B7kV@8SG_x(C)g~>(SLf2rLz6D z;6=f9nz~*bpJMD@=XRS{2biDT*X%Kec4I@udx=0d4(Ec`sjXOm(>M(+!D#!+7S5KAyrOgRg~$o(A*BLl($^VwyVcauRk^m z#TXb&C?I}#Msg3W)-{&{7 z@m~)+7+k#NeNjsfd-B6K`HP?GuX&1lr`7yDUw_`&1;^BNU$Pzld{A#Ip@k!AAilmm z+rcJ6oN>Utbat?0$BD%_%`e!6U$>DDN{SCFcusNM z;)M#%Pt1;J$(Zp;T{uBy$<1YDn|=9&PiSt@Z5_DT-Xlr5)l$OAt81NzcC@{vTOP9U ztf1`u*~dNO?pcQ#a39%cqHx^=T~;vd?&nv>ir2I~5c4Y|W}AqJU<6P5+#p_{L^Nxk zI6~5opTA;?w?%kZ{Z#a>lm>&hn}q3Rmd+QlrR3%ra?mr-No{l%~>07 z$yM?8eCxL?2spxBvt@N5=ZTuVFM+I1YXtJmM$XNGjyDq?y{1Yu{bEk(Dm3wqalK{WcK-8j0&8Qp zH6EcGYrow3tbgsfEnN=ld8;`^SDvP_9uRKly^1DLc>U%=E$LKGLfHwWlU5o}cgIgY zb*xLMw$4o5bYe`&uP)R$eLu~^X4ci2F`j-6w>`=B#(yZ|Vjg}bNuY^erJ#7k`6;Io zA^(saud#F1^VB2J#l@#@w>=a|X7tsjC`mt7==Z^GYNdWa4WE0#InmbQkkb$S%+htT z#m3*i4R$kTS>^3Y_1JN{rJSAH5x2X+$-?_IcRqX9`a<*OiKbni2gUZW9Lw3J8?JeC zcyr|1)Vq$n4+nJae)2zJGO@Yh;+MiievJK2{+ygVC%!!9@7l>L>72avU1JmyU)Vlg zUu1tAg=y^*}a)D+?C{r#)_0P_4b1OPW}F0%2!7}_SSi|moG}x`}7p;UbYAqN&%Gi z9_{k*V5(Jz9;R4HUC%Jv+41z^SOBZ|?E#dB4555rz&w~ajzBiK*4rfVc9^Y9U&`9H zbCu7--T4_@^ECEt*)_cPY9aZ??}k*zHMQ$QSOh;2>ZiWgn<~`zH z8G7(aOV5LqUK&QCnY|~9Zi{uy16{y?gZ;0AGwloh3SF2!mu4&NV4(5`2jNmc7l=Bd z#PaA{6A^LE{hv0xX(bdx)T3G&!~a?1AbkS~Ln6r=fjHqIc_@fl zu)Yj6CVddw*1Cmsr(*taBEJhzH(!k)JldMEy z(xuu6;m`9TM+p*P3j%i-BtsxE1Vw7V(%=_hj}r~Yf;tia%Hh9Qtf8TS9%v(h{yxYG zVGN-#ft!P@0HDs&8BSa!2-Q{)4sFoefxHixjlm;~nuWJPkRSk%p`oFkAP&-2{08uL z;tU7`L4vLTC`io3RZO4eOSw$ogyzM=ag7FY1`6sk7-aWexS9tW2ObnV(1rv^EaUNf zKg3C(jEcwU!ag*2anIsp|7EU?IN2CJID3$S7FdskL=Ld;7cFS%>SG8f9QXnNW`Z+D zKmf022z#Lb2GAEEfrp=a<`5mFC7SFXiyOo=z;M_CAvKS5vWF&ziB0%x(&|8l;6_*I~C0H-@FZ|DjE@)*Qz1*)_QFKo;K}7)y zZ;Kq3SSV}o@k0*@T|FG10aR}V@KC6Oh8%_lcmnL=a}7sa@}*fSGesLbOgNnJKVS_& z-&t6J2N9$AQ&>Vgu;-0A)~N%(hm6Wg62z0IfXM&tw$NYroH{ik3l6b1n|_w3@6UG0Qj*`<%I%-E>zn22p9;9^#u*UxkBsl8|cjm zjlnTrvL%j%f*JzfpD}!SeoF=w$fGyY=>2S67jQ~+9!Lln6n%7k3P!UyIb z8%@lwEUD2T`vTydfA$lE0I&cq<$qkZd<>eFh9IvBNh?4v@Egptw13{ck-Fk^;_x?$uW+{g^j6FriWFY~4+JdLIn_+e z9D?xdt3pW;Vi(C~0WE6nP&v(_IU*q`fYHWq6Ns(h8Y-O*JVm`=8XCJIdY9c5+YHyH-Qx*6yvB zwK#Nq5|%k^u;*!x@v^ey!QV=$+hizL`8xacsQD?ok0-rp=&cSX)K}UFUlJ^nn`c#&PU;TD|Mnsb0m^-a7OxXYa}tSG@Nk z%frQz+HG|VF_Y$$Eyp%|aP*d+vZxx~bGUy?Rm=GTVry}Ha&4O(+w?XRne&{Ybkj5{A10tF_hhM z`OMYc=*K*VL&TfJ)NJnMiU#SP$~(+(Bk15;bj=~u@YW91=aD<@Ma*NaEe{^EIJonc z*GcMNldTXntCz4bugJT*>~%2RUKIui0KlupXG$7m#wIv%NB^@i^0{!}mL6 zo=Wd~8z?oyRuLlTeQN!#e)`0_>U`dMUoe%9AtQ-ZB4R1NQ8GkFWo0rixBW7E-u?hA4+_cI4>ag%$w1Bc(N zx9fBwSIyLh2-1tX68G98o1^-7ODmoy!;UPxA_I(iPm)~T zZoMOah;~J`#~bwybhx|Z8_^B2E$rNlmfXjU+g1tL?&rJ0)t1-2wogUaNNc^c~qQzC9d%R_Z*-0F?pkHW zbWG{fr#%*846Q4l4a#Ykr}>1@$=`e<7tveCyGnLMC#+J;hOX0Le=fSBmYS;5&TqST zsESOL{li*@;`ICW%lF;5=ZeCsSh-iGd&@KlamF0!w{WxeI-SnX{q&mRvc7w6q0#5q zMOm}Wl{;En7D}(o7@O$T zvX+?!joAe7miZn!dy8IXQ$5*%O%H5Vd2qT7?f9O4Ov0xzCm>d`DV^Qv%Jvp*NBd_5 znT}^jNw^3BV0&YnkoA7>N{Nji46x9BlVcv@J=>eG?2 zJyp+ClwX}WTJVtZ+lnY&YxTyVk^`h%DZF$ag-61S1ErLfPf;IJET(>b?_;17A9cBL zypY1PhCz$TW7>z7@mwcYEPWuWv294)?h4j#?fC?YzGzKS_FE)W>t3$C$|Za+M1Ip6 z!Ol1q$9ht!q7AZ{_*WN)S3YXPUp-IN|J4RRhGwrZ!bgiF^HDjJt2Dn6aeAUmmf;5V zaK`WIa&J|c?}^)5H2J*4DGsS*T7DT}Ra&t@->drS(8xrZ@<%C4JzKQcE|cK!it14h zX;xSC+Z+|wlb^@Od6=^w5{_4uJ^zr=;TyGySI95_ z=)4Hu%#<@{7}S^<1~m|ZXNJ#|Gl|$uWcUn&8U*Nn{LV~K7DFue{ZhP~zS$*pz41^% zLjf3kCYA@uZXj&_H%|9|bH107n)f4?pD`TaZeak^x3Jkn=UWe`Zu*ewA>c7kafKu- zKZtf?aQYy5jRrNZ4TK;rwyY~aH|3uihm z_*)3g>mS3B3@7~m_YD8zH}mK2(tpWx|L4v8G2{QdnTsqH;*9_44nFPDEzOM2EOBUH z0WFiD$^(iph(HVmG$r^JsRI6~-P0cElG=?$a>z*6gCAu;gq@8-{WrMkfAdk6klx6L zv_t{dVa9NPHvoYNR`?=@PcIY$e!vD{(F6d$;rR^EP&zgMKps$p454(3pVO29st`+d z)FXQ5|C$X9B3?eAW&D=efBs@Form&ceIp)~0K$O#u}-i^A3fYshYrN(X=&E?Oc`*- za72Pa0MYeW#Bky|(bI#7jsUG>(0clKECDSDO-i8sf`K7mJn#Z@8&LtuW9ilj>>R+| z1|5~@8Hd1;mk-K;zh(HJuauwb27g(<{DI^E2Lhx>SwSe5=o3P?3#8UXKzIg#XVW); z#xnc{Xgvb~eF8A{1mKMU=B+LUH@CyhbTGX{D+N(g0UR5|mPnTraL0i$248{13Yd)l zbW(^5oE@U`p1mFZf!h{c+tpW5+ zS08i{_)#$RSm<8}Ozyd$$E8G4{{U<90e}!`9*1;Hf<2kYR9_gZsSBtkJv=Cw7zlv! zik=|`M-bo>0PqdySp)P(U@mNC331d2IF>lw@Rc7)qQEjodUFBp6pF|TI^nvIgb)C+ z7DGUL^J8$jXi$v;{Q^K;fw}>-p_!YEm_ts)Oe-7ykm6o|hKT{*_`lI9v9LC4D4==4 zRl&hlKBuq-&6gG#3i9FrNtmmOjkJPY_@Wqu)(FMwITwWvWyz=WycyH^k*^t6fW)Gxlz55y_K)m`l3^Z{tb6y+FP4C&pDqeIkc`59dD|1?Q`lO z%>xnA6RSG%cw8FBL_oeA9cg<)XK$v*%Keg zUKjgHb@x{txBMu4G=tN&0QqnGwY~+>7%9=msXadQ#xX3dfTvD!GKeN!P*}+!weodL zS#bg-omrJ@a;YOeoa2F@My+Ua_N8m5H`JI4I^3nI{~%qIC4*MNrr}Ldx8DRF*I?}1 ze~#MHNuGLb1uBI%{*ig@nRAKdoDVE-93X3_8gJijA#2R)Q&Xg+7}m{nUM{ZUanq@z zac_LOp9pPdw`-n0Vs`u12lh9wkP$wq;uZ3GH37NultF>wd4~ zvT06dVq-KjHTPIp#^-Q-kyXDx5a@L4$_clDl-InIR?cqJ%gUEqF)^-=RoJ|dS;Iwn zZz0PQ>HQU|%NWtzCMAl+9}-<@M?!6nb&IWM$*QiWSpL>IGGc|>I|sHkaa1(MrJUy5 zFULF#cL=DCr4YMv2E%39H@J`CrE*U?=Z!ML+Fr$vjIXo~#GQ9t{UY<^VYaPPoh|YM zs#fvz{uecCBc&=8wzOp)s|mlNe(K`*d!<$CK~jEpc+%axUpIdmq7uvDGNBB6*KV!I z^=72nE!nnZv;L7g`sVe6UwIxnA2`d`ZXJ|Ur{NSEeY%;7_nqF*19V)?jwyC&VRqo7eM*};UDK>}4WBDedzQ{TA3q6e&uBUD|5@XZw zbX~(;<*C~4&1{aq!=h@Ng!rPS_B!tErQ;<1Vuv*R4CXpW;o)oQ_DK zjL+9AVEV=@xTsZ)HTEpm3B;<$;8}MaeYkf6$5{b0Oj9taGVQpsgJ!BlMpsXH94Xm8 zZLEoNuvEBi;WDQ6s;hTRrbqAGUB-Rj%o9cmr89z`&#jfc=i{QNNwfW$n;)yoP<47B zb6x}^IfbRG&r{{qkE#l}C_+|VR#;i?s7>nP6-Uz){gjr9%ws#ggsf2{yY1rEy9t}R zPy_pW(-UrKw>t!8&ZNUtPCNid6GciO&}*%x+uO`^wklwPYC!8n&u zTAH-!X!Jzh*l=rL>suGrn`48^R=sbm(_UqnM@#<{OS9@^CjCTH-c`1{YlV(tw>ZC3 z=WC{3>2`qHbDx*Ms>5%t9e2*cf38pp&QIX?@FplIkAIV1AwanQzOubU^sx}*q*9Qu zac5O?Q@@DON=!{;)+fb0^zVlgsv;?RBNM z5`#BvvQrind(S7$!?QD*|s z@XRMaN?FkM`Nt;!AekOML-hj;?93-KfB6U1Zx(G1l-c0dmc~iNAaHshZy}r(ggFC% zeqKJPi6Bg!Me`$nnn|0Uu%$5^$ukfsMWCa^kI0BXcz}n>9S)cQ;A1W5T?6F|&qv_H zqJXTRXMjgMY80Oy8v24mNNK1)2bMnle3#a4XsJ!qt3u?G07nM-5gNL97dX7Su;st{l zBSeVmk2G1avjj)}GU^2_RtQ!hT%R@^fg6J~)$bS%icuXq){v*T;6sCuA8UxkfW#XF zqXv-g1bPzmAlCy99<+c4*!7&3n|ORIk=anN0_`l&T!ohetOm*q(yJ2IGjM(u4xLfZ zc^eA&P)_FKGXM&kAW&oozybrN60m0s=Y-DC?sVxE5@2Q#L2#tG4j*7$0jf^?3i~Kf zP!^tU;JN|zP8X5?$3Q!3$Xo!~5oDsF6fAdW9f@_KobiL6?dKJVk<{_+1$M-+p_$5sH{+rN+ zMDI^Y-(S+f|Ma+9y0kB`f9cE?Hk0;6Y5>2P_I<9}VA&u*0jZZebQh zdUUHKR-E>7u0wazH-@28O!96MUuBi>c>mz$BIoo}CJ()J8*#4d zhhLbE59L22^I?`h$G-D<@925-%H#A7311$er!K`f)KZ5z>$l)zu197TtYkh0?)u)=u{>|SoC=OpQtvz6>`%FF>w#^n zqh)AxeGkPka(}TTWzm0J`bGU*RKt;*e#?uPpRC+^F-yg?*k1d1nl~le(aQeQK0Q2p zSecyg#eA>3@`pJ;lRoip!8djsW&3V(;H-!hFT)9?rYl*8H;nb{58ite2Wk>ms5`ok zcnEgMnv&kRp6?O8<>=F{gIRG0pUY)muZk}139&Kgv%hkuo-|!mFeKcjm0=In03~fr zWP^Hqw@8w^zqUt|VggQ5EwcUV4nIe;2d8fBO2b!H6c4cU@IKtsxkGI-oytJpYjeQDl_Qy5fTQv#vF(*(wLbZI0##H{Kv9NLO;L%fDNf%}=vERrPDlQ@w2i8DI?iXOkzrA^+WC@A zz81dmDxP-xQh5|)A4u4S4U4s(b}~o%Z}9xO?e%8aK3Y5ZV;>I(_4_admP)IB8p3UF2SB$gC{9+5S2P9ejB5xb;9lJ1>_wS%A36g?r7LRrQk@ z?+fZ3{%mvVX==(U%m=T}J9PWoHwEsX(AgPP!btL6>U#LoH-5$`jPVX6gj}llMBnph z@#5TfWwy$LCSDUIz6WZ)h(-9GGNp-6@)@i2Z?I|#iLtS0HOx(8;ih-b=5p zyUTWcl;f!VfNgVd?(t_A&Bl#OSH9_D#5X8jWhtowug7`PuTyKGsQp&% zP`tG@Alt5T$lLtQZpEpnSH2qKMWq&Hyj4$5Db@KK_R?!;DX45g+3zg)?#q?({5)6S z`fK9#+Y8>VYkckGeoV=zlxsY(@r!~$MXXiqIIs3Lr7Jt^@9`4WT^7j5k~q0`|gtdNjTzv0qC*kobig#MaLkogTHN-aOuWj$o__AFsZ7+W~*}brWn$dy)FKcp# z`vRp?K-jmLkw4T+J_&<`?GySR+2`5k3+UOHbLe>Cul9wiFjU^ILf#xtH z-U{E$q@Rf`sfjA%_O#oO{j^VOeeNyWu~XEGIM7AW#SHWp^-!# z4)8Ug_yh@FL_HTkwcw_K5XVBnCWrz+QWp_)gU}xOgFu4}0yu`cpiqVaX74<~{Va~{ zU)nvBn1=pt7?@fkP=$ZZjggU2?9bNf@@G5AwUWRkJSg`4d6Zup%cuU z9MCL+@6y-}Pz~@Z5f$rcT?QP|o@8OOfy%*$;>Q6%h@cNGE>L><`jDL#1c4sFfq>}! zT(f`nivQMZFc;!3z*&O=5TI;8zk?qNBnW^{U=i2{9&Km{Ek{rwQv({*(7yt&s}D^D zu=+q?0+pIMZH}-@FA;#C$3R?^WM=WI z=DpMZ97+u6eoWH;RhIIfT;zXeESD}zNo3Z~JoT9@C1@x9Zk94ZRpUehp5Eo_gI5g( zHw|U3T)kV8D4T6*7E;8be3OSlE!u)DxJ%aNd~e-=JO`mP1N)I>T{*o&f9KvU&baLC zZSUe)bWgPF4m5S$pe>zuNbH#h`7F-&;$XY~6u1&rg*-zyv12zm&!6<*ZSZm(ykNTOXY$+Cu3%H2ePjFd&5oLxgf zy=SK{*)3HvOBI&egVE1RbK(M$k6$yWs4_@Vv|kl`lh&DmTw~+R;1Bh<`b4qm9 zJ%a6Z?8O(MK@M+Z*e*#_)PC26c z(8)fk^-oldcNLBtuSyeMoqDiLjPlgxL6qKE6UH?aIphyJjX(D{JsWR~tSUOuVup`x z%;?XFGpKx~{WktJPaA3TzKx9M?>{-)gPR~JXS#9fICpT<J-^;JKY5S5xChexL`|B8tI8`2z?g%i!ZFCIke zWSmt@TBm-SAzJ$&8R_M$;FyD4U1=%lE;=|9&yuxiRJ#~oY+ZICs+OuLdtXYBQl3^h zSCSe(>eh__?&YD0uakm=+&_@L%&-aFOunqVZA%%IH^~sGOFij<0#z3g;VWY7WM^dD z#Ve>3j4SOZ&)z%xiAuesrK+Ae?^QZRws(_#;QkDCORE$2Lyy>-ke8yp%koZDsVN6( z90=O<3guG8rL9WwWK!LCdA}%g{=O}~57&BEykICAY+^ZfLFDl6Ev}EaSZ~oM4{a6R z6lkZ8+UK@{Lb`a==dsMdNB6JmA17`Q{1D}sy7}H0#UpEPG6(LNsyoCiN6qG3YG_24 zA=mvjS6w+?;F(!+ljWCGf*pg+sHUe!oO_jfE~87U5Y78e5{XUnwO?qw?z|$YIk$}D z{Y`1+uoz$d7(?r9aXWg3P^prJwry;DDszZZ_C`O zHOQi3cJY&`K%>Xe&t8eDy8aFLj)Z4^@mKb5w5%qLpv|=DDqvdk+IOYr_vFU)8xE|K z9$s62WmJk%c4~{gs4wnjX2!0pk{uZgs{T9$g)DX|B%elEw5)0h`K$AaXpGGIEiV-Wy2sg0(oG8gzZB$KL_x`o|UGofUd)`eiS7}kp zzB~0W`_wbddj$P={R1XC3IY9RhVHA-8I|jZ4S!FjiI$v5?CvmWX1|nacv`rbld>TF z@MSgmgM;;~g=Vm)c=^5F$%5cx7I=qThU5JgdUjI0D_}M> z=!&g6Ehzqqk@k+!ax(o?(^%iP@4D1RH-CQpA%=k^B<00*%piY*l2*4_!byQ7vxoU@ zUmtDN+f!V!KTCztGZf3lM{!$VXnO=}MH>lr#qRU5+z*N+zM*Z!zU4lnKPnS8xsO!- z_3Kwtxo4&lzbwDMH+8G~CjLIn?x~zgsg!5ocN{AY2qfOz8)gt|-TRT zYYPcn-a<-*^n+1S-MK2x(PRhCJBv4eA2u=LO0^Tk%RO+#P+MKZQ`;*ZRm+V#6f+)` z&{)tUea14vy&=IN^Nc+{ihi|rVYbh@4O@;&Of7$x^x^n?$=7*EqQC0m03Z~+fnN~A z(=sxPBZjflIx*zCrEN~wS^4 zNQv1E$l)OkDshl|Tm)2V^v60CAhi0c=k!BoXSzq)LgXjNaQ)(R{X7)GZGnn7$bvv{ z1u^bCp4S|w?dS23=E6e{UucUwXQ<#Tv>efA`(-2+hq!z5L>w5tIgp!Qhk^`_AOzO) z*t_8Ug1y-P8j4_37MLnThXk@r5Lkoo!MsM#$u9hC^pD|aNY3zsT*@57fqD2FS4V?i z4lM=d84kR=1&oLL26rArbmxorXLX#M??E9MM|fT5v3X8pIL|F3JzNDqczvGXbHc;< zhC`YY!NSfne6d^R1N0mUUVu46v9nrH&Uee8PYOL@<}n)kGjo3lD+@M0oTJL*J`r+Vvt`WUKBueQsDQ1&Gk zt{_jn5T%;Bt1wtdSn>8_7f<$W2QMq`D4=1D9nGYEck*TK(C6MS=l0zD;w7-lQ()lo zr0}}Jui`!z=^Wg)I!TXJ+!7b?e*XINsK?$rqpwA!PZ&y<7%2)|b-Y4T5yrDwROfj9 zg{@&j92Xl(UwP}2C6^Rrzzt_n9v`VDd$hYgJFU*^Rr%Ed;=s1Syw)>{X4sKptH^Yiv9 zUQ^;acuX%(DQh%G*|4EGWcT(@cQmx(y2I^kGWbu0I3)35l7u6a4If@C-;}aL`&yV& zLp|&8z7+DXVje#Uk;Fo2lo!Lg=AA>7eMgQzX?^MI?ohU2FiynVEL2f5XbWQ}zZ|wh ziPorHas7ki&TQ@__iU`3{iAPP*cMxRkw53{KBtJCM#t$(n_sXX(zic!yL+^dJw4CG|v=k%USxIDRFCd_}9`(EbV z1DMH3<>m^`exA3wkILGH%k4y(MVz)bkNBNFGt%cEWWmEsW>VcrJsOnWNh@-a8dKX| zC3J=_>TCdxJk;e`iDcxqIFBe>%;5m>Ai{Wpuxf4H`QfK5_z`BiPl^^wnsFblSRQD8 z&q~_US(B%KA!y`b_$tZ_CZF~;G2<_NTiKUu_Cz?F8>@3t%87^!ZW^PM(4nNvl-9X( zljSqdL}mWqaI?XIHEzCP>8bBR2e0K_Nk2C>=ERxHsh6NcX`4EPD#=xfZ!{sWIIY=~ zFcNzBJ8SRG^~&vP*LhN(TkZ3(vLiYCEZ)PcB!|`Gz>}N1%Wf&Z>2s&!KSH{W)%~+dfD{t?p1L0-qq#!v3&4$!YtjRTt%<(*i zD?3aw%4PZ~Hd*f}^#8Uu?wor28Ob9uy@8oMuWm&dm#dn}8fRsjI5lh-btnIdm%FM} zM<_I}sOxchLT0>6BP>n=$5_k%MmK>k15Zd2R_(pmT{a%8@-8D@Cgbk;&to;5pSRq& zP)TCW5rzM-%k_X)FH%+=70z4vi|%lkoHeBVxv<#?BrM_za8#Z;vB zVuw-r!SQ!%j$b(GYB402Af8`gmh@HNVB-5dSrT>?&0TsrJSM3oh3mdpOSpMO_V;i@ zk3XqX=US{^@V>VlJ$B+N+np5JH&?5B9dfaJ!HrslJ!$*=49@O9zwb~6qm#*(0p14< zePJ6J<-1+uInT;ryp<{%H=4x6b9tq&s~XX{!q{~G<9((mT&8v4e4V>5Mlg2L0t zgPoMijk!a4Q}PKd8y~+$w8Gij?fYdv146MC+sYk z_vJrwb6Iu&`mUkzi9wbNhqsFxSbf55ENpGmN7Z^6M^Zfd&XOmuzMm<&)muKxoDush z?ep?mJIVLCZ+=YSH%tmp4;YI%KI~sB63ml-rt!M! z-R4{R*Ew5KB$XI8jCfp6a**jz&8j);TbCvtf^S!R6k-~;GV7B>OLvaYr&PubmE$@r zdWzbnpP1z-t$p9GTR$F_Y5C&hMv-kgM!N@|t>SOK-;mFUS%zuC z@*d5J)~YgIS(w`ppA_sKr4^vbVlFE-OtPlIqAtm0oRnjC$rx?omO3rbb27m-stiS~ z^kXJOQtWX@J zs5+_j`jP!3ljlQ+G;)e1ietj012Z`*%?L5ao5M`T%b&Vjsw32OQ-*cByuZ6<73z#d zGkP?6?48xosJCA?mgR0tcjh*)ejJuc+VCi$$9nSY<*BqiiXNQFH&mow(GTxk_vVDv zsy6>^BBJ@SUnPC%Dc#mFN?n^Y-sDAngSWz0Gfpo4hUeW?4hsDNX;a|A^Kgi1aX{w+z}DQMIHE-H%u1OvZOBldSs=Y-e!VIpRky$`BO{@$2xw`|8HvTf z4;LPasDdKY*k3OS+xcQw{m-G$_;Zn~B5nQ9fCrf8s*4$n2J8#}eD?~SqyD|>|4|q` zE5Q9(=w-G5478C#;$UO~0m&f+?=-X~Le?$uUkHqGIzPB{F*0seC37Z5hS%VCW8~a_ zi2|=zng**}acY!vlx5H|&|={9U+HH4`f_^m)$Yoh6JwhL0?Y-|kM1oNNsTssaRFoW z!smS}8(UhMTE@k6F4Nz)zNx^tnnmU?EiHTKgOv{+D0634teT>jjG#LH zIEbB<%!JJtr9~!mo6~^Z{}}s4Ta@ql@YeOYjJ|RCPyH0s!k#?X?QJ0O>67OK^@!!2 zEqlgK#I}%HcU;6AMk)Geyywpl@Y0>yf0nk2>-4ER%5M2=Z4yuSP>Q&jg@5rf-t2yj zb=#Buds&_B?N{ICFtai1>e|W{DxJ(yJI2)M{L-wg%{E#o?u>GlxKCML&Bxr& zy1jbiI+s4QzN1&@ZoaNuJdqq^Qs2GYae) zxg))fL!eMrJZJT1Yjwdwtq=7oJ|AsdBM+xM-k}gq<;1(WW!pv(`cW^hRzD7WQd88# z)q;x-?+vvilmd*5v4#N}lzDq-YMCS2nQ3RMT6Z*RQ3Rl6f!^!M#~I%ihF<>#kcFIlRr=;@@v8_d5m`wCKd%68lskW-jQKKSvA zc?Q=(QM=Zz65b8A_>7xrs_6qKGhgtFJ-qssUBL2#%>yHrn87`O4Ue(hQ1iU>$zs2ar?vy58tRUgw!@*e?!1YeWo;`xO3Oajrp1;CiAZ=!duCDd({y?kQ5QTf z)wl7MN{R2p%mhF6!z*n}BJ!-h5{+tiW)yLhuYNs#9nuenmosA>Rq~5xB zs@gZ2ZYt7`C#$Rwp;d^s@V?l-`NCeIu?)s^x2J}2CN6efDQlZWb(2hu=-QW&mee)g zSLZ0u6I{1Hzau?z!@!}QR;8L}w_|Q8Sghy$qF1w8a#EqgyZiNP!fQ@9eBY>Y!-0A? z7XkV93npJuT({1uwIlSzo?#5=3vqJ?GF??1TE@W& zO~-h9sN7Lhg<=i+OLSO)6B$<4MIXKQdAB8dpPF!wfn6%HEKQ^icd{kkeDbl}!3%Ry z{9Py8yHE1h4c6bXues)_qncG###PqQVa!CnhGxfC z1?#;=*E{w7*7%T(Q%VUw@3NGt$2uyD3%)INvFt6p+g|Ff*B&Qw$zMHms5NctDP`dT znJ3${-fX8TbG~o8MerE=Ib1cjTX=lJV?_?L5w{GvyH8ydw$)cY=DFmQrbgO8hPF&t ztrNpDMn^f}R?HQ4CbEG)K<;f^3n$lAwrHam@yV;?7e9pKbxV)k>D^vh$$`npruUHN zi!wiw_co4_G-i*M$l;8=8$CG8KiMbG2}x{@r~#gIMIS$du$W!GdksN z$y3tqtKJHBT^2LtnA)6&JdnH|HQ`;YoBIf&d)XzfMO4@;Yx-lHN@-8Wse78R$|_lk#<3qEc#`v&``!+`(?zhiduW*j*3FAkc z^Mt9mSs0%A!_?_>YIc|kax=)$xCCJ;f=NaW*;ygz^oKK!*Wbk!@G3w|0Tm|b?+eNS z;CMkgA9CP$(4Gcx?n1#FG~fP1a3}%=pY6O&&+u=6LqSwv!J%*mU^%EC%;SO0sdUZ0 zDtMd3mUi>in`S|W5f}H@p$H(KAH)vk`h9aMU2}#)w2$Zv%o&RCLKd1Ps53zXh3F;C z84AL_iwy;i>pYc2aI6=8HlUb^w7~%C$~+hSwXF8*t%CLvLR*+;=+7m!C3JCTS8;x) z?(>U>i*Q9iFa!yXmay;x4Ud0%JTp!$9$s?d|HyYsnV6p<*no#^u6v3gLC`;8Kp=;* z)5%jd1YHaA({G=vYCz951XtE#p&NTQz~8+(!+dv`9e;Lx;ohq2sex>&cAbn5)%=wf|1M@jhUyRxV6KK3?mETNE9)jz`4YTZ%f!~P^I zB+=-BXyVoZEx~cKksha!vHcao;{I(Bj)O5JWRmP{#}Db#>$g8=co#HvC67|PEXg&v z+BdOrOe<&w*?9AF>R#vTuHB>h5?N~3O5${*?9|*T4wi4dO)h(Y*>CWym1tZ*+DfY7 zvyJ0|#uE4Og-=7yty))<9HV2gtv!+59px_g>e5zv$-}pAw7R-$T1yLE=40)o6m9 z$j8lTua(lXYLo)9?RN!hZS$6l>0xSO2v}>y*rfd|>O>3v=x6IZlJ$aDN=8?%JFC;S zW`C9W#=Rfevr4yEzuf1v#p)%mHbaALpU|sg4Ydq%A0rbq?X=QArePg|J`8ttvELhb zfxq6|<9j$|H{;P<#ueg~Xszsu_D0vj!SQaruiL{r)iTIoJN z(bcm0>XjE?$Sheex3O|Cp1*$WcB$e+8SV)SWh`y3W#cBs-mzo+AyzsXuFvT7CzSdo z$Il5;iNClYjH4zSakVsObo;9BC;v%A*TY$Yb>{_zGMiO?68(&{uMQm!_0g+vp7#@H2Hh9%)VKKjX?{n@!TA0uTWvoH8+r`%20-}p8Z zqn5T?ZT$YJlmzlzv6d*AZ(9z1y{WLd=N8Q;-QgFyY8Vo-k*7^7>derFEEd-ERktDpH%tI^SJ4a z@v6;l-9Mfc@@7ksHA`Q+Y2c}9PbACGB{Ih%|8=iY6LLa$vw3d&zJGkMJ6rQ^GX>`v z_Xcu7nXKJczqH2P^WWJU$nPH~pSbJ#@^Z-%cGk(z^4#wd`yIbIP<(cy@J@C(JIL9z zUxsAO!Mn<@F4?ZENf z`?PZOdZ9>GqsTM`S8A3<9g?D1TmK|?Bd6{A!UoM9HO?(TIm_QMic;oK4EfwRpM8MJ zilMOA-^x7?rQb4X-pqYS;R4tBHGP*KwTNJz@$bX?aCj7OrC*6|%cZlH=~tGb3`<2;w2!x?JWN3|RlY{7qAtGL&d+ZPkE$KrVAI4k*>?uN}Z&SDh% zk32tqdz>{%Jbu?*zw|Ah*4HgXS88gfPQB46i?VOQ)0PZ-kc}OTkMv)*eJ^dGXVcb# z4;>hK&TSu$t-o&fTKE2zPp_#?V2W1jNx9j%&?b8Fg--~P_GCLqgm!EspT{5pLBHh$~$@2Uw=-|+~M7GvpGlyufI zucNslrxvCd#Xj}YTbp!nl9|bk z^yZxZyAI!m)C9KPZT+^(W6vKL65f^3mr(8FPRE69pOi95u|#z~Fs(8;^(|Z9Lpm?8 zcgyLgsporCH%Hi6-4Gpi>km!Wb~}|cc4H_acT2HTi42`}Ve}5Eopvu-j&48=CZo4# z%P^R^I*HgTXIA%c^LQ8G(=N6$?GWMKk$-h0x23+}vFM5Tvoc%CPOnW58E`SPaGZS2 z!#kvyy$zhZCnGnJr((#2wZr9~mR2mOnTahMUjNodqNM z4?mZ9bp7JzPK!P+g`YbkI*FSRodidec=iIJ7XfAgHy5fUGoK*-5%LK!b^5D!O#D+z z;~oE~bO70wm;&X)LjoJJh|tLcT+K!3jQWBg;3Wt`UIKc$e7b<%0@5z1i4hEO`k;fR zJEy7l^qnt}-DvPEp+JD--9h9CjRlQ%aETB;2e8%_bn1Mkac6oseFBK1 z3xf8ffj&Z=)d&4`0$LA>bI?7SZ%#L{>9x5ucLu&8P*6ec4IUcMBO&h&t&EwZcY=l>5Xb> zru)a91g|nbq$g&!BtC#l@-5KOoLCE7?9HCLO6CN1#FOH8;&meNZRU=Nk@Kvf5U*q5 z4(5%VXKDM*I~3HVfZjYeoW#sh7n*MaF;Or{W;*B9SZ*!?D79m`1^B?;Yauj$_&%dFYDsruff zTV+~v&S+ctSwu)24l1*~OJADAu1B}FrkJ-ydE%>@!27oW+L`T)&tLRX-7?2-Q{^Xl z!bHPzuGwuLk76Qi#6uk{hdMcWWpINIww{Y|;M|siI&Q|M9V@-uF;e0qBySJs2DwRW zXWzG~p1h+~;+<3ymQkc#PNO=HrH&xMIQfyqDdQQ-kqPOqk9MRLkK9fwPBro+*asMG z+m(uXBFV?5_a@argZGvy8(-WLE0x6Wn=)Lp?|u22SQQ(3j5|uCx1re8S@OJsxxz>$ zcQWH-1H*Nek>F*rBT|n73yj?=lMC~vc13?dC3kBk((wvMy&H_}qW9ouIrH(*Hd2SP z(!Ejl)weajy?1}l`VWoEcvdk8RchCT9_4v85n|dUbFJ&-!K7ei#*)BoJQ1}lBD?R& zsjc@m%gNDBE){b+85G12Sh*~0yoj3@FBDP- za^xnD6?(KWAJch4=d<9cPC;yNNQ>CceQzDvnzds^99|ZsYxc+2=+^glcVvF_rVs3h zRixSwY3Z8R+v8RF(D+)@6@%~38MI^Rv@0(8Jvkpw;QNrf=R^3rEPk~I1q>;kDXH2A zRKDNa)#yML0J0q6wcD*mq?Dx_WrmIlb1 z4I&voy{fyX_lIdWbWwf)ZjR0*j@bNSW*c3A*gkFlkF8}1H;Dgb8~B-bxnxji5JC89 z@0`hq;UKX1{fyX=_fqsOFCTo;*ldESnD~;(tx=}jQ0aeQR}v>WiNuUDvO55?;pX zj1ijLs;88xCK)3RuiPQJilC}xGmcCcBSRe>N+ol8ihR$&j5>f zQQc4}&*@pbL4W59ZvF$6sb$?v!Z<}48Ajp8XO@;NSpIH{EL|EE$*&sujEuV?k5Ii~ zyX|v3$VA0F;tQ$iJ$0TK$S6woyG!FDJRPBiqleRHDG+G|o3@gjDuy~CYmKEqPy zuO3+zd-8VOyVSKKsQ|DKBKdh`BN?6N8Vg735YF~j`Pc4s7<)zIU8T~>k-~9&@O*8jv z@4S7FiH0Lpiawz8iA)RGIF5(Lt-kPNTjRC-)${?!bYfESmA#v#I2!QlZ*GeA z&5)-)?T=RQTLaD9-<*qi8VX!&Hg@t`Z251&}V<;hUN&`Gje z@@!*rG`qZV*K}xg9my97zYsDyAdoPT|MvA*BH8JOK~25+CKd0lGAG_NdD^D+&2N)` zOcC36``craSlgj9lgnwyzu$@LTn|P6Eft&D@&~K+uI&&rzsw;J=U9{ZtQ2I#!{nDVmm6lb-4X;TK6l*Ov7;_|!D4@jvf-DUO#UU^A(KJcSv%HKyFG zQ*AKIcqgiX*w(sEud@zA~+B9dWiuJ9`^XP&(tRag#cQ=aoHX>IQ>}$iZve z_eI>@I$2Jfq_NCG^?iEIn>PNaUeZ@#6Zbxvj@Y${*t0zyzUK;*GFSyUS_5Ay)kbW; zcdFMX^~mzj%8&y3qh=0%zJcT@csouFF$EvGMEW(p6OvnszymsW6mkbu~WN z=jNJl?h%tB^GlKEO3?S zEiVwDraYExS|&-lhV0pqjzQ_iOmE|=2jniH?j|c}XiVCKTw;K-fmsnfHzjExR%5Hom)%E!FtOpKJ$ct z=)C23vUq4Sjw$c;t#b4WPm2z0NH$HTAAWT+&4-jO{Hb=?>JXW{Pfcr&kFRzmztrQG zzS&&NR@m(^>!R_ z*I0Uo{Qs{J`z+1v8TT9yZ@_Q5=Lu^6$Tb5B)5HFNpWLH{Sa06siwvBvHn{2SyeO|> zXDfTf#o3d7n|ETbs^W2W^)@SylO7nO(3GO#^`BY3tQlbu>dn3JJ#=-&6>9z76*7+J z&RjYw;r=POr?kHPn!^A-aB6#}cWHg|fz$3c#a4Io^b7Ou3^;W964!0379RG2@}Yp^ z6&=S~KHfp?ZoAL)xIAEELDi0lRqvcNoXm%&{y*;CJ09!ye*=~oMMg4`twibCgOW|k zEHYBADMH9Bkx_&)LzI!35t$j8p%g_~AtIEl6cX~BmvY~g?&|*DUccvgJ%1&9T<3Xy z&hb8v_whcCd@2rK9jyR6&)~;*^gj=5o)&gqY^wI9E1P-x$mk69{(JkV`mZ?ABYa-1~SUPM;HFR20aq zcdNCAaHQz=yHQkQ$SC33`Sjt!+YbY(#OwQZtGXL)7gY(HE^L-p-T$z^c~?S$pk)~E z`OBU`%oPJnUCL?$$-ARLX~NMA)I*+{r{jeJZd+^sh91W8HUeid)_-K5_680z4u|qHPiL{;#Is~|scrXMGw}pl}e=0@Z+%$2Je@!*rOQSvg)VOxq zTY2s^k$O*kiB|B7wrI!q)1QwS3VS<@+vyYFhKH5ZbYE_$ilVdq-TU^TtR zu1|}eUn5-b4tv3;exRbrB!4p6q~%ga(d8}LkQ7Y_5gk{h?!-s*RloD`lb zXm9t;FYiZ`8rPsSakla4x7|u(&%Havw3)?ns;JpRfc#SWg2VfSd}ByzcV+6l@P`7S zt7R&0HYo{J)-WcWiEJIa*LVDEOLKRpIu~D%zBfxFzfnx^(T+Oi43^l+oGz~3g00E^ zu-pez<|;yC`auzawR{W`a+>X%^nAQ7ufthXrpARbjcCjHL3M+uAG!Huj4&0S6JBF( z7Ww>c>bhQ;Q~5D%NsgOJSQdw`b6rtR2Jd6>ZrSF%Z|^PUo<5w*14mib-Z#TV^|XzT$E2I_r|5*p zwr>w~9Bb$sovPAQvKK1J z`OmpSFWLD7AoY5TaH5%-vElnUe-mdmf$B zlp5tWcEc!;g27{Q4L^<}rk^?O<)I*ZgjNV1s z=G3mYI?JjJm|=07(+lXhNa0A@2SpmA9U;@GCpBvmu{>QH%x$~IE#gZAglX?k^_1-5 zOqdh8tao^CUI&0GjyWkP#5`f#*K764TT_i~w(q)xVx<4VrW+4jeCz~1#9X@@6g(@n zxl^xrGFxfQhaOY_R7u~|Eq1KTc^#qNrzNya~$TYM_2&C?FBgr_x&v4wgmSB9op zrUtwBw)Bp+kdSvSJ6JsnZH_8*WqQgkp7O#a|G`LQI(?grz({msT;f~$7ZTePMk4%s zj^Ddo^s~zZ;@gbJmzeR#!bIfKnfSwHV#yKX4{%1HzY9VS@QdH6s(gzx0%-1s*kq|q ze-!+!;7ES=C?)3t*opvqToJ(mFO^?@DkW|NY(>!X6_0}C_-`Hs#daV!^^?R25~P2A z)mI=S0xHOU8gQaY= zFEH)uL9^fKLNCXDSRkzOFJnL8(5yp`zu*)MV!xfwZz!YR;nyW9$G6)H-wQ7-&Wi>W zIDTO}#Bw+uy6iiCvAXEmOB)skRLQ)$XJ;QRL*W{h7qRoFgdwU-wU{Sr^qvfCDZXpj z9<^;V6KLJx9DRM>#ZFcRdxq=cIo8DUag~-lHv58!!oJ77g)_W5gzvEIed7NhjET?f zvVZd{>v@#cR$8BOK+BmXOy6z^WaD%^zIeA#!v1hg7(wrCr0%7SVw!bH+tYVD>|c!1 zW~)uF-*}g_80le_IPGT;n;4>|lCRaa*CzYikbwO5rxG7c9vd-$5Ye2rU(be)-)VrY zNjeCop!@Lbi3-c}!UKm>3CV}rgzyVG9s%6=M=qz_^=e6a^QCEnrw(G!rw2JSf>|vS zKfB3YyfBlI((~3tKfT4v-yGL`e)AQl+PxCFmjuKL%}W)u8aA@aB@Wxb=j1#PZB4dv zw&!VeRM#YN_tA#u?0>$^BvG)=y>Y98_k-s|y&{I&Iv3W9v?5=n(Y=OBS(oN*-l?fi zwjH*$4VfdGxunGWN+j`(P@hAhvq@lLkVE2#G`D()jl8hnIa*;mm>74b zb`1$u$YygsK*5)>(34kTolvdjEgQiIYw|HVABn2t@TY?wlBXibgudn-g7TIRyv|i0 z+j73=c17sTC&Knv5!;X1&=(g{Ptb_GE2IEyvg$P%b zcs*YZ>F+a2t4&w+x8qhRy~?x8^3x7Gai$c-mr*%tG@Euuva__>Y`gMg_OWt^mM>F7 z>46-3A1SdX`kZU;JW!lo7kP0OCG7c;PQHx5vi@pFL*83a6S14K&^*Cwhm{K8S`3H1 z5_POxvrHQ2ZB!qA4)v2@nmeRuZ+c-`epXt@Mtb1gbGeX_z45fK!gn5hJi4ZxB(pB| zTxeu-KZ|(9#&rgMI*GL7uR5Me9G+q950g!^EpV+E9NTUsbMexH(1z;|qK5}_%G^Tb zb>}YN1+uBRBjuw}_kCdy-HS4YX(x$xEmM7^91H@#Y z>BH9T3-P&r+w!bOlwLy(xjTaw)CWHoA~_!^mB>p!Ym`;TDEQ?jX!ofLiOt%ILzRlw zW3Gwuy^0#v_j;y(NB&x^xj^mBl(J5b2$yS{9u6Khc3gKxu4TM+p9}p44c&yp{pONm z*6l2)5{Bq2;kp6mGs9DK`GtG3dT8YH9#*l*iy))OHon~9bxrG8lv&dc$MalU15aRR z4zT^i5Z!v~Ggor}X4kR%aF&AHz>OkmH~XFG3(wNXUN&o&V>4hZJ}D~)Z%psQZ8(&$ zp8G=DiAv=wLtCfhy4snJagM3#e&Cn2cb}a#A7tooJ!-|?4=sClYN$KE6Ye%@!D*9r z)>mNITEI4_plu@o>vzS>n@>xZTl>hPIZ-iQi@eOvkg}i%@%os_kn;D5h@o^#>ovt% z&7BXz*v8B+CN{m#qcyG)ib)cyIC?*vdr$TLb1zR~AMt6%H(#APQ6+dHq$Ws6I}(b0 zc6qYry@g@uOL@g}_uCzhO;+L4u;%oy+@?T*FZ_;jS=%)Jt&fF_dz>zyHQ zPxBbRJ?USGfr%!%)d%u(aHv~Guc4)56D#0a>$pu7mNBv*zwtb2FmY~R-*Iu4jG+vk zX6lx(*Qr+{^xsAox1F2HO)_2_j7J<5OSO}Do3mj9s^}zdZd$4qgY%oY+G5v=u)6D8 zAGJG1t({bTW8*l~v-|3f_==AXr*ds2h#zIPkC(#U9)z^CtMrg*hRQk?CI>?^wV(0q zG~iBgY+;yrB0Zq8Z}_O$Y?XtdF=M;WThU9=S3hSb+>Hr1zqPSa%6y%mC4KwVhvee) zq8+7PN7kY@hi*!7dpX^bqizyv=>N*0zfXqK+amyfkIs@mF>dTt0mJF}iOGe1HYU3q z-06&>XpS4z(ai;Yd{y*uR;+A1Uw(p>*@h^&_JP^{nRrX?VSe@|Tg%AjRx=XBNV{Is z5iz23K;ujOvXoP;2(?{;HILbE(O8Z2Q|IsrsQPU`Pn4dHqCOt$U3z1^o#B1WqCzh1 zhut3on(xxY-x}K#Kd4!SEa*s}cVdgMU2M7Z2AjSXn&|$Mveb>0Wc>@X2*u^@)X3E~cGbdFDpl@uZTD0ZB!dRK>I6^1R~_ zZa^$ie%HGLQu2ZF@!{h)gPwTH9n?T%Bu$z2zS@E(0oFN~9U1beJM zrfL;!<#kqIlBjSS`d;*C5f6H>(Sd#6AeXV&3`g5YOK5sS?Izg3w0r=eHwbp2CnVPWmrft&gRC7jNAl8;$f>~}rRK%^vO znP*^cQh7|MD`cu9?Ui6P&}tqubEV@29*r zAK@+08cED6y1l@c!kL)B9CwOcS_OTli@uj}%{i+r7pMD~*e0RWi!@H|Hhe#eikG}p zz6XF;M8!+d!VgjL7c-XMXWxGw6@Lq{e=RQtI{B24@keBR6^%{+1#hWlouWZUssC8k z1-I}F~BgyV##<690CWH1XwH#PQU;|2^bzh!oq-8GzyQzp!1VP-o=I_xa)wq^$Dh33McJ| zBs*gYO95iCOKsP_U5dsOm=gk;tV<%;3mOC22nf&s0JaZHUJF1yf+86Q>>Vkk_W$=C zTY(ub&9Xnb=d3`gzk8H&&-o{A*@{AbN-}~np}w#pzqtG+mhkZ( z9tAc$z}x31*A*b4@S8`0GmaDrte_RQE9AFcIIDQ)`1Y3Z{r%(r-8%^9E zZWFcTthDr1{=06HE^=#|ulZEkvq9K|xJPu2GHz<( z)i!CRYftyk1wH4Gs~&&G)r*i2aZ1?xNKfVbneBZf!>nsWe+dnNTF<~I$pJ@9H(s!~ z6TpAqg3*VAdznLN=Yl6^*-gEXUR%}&aAeTt3Nna1|LFYAdUM>MG~>h}U-rI!M${Px zUCs?9%(--qsrUC(IErD9k_TpLjmM;8LZ7idPTS28wnlHx=*qsRdG&Dg80YcszSDeF zfyx3SipU8Zg9ZKGEwnNzzLoDurrWqbv{3DC^VKe9oHw+eTi@kV-{p1YaF998kzf^i zY2P5%L+(*^{Xv0ap@yYn0}kskGM{r%AxUQjZEx3yE8i`DRNCFPfz)NjrHK46N}c)! zr!SAt4VihLvXMAYUcG}>F^u|fT0@FPI;Jrz6ox*oL<-rtZLqH*d@WuMDYPECm9OQP zp^j|zIr{WAg1kxm^*DVspHmjEZl_}&=ejzw%apN|*X!G6A?li*O-(UE2Z#bhUow*}#x(%{H znXf^s*^^@+`YS|#+D z{-ZlZ&+XS}O90J%eIb8)vib%J)`6TJsHi7#2C4hU)adY zKdO06Mg-!*q22q=x|(yF5+hZxhcv4tF|($KncKyl%j@dKyAD#@*qh9pS(-`+TfLm+ z@^@!6(rpZYMoCc}Y`&e}Fx)y#cgD`dpSZq}DrNdk!Gr8kA${AJj5sO3W@#T~4LkqA zQ}-Q9)?I(8d-^rEg>QjRyogW%+gVdCVb&T^QzqAdr*r%~mC296e@lEx~Wteo^X+E1EHeMs#zx0DP_RexBx{dnHfX8NAIlNNIPA$LV&pPWkkq}VEb z_ZZHya?9l;-raHgg1BrA2l5}kh#rKfr{5`m6sImE&vK&u<~iZm;U1_ajf}_XSQfsN z(QOs2FCK81)?s!An%Uydob0^xm?5y0{^46i`e!5AYs3e%!!o}RY<}~=y$`RK6y{`V*TqDuiiR#W42IIB-$(e`QaFDQx6w4 zag}Y;;THCfb70etQ)4f1Rg%%|)XrzN-D8JI%es$mdjDuTSP)7ync05QLf_!nxCC6O`Cm=S^xO{`-2~~=giM26(*kwC#H7M;P*U- zP0;9_xUyX}EVPnFwknRaalyk_z6>7-2v|v3Eex#uq;m90{`}0F=R|KF$ULXUL4GV7 zTaxO?(Of5bgT1kiDQ|)7=XVV~v4@MUD$AQ6F{^p_RN6&4_gsy#B^4?< zT%&%0d#B`ax&}IFF`@E1H8uTo8UC8_DdDA4%vA%@)Qi-gL~T$%3)H{MiT?w9y>!I> z5U4LD@c(D{`ny2=3n>-^>PtX3AjN#OzyV(@|LBV+B;`lT#F-`90);`d6lARk@4tm? zzx3*Vje)UfAUy`O>i{1C1)_T>h`ZoG34eCL#ptc)N z25NbfZzEwVm^I%O-T$|qfr=DR*#H7qp!iJ@ar>)l!1N2$6aAmZ_umzO{I{+FQWG5D z`{1@D1!C;KdjeEpcYPm!JsRA*}lx< z<%__7>lvs<0o7}uCU5D^`PVB0Hhw@%?7zOUf8VihX)*t;V_(-9kgStJfK6$+XK+wt z1;zg7TlBwPXWv!m{I{MhuQLh-4@MC}`?`g|f%ZG(7xI^XI2->pK=2*o>%VpF>pFu2 zr9+^7@psPvW$agOl7HBvi49UMc22=(?UF`q;I$M$UTspUx zL5N@Q`zYJr-}mDs5aPQ>!S)ZdV?iq}%;DKlMp`stw-oBD{$Vyi#AV|ZIn(oQir}3w!pX>f z=;>5bGtPA~oGZ&M=!?Q(_7R5NH^lK@6b`eG(8X45_%PZR!o>HP_ppOe@w*b3F)N=N z%DwkR&%;=j>GEULwD<;&6W2@=xzV+I7cO~mb}eqN@HOXjy`5X&QNac@4xKr=sPYPS z1FR!>tJ=lmY7CF`)g-bi%dY8;Mu>Qe>Gz9n_%BP1zq@bVZf?!{GaZeYevW zS7>-+W?W6?f`-LNAuSw_ZM3r-{R(jJ7eQTy=v_W>CX~&dOY_i%~)Ps4Q33KPh;=#Ke zQ*OB~cKjNCqt>CVZ*;hC^+{XPy+Wm@W!%?NiRbk%+|PAi{Q$X1%-&mchY!}9$0t~@ zY9mz5a7&qB=!>$B!&)hf(e!b#IVjI`7*c)_D>>b=UnL3=Y~@OR?Ejqkb5-P^;Z)Eq zdV|f(#nsWlo5C9UHPl5hu{F_nRQ1|B?2$8`cLo%EA)U!JW&FJ-*@KRLmX-@&x3x-B zSL-xIHx zhmcVnQ8w>oUe>kko!e=9j6A!bbA|pQ$+zKlRx^nskuLT?%9U;X`h^Z+g?D)mjcQ=& z>4d`$J}L?ZY(?L`8nMduFyeY2>cF}+1*pN~VBh@P7NYS@_cFuRL8wbK zlU}EKXZoj;tyMxhGtZx$Gi7_xdKM$abLW}pflw#J(9p}EROq|jp81V}EN3@w+H)?- zphTmuVNgnwf3u}(t7)A)H^hb6ZQ8SMqG|JPVST|{8=h`JOqZfASu*(6m5!CEKXgj= z9m(aBcM*x5V(iOwY@HDEu}oH?y6x#}0lT$bn`aXaU-f{jcfrLVqr1D^g!fpqt$xw= z69&W6wPll9WyC43Gx}(wQ|+c>DCNoHhl`8ee;TGi)I}VboG9GA&zS5S$P*}OBCmo| z;;9*EqBV}=F@MWzqhPjSzaRVacP7DEeAM+-dQ2v!xJ^x=Me=tIsl-xQ_GWZz%rHT^ zF)uD1F$r$FGG}h2Ap_r8Xvp8@<~9i z@To;-PJBS&lislEog$%C{L0K*_j{-%YK~vm&W$!PQz+OLq=(#b`!?^VVok<$&Q>A+ zxQoY`u6*v_<|pKj!!}qr-PYwM+<&qq{b6$SH76Fajm=Gb<(23=CchD?w0Q~7sf|5S*t0r8OY=ehqk?mizI$jI+Vw}A=Z710;yA)?&y`w zoJTz;a(dr5^UP6qpIc+TwY~J*n$ZK3hK#rjKiN-}Lc7^LwjYJ)?|SIvAs9*gti+nv zu&9IFJ@)7Yxe=}ZroM+~ebAlL^`SmF_csg)X_&ln%bao1jm4x}2ot1tZH4tR2IKXK z+L-6C5ZOEkjsibt%~BC8*H*hI?H zd()iPKX?L*n5%KWX{F_W`SCzzLT@ zC+7u&R5>z+>0#T{d#Ey^gm71L+i$_yVzfh?fZ>F#E4AJR1w(!aIGy1Y-H0{{lT$R~%dS?Y} z8mEwCww9CsD`X8GV2}*c#_FlZ> zG89PKu#K+mCbkR`I)c44rxoJ5VgG|WFYXdu1nyK$<x|}A&asVzC2szcO1^7_7Lm4SK-Xl zeJ=3N5*pFNs@HblHsi-7yUHCF$weB2cb+6(cu$!^>Lh#gQ?Zj;Ki55Oz_)k$lJngVQsoL-%fRY~>w!A?*~` zeQ3aB{X04ZCWejGqG#OKQyiWWXvTi*Ao@@}|CJ@(#wSIFgBvVWnmq%~U!^Ex$g|u}A9U!HTOkCGFNA zp=cZ>b4;?U9%am88IYlG(97S7k>>q%BHMtL9joZ-s9C=tQ0P3sxkH%NO1u`%*W zc#p_cb0u4^tAbKNdF-zpStGS=jz`aLU9Zo5((f(Q1z*kT;E-^=0HaV&Y)Mf5|P6N#7|nPXNFpv z9L*9*9TVjB**`O;sm!x>=)Izl)^Xx1!@+ziNt)uc_f!|Si5Ez-yuD>Rrq}EcyC=Y0 zDrj+t?(*IzK2Uzqk5si9m2KAt&R&{NYcgnvzwH?^?XxGQ<=WHob)R3>7EaLQOY7se zc*QC{ZQ{bVAmTiw1F39K#rpZ`#oMRDs;`u+XDi}l7F1R12sdQtp(%sO4X@EMS6{ee z*nQY0Wt{+3jGy>PCr?8Y_cLY^PaPulXpQ+>CGHmNpO7t8mG_vF|Q+*jCp%HjP34U7np(?2^k zc~8QVJ^cL)PCKc4S`Dv}ZQmVwDta_&a=yNw~>SF1$d))-*f~f(+ z&PG$=lM=55<7bCE^VkwttB1OaIY{HTYOWTJO%HN9o711VzB8iSL13%HV&<9s!zV3@ zx^|v9xwG>%6*9hWw>@p%KsR*O+rm?xnJGDfuQhng@n)4-P#4WdAk{eD9in$BA> z%ZsiGTX%n0^iAUG`tZq}DCt`O&!wUYOs#e@7)=khQin|+4Lc+>(m!;B&4$`9^)3@ti_aI2AtT6Z ztyajmoWsLVxKAr$$8Q0}{}wwgr{$oCC7YUMOdSP6M-=>@HRS#fdH&bwf~D@RPKIYW z5kfI&1=!wl&)`7D4f&su=YQX^Z*L(>Vd&B%`=hvdMHu?sqZAc&ILN8~jKj9%IJ-QY zD?b%HO0f;M19 z&EI(wFUQRgAcgpcadQ&j(F4vM1&Fp1Gi{jA9EMsIci1-xJLJiE6hJm!ynMbsFx{Pv$gx%2Bb=fD*i|QCanC;G63-&F%kKD?rt4_F* z8ev~y?Um2Sd*K>St^ljUJMKh8-L<*nWj*$WZ6^oOXJa}qZ6Lgo)!+^fi9POqO*^W> zNjArQjH4sp@a}`jTb2rBcT8T+CHD^Jp-pG?_I$iDQeZA)+*|iqo$fg7RB1WkUT>b- zhqtU8^!qJ7mow0YDpjzo8PLiWqRzjC+Q4NNp@lnv*|af0y5E{4aOAkZ3-5lOE0Ucb zy5Hv))65yP9iuwa6Mu>!TGa+hbwvN{i3NowhWHcn?icSxke_)onL63?Vh!p>;m<7d?8z4k>=V#!t<+?d-5PUj;jyO>4W*<(^CQ)Kr!!R-a7p8=t#ss?p-uW>s*zvGTQ6xf36|5BGh|ekspw0r2yeBtH@?BX-PG z%94z9cAWp1q}`lA?=eX$OQPPkd%b6%hFP6@6-TAo4M<3GfPx24xr|A;cD?75<4tWI zue*$!+OvN+RuuwkokbY;D;<%I#!sp#{g>B*a z-2u^PNxzEj6VVZdoKdpgF?ysKR!N@OP5fp8lba^%#0Fu+Iq77GMW-dH@=8QqrW%zP zcU$?}y{{+C9vC0L$WeI|Z7pdYafbcWKm_t&M8h)5H9yYB9w z)`FdF`#Y`U-(BAvcOpI~hSb)7moI@PCBHXIQB@-2iZiFQ&9(#^9=jPer!naH-It#1 z3y+mQ-t)l$j_A1KU#yy)IW1K{cf|7LXP0qlLdl@<(}%1LUE{HH11>Yvsde-ydQQ## zVp?<#7_BR^gY!afFMn8bYVGIE4t>FC+p?+4*+T^zq1_=b>mIksmZKf@1~p7RxU@N| zOV5WY&~cMWF3!9hEst%pI-o(jz$sW(^s#Q8T*cAIj`LH|!(G;kjnDQV(yAL77`YU8 zJ#rK4ed55vnLc2>TUVLI@clOBdwlnP8uhG)Xf@H_3EKMZy-P#h6WAON{(1RO=c;qfFal!QYf2|#oZ z0|ZxBaFAB`v{TMR$^iUU*br3bt~g@9ee_pEd?23lHKXf z`1>UB|7~Iz1^addET6{|J?}p}j|U8mDemxYZCSku>kq9zS-hv9p*Tsg74hyI^*)?< z__ek9VyZVdEGgFTNd}i){jcm$jy>@7Ly>Dwk&ZNPOstOmK_l93K~J~Bu^ka>`6{B~ zSkK(&ywA1%V4HIPRR?=m|7%QPnUTPS)~0Pe%3*y^9C&y&gC=+e`DP#0$uc%UR3fGs z=P)*r`$zoE5vI4!?v1;r`JvS|IPK)=bZ%DxH|q?8h25V-Nh?y3}M#a`CMl!Dnjtyv58P59+H#9qs<(llO#lr>%{T1r0SfhG3Y!v zq3wN7N;3Ep*8v5OwVUMlD%Y^3o=ea|Jx*VgK&i-GXsAulWz)Oe_xypl9|4lxrJ%_w zsBWXCkhX(N4fo@VTlZ{-%xLQ-DZe!{Zz0ZV1DQu>8yzH~ZHmz4k{oIMrWan^?;G{$ z%L}-b!nwiOT@I7&9JN{U%G!W7Z`J4>Dbv?I)fV#~@D6ow3XKdFSu%1Q<%(95;Mo3j zq_$$msfDZLn+FoiKV@Neoou+VooYbDFn9OSdHl2c!u9nJS|tjvL`h*t@%G%aTMVZq zU%IZVS)=flP8$_+jJcrX+GzBpUqxr9FItHu#!64wKJ>gwinQ9u z7etP(pWrYe2lGjCtnZ&alI)^iCUgOnpME0$Xjp<>jHqo-Se~~)jGc)kHz&E=u8-5; zb$OSAR-?~B?T35#77oWgaKF)~zo9MR-fsN4h6B?Lq{49i`YvU>(V?S?NdlV09L*gA z8vf5O)Y~GiA5|rg1~=S=KWGpWd(8qn5Yn#r;Zol}qe?crd-tr@kE$ooBSwi<4-J4Ceb$?k?{_y4uS-hA^|Wheo5#e2 z<(8#$__|)LIyA^J%er%ey~QE#tC=qMH?}-9Ow7WV7tD9=&mYco@bB-19yyb0yoP0u zdy!=l>0KVe+}lx7BTUX*cpjT%Zmd$W5k5FB#&hIT!tg>#3Sz>0`w507o8EP2uBMWo zrLz|pJQ|pcYnr-u^Ygsb@ovMs(+^ZzBxT3&YrLkN$tG^w#4n6KmgDldF{!;JN+=># z6RFJA+NR>?#?U_9S&d^AZiHq&96r|5_^A2{F}&)$_=Uk}t|a-)Df(b0?RtYtop0*O z%Nr^$$#qXRygFk$pK`QxuS}Ew`$S%om)a=Q8(UtI|8XOiTi3nSp83C@+HkALwB0G% zNaHR_kE+9cd}hM#CxHYItoZww_y_pp%U1h+Ac6W*$zas7fZ%sh9^Yo%{_+?Hv|P%8 z3cS84oS&sHD3{ZvFTMmD;73dG3*~pdoP!9|vNJhq$!Hh+GHS`ecIk_+LW3y4oLc%j z6c4GdUwr+`s3r5drCz*}AZ(Q=!=ID&vb_*L!wd*izAvS9x$h=Ky{9tL~}3P46c@etS!09`v^oQ48& z{%F)cBP&qUF&qQ}o?aOTeT$9!x5mK%9}W)0O~9K2hVDS-5KyuKGJ*naM*ef9!61AYO|8XO{bN+g3Q`eAyUR=Pm{V)O!ffHwER`An6YS;|6@ZL8uK>D8az}ey#qb zao=Ev|8Y5hiz1~h2w*$`Fnvl>L4gc7A%L+8_LuX`42MGyQD75B;DAjeAX8v)Bs>~e z5n;$!I1KQ4aX-$*FGtI2jVWM3fMVva?WQ2KSU~3eqjrPxdngVECy)_vfc-*YcnA)O z09HIiP@q8o_4q5>O*yYtr`=F6A1U;33V{VfDU=6lSunvR`U>`+`78f)o_sl)SEpq# zP+I^bX#Z*%9Dx9pFW~5jD z5MX5ZPul%0-v8frEErh*6#3WXhJynV?5f~A`jdu3U_>Y!PKF`za4Zg3Wg%b$3>rct z!H6gncn2%$iM|ACt20r)OkvPap!EO>Qowcw)*cAy;3!a>0{`XdZiYhLA&cVJOa&U@`6zrF~^imwUBA)sZoUhJw z09!pcalwN7+Bi5I6o&vCy+3FiI6}arB|=C9ECvd~2sAi}kSO3{2O)vYm`Fmduz3T~ z?W%3XUpEdI76SG;il-PTkOM~_5U!R2vEi>aV>28E6kfteP&5ROf{>wTG75<%k>Q}M z4+n}c!OC1Qjlc6u{*N06Fh9e9qi?z4U`Bwbnj*6D2Mx!9O&SIvqi|?Aj*Nh!5Evk= zjf7(eWGoIvBCI^Km+oq-GgFq&J|KOvBzpq_Tqzi^SA>Dg?5|{R{y9inMH@zne<2J+ z+RKfDgY6jtuF`+dI5SEBL;^NCAa+2J$Utic%w{49O9b09*e%d2YjVHbcUO5-flVO> zuzM*H1!W#nqD-)+el?GmHis2g24Hf&YHN%VR8UO0DISWH#=$}3z_$A*jRR)|mW0Bh z2zVG2ibCQENT3T3@)<~QWZ;0-J7MLPyM!66(x`$97zN9KLBU)F@i83i4!~?v5C&p+ z;F|cWQ8mLs&=3RxLxv)VI5>t(!b5>iA#m=4!q7wv3I|gL39j88hBKGA+tas@Lvx&0*WW2QD_nphX>Io9Jt66i6{&S zgC-Mzr7L=69Jd4^t-pSQ_M3VU`>M20s}4xl6fGE17?pfui+~`3!yJmnLkUpC%4V-HHv58{{z3i^#8}_#MigSt_mBRwu^G@t`=6iu z7N+~zX95ufDGJcKg4Tmt;luW0b5S4)MS%F|Cy)M^uKe*)Ah{s*(+7XoQ2<^_p}^hZ zC!hJdo`PTuOy{3{>JoC(7i_OxSh1hH?{~B-wC;P z^uof-7QaJ%#(bT*xnuh_Do=KmjR*Ni^Bz5>i;yy=y~HM;l9{zr9^)9V5#&>%X7kGC z!cA831IDUmH!q!bd7Cyhm+kPOmCaXogt798jrX1EY%38TY`YLYugdFChg!|&TekWl z)jUxgt%}j?`-g8GWlKkQ>*bZN@7ZHv`ysr#d3|;LEu-S>f-h!m7>Q17mN12KIn5M?f^=|VeeLcRoYY8F{TkVhbk(P z8sDByhCK*0d>vtBvHiq}r`}=P@|lU8y^)+Q z+jGSmYfpxnmZ}e*l%|@>d-?8(fa}NiIqpp|CBXSDkYT*XGJT`VzF7ZK-b3dzs$`y6 z$cvmXv+cv$(pEkm2{Cf;PsHa2VbTmFZ<$%fjA$m_{p@c%(0X3bNx5oAKGEA(FXx*K=WXV!H$5 zdUNgb^^cuCA!DBnT|UOeT$r|J=YpLuS3z9Fk!Ruyo<0FoPmk@Nb$3pd@XX+QP!%zF zi0QiF)Thoytl;pia!ohI`0-b)F7E9G=aXE6Yg;Z@@CnZxd#GKj72K}P;g-9Y(7!K6 zll|tClB?_^tPGwhr}|H+8!)uHhrZG=D(ZP99dzZvI+2BpnU6k3L7H3YtBUmo23^c_ z4{(bVIkG{!r3QaF?L+XLlXq~7aH z?SwE~O_nk>Jc8^=k26p9c+t~mIUPOSZl_^QuvXmHuy5g6FuhVs{P{PRPt*vCv4ngy zGl_p&Cg^W360$B9o!QgzDRsAa;Gk`uF)`fPmZ>*MU+eNlXHRq8>#wfb_14#ag0m4% z6ou1Q?_gIDsm|JTx9`#E^A3A)*o`#_42WbFxb1b+1rVQHpseC`!*+&wU=($n%L(tO#2K+D>&7* zN!qMC)Um!eOs3rd-Td6p--J+$Xq1SaWipF1H1yskQ8M`Qtm;mGX;dyWer#Uq_2Roz zgx6Uayiv5Rrk@>}N_@OkGdT;*u3)cAnPCcegzrkN?UE|s4VE#e(66v*xgK&X6SmEu z%t5=RjGEI`FV1dCY3=#CUgEAnHsWA8lp%PxR*Z$}>+u?rP4sV1LI?LYP+OS! zDF#*U8PM6FK=Zllu>Gg2Rqt-a<7h*6t!HJMs9IYcj$O z&&)(32b&P)QWxqwQa#U1s9SxiZf)C?>7JxBCDO3TM%(e3d!E*@wSIAPTQD!Ck9^4F z4-?jz6PNdDZRwZzq}5p(TikwX53w^6$&1kkry{?2lDz7&#z$G?_4f-jc; z@=`S=<0I*Ee+q+{QPimTHx z3UURaE=raYPU#tt6+@tYooo1mo{`Z2$;X0RE}lS!08wNDNK2rB)D}oZP~_lNV$9#! zvae3h089WbRNxW_UK#io1@I3D?AN#0Kj;~Z2qB<}1O%Q;ftUaV0SSfRu|UE9313dkz@!C z2f(GD^lbHVYs)>O*f0SG*M}wAq!@$@pl4Vq0JtImfeZ7y;NiFZ0%s`_iGvd15FD_v1>`U^90C&72s8*O;Be&1 zeQznOUY&j|2dV%OUWy(-f*ByRz`__XtN>N*m#^&KXVzCy)bX!|!@wK)lI;PAGN=uq z@Wg)I?0=m}UAnZa&Ui1c5MTg@0{Vk6p#4g5uA@*X5x*fa{5}PYBjBMpkRnEaR0IeC zaVRoC_JPg}4h!}$z&=`;lvuijt!mHU6bEe3F^a<-xFRAzoQ=i&Zt4H-jo@Hp4A=~@ zIFM-pJpw#bu)Ux`UWz;66}!s90#y-6Tv-^_>)a-)guGI%>rC%N-ziVlYqSd zXa``c`1R)S2R%cQ0QG?YCBUI5@S*^p3XcMbS27%iLj%EO#7Y9m5{$9BiwtZVSg>{} z2|p}lE&{d=$Xfk!+xvr_0Zt_Z4k6)*K%WW?CM3ubf=d@1M*>s{JQ=_8e!hxQw#)lD zkf&baMgvkO*bxC&jS{f_ju!f_ld(%U((1etDA*5R0OA3JBq?A64eoLjQXS=AVDSE% z-~gmAp-3_Y0wNeZ1>C_C;dnp_gi$CxfZm8-xv#CFuo>mj32yU$H5@Qg0oFsgjQ&Bx z|22zh38!0~5&wFIQZ`}~7ARGNR28twLe( z;L1;iAxHp)B0;b#W5^{~adp}a?5Ke3$I=0^)NV?e6I28IuH8%NZzviG4hZ111^CVY zE(5qAmWTpP28a-gU1{xgY%i|3PC;}-@rq$$W4V5@>%^EWUHfs6(*3<|7H&|eq{P6UKIut!0m7&st);D0hvR<9&u ziB<N5Iws7(%~1p8zu& z2`ZQnM8N9@GaO060q_}0#-jnP8;&NSS5$a|5O>w4I22eDfQbSsIM6^}g|Z?+s3Hh9 zXVC25+_wpMJQlzMBpiZ_z#|}l01ilw0La1u)CWrUE-^j66L9&3OgaEx zfB7hdm;wkkfZw>1b+JM=hEjk;$$>08!~rg$6a>V7KY8>U0_^`ESQlszG5!4pmRT1R z*X}>8MDI2<42@P{rBtG`=_%))s(O@gcXQ|4u!AS|-MmxpXeD&-mYz!X;6(7TSbnk` zyEOIj>-XH)xo1V_T?z_5+Pa%liQ~JG(&)i1n;KygGvigm#Z%Q4q-V8V^&^emfLXxW% zc5iLJ^e7?SGHLu#g5|v1=bWa#J|2dqjUL3C%37FUqiJvTS5Q3hL@=$Ag>9MrdtveV zQnA{@oxJSl#n)cb-mnjkbXC3C?()Dz<|WhNK7AqIL8e2A?HY&o=d$2xwgh1A(286v z3a#`%vmxb*sMWTQy#=uH9KAbDBtE*14BZ(+zOJFs(W(98*%hB^W)1K∨NV=dhm( zu-Pp8=rRq1D2Xwh(6iLvn?OF zqL~s}hFF*%j4m-sT+1zRXg;wX}f3Dy?w;{Gu>*m z+0NzfZ%edLEQ{RSZ+wdRk?ix1d-4rKeuU2Xv>4fmoo_?o^$((VW#+?2(->P6A0xa?B%Yf$_xvPT{ zZ<(Ie=wz@84Om=LAbU<}_U?T>fBsV=M(Yj?9TSQm=uFMX+~0HM)fy=GnFYkhw1e|a zxfPWOBQ}$A=g-lnz+GD9k3Q>;$`qb{X2T%GVO{(}~65$ z?S9STkYggR&#l(Y_Lkc72a?UVNG4ypDC29X{lK+r+__u0v(~qo7-e%uC`Y+mQ-)Nh zcIE5_-F-@7-1lzoI^B$W6XSMLB7e}0-=Nqeb?#-I2t#qw&>2xfSwpE@@t$a^;kFWO zZ`=k(q_;3tBYgV}2fiZ#Ti4SR@$gW+IPG(40)5F3w*NUz{Z30d)(EDfE-%)5?_k^1 zbJ<~WQ?WPe%)5z?_j8Am2|oAeHC=Pma`6+U43ak67tzlhGMjrHuX}2{%ayz!JW<<- z*OPcbZ8*@$!7byeFu$*O!t3e1(SBjk>W6s;E_emPU%8B=(Dhh5$Rg&$?vIs(dzkYms?KqkniE~0Ga3K7G5G)C?!5!4 zZvX#rgsfy0vR6j34$e6xMD`|oqHiJk*nv6x0wH(=s}2=Z3nTBt z57%j$f{b^4{Gl0dG>=v(EMx6{d*&sqU-JakqGefT{OS8)iF4}7Rf37J6~pXKNn9v$PcRHXNgi)_@q>5Gft3gXFk>>dykHL zuxufhUo0)nl}0+vG04}?&0Hc;ZgkJ}hw+wrY5I;wN~YUxV3pF|F62L9yz+SvTb{*Z z)NEIh@p8K|)AOgonOApQh;B((<&ke-&gF&6;>bRKIr<>FPT*_m1YioZya6;9S}q3q0uAIvf3g0TVQYVRBYI;S#SPcI!FBA0 z5C|VcfUo&S*bPtyGZ#dGdJ)1x7-q6I*+KpPGvAdsLO{yW3bfw2%kvjggbsF|Q8 zU~ho}kq}7D2?>Bq3PK35C^iMgYp=le7{0#X0Kbj^wWjq%1jL*N-sCT%o&PBjvG&Gp zkKO+)_;C52$kYM(n|=yxhxS#6kw-q3e(n3m~Ao~ zswRK~7EmYwfeu9UpfCyrY{CE=|2zJiInoR%0H_)w7NBdn6#@m=59T0miV`sgUkjQc zf36;`9f;dwHw*yqfzsN#*&rDW%mobiKQQ}md0tS7;@{xrzlHOFG0O)7m&m%^>%^Wv zvHNccNo%*w_AK}J_fi;u?;tuk$ep2OH-rH)O6WJ0jlU*R!MBmRwr)4TYCz&R>*ooi zFaSjEw);HUzI>G+gvbK<5=a>VG8~-Apo9co%ikD|K2HE&4WKH3mLDjAAtC$~(j4&D zK)%h=Ttq;`3d4D_eT5=`0EFZ){xKZdF`(1^AKCr)FXr~8tJXIPz=r@T)xR4BWPbp; zcAGcKHWJs+8wEIa!ru*th@-$$5&n(c=zU@i2N*q2Y6GGVNKu$DAUQ&$ZDA__9JLYz z#o0~g_crp@Ai*9OI7!y+76!R8sK)b0hW{Nse}-*=?)cw4 zlx>6|3j#cZ5Tu*%-Ec_o0hByIae8aR*IYsoGbD7iBai@OY>pHa1^sp`%|Y58XkC~i zgf}5O*W!}xS#WTefuj&qWWO5@A%uY6|7V8({Z84wyMB#?3CgXI3KU>X1N||8PGyET z>A$U&00k2(AVgqkX$F9CW|n3^(*#sYgaH580*OQkm~DPFe@wvsHy>r4e7tV>x{vZl zhX4J7Z{wx8UN;8dS)fP)Pzs=q3@8FX)#mrL5)qUofLvON0?w|m1*n<8U}h*7kTVek zg=7m+D-2tF8!t_$n*mh+`))YEj;s;x|HSaWzBMTtYXH-=iZ z!nZp<-atS8Z+5STYwLy!L4124gNW`iyM^KC!_dqMh5%woU|Rq<3>`r=XyPxfM`g+H@EKhx6Zn z4XOvOr4ZLEb6eB{;Q$}A)zB^Kfp7rd-+CzUvCy^KP4z&y@Xzwt8x00HWk{C?tQ&@* zQ08v^Gur&DV5-y(3`OThzYqO?P=)-L?Y)r)zHQuZzz_OiE!L}$g2I2?*KJ(y z-~JSqrca|{?1fsg*}UUl5*2XQRv1zsp=-}%QX!7Gsg4Uiw5bh(`DK~S>(&n_zX!=nj zT&d~kDx92yhnhVui|nS4XjPdwrHJ=%gm~)OVWr&QD8s;KSD4b93g0A=#d;~|c==BxXi zbT2Hm9prgGAJ{3aIp)VCzAGetMrLxEG%4`;=f-!v$F&~>j+*YB4>_IcNK|oP2Y<

ZM=)%s4>(G#tgEa$`vr_JWHh``pWybLZ^#(}pIiKD?n= znflgiGAUZ{y{w(l1An`(e!K3l(AmXJan`8GU*+p0lK8wlm8kPk#Qc4GfFp532&-Be z9z*ZP`@B)f^hpY&dKFm$0xAyz4cjyE!kODVgB-id%RMTrv>k}YZv+a^HoHF|v3_-J zrpfQE;n1NIZ-))`6ijBg3`8ywPV;n2@K1~C%B)*SAG_dq~-@SX}sYP+^oGrb5vf^g7jO_Umt7}hn>se+G zTDE4oW)3U%Mz~hK3K^X}5tZIh*!wV~q@n$>%iehM#0Hh|5i)|)>50vZOToi9ZEs(G z^1O}P&gPr-d_bG1>PfduuOc>O%nV|%+!4M=J{I}9X)gM*-)QK4tho1)DkB=_MTy=v zwe`JboV&Zq_Ta3p*-pG;7IzIe=d3EGm(4l_czqQ^Vv@u9`{F~AEx-ESJzrnmFq3P< z)&<5hQ@oH)9H6JmHJk- zCPwA&9Pcqb-K9Kry`<$(x3(9lQ;?pw#hdCw&uOO$S?4LA9X_E{h^)SJ?pY4;liksM zs)ceN7w1aI?bMcfd@j{iEHT2>B*%I&T?>0ImOM{V#v#EpMn#tr@v~ z_5DYO5a5$Q$^!^51J4z}lpxX?Kovp6NF;Jg8XjO}0rg2Ugc-nKn+d{z5Gfo)#SoiD z1Yl?_g+(@bC)>$-gm8qQ%m`^T!vO*pVyXg3Lp1FXxiwN2H2M$*Tm~daz?cD#7C`=m zLBt~h$T4tGeh}S^lV95b+q2vd91QBtAuI%z9f(kZq63t5gHU;ht)&pH{~F*66qmtn z+8(>V$2)*R1a=FEcffKBf^Z55XhIyOt-aX4l~;vtBV`fD8A5bYkb77&93mzl!9M>3 z!%-pt25$+N^A<1*pqFR`gP~BCK>gDKlKT{f!#C-mtsMv3yWW8M0$#0u*BgTU3jZc* z`1|$#(SQGs$I1G7e>eP}_5Qu#peGL?<_g0sEv!H$)>6<6ZXqm+LIJ)2U`c`;(B|-R z``LyI)8$WivNDSe^kcWp8dUU z_&@9Yd&5yE1VDSEEKov#kZA$>w*%2UD*){^hnu4;LE&K&41CQ|*xvPqt@S})m*9m2 zEd9y2o`5m0C_7l8p~ zZ=lzLG7|!H0R&29a|(R>d3=DGz=wq7Dq za)N_o&2R{Y0xD|Y0e)*ZN(itc!E&2f!VsX^1Db1~KsFPoM_337AOYce6A2H#jU+rM zI|%u)f`B6pq|?FwA^BTiH*}r|{JKsL4nlBW0tW@`4g^wA0MHEt0A>dK2UJ=BPyxsd zY_4{LMEk$*B|2knph8zfX6iJkGm4ntRismE(4Gu27`Zeq%aPJ)QvF?-2gfK%b`DJ*)a|U zZ7~4Z8H2$aw8Z{usOaWY^G_=bpo##QB#3F&AMayKvu-i;$3!Qlp)df@0rvxj&*q18 z@&ALIFNpE}(fi!CJTIVj|L`)c=Xrs^)}OLXKK_&E9n!!TCE>rt*OOtN$DP+hWjn@4 zR1nOfq|AFPPBZ-Eo8`qDEZhqe8Alx@&-py4Cl?WO1N61mD>L6BPREajor!0heRr`k zl6{vviTMZz`;0}O3^u2-BER9qIkmW&!%;X6h z#mgs&gYT?YgP1PPKTKOmQ?4^w?5&GfY&d^00moYBb;Kjp)qUz?OgnETM9c4I$$Up_ zbIf93{PWeI0R5i2U>-O1Jx^COZg?nZ4(Ja`tc#HBR(R(zE@~I<Qxet8PMR~Bg}pns=q*Q`pCl;Y1m#;y2F!8 zf$G?NjjTw<&8J5%vdBrEe29k>j_N1V9PyI5ZQj{-{q+eRaXlBanbz*}sdcV`Om631 zHNUaD{pB#il!g7;lnLqeOv00{>d_ut-n=*2!*)pWD@5V)?JY4C z77%#8dUb)A(=a_J#K@`E4KM6QxyEh9?pvi#xQppi$}^aYTeGwC58x0mN2g!7RrqQ} z;(6Yg)5d#q3|}lSs1@VbI~8d0e=F6rTYMWA<{f%O@84>nkHGHYovz;I4A~%3F7OP;Tzi2k>9pO_itMM3dCAPAeye|SZ<+7~gXAD9%}UuwW}aMW z9!_8)o;bd!yOQtSG5Q-jLa!z6x=zuEMbc1OdOW9y(%Ztt;!?KpNgv|#x#x1ba7FHM zq=u_zi8*{>dFdo*c7{^HfljwDE4KWa+VFTO-MzNp)5h`hRCwCA-IOhEC(Nkn(g|`M zVVQ(S-@kmH4z-W7f|L9@yY9TiJ!my1%%K0JPo~wr$WUd(>WwvF zzZgE=b@}%0rjoa(hL0YlVt3cBKl7=n@6Jb#Q%%}mJj7n&GujML)-Ji?$DZF=`?)As zP@yJ8MnK?ox{KlJQk_c#_T`qKYc*lxQdcDf2w8V{$sp+JUf0dox)S2X)|*XDiryFU z4nW~nr)WLbQnaTavw=ZPV*JtQnIvwW z4g!jA4@ewBcJC;AlU3)+=6%wDnls(HMupiYzTt9;eRGcc66?{t8_NM?H$(WZd`nHI5;@0(0F!C{;Ne}+D;RzM{MAOFOpL=d-Wlz13;~wZ}zinVa zE%kvkfKB1*sfiIGgtA0{>9r`DV`?0y?yxsXJ;HtL@cG`Eu?I4K->#ku$QXRkQ_d_L zTS01`xEk}oE>bG>%i~h-v)Wz@Uwy!;6wT3|UeU^8*cJ1fn@{7DF^sBMxBW!np*CkW zixsUh&gm4%eic_UZE?Yx{l;;-c1b47M|DV&wl?+1`J>vbR0NsyOkTqcE8;8nX-9oQDA2MX0^I-VAhrF@yC!b_BqFTTucf`}Oaj zrv<+ZBm%zw&U$`+y%i;p###Fj0(c+j-$AUmqC}uI;K5u_$E@!^TKmfzv-;bpfC2T7 zgVOjw2^HiI0q6kGHbB-1jWrb9GTi_BXlNVtFMwkW0wJKh1W5J(Q~-o8f!_*YfdR>W zYfZqvHyizNuLl?ZEh)5~9EZ3k=vE3~(V-kO$XNgw)z-RxCis6=3q#%my+BK%VkX>_!0rH4DI- zun-1XE+DyP2?|{TW+EbH0CEMIhHTclT|1_>XR-fDWPyYPl#oO>Lj`bXkc-EO*FbIp328PVfI_Mz3W$yX4Nim^3gBorCnx~UXSl#nP;(*$5MD-;}@-2l=HM0G4I1c9^4~qy_8Vd8`|B_jRW#C0_e6qV70eaWCJH2)GX2x((x2RKp0540H`E`ia1I@ z1bR(>-X&}Pz&6_r?QUq$K&z*rNt5(PS>B7igl z{tvntZc-y%b6>XEZs^JZgk5O6pw}PT-NIX5mEiOMN1XsrED{F(fCvhx>6n8qhJr8v zJ_Y}RZ7L70d0X3K_xCFY36U|uF8Pl314L+hg`R+V{KNkm?cw zu(f|@H@sM4`ooQZX{ab9tqAU|O$kB7hF*++H58(W{(Dbh918qy;E`aO^@lVh z|6dJ-aOS_66`)AK;g4z7pEIsMudwK^h64HH-_0rro)wf@Fs$&;$=Xe`{%R;3+|*k? zs|e5oh5^41!>qsC62BY@NB)dP{@Gv=@VP?73JkOUZcF@fC>)f1e)Fu*hl2^o3|b2Q zuR|e{{1&r{qgBZ#v%4@Ku!zMsVZ4~iuJ=fHS`@Q(-Idci~hyyt%?&sC_a;?^xnRxzsE z$HhRfgISWIghO-8<*=%;;SG!0dK(*xJUy+nhTYb$PW0ko55=gmcUQ=h;f_`Ml%3MM zuHux-edf+i+#}2ZeE6#*xc!I#!J`yA<(;Wqp^j+!q|JQs;t8>V5<8jF1M{cVnH3*U z1*8nqe~v=+%CQi;$g?M;4>_KyuVuSLC{+IZ13Bg63~~->%k=%)>3-Mlo}6)5?B4gZ z3%RouH&hD$O+lSPm`s@1-u|kL+PTx|U#OIWUpi~bMtzWV0bWzv^Vcq=NiCx9>ivDa0J@4)XeYI+HBqNXW zcfVlOyaR8yY0>!$XB@Adns5G^=OXzGMb;%>qryIR`!dam%!Iqmr{Vg-e0j!AuP@!_ zU(mP{323$cJJm^p7;xqf_eC*Fg*fO4lRuou`>Hj1Me+Dt+N=4yNeTzFJ48>FFok?`hqH;>c!&|vmgd)X=e;R}iMI;6dUPn(A!KIh}3IwfbLr>~tO-jqIKlq6!65X+>Gb}ebRA|6$Iqq@@m^wD$D zQ}<)?CC+~ftye8K_;@W(Au(QuP2eS7I8vUXn!NuG&P&AA@)DYxWsV=%!dkLhlU41X zs7H3Rh$j#}?lSPj!hLs4&4n39*T|VM>_aTRo@q#ll95)Sf?u=f&NJhIru%2q{cNib z^P0;O6()&adH&8Zl^1l9dSXS$`)ROD-@>z&+3`S`m}lS~ zF1HNoDRTHkFKc1ho25tE)OSR640Orf2aZ@iccUpTNqnMWe`my^{9VkdvFM#A5w?UD zdg@;6w3OZo(+^+Mh6u+a-{M}JiWv!Rj5I5C?c%b^kFTLT@Um-I<>UTS&)DdZ3Vn(% zLu1TkkE;6ceqG5S5=gc`lb~o`ILCcEJs|n^Yc~*V?NYm0kUCV!I~~tZo4wM~E@7fn z^(pw|Ub0W9f%b51uU$rEleTd|-H{m~FXml9=#pp*RWD(CdBU}A`y~Y|L)tN^Z zvWFZ?;Wro3o_R=&T#9-BVJn35+#3V!o?vI9LdM`)y@nH)%g*oeQffm? z=(rdk4(XWhXqSC^;ll?iM!5oS8jWS%FDaJ(Is_&%UcCg0sC@~1HgRk-6@~@JT3|I^ z7ajX62C}buwUX{(trCiUHZS;b&UI<#;~VkB*Fu4YV!?K$*&~(jB3+#uuBLuD`K=>< z&oTO4DMyPQ^r-s61Lr&9i?DTi4q{(8|0L-{)9b*=~>Bko-9e6hYSQ2I&TfSpQqAQ5GUVTNt#?2A#iPC;&G_g7)GvH)u4Ko7tQ zq}kwbE6^BWlOz<-qzA9WHrc(tNkGdJfYC*#ULg&7K(zpNZ<)fh1}X)A*P40lHQye? zg@C~bpymc?52FnS>fj=vr_CQ24)%sQ!VFN1QI;Sl1r{42Vh&nqTY#)L;AewWFo3$w)^FwCY80&m;UtIjAiFm?LQPg@i+@Eg)Nr5<&o`01BvehzM^=z5>nef8R@VJcYi7 z|0F#H(%D-L-6B1O*o2!zY?u|m5PzAT0>U3#&-&}3XeGq;t+n}C!K_f54p8aa8~_64 zw%^S9%b{r5#VuzAX$(+-#x(1uoWRe^^vj{(T;IZALBKBnzkzOs2MWFzp7+PyjA^OB zy#?won3igTngqt70Lu$1Lz^c>w*lX3r9^%;a)S~C#yLS*5o$VzVbV=mrk~bW-de;9&dXT!y~W2T?NL`FkyfmdsZ2;jbS!sl3It zeb6oJ;*Xa{92jR~7{+^A;bh=Uhu5iRb#G+f$}F12q+aWXb0XtoLcJ+?Ut{gOfVHzY z&}l~qcY|qUAUy|m>QP0uV>HC)+xosZ?%DalbE>27q|n211${|^2HFb&^ktvcJH^GqIxIO@mS-D^H;rT)RUS?=>X||d2{`1H`wa?JL)ItsN&&N$IWB&!~`?t zs4~KCS93L}Q&46srBiqiX1?O3FP15$h#V|ENten^LpzL%%heD`$~J`uQ|=2T=|3RK zS%e3W`(@TA9E4*j4n zTxTA$(KSRGoW9h88}NcOP~qSZ8$l|~N5o+^SG;?pwERhGK~ermV>x7J7#4t zxW&sEYGSq1BvZe2&*R1HMvGFaz>LHzOtN>=V z*Jl`G*=dmij&xEcajx=`7c{ks^^Pk_lXtyy6<>86D7K0?gH`lFl4#6;k|AH#wrkJg z!-G`)-;@oT{r!j@93G^3|8;eCwfJI3tG3~Jw-%?Xel^oG%i~wCm5#qYo)gTa*57Ns zNceWF0+wz=H$?REJyqX|_a%|%Ufqo*xls|N=KC9oUhQ^txs)AK;}Gv)yvSeiPSy1Y zdx|ZNs+5hf2=NOMr`v`@w{=my*l{nb3gX3y$Ke#Y!P)IfETDg}(X9qvI;Cru`rZto$SOb* z*HXuD!QUa7t&e@5>9PLVK#dSv=6)Yn$+Hg`aMg6kny!ma7@RsVbISW&;e1jF(PEa} z^x$WnL1V4X!INGsPoJ|AcD$XzS90|mEjnpmmVeH$ZuX7mm#h4qkF7isv%A9cRBp-I zzN5l%NFtyiuUULMW%S90=V8VRG1Sw>oQFsm?~-<=@p;WVnN&WzXB3;p>)I%8lsX87 z$rb53)Gv93KMy?GxztACeW3ZHxc-Ii$_H8(r1axC)HyWF+|~6iw=fXB#-Bh@?-=FZ z>pG0r*TBzE-=4xUOdXiwLC1a4u>2yiW>(Co4k2C}wH&ut*6ml+6O>+lFluIe|Kl$% z9+%V~Ub)_t#kR6AMJ$Xh*E%jG$-tl@*YEQ*!Y~#oN_=3|iK1sn#7=&6)hI-E;wA~_ zVxl#0cy^!eHB zDW%di?-Dw@xirD~r>Z|*nXsD(O&V5gsxb7ycT@`5CvNJP?9hINdTCF)+nmX}!x=+q zZ!Kn%IF6*+mp#qvxMOt4y36rUodCTg#ggKyS6t4%5^>+C9`A71^0MPwP`sLszYsVF zG`?3w{Ryvh4#!^Y5)Pp~p!(cqac0j>j;QJsu8@!^vuiro%7jxVc3u)Fm@{}gRT>&p z!s$%k-PKsLFthOPMXs6l(GNT+b%mM?iEsiM3MbCQOC5fGCiA-)dp|JN`8|AkZ{ExC zGjoJ438lNS+~PC3Qw;izH!epFIevC7gV9e)UqXqiby*oOE0lgZnHjKyt259?l@|vGy;tsIwch zA^1yZ{TwJ=gKio6b1G(oy3T(~#jF$80cQrln1udeu0srVQ0Un@IQrYxRBKPO?jij* z!v%$b&My#Lg4!dZQ!bFu0SHdlFp*mVm_UoCzZ4V&-JgHh5omt}y+{5e%-GNwa`Vuw z!i){V3YdoeI#$@g)%?4m8=6659Qw;xVFT0h?}ly=HNZIZm$AYIp5)&R-5^PTaVRKd z10(|m7j^^7@$ZJB^+ncS1587qQZ{(^G0nQkXWy_9fpW$#h5|bHmRlH%gg#bGMQ{Kj z_}x$#0BeF2^JYhX1Na%^qyB2BC_0YWa+QEYEchi1lm6D;UyKypoKE;@d70IJ#vKLaeo>) zUePvoN)({-d2w&5TaxFZgrJz?a{~{pGCq{%?V|Gp2tp!%Qu&OPCF8pNgxcv2YN8#3 z@7$V~rx4fPX%(E5!_6T(+L4;frht3VR82iXnjKFw0L8=6P?Y-ld0eW(kwx*v*oazP zMOH-@UJmJc3c{xXpX3FD_k?Rir^-!+7%yVSJW0HA_eI+Lh}L^sy9D9jg}n4yKh;Wl zb$Xqqw627YZ*U{jcHjrd?l-H#-7AzwJ~^VAJR6Kfjc0_NswXqynb)2Zn3~n21Gm+E zt!;PE_QS=ZLpg`a#!r^8R;OQcj?#P}trO=wY z?AU4@W{)k-a?BkH6)Gt3W{oyuz1|}Hgu*TR+c^rHlwK3}+(@-OryV-R@|sJ9xXo`e z@2TDM5hvzqfVem3bb#gboUqokhr!3&9~LuOPkZgPniMl7CuI|_qOGW_55~!$590d5 z$r>)5g>R!Dc7@2hKrLv>&7ZrPV&rMh$kD)C_s$h4WO>>ia7?;wSt1WhCU=5OGV_RB zPOpW!?+H9EC-4N`p{^2+y=Y7LRA^_Lf>;a`*fC=exz{yBddi?#vb^W>kd&Sg(B zPV_9@ESAyHMUrHe+Fm;1@-2~wph541RB^lnqshKx*(66pxQ%tpJb;=6f!iw1w_v9rGYXr`>Aj|y~8AswnUP>N{8iSY9HVI*h4lp!B+(dFhznMSP zc>yX0?ewcyTG*nboK7(tLViCG=wb$-E#PRYqg*W=-GR@KF**SGCQC;P=sE;<^d>wT z+NMA7MnyO0C^rm+a>yXNiShUZUeHe`_s>H?JxCZN6*t{dpe^R_hC&<_P`=(Y6cYdX z&8)v1ieB*V4#YN|_djL?AxQjMi5+AL|H&F|Uv6*%B6U4CC;}?Af0!G5u4m|$C`$!$ zgMB%#lndS+Al~icY{u!aFQe$TyHJdUn4Ml@1Ucf|`_f01*8IV2m*}nd~!V?Ca}ko@ek!o(IW6nqdDv{{bp;mH>+f`Q8srt9?t{@}|!ejwBTX(ms^QR(gVeF88asoHosGX5XRnO0 z1VKLmaTLcjm%37y+>;KGle8+lcxuPr?7ZY$b+IAoRfp`|kH>D?-X49^V-$PEP&j_& z^ZDlNJ9OIYzGb{`X9tCp_&Q8pCV2|JlKF6nvpz4={rtpX9;v?T;_qIHKbjJ~^l|zt z-c{W2q^C~wEc;@Zg;}3p^e??@e|_(4@a~19Ny%y7u#?K(Tx!fdH#0?bWyRpM+n90Y zXj(&VV%$<1@4hR0^aZ`Mb5>JC@6L#3Xd<+wfPI zh$WN#J{*c#;$2B85?B#iBz!MKB2}xwTf%0lKYH?n$OvjA`PMx4VA=Wk%xRLaT*o7J z;$&QmDkEc)aaDUt=1Um*G>lJt(!Z6E%`2+DARoG@T+@TzCZ5QG7f;rAKD|yY@?7Bq6|aB`6+H z_kXV*aOl9uf!Zd9(X5Ee>?m)p)Ovj#UF%&09I^tj{rO#pCu}WZcW~>%T5}Yo=#n3{ zo~lZDnN`Uq{l#BjnURz6?AKilQn``4y)w81ZbsT`S(D+>uy(o6s(YpkG7bgSL=ZIw z=h`AqEAix`NqHO;MU&p$V|S zz=V!Vv=-&c&-N3zIlZdOd+9^FvaiY%uc^isXPBa-HD9S`&e52cx{@oaVe(+X$udX7 z>o1m5B~<-r6VLRD->{P(D{RN2Jg0W%H)$y)(io^NR^;uQ zxnD6+$r0`8zwgVp1`F(nD~?47Mnhc9AuT62k;(8!y38s;8t*ibW$+%}2KQ@A&zq#r zn9J+v=bsG98otBFzi+-krl(!!%G*7)I~fuSij+ z>}|cL!z_|G9B(}BWKmLf`XO|>&rqljG)vsi9rTN7>ADnhY`z%!z1rtGEO~B;oj>M4 zv2ZY9*~fbmu32_k%6bUaisf6vGNuQF-d!eqJ;k+O`kAsvZj5Y3BDOz{8XFQfj6uH) z)hkVx*Tf>1V!1(YH^=TnrFs|U>7uh) z%_>aQ9v~(D_^P$X^uyh+Xwr8Gl%`O&(iY<88;L#-;0O<;^5J^i2zeFIyiM1r!!x6@y2 zCj+0Wn(cSYbn6XJJ}8>x9X)o|L9LL%Zun7u*ul?PsEZL_TuBeIKB5~s6S&J|x$yzF-pQ}u~mBu<9uqBgjib1D7h#Jj9_-^8w)5D3)TyRUPm zrK&--eCmm8A*^Dle@C zN%t3}3-`p$47qps?L0u1mB=bEKTirA z#7#l=pALm!c|ZAEKMn;zJt3&c4PasZqKEXBGp`Q^Dw5#2HyaK*)&IflKruit` zSdVp}E~S4Q>o_<8PRGi`i4>>&&;$j{#s`M89B7P0V@~XUK^>F9AI)VNVWIIP@F@=&At#E4U5thBd4LRgd1B>z>U`v- zp_%k(7^BK+g5V)-gnL)qAQNd}z67D|jmK5>Ujhvexiv$BR= zeNKCu`IczFoFN;5_J^DMD6M=FqIf?rzu_xCc-ps{fyce(`=up zs?;%IVLc1?>A6Wqo)CVH0^3AMK~bh9;KU6QlSkKaC&vysw;GP7ydKZH8vOG0jc1AJ zz9u3I3^t29Be}kHR_Wh}$P+zep>-}wh4}vCl^u~|y3X0XH6gBkD%N8Vw-e1pQ;|-xQ4h!Rjy6S5yUF+?Cl;`Gfgwl#p*>NJfagW+t>Lb^O zH5kWYCcAao90#i?Bpx`-jYh_Iroc0XQ{bU4@|yiSq>E*--ir?|1w2~`Nw@S`s?-j&98grRM`2J|Gu)!|f1-4-NTISH_NVf3go=}=2g!@MV z!&QqF=LX@Od_9~~bF{*YGLw^mC1n1FoG%Z~arR3SUOXuhaBALVNm^b;kSW3MW46s3 z4Kl`PL8Y(#ED`>0pQH%dPU}$RjFfh0eyYt9)vY)|lK*YWS)ZP~R@1MvgGcvcVEEIF z8%FPoCSE9dJ2S-Ih|AfL?{dPRaI`f#QSzd@n2_&8Aw6z?%h_j);m;YnHIr@6T#b&H zyXfYiU&m&!ilf;kPEpPS|MjoWKOmQ}0DWq3@sY?6JF|QM=qGa`ASam?r z-B<q1%I{bDt$vuAnY;gjpL&($9F z7%d0&uHF=mwHy0Hr+;r(^-;Bc$-}qoZQf)$7*$$7mXefc~XJPjZtUb|%o*3CR#Mh>22k!|}e&XG=gqheOAK)zyUi-r?5vj8 zP;(^hi)D{g%Oy=3IP&n(?X$Z}3Jy2mWu<5CGoPzSos2@hZjdy+KgRYhvrI1Ob!#G< z#_}$gw4}-7h7;#l>qinbLU4?P2>XO$CGn)-SW7|X>?gYK1P&3fw9^pg->GXgE8*#w zp1f?980LS_+&OOYt!!-7!D%k9C434C8`w&k-&=-pD%xDJz~ z!>;JpXC9VI{Hhc?ygY6kDn+ zze9I$RI2FdXu5i`&=P|9n*FY82V5?3y@<*PjZ$giXxkqfRTsrF6~Z)YOPHIU5|$q6 z%`7OES$OP)a&#f>!a2Lcw?znCM?Z@*JoYGTeO~mfJLf+4vx~c7S9)Y67Y`)1-+k40 zK9A3XJL`*6273cdNsM$)fh-}>@dpeP5-`sbBhNoQI)3#EC;y&%k{NPI9DRynY7~cj za-BOIdrOl9pA}@NCurP#kVAE~fA7s3obg`d(E?+4ueg}H%?`(eF2M%zY^6@^TexCa zXne+*qz%yt6A&gQcuQW#c#xmrm{jik3ZEm2F^=wzrDb>TJY$7a^vg4Dg;&dy1(rk` zni_3ru>BO89uJjC*s50voWj99zVB_fLO1iRLEBU!$Ni6HG^d8$v1F-sc-IFAus3zj z56%})d_3-;Bv6F)IHAbflp_?ETJ+;GcX{R4*?Hr`=6C(yJ*ZD?WO^_?Hhy5t=)mLd z=V^z$iXO$yn&`B<3R9dVKit93bo*iomMZ0}eK3bR7K7y6?kf`6{g@)Z4Q}EuB0uy6 z{!`?K_D=uj$dCUANDQFWK>mg>0_`vV42ePibiG)-J;)MK&{F{Du%qb>5V8b7>7m}^ zTSH?08d$nW6-==}zClrV+y8$^WA(Z-J^e;*E%PkIe<`!U|KXFiu5PLL1Zfcw(+JI4YXbPgW*6a4fJu{{OFKQ`4+ZAAvH*y z03CQt6K@J?e_V5DC;$@)VG5@aTYp?z5B=eBw=qE55QhB%js8)?qBRiL_v!cO4ScL3e;U2z=sN%uJH<-UiIhu1&VygHsU+s2 zM@7eH@5ig`#w(J!sTXnZb&*kp{r#m;r;s$N`nZ_Fim9C%R7lu>7;HMq*UN9-U#4;K zyli8b?Bu9>_Z$?}opgk=mU7=)@t%FLl;tIHa*v>Fgr-=j z-}$t`V`1`cD#9W!eHeYtJ1xxGH}8p*$jztK{rrhG^}(z$T-)ENGAm*E^s1W^9)}T% zl4(-oGA&PnQrxX{B%J+Ylii|#IZtz@y*Zs>8=LKFX{e=OF?$HH;;SI`HwTAWlZ6{n zR;^n&l=mGe(@2!pkkJe1zJF1?#x-=B5Vl`2R`P=h`B64(Y14@KK2hGb#~wDFHgU4y zdmrvAKA-1seV8qVR>@S=HMiOQPK-aSgz-R5N0+s?vE%B^SpMB@ZdgGni^{X+9WFMl z$0J^^>IBYzGCF@s_sY=I7c!1+W0ya(>b2?UYsDH$WIJYhzAU&hYo4Ip{&ly^xcAA! z<}Q?#aVv(7$z(SAWu#2vY>fFHc6LIE#0j-tbM=qO+6Aqn<*%LEaWp89GDWuLJjW9p z4(=-&!DKy?<=%dKd|a{kzrIhAeS0`6t5e*)@wAOB79PWZbMFftY8yJ}>!qxyxhBn|iS+oDd<0I%9H+sg@8sSobC<>yktN8R~#v_IpX*2w}^ z*~WN0uD$KZ<|Spj=rkeiY1eRE=7Y7VGw8F)( zJEmGA=@;;=wbJ>gRanD>z6s%D6CTALj=qaU@$^ynQFoI=YRd(QvWrK8$pR*VBgpIr z1nFJKq}1tX6cH8AQXl4y%-rp(aBwtvC|k^}7hGB{dS|IwDvgWlq%B?Vv-IerktfJ) zKF0Ww(x{Ak<4^{)$LH-LWa|#m2W7TkZM?E+B2_idR+>8k#xyRp>i%7Hrd09Rdxk{V zVRx&1gvLiNw}j5x?92T`>w=preZV(+tT(5JuZ2#JSePm?$8W_VYw&<*U~)=hJfY23 zcHGF^mJ)W{$=ooO3vKlN*F#TReoe@s9zSFk5IgF(>gu*6(K~^>-!T+EaX|Z-biHGv zX9*E=Q$kZQR`NJs-~G;@6gA6_bcxxH_BImt?6E%j?s}S=p7-3$(px@s<$i7I%_Cj< z<}EeuyNs5UTS^zC^0fxl@+~e);YJ|xBs~>eVwhpEcvd2{br$of4!+L>sUH#z>^$`* z(RpG2INl4&{k;~KNMs+R7INlyIS zB_P7v3NPuZ!l7=6mLJ~RK7P~t^`%exr=G+} zQ&w=Y=sPu(8;5%KOn6#;zsu~lTSa#ojegeC-{;)_0rLyiw9vdmOI&9W5#gRmhiX9H-O*r@$t8}$Lf-luV{ODwD^qDYI_QYPin#Y%%6HLobOdL z{@OV%U^qlfDHg?X`f@8J>7H1b>mRfj5j}0gvj^%|NOoL2x%pf zIJ*;X)a4gv&7{HA3>!M*1>#$QfO?azbLC+Cv<-fQe7Imt+)m|$QTL`aCwp?fi>)i~ z5vLQ6?g(f-?%RmRt0YTuW~4!{>ZnGA!a|i)^s@=R;=!pQ)Bo^I5OQ=MKjJ=D7g1ire&vRM z_h0Uw_N1oOCd0Yu0cQ~~Upz*%L*T)9zh5$*2O)nLaYx2!|85Ezja9!7f)j){$(^X! zyVxGY2$8?#Vc9P-WY~W2y~HCwf^TmeiG;I_t8R_Ne|Rk*a!&JIvWaiS%J{som+y%D z;&Xog1iy!~yH>sSlD^@qAze;z=x$K<_MQ{!g%ZK>JH;0 zbZQtPO5_IT5OYMi=2UEqD3NRO%l~UF@rQ^KiFU0)M2Y+^?Yu#@dF`j`4$XfH%s>Yn zwBQzKsRzkVf`}5hyda4#3Mx@Z#BUhrD7b)yg@C0w;BN!1X8?{xf*K{z;k2}}5VEio z+0@2n?P<2ha6ldhqza(i4Eh2JfZ_m<2L@8YLSV@tCiidp2K_BN1i3*W5ejwI_Q)TE zlQEpvM~6RKyCv=eDk}Z!e|&XhNuv^K`DLnvjW^U zs3^lR2RA>5&p#UsiL(L?=gkHqH}o07G%FDD0K%OZo^|cD`@XBTF}V2=O!s48vazG~ z7PY7!W1H=ZX3(I%^>=8!76p~8|F9O7qo)t)i}`lk>ocssMo_JC->HOiIL*VsBjMtQ z&n*<}Zc^bq3LL6_KGZw8HPa6&eHrq{D(Ku%wlt2z&-uxkUGF#>JueRZS(@d;6j#~s z%<0+X@dI`WW`q%tE61nCGsW|sGK^TEuSkk8sk|g3NuU^Xv)Y1j>MCn(z|~r=S_Oe? zc=7#mi~IL!`9HoO7I}x@ksNO5n}ZbxVK6r(OLvil*C+kS_gLA8Gez7?J7CikE)-oW zeDpj8=P)lTt8%0JT{~l)BaV~%P4_<7Pi`J{oT9#SpZn?HK-z3S9DQDm>fK6(B)D;5 zF}Ss-ov{Z!=h>p!{bL2A!_P+SR6js<;6*j#RZZi=5a_ON56t|c$cOzo1=^Jv!VmZm znl`Ib1fltNq~JjPBjNF7O7i^v`+Xik55m7J)*(OJ&|24fq< zx~FnSn%B2uQfD}laKh;Dt9yEVHoV;V7BTYgr#`(oLwB8OXHY;40sMueHLr;j4xk1DQBjQOqvkI_aWrVQ)YPLGSxX0$#9JGM9HCKqmn0n^y0XIeQbNh z?}*VH2@>v?R+ZXa&C)>Yvv-Eflr-)O;grku*Ql>U3O+jD5PFT3UZ!w7qr>%w_wPK{ zq43V1M^WIu1qt`XylPz z2x}zOE}s9Re2j02R4U4)}^QQdNTCeOxzHmIrD*3{9{L1BXl7;@hX38m6l)JB=NVzzB zm#Bnoi?xd*njKU<3LV=~~Dn2i!ntLM#{2d-`FRZd|yjWcHY`N9_ zdbiH5<4wt^c`jYwmPqbuPPl%Jai6nxWOD zoU{5DhN}(cDt44{$8eqw-EW%u){Oz{$z{RQoky^maQW=<_i9UpINP?!$u;;^DH!+P zyO|o#US2Rq*G<||KP}fn1n%F@@HjFIWEFgIG81DJw4_t5?XENxr zTN~W&IN;0M=(`uz+ZEfWcAV8x+r??~jxx{egO^IHn3Dym{%fP>23g`mr3YItG*DS< zRWdf;ew=zYUfJsKWjRjDu}dj$nB4Ojd{t?~TppW9J?=DZ37*56=DBx%rBS)@OMMFd zIBj+M48=KG{wn-;T4ub*q@Pzm>?d3i8kZ|Jzu>cjx%5WBqc5`t3F%8+C9R5SMLwF7 z;;UZQ+7>-blq1`F?X#)~?C#B)trjwBv9m-cx#sjnk5{z2y_!>KHPdcWJ=psGZf$KP zqu}*e&7GMVy%q18KE0Ga6LHNj3UhAq=T+|Qb-XZnQuLU((rAJ7 z1^m`eCQP^vWZlyvPbWXls_$2dm5i<)za(5WzZ4H!#m|bT#eHTEE41lJS14}xZ^>zt zc(gFuSQ-&VRPEbKWKzETT>5dB930W%HXf#<o;zgyg&@ z@0(BT6sK4rycZi2)bj-<0PseBw2{`3B-K60Lc>9aR>HQH!p!fQ|&~U!d8^ z%s)BDKf=8Jn{x~l?D<512Mz*l0iG*Olw+B@mv}!DH!$3d+eEaQQ?w=F!Nc0x}BbWZ?^#8`8 zz%K`NEvSR#Cchkr(f#lmq21gKvqI4TAd3T5>!zXbO@OqYX9eBE0ZSG`(E%yADH`}` zRuQOs52z+#n04!Ag4#wv%``C>j09TQ{~j5bo)z>C1>8{#n8meI(a5RGa_t=>a|e4}JQldWHaBM&fYlkAanWC97EEyMn?VxH;SI)oQTqJ=b6EQUdoHK zngX?#Wm(_zM)S%BO>-G1Ur)-hoykNjd>VKae z&r=&;>VI<;3r8}l=++|!Q(u?|$knP<@W7S^G8#VDb#=EBMVL8;&P_&Yrm=bTW{YIWk=A-gX-r8f4d&^i3$!48&R63-0R=`-G3Fi33J{r@rd9`IDR|Npp^C_A$E2o=t8j&qLeO{5Z% zBs+VrLiWrqduA0GC7bMIlaNh|>=HuqzmD?xB;DQhsqgRce?0ET-RW@kzOMJU-mlm5 z^?W^_L5;+?Hp{7I5WS=2})bo|etMrzG+WW56FJixON<24rcU1Sfx?%h63WMSU_49*I*~FV} zAL~0&WKf%vXPgp+tUjg9-`~8C@=>jTwbyElkJ>4m7mF%xUgnz+cZnEm9|;$8tkPSz zLKTGB;_%rp<%XYn9c?A3$?SZCCFFdc8~8P59jm5R=8WPIcp1P{cRVS0AA@TZycTZ& z&hpqD`Z{=)m`bJk2HqgtAeLrG4UkA*puvm`%L>RQr>4j&VOWsTMi#maWvj& z)~!5=(j(~=P*YuLA26oDo)1VmO)qI;5`8=FzD(o80mL0FR;9*1vs0e7u5}r;WGu|Q zIc7mhNqrjOj)&R|E|nX%4#CH#A#+y#U7b;y7U7+KQI?@znlnL{s!uzPx6}efUIqtP!U~&D%a_4RDnWZo?IYXiim}@c#`<%~gj5MD%mp^!CrPn;XQ&=feABIrXIxIl_Xb_+784K@gvkCPz z2P{kTSDVigMc-i?lF~SshVR(IUr2hM( zD8h0)?=tpOqPOCrSCBczeEca7XZg`6T$Z=4IG?d)r&>II6w2?i7x;%ze*2B|9lX8m zXz&Z6{1-hB@QeSXAp|hu?FfE%;J*Fk--&;Ll@<>lK=HRRL%>S_^e7ZMjs)5oz&Y;c zfh1hV00m5z1PqXHm_7ss5F1?`Alv|gEu^l#fZ-lXD|AQlcVW)<3I3Hpau4GkwQDF4 zJ^{@U{68YFpnx{@&yEU)0*)0LRsBIow?x<+_S+pS1o}qhP*}tDK6c{Sh?vTw~c5TdNNksHiXp*|*S~xAj z$7_`l*GH)GG;s778jca%Ai5W&NOh>K4`C^itGbw4y0*|>@wPqwy2c^AT3tPTJ}sO< zh0Jr8GYq1dYV8>P*k z52t4@52hOwYh)Tcxb0{ZL(9J89IHlg0@f4b-#DR4gzF!ELa1|7uz|!QwMg>aeREk2 ze>YN(JN{hEPm~*rMJ}%JaPp`O5(e_=vrHRnajd!6^Bm(j>%wwuFwipSSiX*|;P6bH zD6wuv-SN4VeB;EG9y_98;xkyeSizp>^^h?ih%;D+s($jHVTzP>(;NO1iV@QU9uX+Q9Wq7d0MQ-|) zqT6gV|LQwTA}b~6qyzH2!D)+y^{Sm-5zyCu!V<`HpT z%f)Aw_fH092AnQB9;ngahb9&3!ES6iJ8QIs_{%SVW#4(8YoOqm%V|y&8yR>wz zlvtPPX7-uG7kEeS<{vM-D&lj>xZ3-8Ls3L9sc;z|eDpXId-de4kWBVw0G1? z=Ho5<;!!E?TDF*j7~;0$tE1PR^Sulx#u89fn~)0`9d%>|~2f(@IE-qtIavyS_jZU}{%KJg_Q-!IE zRlzCl4}}m`fh2EerU*^-hYKu-GK?{bjD8gazhfOkxQ-?~`wi?l+pQxR$1A2~6uKje zPo^~;u28Ju3T*A>x5!GrP5I1SUeg-l*~R0pK!$1zJwj2RDxKOd5!BJEGnIG{Yqbcg zz2%eOcsf?j?Tjj?3sIqPlYPC7k*&;bsX-6UJG$u8Fs@;}4pc82dG<(%Vlm|L^&E}* zBd9}lORg8(g9mTC_e>K06b{?;?F`ekm?%DSdZf=MOLohEjz&)65dF*<32m3KG6>T2 z6l;mQN_NYKeDe#vm+C!;vkw+?Qhk1ieKtj41Ow&k5~)6O@AGphBg&dfR030&*$2!d zI_1+6C)3aP`QZzfZ^$ICBuSd6krqv&KHcm}dq>xCm8WnpC`Z|J5JgnIMbeadZUOnB z=hB3h;7QH9hIbZ18kp!`u*!)TD|zXPce+iBUBF+jy&Z*byY4`DV>% zD4VBmU@Jmas7L8x0c-zd@(<4Q$*LYP4ThHFE%vH;Lqpt0V~{GS3OFf^j=^oibq=mb zdxi6|Hz_pZJOiR|-2=)WeOjJp)H8#33+?!?M2!CMF8g+350^ zNS#;bdv$7QPVo4l>_c+r$=M0W0%%mO8O%)96*VEn$&|5bRUJXXM>SPeTnaVE(2lLfjgOORXAWU&$4A&XrnXIibBJ#Q|& zh-zS7W<#`f;i%l-*0R_2#vxHKBUKAZnQ zA{L8`?bo+?(>|N%*)6rjo*Gai18)rIaIsqPs?V>wX2(JKv1h4eti?gA<*$6w|r+DsI1z3A!Z2$a2HYCDp+-^rIYjGg@8+<>Xggj;gy zY15KNc=V7(G4&bZa`vYBN;(SzN_qEF>=8MJ_QKQbk?S^&2P2anJ1z>I_{ic& zH8`_)iy0=LKOEtM5m=Cg8A9;#fSMkm)5WBzju33u*!4qlCCkgJ*Y^Z&7%N=3De%XD0%O%9bl$Pu`b8J)eawwh+S&jU+| z{;c~kuN-%n@$=xIKC5;?Qcvb>RsC1G6&I>zv(+^8j<~Y0q)j+Drea$dEZcWc>r-{n zxNf}>#e$Yoo#tnLSYb`6r%1Z6X!2ISV7`XI~;dVHRE!KQZo8^@Ie6fI2m6QW5wWN5Aj_@xX*2+-5n95ozm2PoBzS%j^0}y zeQTViLyb}@q;6}QeOvh|DY^QZ4u)$ zZQ=eXQ`)62_z#)VcHH+}ru0Q4_1}p=|DGvrH|e8^K--k_?GHO;kZoml^f!Pk{-rRo zvDZlFcbhI*nAAvxi^!FGRqqcX9zq2%SFBcS)m0;+i zBk&}GB7p=5Kq+A8qT|1KXX&7T&kmH&P(TO4uVV-U^9ANWFwpp;XNb}fFxcw}^JRzo zI}-;LQ64_9QSMCqKiA|JCjJZ8;Bowpuj7P4|GHU zd0S8f2gYYWECd0z4D_E6Y(FR&}7;W_e1xtfL|K*MJ`&-1fWYj;qCNQvV0}W~>C@9VY zGRJlV0|h}A6c9q-{6F_a`-KPT_piyfmGwVc8u~c`lnV$9P+UNRAu!?s_$?4o0a}|7 ze#k#U0I)u^86Uy` z(40a0udX2=d7!_BL%;9cMeQXyY{%fg1g3v`y|?KGyN06K(%>cgBT~j5X>HW^BhmE) zaQ*k7+K4?}zuymqfLjK%YwsP3`c~5EF9PDbg>wFx#0*|DU-(*}@AAL;px?D*{ylhn zTMBz;8`*)!p+NWg7vb@_3+ACipb7I)wZvElu8D8{)wLLyaTtTm$b7k&$HVhijtd@E zi*kubXkY1f^i4PGj;boAyt2^6BqkM^FkzK6VdYzxpNZ%{_Hp=P_qeT?*Q)wf6==ky z8idKoQE^gBMI6dJ$ESIzYQ2EGc4&HfG6&I-V>PcB?L&QjptbyQ^=&9E*QHG+!kN=PzROY%sGOCZ z6eVhpSso_aw?!p+_9(%AofxUWFfyWt&rX>SKGZ@u<|e*fielo49#JIuNMvBZl=G-} zE|;eeF|#&|j{;QKO&JP$<=Zjw13lJqvdTy2(*4)ZTG2$Z>C!KaaZ)(Y9F*3^y>j+> zQoKX5KnlzG#R_KUeKiqxEas!{r=(@e4OMnYUX8({A#E17SB#KSIC*PnK&wagRbFn- zgV>q!p73g7+y?G|q_O?%H;;_KT6>IngbL`{@q!Uz?b#2E#aqvnCB7aA6JlnC1S=mh z;?Lb&7clP;XV#Z{-iI6ai8#$|giFsa7Z0CsAZpGWvBh`gEEZn8R^HMBicc7;0sdk% zjdZ$o5m!vZ4K^U=48Cf36!LeTXG_^PvAj+0#(!BObnXq?{qq9@JwC-z!rIY=0{IFX zrcvdOtL6m*C5(y_EAC%F9K~pFzjE|i2)>e7qLk-Q3dSu06Xf%2iHL=KPi5cv)Dw=w zNF4anp8Ka+VJQZqj|#O6W>EODff6HGg?_;pZw?-LWMR%+gM9%&_F(!Cm7}Va3p%Jd7_R_$a}%a5^WUY2r?IuK79Jjo$fl zafFEC{62m@_cM>^TV`uEBR7lbD{=)E)#s;-W-@c5))?QqrZ!Vm8pGm3^pKYvkhWu) z>qImGg9m(Ef~gy=$8JdB5FH($`+)iKL;ERtmS=|R+_^m&7QsnY!7Z8x6NJ?-v)w0d zP)2Ogc%8K#3&0S)U)kCEY>?Z*eWgP{D1FGOu7j#LsSEpsMdwP`h3J`#yq@B0+M|yv zv(4+VJXIVfydPzmnA3(j7g{YH-H=Yd#>aY|MWD~7dxe2RQpR;aN7BtWR*MCX5jl?d zd@7WuB40{G!8B{)Va?Guq+2JG6MXFhGLy<;GYaF{xXSKbIC0h_ae2A3yO)sj7_U*I zAb(ycs=sNttSN*&Mwn&arC0Wt4#B=4qQ4iL)CgdfxCT_4~|N&f*we-0yIX{hqltFhy7Cyaf(&{82k=*5WIQ>_h5vO{X= z2By*-i7`3l(2%2uCp4rZawbQx2F^PK-7Mqp(0XZg%~4&%Mn-1AiY=*U*Qx&Gpk>2<067vs_7tk{ZbP13cP~$*}$h1p9v+z>Jhc0mw=Y(}< zJBfmW)Kz$iY#c&5HQc@_6$hK{P~C))HziZ&J+u<}vFeqNRU-#Qln{iMY-skq|5By? z0QQyTXm}9Ka{NP_i2?^b<%E+i^T`)n+l-R95{M5_vf*kklbx1&;vbk~J!o~FI*6#A z?5M;(Gv70}%a2~?ouI~`4NV&jnWy4iN1;O~Ys;1h|F#ZDNpdyERN>_rgpBdeF_tE_sRibS7DLW#10l`o+ z-B5ZSLBA*pQre*@I=6u-IvOkXPzNl#o`s`WFRsW(ww{H)axkO|)*PtKZ6!YyZ10!Q zu;$lbdq|EbLTj4CJt=}sa3*hsb;W}<$jpaLeio~nmayB`Hda{g#Nm#Ei*DWcLod2X z7i8H3BBmN0$%b>npmB0_W|I?rk21&dCaB=;?i(kOXA~}S)`V<$g~7=lVU&D+skQYw z*E-*}+yOh7!sZdrf#}rOXmQ0;#OL*09u14wk&m^!q!e$Oc#1zjtv>nI?>h3R|9Ol6 z%<~TyAu^Qj3`d0M1^S3)3#CN}ijOoeUFKckNSDs-X`67(w|~pL@FK4LrTL=?6+<5p zT}mU%?!trVQJ>Bh^1ZrQXgd|`>zIAKMougt%faNzr$#u8ov5#??c^Bc+^uew-do-J z?_H?do$6IugzpPg1wPiWxk?dS4?pC~n}7SvvJ0G#*+!G}M6N%sk-zL`3*VzgLTnSo zB=&K5%i(p@33wf+coIBvAw>q)6ebkI^n-f3>!_)8V!UNd$WFiwNKdke(GmA}`S3eZ zt;`r63%F{}|H&Z9;l6c#1(7DA-ofH=-K7zNYrM`IV&$#Ly(h}d?mub1{3y@PxBZ!$ zKn6em>syhj*_NdN*G;dQ0$u^mFI4_UF#3g&#G0XTg74_e?TBd#DyJg3tN) z;!yI$Z#u^hHu7s!4I6$4X+6!u7$YGt3Q=zHxU$A(3HF$D%mOy?(b(bdnxl$*gvu*wx_Y_;YF|J@s2qTOtYa<=dq16 zl(ro+3THju-Cx=S5wvkg5}xSwBf$Av9}wOzf&KRa{vcmN1Gl?b_FufX{s!O-(y=eF z@V4^{8Xw*^V?lq|wu{>S2AzIvf7touFFs-5ceds9(LdUD;N1T3RU8Qgx=X)|+IDf; z{?QHu4MOX$Kia{fw|$7dQ&K{0&uu4${Ij$N3i2DYhKv9Zm_ZvCqorN|TL>_>0R^4! zkAb+~2YtTfMmQv}Xs1699Up5Was-=^z5yAfx+TAa0jc&!0_v2Z-C5 z_zn>FD--{PEc>^w$u4`eKU~@uAP%jl3~ZzS1H{3R0z3ln|Mx)LuB`RXR<;Ag?JVsp z5cii>_S-<*E)S} z0Sa8eTm|59;A`a1;VhuKW&mW0^>m>SfD!5H!I2OI@auvD19u%5=yEdL1L^E?SoyPg z?*ND}P}u@~RQ~}GLC+Eos2ThtTJ^teZM#gQ|7_wr03v`~!M*e!{E#11v=H#0t1SG& z&i32*At+}4Eg=3Yeu#!}1ppIv2S4OTmmGewv;AM;hucBMUkVXGT?hgSSrGI!10rqw zfZB@I8UO+pp!D$5d+isl*>CS`ySy*|>~7qN5Fnthkq;DDcOnD`x-kDQ1=in>5O&#T z{@KKLB7~iZ??ec{GVx!yCchsc{Jp5{YpD(bs;6jE%bijk1Qa1b+vcC%ZNI&;UGAfQ zcF}esgq@{*jS&9Q%6|Vj`g^sLod^L5atRQ4>{RMdXs@yV_nZ2*isH`Jw_X4EV*1R) zdtT!L=z(BD0F58S4+|iBJcL1+W;-MMGV~|1r|5P6_f@j@sDS1Jf(kVKWKZn`wZ{nf z`=f&L01w~+{9x#IzWa5pf3bG*OEnQtF8K$k%fDAG0raTG=Xf-?*kmpxavj{LRc*;B4=o3T}=S?mkBDBQYCicUmt z8X8qoS0@f%jC^&?)hBWR|CGq3%~gfVA+Q_Px?zeq%H%-jCA=+4-BR?p#(N$6<)+?^ z=H8(#&TtaX8zivUV`O=py2s9(WA;&k#vak`QT{O59djUI^zeXx;0I$VE0g+Xe%y{@ zb?4yg0&Dhq`()0Qrfz(kB9G0x4LLGsOrzojV;ApP_V7DS6F)8HLS_hlwz-`{T$5*5X<6)i{f;0oQ6U-9nM^b2~#2Hb~6_I5G zC{NPx4-!*sXGx|b@Y0zUq^2yEwi4yijou1-YN_YBtmGl+I^TaoO+P z+qc(~5oz~po@s^p@m;u=ossDG?gPrjt!#~px7yFTaNQz)BPxYJzSLqhz}u9S*|Yh< zfO54($?^V^PTmul{kaee>SNT{j^jcyTANpl*09Wse6vL7mjY{@K2YOYYvty5 z&-Y~QO@&E^398G*JaK$yG)2QcA1Dh@)e!PK!`DqX%*?Y?%DR+SgTXNMti$Zl@`<)p z;uq~#+U~!rz}1^h7sgO3ubA^(&*O9(NLnHa>$p{4IslD7d_13Y_r(V2#`o&`4&K0n4<_D2;SGRrEha-Yr8);Y}Pn>G+iD|RvN zpf-DOI_?=Vd^+Qmdhx;&f5TaFSU?Y=@_eeCHe2aX;t^JP3#ho?cw` zGe=3&-?(`1pyL|W#1rn)4O!N+#3dE`6Nipxlo1F@r+j3}FBxBs-m(f$!J9g_*yS(s zm`A!&yT7x^%N~2>bfmKTNYN{Uf`!$?`qBo4&JEX0%m*Yz$l{R$!;`eA*OdiXFLdt& zZI-%^42RjitXpXEB^+1?joFy@C4bPalyD&3_*fI(@X?Q~W-tz_4SvAz6+&3WnKCWj z7%l4$c=FKoxX{wtm3Z1!3E_dYin42~E^zwd&ES2N2@#~#2G9tktJ?>*!;5P8sqTo0 z4ysI)XBR=#r(npzAiS^ND%qm3~s99G`&}a<&%l_t`n| zESU(2>Nf`A(JMpD9`pFOvbEOUq`>?cs=_H)m|F(lUc_v}lT@Qt3HCp1zR@_s#-UMu zKDv;@5phF4u5UHR9zt9#MTAqRLTgBOa!HZkjl{sDA-1IF-RD{Og{}AAC6K(i_Cfb( z^Sdhk=OnWtHNFWZmv!wo2j0@J-ckPGEE($Xnm|26>+Y0sMT7Z!56p3ymG6%~JG_GmR82TJ^ui5PEzg zuFJ~G)cQP1^OjOn^m0gge2Hk?lqm|aI^d`d{47aj#+c%#h&zV{#)`8CH z_k@u_?;g6jm~Dh`8+r;wrBvj1`1`6@786Jmch9sI3$oPi98(Xbw~-#Sa(3M0A~5ub@}^-vB@KNsc7ww$ifH=0B5dvH z{Bk~Hs9|wq_VlyEk0|?tp4}ZP$P0B;bZ{Mdxev>FMPe27Ri{g$A^bB%ZpP9|@CnjsyfPpmTtp0wMTC`nwZzRxpRA^rMT zQq$zUi}f>eLd2)Aj1PLn&r#3Db&M0L=pOQxYS!XjzCPKr(Dx&-A85Ay_a*-&#@++= zZwCV3f&GA<1JLrn0rmq`_%FEoSH~oP@$Vq|h%W&kV4&@=%K-$2{^i~D@a=zLC+Ph1 zJOK?#0W=8^Mxp;fr33(17D!Y6GzI#7RBC(0e`n%5s1%^yZp-ZK(Aogi32>`WKcDz- z1Mb^b=gfZ_+}V?Y!0(X=)=U?U^{oQ?e3u+;WF_ji`|6_$bl%m(cvw*yPT z0O0<6FP|iWOPkdp=14bPW0`0r0{Q)g zeaq?H&TO|g>|dcG?t<#~4h4*Cu&4d7t$*8Kedlcca3l=vr||<8BVrfM{-dFQoe%mb ze$>{#?Uw$tqe4K10L>iVo5XLwjJ^*2i<$U8rP#kHxB7pk)U?ag;2;cM3S4Qgj* zXX^(Tg9<6HbCHsGvM^sMC_Pwu+yB1U86WZx&qnT#x{Empa3gApwnO>T@5U;hIw~ z!0ai1yPug(2h)_xaQME(@Z*gJnlWhHc{~|zb6|Y#Pp^7BBWORdJYhdibAN>&TPR|_ z1m60nF-J9Nc6Caf)d#0?%8GU9xjQWM8O*FkTKogdj88HlTr3XW9v09enUE(SJ5HUk ziXo&_6+Iy6qcw-Y30=L|^SXUBf%}@bRLn4P$>PAOtM;qc4>)Z@hgSWT->0reV0yb1 z9av`x?b+1I(ARE|w!wLsnp6xC5W#Y1h2T2-un0<3CMsX8vrx-ej9l~AW+y3o*7zb` z{^Gk##iS?PIIPPA`541qC)A~IxJ0a9ny|s|rIUDN+(T&RV|LhFx7Ff_wsN>8U?mk! zVpi~Z{&AOz+PK~tmoh2Bobk;GosSP^m5MrA`m2xljNx!E!Ufg$KoY8Yv zB%xJhciu}cO9FV6iy!d++$>$Cf1Z7Sp3Xl~(*itv2V$B26;I8^cJS#EeBWD!%OE5RsMWh-_pK<+VuR$?)SX9rI6Gr4Z$CV`r zQC>2W&TUo+gV=JgXY(AS^{irqMJ0sftOCwTSS@U%LbvK=!?N2h)Tp86^rPKUWbO)M zoKu0jebjqf5pLw25LeAVHBD`hwst~A44!GNj4L0(2Q}_dxDyj0wz;2yusN@t zvxj>B^%w0|m!ZiJiqYtybVtNBT~tR(heOEt2~*mKAK04pX{)`e%z64|dTZOW{Ahc9 zp+h-KapTZcOiFxUrR@uPEbKwXgi9~^8E=?pfCYNZL z!_;(L_;p^zBE|W|J=Szaj5*R%bDqzh!;N(^f13NWslpRc>~iU%tCg**Cey1(b4=FE zYiH`GEK7K&tS(#8^_wftNogx%c`NzrlzRwP-i=jMFM_qBwr;tJE_J9$J$Xn&et|GK zeauL@0+pA9sfrhfQ1nemOPh{(nog7Hs?}aLEQWI?_VG! zJ?AF&8!4JLmMOgh+4D-C?iomX5Q8_yY8AJ08f#mQ)9KuaIS zp`a}3cW@)Ylc<0P%RUV6hTZa(w;Ih`*(*2SVB^76w-)kR_Fo&6i4C216ptDT%4Zg; zi1a_vc5ra?NV(wW*0Zkq^v`_+juDW~FDQ;(ul%reM6r0rASw?dwC#1)d^I)x{)~q_ z9t)Q?m#m{Z{fQjW?WSk6rQGq-@@r-6TA#%-i{8FA9Zi;ES zj;6x1!ySKT0aMwF!4#<^PaWZokC7ODd7u`QG11D#5FJZ=SgQ;Gl~L-ITKv+XD&$a8>^2!t5``_5x6(KIro?MCj`A8Sv}FQ81Jq!Vtl4pkt_` z1Ls5T34nL``)$WvU-cpXweA86GGJvpXJzkDutt!XA$}MrervhR`@^AV4OqZ6*b}lL zc2)m>G!(6O3wR)VhoZI(p?@}Eeh4r?2VHA>jtY{9|E~4_KY`-kE7|OFXWNMk`N67x zF*1C8Uc>T*9Esbc`p0g$lRa6Xy(SroA*6+oHCRW3Z{7&gqt(Udd&wHPwyNp+y!MTp z#7)-$O3sp2Emdt-t=^H%w@y;`q(^J}>gxI?J3>Qh=$x7KT4h7nOJ74h{9j&}$nIgh z7h@mp@HuZeXG^)~)K=iZpwcHs&+cd1uHAi!^uIbBC)1bf`kBNm5IM7QXJ(*b&Mn#P zuBcx!1BKj2i5Vi_$@=)QqUjXTXLTGJrp$`{j#NF;vHPSW@@V<#*ZW5y4P_4@(YVY! zO&@VBBCC4Nmk3J;=@2t!OyUfM$`7;GeJ)e4xc++mF!K{iPa4(+N$;}3mJiIn7(yo6 z$y-b2k&Vuy><-y}9F*6Mbs{sK*65KnmeDbYsF-hdR~4hw6c*~KE% zTH-!ke0}zjQeTk4#iLi4@#gl;Prh(hW^jX^Dke0h!UvV|nTYC> zB;TEMrdivAtUNfMuEuCm>l+za-Ltt^FM!!0)Jn4%euVWz8uwM#ZI*|9tz-C8 zIT~8nZ6Og?2ki?sO(VTcQn5!37~(xC-9JQm>QOnbk>3-D5Whhp98b=M^In_zUSnam z*g@#kwfr=7I=9c>gUPa9sSF-0q-hqR8*L0twi>?0qUx%02j(uYH=V=mO?rKmO)*X3 zVx~^Y>1bk)iTKbV@fH^StBs5s$hVSX@5EJ%#kAsr{p#O7YNor)lS97cVx9fjl}7)} z=oo@xDU7M;O{;|~O;RxLic$mqd!%dgU8CeUrq1Gbb4QGwQY4E923hk$UFP8@->I?` z+TE)!*76!uNUehjj?rh_t}-hNH80DIdS|>(H;LCMz$}G?>8ew0qr;uYO>=U*o-AFh z=4bT{&fgr^kDGM#P}aUnvcf&~bETnOiFh(1Q92#RTS(c*StLE<%k82JBKT_a4LxAJ zsomFZpXV@3d{TEgdy?bHQnp;(^cJzgW_FuWZZ#q}(Y3aAdZO-`Tz+#U*wIr4*&mZhmX(H~ zuLoxDi-f2b4(MMMpik(;MQHCw)MTHWYPW(qox3*ACa4qa+{UD_5IE#Ju+pqAFn85t zGe%}gATCeHdfk4%-@`)J&9h8n%iI~)o}b#py++BT5_|6;am?LgSRt>c8H%~+hBOzb zd!OwaXQmZ-*)AD2jNhC)Pee!rp%vlaF+DtSoD88%Tsvr`7B?^&+ebr~hlqV9ld684 z8%rL=yl^wz@s*ZaRfVU68qt;5XI|aW50vS>sfF1$ZsM2abSiU&&z{#Y-H^KzghP@4 zt~>W;O4wU$M`AP9`9O}>DP$59cHa7T)5Y4)Dp9Ykm{wjQCti5*DqT=zUuy$d_b=Q{2lb! zqJE~=jsQe+L#Un}9N0u{_X`688d3-Fgg}3=0Ro}J4;9!eRk3|~{?fz&IT2`rGJ(!z z9>8A(HpDnwgMe-mP~O_ssRgcDOi;jM<^$M1 z6gX`C{G|TV+`dgH{_u(eHvztH=eAv6_=Tw%>F^2Y8tUm9qJS)b00ewQK=pKhFf0;u z{6oMqzqf|4y@~yuQ@V4bqFK9WIhvgt703+$S(=}4+yA#4^;@3SAD(Z3&I2U{CN#Gd za3jHH1Trbm>xJgF0x_R|dBT7)FVYa0@S&hOAYp|f4MFt=1`J1l3!grq=I_}WwqFu| z>5KzUHyAk6-~*bf` z{hK#9oNwFDap!bzr`+3t$G_K-z)*sZm-XE`GLmCUT9*s z2-a^51c&_g@!vZV#6`eg6IfKDe#pDsEn>BIIJ!)T;(-AJ$se5NJ;ljgHxzie0m&~A znE~$Y5BwofyOfxIcw`U?{Rlk*YyS2T{LxVK%M0BH`u(IqWQvY(zuwA!2m$S4>V8AV z1(5?ViTn~ke{aASVaoJJi2CtQmFKjha7pdkuf2%}+SO%ud5j^ z?54!#TzGr@(_(8g!`&jOm1c4APm#48Madm^=o3tWIct5XOwt;03^A}USbb!jobcz7 z8bNS|g1mQ3M8sKmhuRhyj%!{%c-|JnhyR%5+=tUX(v#+Rlo=Oro6b;|U5vTu4Cl=e za?sZ95H!Nzj0`()8Nk)v~RI8%;VzD>w83u<}` z?^dG`!O%CS9yvbFxcnk$y1(=YVqQ4I>G)8Fne3y!>OB5uaIBEv)7c$l_11U9l#X9N z!CI1=y27EAJo<|B$&t@%XG1KEh%rMyoQkP8svo{`u9_orf+G`+86fE;*myg9DUYPo z-Wiuo#?EZ6bL*)kDVLysb0#85UG#|c+m#tS;&jryHp3n_InO55*|fa4Gm|p9?e$q= zWb+~2VI?n=QiLr-Mx><8<_JXWb?ONp90v=K-%aX!zUZ7)v8>-i%+Xi9P|b!C_d$kO83Bp=iGnfm6%Rv4!uc+Tq2l?Sn(qbA8S?)w;cgs@gvp-VdA%$gM2f zs1;N%O}=e6LA*h}ydhn5!N8e%L)(%;lSc9hABPvG@`G%vBWm&&F2}1A%pTo*vpD{g zUbN*Yw3Fdps6gypchC2Y*pynt+G?VkQYMdyFRn6=li9vKd0D^7`lf7a>5Zk^6y=dz zT?7|Y2u$#C)#*&{oMWO=AH%;;Bl6sxIj!sZ@?G`_wgyj{^P(yEU7>GW6@$g;l6>z> z>em>XtF+7C!M~AcLCAw~>Ja_|39O}HfddT}#va7=B}^q=D53K2isA3=TbI3FlWLQB zSdrFQJ=5XVNV$>TeBKKojgh9Z_Uv_kznqI>Nn=NHv>4eq^=Icq9^UsFI&h)EufVJF zOq&bd3G7dVocNUFwo^1SD=#l}Uivti;Y94B)XwmzlXd(W9BY(h|H;0*%u}aFO*Cl9 zOOLNL@uf1`sjM?A!X7GPKjOnHj;heAppA6o8ugM_DQtRW^Qpo-7A~86;+3Sq3G>2- z3MX`u1I<=o=Cs`D4Omw?`yS5WF6HtR)p`%n-F$RNsgxLd&3vFs_{a$?!WXfIF`Br# z^?V^74q1&e``K^wZm?C)HCWkFF5v8kEy-hZkSdA=60pXtU4*?af6pGTYOW;{RY zf6Kjy;{&`w!FRT|;lRh~^<$ON*YJ3um*>{KkY*PL8Ub>O*;V@&>Vpa^|eOYu{9re-yBBH74UdBs_g=GXmy7fuAe+lFWUwX6ny zar>S1kDe*u7rsD0;KLW_=UX1;*Prf0iGP-e03lA$6wJ>Af_aeggJcv17)PK|B>?mU z_ym4JFhfW5dY~1T-;fXZ8|tET`9S<)2uA{}2Q=sMfeak}eIoL8HGgN~{6OFU29y$a zCe9Bg&JSXaf15ZG3MP((Kq34{9UXoE0_pPU0V{KUJ%|oe0K(6w3nY8LM}EM>zl(#w zH|Trh-$Qy7U~l~=3__Rgz8eXI>VP-}^anfCbiJP2Z11eNWokb3x%h9tf`Iznvi{T6_TX*zG;$zm5bz7f3$79|-35O`i0JQTTtO z=StCe2L{`KpHFU0u21%CYr3E)Fw|?al2)2cwHyq7G`Z^ST8&rcgiVkuG-0 z5tq5)J26iqGipE3y)m%0dddfOc3N^YHjJkIKDof58n|^VMU$#MhE2q$0gH)CWm-pY=??res&zgVe;Vsd^eCbaLi)9!t zJVcUWiG%DHquW`IzM(zTmwbTd?)bDT{fl5l3(tXShI=ZLPVXr~E)o;PWWAWIhPz7o?k;z`6$a(VWUmEO5STM`h~{qz z()nFKl4m8w=I>x{|M?(kUV6)mgz=oRCbOEH#B(Eq&X__7YZiQAl>y-=Q%fQ%?5@I1 zt7oQhRWVLtryVDz7ioUH?o{W@IeYS^ZC$Kuax;o@rJim1#T@hj(vgMvB5Q*I(WJ72 z=cwnv-Ih0b1q41NW1OeOzTo**e4I#b7%52(tHnn$`bPGxA`dV;Sru8rTa(U%_e%#xuOfOcFZFW?};xtjr zt5pqdrGdFu-DK=3QSJJqd6P*6e$q3AlI8_@hp88$1?C7^NEWqw> z0k1OYV!31;IAw2YkOdrjG2{Y0%1I|e>)SnfGrcsN;)C#MqC@y{h3)<HXAk z4hJ`znNa$WJf1T7!+a?}jKkO7U#eB05+8gLUKxI-)b1)YqtfCq!EjZ+P5T2|D{|_6 zI!lg0nWq>#l7SkI4*dO|ed~E8j z-H|pb$_Jgycv?M8*ogLnYVD(yykCd*Z0PPQI{sgoEl6VHR$E$~jS9r(2y6y^Dq><@t5` zvqhJ9xuoabUaN?>h6j_d*Hq0gA;D~)Zekg@`+!rkaD?i$V%zYO!v2bT9Pg{Vl_X1o z;)x<~?C2kU#&^VAl1!-4^EzF1G^lxHOOQA6#FNl8ivxEqx?PNCg4LcNLv>9VOfZkq z+2%@XcK0jNF~iQv$@Z!lju9YI6CW#?$@y6?V(DyEL^k?&dYG_8Mvx83vd*Zd#S@XG z#GhUI_>Qk2j`jg(JVDR}2V1Um*`WT&B(=9*KF+j99P8sF3;ga41mle6rg#-C6Rv+q z$fjFRt(u~Xt*oYB(2~XJ)_LTZoVd_$d&MP`V%f;$!qkedm?vwqbvTn$sSaY+_Q+-7 z@Wngs&Q#Duj_c#a{jNTj0~-_gD>RMU@0B&6LLY6`ymTIzRY*)dWJy>+#Y0AR{WwA^ zWs!xk)Ny((RU5w57=y`?=fp}ya+dPyjR4Exu#f)BjTMWBFUp)eEg7_Buh58>z-6` zoLEP&wyq`BS46GM*HgSLiP#`uxM3U*%g9z*Y^V_z!LuLXaiO#vy?*CI7hyh$idfi# zv@^-s1OXqO`<+=7EZ-4^1ygr{k(m+QC z^pNQz^^i#Le;pVUuoVpS40UyM1z_J*L%%Nl?@S!s*#!sHkDZC{wCDZO#1VP|Iw+uM zW58zsgYXFea|Q&TAwL9kF!I54bqw|QOnm!N{hf*Lc!hwnGaRI7!0r~XP(TR@lyecN zpOl}`C;YeP4G0+jg+Trcu0l7lq5wFC)=~o|1pn!WgaLAoz|VBL&=W^O`SgGb20zqL z2MVl(fKv`2e;dFd2tybW3DJSh$C!2k6Y-|2Ql-|0YX6S|E59A%+lLB%s&x>mqgG zx_i#|U$h?s?W9o9JPjySfEWTaIMG&2fCmKrfbsuCU~4aAx$U>Mb1t{RscqA)e@Fhn zM!Gk-+D_cQYBc?%B>#PjCfKxob>z3Nn7z|Q`(pf4F@G;ox$B_dOd`SF%n#s70ni!n zJ=C;|^8W=;+cg|W>VeIY56~399|=y>9(48lq3HbyY=7SmMQt}<{PWcT2mObU;EaAl z=KI6^_1Egt|HaS#UM{<<)qE$Hg@DWRi&f~k^IDce0_3i%`Ah|r)G@{pEX(g=I-0xk z=FiGvUSRV{)p&TkA>yKZNc`ryR^Uxqu8yo;X316EZgTtiD!=GxKRVn826Eu7j&E&TiLHj^8fMnCGb$L{reT#S4pc#k~Yo0l=hUoskEU`vucxMDO#jm zTD4IrTBxYB(4w8RP+E{kd$eoU-v7Fvnd#8Xnc;YT|M&Ab@0l~^nfX5VbFbg)`d-)M zbr8F z?tPmu&|BY#9c^X2Ox&h(yxYmfZQF)f;bX%h2D`FJYkI3LJi~4f?cidXRg3jP15DFPn88`-`L3EUW$~ zQL~tVqUJB2_w4lHYW&?NnM;>_Yp`V0$kkozq^ELLJn48c;@Y8+-bZFitIlk_UH^M( z?3Gbz%pDDrRv364h^w6=?Y|{_-R^x;-k*LwCgw2XDONUW>x#{wdyzv3J&k{_M0^#Yu~o5Z^TVLH)pOd8lPhu>f8IwhTlH-9-Yic zITGIM#QL5+j;6aVn%p}mXW7BJw|3t1${zP|NGuv-VUHZd6J*?3Y$yG9FVw|&y8#mB8X1E;SE;SHMZbAP9; zne|-H%lqx>)Ngmw->_>JzsbqVUka_yoVqh_-iP=ApSMdsloY>PFr}A=L94S#lKdw5 zYafq)AJF2YiRre)F1y=2IXSM!k^!GiTun8b)_QIsztW7(=l1h zwxINrle{~vVHlkFu(uocQsS?p`#w%{9o^BzZ^})U`}0+MpF7UEvgG>7RdGi~pSY2> zQm@uvVJqvw)fXDP>hP2ib|SdsLQ(Bk?+2zd&Ydx1!Q!Fzmx3Sn?Z_K3-8}urhVAUH ztjxjIQ(s>CWH`^NiAkv2*O@cVwY*{a(L`JjFS96$ocMeAXH|!_&FufDKX7~JuFKC(y2}`` zn!%GKrndO)|7&7oC(kaS=4`Vay?h_<-Y;pqN9?rV=C|5@tztG0i#=Z7P(FZfSz>DE zc`c#SmOQ~H=LOxOUYXVq%xtRZ5T!vkL@jBmkg+M7G*yV*&~b=Tn84yRMfq0^Cofb- zCaeVHq=a`=XD3QBoq9*XQ^KDVOF5UJ)X~Qa722!R(Z@TgQ<;*tgm+ZmOew6AFQimE zsTZnmRu}`|Pf9^Yyik3!5_rKos&A$Q&&lPKG9&du5p!9rnYvlk3R}6&J5$NT=fVjN z@GH1mAdeF52k5j2v^c_P0Fui%hgC8vB@-VFVU6T7i{Q!v_^1j=z3IObafJ#VzB*J~ z#$T**p|QB&-IL=bXzjqY71nt;rs3HWzTSTcj=rOqs)B(d0A(46cNPN$A|T_zLjq?? zt%r7s&;EC9t#ZuJ)74mzZO2g>oJw-AMVST8i(HH@FcZ*nT8PTZ$EqQ?(hzLr9_yc$ zAeKso-u z#8&!5LQ}(mzAYeZd7MgFIG}N0H3_w7ox!P}@XKiTR5CaaBZQzDfCCE30gj$9HU>Ku zNi0$*rE@&6Gz3=~+pc7A%1MrB=?k!wu;6jX!PHK)^udwP)pAVrb8(q!_R0kZk7UZR zmQAKPtWPW+aZ$v0z%<9w=1`yOiHabFGBqSZ&~o@US;E=KO(Kz@t>s{3 zj|``ad+TLm|TenGR+(b0uym%TnUdMm9vC0@!th|_&bdY3sonD`Y58PM^-&# zgF#C}y%bVWd@a&|Byc8tzU5K@3o?B&2Bgg7JUElW0-1v$$Po+vE}KK(rE?FJ?8mTG zWudxB6*#Qlty$uTxt-}B$3lkfK8(NAH6)i3oa$s^VM;Q`ZDq|zLDrSqB3@+-CBy53t8k-{Q(=#|p z*H9QyC<15WzfrMQuDIclF64?N43&3 ztSAM9QXy7VK3Qd9OvS?kMMfG8u5~&_{+%ZVxFHm97y`KzbW53#$!5cXOD2E=SpO-5@tx*ERK2? zr%o^Q^prm>j@6it)tO0nu9(ir83cP9?bA36a7gx=&tgesVxb7-%N#jVg!L1ASGho> zzW$U^=dWCg6GH_K>C?)Sr5*b&6w}6SMnlBNvr5sF!5*br0lQEfm7MI5bLt3hSS}bFW zP|2R6oW;o`j=6-a_dIkQGKX_?>{EDf@8O7n&d3=6Xjt%u=iw2Fxmf=}4ZA5dSsEKH<{R zdr(c6;*1KivAR#1?C{%&V*rAWRHJn>~;H{*S=Zdc0)(wh+^<)i_jdGLh>=KsseVo+D^StHr zLlgXdeQ$ny(1GaN^Kw7*-8VjS_OHUt?*zgZNA`Dk(Z0$2Z%d;x*PAx!Q+G;AxLJ+O zcFiuan#J^udb4}FZ_h{1ID2Qu{V3SeD$=Ez<1&*r&0c(5ZB}<|{eH%W6Kq>$y}RL- zIA@M~9J|lnSnpvwc77Z2bB*`wSw-nt9>3~Tj|^Dgw?OC|UVSgS<>oDuLQ9s1EPDEE z|5U-wO{Z-Jjr)A$sKve;lWg9^1X^0I46ex=-&8+8wKub~TR`rn+~Mg3PdZGSBmC%o zcdF67#^=9OR;uW^#4+XANW% z*ALj7zfBtLGU3{&jdi1AS~<^b>0V-P$g3ahe5NEN?n3mIA&a_qYE+yuv7n(5uWI*@ zYQMME+-|u*H2GeFOf1)H9x6SfahWt2^oEnvUH+*cTx5t7P$-MNux(#Z1 zuj%*~yB??Ri_6}9&7|?>q$-ikav6g?gBE$YrDUBLI%dIfyJa=`ea>gxnb_}zzRjoM zLoa+>pEQd(_C??E*H&~1j2zs*cJYq6H$x8v?b*Sr8y5U=y;+? z*39Fr2Fp23IlKcg;eC_+mPP14W6Y@ib!&&T!AYJM-1=O$vMUJKHhA-ctccNeo?9Kd z)pBU8m$0an>qb#j&%POv9rNBaA7GGlbb@<>%LjI_^`;gL+iGz5lWdb@LH^r13*To< zY&-JyyLWbJ?k)O@4)K_K7BtVT_O0s4!#$ZYL2HxitA4&1l$~|_#@hL#MlyqnCz(8J ze|JpQxgGYW2Rs}1>6+NfBJ{_P^|vBrFJ3?QF52nykhlNZ-9qp0am&75GqgN#?pfBc z-NuPu8F5BkyWCmdXGKWopb6$TfN{<^YIT6sK`2IfM2Ggv3+veXh^Zl6K zy-R%~S+%fM`lqr!EpYa~?;d{j$-~Y|*ZMllyyVbf@3GuhA@|l9PcXHYjecPi8E_;x z`|>D9cK7!4o}^esb=R-ef7fle3o_&UW+IzcP5E%cEb7Kh}8Z`!3Ex z79TvV(Vll7#u&BR8&k)wu>Sdp`Wx=Q-reGT^p&*19K8wY_xdrfWLj)%Id|OY_4fUv z^OF`1`_l5~n*((Y_Azd}ve!w=EXS}*q7LHg>6YFT7C21b(!2Ynse)Sz7+1TREplKa zGI#lOH5YVvF+aM^mQO$Gc=jzyDwyipuw?pyp3&E8Ud(!U;Pj#3Ip>A%qR-bdcz%7x z@Q|=nvEO&ImJ8dqOnP=aGk9D5oZbEtGgl0GI&jgD)9r?TYPY7&u|Ve+{T>y}m_K{w z4}%}x7YAgn9n{uhsbN9G#7_5PMt_V=yYO;jEvJAQ-opmD|F-rzz@6bKtUa<(iy619 zhOq8f&)&Urn!%$f-*WZ_O!xV&Z+m|K6^js7+t_iR9tgT}y6pKjoZI1*yyKL>hq<>L zZ*SJ`_$lhsw}B2*8B;=@8?4Qn9SpFm*F)RijvBx&rUo$KZ?H=-`<7)4;&4E4C_2C{ z%JYFjhg2w&LJbQbgi?e6FO-ND03PzQ08c0#3+jcUei82|aVI7vqd@(MtQyn{C053y z3Z$ral)ptJ?-id>L1av-Zi{?IRU(N5oANV?@=Nk3B^-y-g6dDIpP;JLsLNG9p(v)n zRm#c-yikH>OsYD9d{{-BWU6SBNUkZrSw)g0DlUpp6>Lp%l_L1EcpBB7s(mCK8-CPo zLa!aD3#EDlK^8NJ??BO0+mV(6U^E;?XoL|^c*jQ5s$yfaAsdEXp*uDY5mbXjVm?k% z5++NEb1Uo!(B9}law%ITVXB`-N=r@9l9?g(uw;8ol8-1WMNugmwSZbvkN<*cQDcOj z$4#C+r+m;Gh%$4Tqvtc>;&_9bY1s$=D(RQue4wK(R7k4zNUyl?)sh z^%gIk$EaN3#Pk^oe2_gtKPJgQVea@Z-DkC5FPMulz~&62-4zYttZQKjM+~nmte38S!yj#ZHMWZRg_G5B8dl;JTvI(bHN|x z68?u4S=av-b{Wxy%C$Fv&QQfc_-l~z!}>#jV3S9ngL4oCC^&Oz58mVK(g zA*>3DknX_$V^=Ai`>teHp~x?U>_U(c0uQ6i4Chf&?Sx7#?USKM#FDW9vdTq#u?%%( z65zFfxZ#f`=E_72^*x>j@u2?-6Dx-%k+$K38A5F0g*Xud_$9?pTI0s%R7c>b%K`4n z=Sf8ZIYdoBkpSO|$CFCfLV#9mb;g0>G)+%mQr#K;4NL|F3#2RuRb7O~rImE)QH~oE zaG@#<uahTgR32svTsh|eJMDLU=LxoLjO|`$j~PN z9HOX4>&dv{q#Qu4v>ioM4+KO70rELaEE7EJC)iMkhEA1^F{F!4B$DyP5W18JByu^1 z5SDQPj|+UA1Kb_sNT7Zkpt4Q$jv?^5gan@kD#s?oG$IAW&^0^gyfYR}wDj#_1RNI8tHe?8JJ1nW32L=U; zC(_NV@hBM%LB`a$wuZ%CKby$45kz{)GRgrry?It@0}6)4f+_! z!RQ(a5+y_X;Me^`L`=}N;6K5YAYUg1w-S3Q83%gxERIwRD_Zrv0iCtf%6%wBvm~1X z6e&<-IzrLW`ou&PWuPh02zs6e6MGrh@=_KRe1y#+OFp%Y))lsg&bUP&8u5@@U~<4X zmNJ=gOaP#ffX#y|$|m_V z^QY1kI z06#TcTKcG~=~86yh*gb-OH0?m(MTmCMR^LuRGX~&KV|RqQHgMu|8yA@m56~nPdTYX zSDoE_@&rb6V7YuF!^k$Q-IQLh^g3AfYiT#Bd*mw%lT{1WuX9P*(<@Kj?fd02oD^bvX)UM&;E=$^ky5ySIuPyeKeJQ&2YhEq!^24%ihL0zI zYIFEk?1KV5%X6s@myWM*rk}rXN8PzIKi=%V$1F0aUwwgJ!g%8rjFt@?_A-7~yFRT| zlSaMVjRWe`cFUIj8nz{a|Kj4QcWu(o)^j<8(MMs29&Y-wC?Ig7BO-QAUoAg`!=zy(8|Q ziOQPt@m{^3pXTKlZ<`Q-zb^~PtMEZ(~O(f8-Z zS#yjJH$NTda7}NDhLp?{92rt74pEWTkzCw_eu^Q$X>qZlEs0XXRjVd zZ|VQP?V%Pu7Y>^i@bJ0O<#D!iMw@!~wi>tp$@XSXk7q1%djH|)jiA9^op+?vT-kDd z-tvjZWjBuvA0wZ0i)H-&x5Po{y!QRK$$c}PS^w^m#&NNoVe)u*w&BzMd2=R=``Ei{ zV7mWjrw6Zg45^xAefUiErdxY%oRu^3^DaH>_hW6OK|LiaW-ptNnAcL+EOP6K7B>nk z_8$$5$g_K$o)WoA&x=#iet+z$^v+k#3FG>mKh2TPWteO`5%_bgXWLQ9Nf%$7E*=t5 zazkIvlTDj0O*(N3+*;3>edo&?PaJL;Dvq%H8tOmPB*W~|ym|*6A~(K1y>OHGP{Pc} zr%n-$ZEe;JvuJZ%`cCQ>&wD$m$gA<=xoty>Ek?z92&^s63whScu# zY5$`gV;o!EUhh)aeSN@p-v#$Jw%*q@Y@*+aDYctP<4)L~{?>n3-2?Z7b7Lesy!>Lh zhGsT>Iz1`rY17}aB*Qa!aqdAA>89|hPG^6-KiQ`1?R48ssZY1t9X`3{sNeq_M28}l zdm3y#b?VsN-pl;g+vVvu=f4ykS$}?Qws6mjk5L7k?d^x{y5icd)(1Q8$+aG_`z|+6 znmeTGqz@Z>&F=L2U9k0|CN2);xmI=sSL5PP)7M{I9EE8c4O|?Q0AO+?d=yHQhI*mm zzNlopkj7JeM#WH})K#bts~9SvS1Z3qMX%wgzL}yqlMkzk+_4){ewd=Il0PXavUs5) z%22#&>Q5?`4@DxT-cf}?5qzn4RAEr$Tk0KE7z$rvk_uOSGew>zpP`I*sTZmnL`Fby z7fMq-d`9)nAZ{rCr21y1sa_c_j-oxwKG901YI#W2A|-}BB%!~Qi|&F=vJUpW2>R** zF&jGNe57O~DBNK4!HnUGMG}dCDUhh2#>$8m(Q@=h5jB;2R^1q~5Ga4tzDX{}ogp{M z5_14fbHxCpiDVL&1s^e_0N4TYmK+fUMoe{x1t}f_6XKzI5D-X8? zJOrwDTnS4eXQ2#_)WxENP%2d`1Y?$w2e~nQ3`sT&f=UpJ#?DDq8cUz(>A5pvvx+T_syA|BAgP0jSe{8A3Zz6_5`ZyRjI1eY=z*v5 z**poPKH>DLKFp|n7p)Trt z01|=BgfefnXI7?Oj-F?RB56!t|J)g&!|`=xgmum!nEjC5W{CutM;JVwTqK3U0cw(2 z3@ML?s&@4|qmL)4OyYCFhr`(V=gt5|2(**5%CVY>#S)NWcw!MpCWhz)%t7(rLdds* z1Pq%Sbsizz$mJzn3W%}R{Lr@}pL&PmG zjlkuK=%Q5iiHR9<4k`BL%Hhh(;$Rzs-6D%4XAvqH_+@H+u}lpzJ$HsZBjE)x0hjYJ z^@B=7s)8$fXB;6NYwuVnQ{Li+&)YzYPL7HoXt z_69D9PWmc{w>kocShy6jDsm23v5-a(2*46$f%GZ?TT3oR1%UdU(SAU|rUrLJ>scg8@ugp4l~Lw#HdZ#MA!8FC!NKs!Ni26(1^XQg2&T80rD)F2%5 zFhM{e889Ooa%?C&(lLy5J~NI;B84as^y%e72@&4_#TSA~D0t`N93<25%xFKM5YiSC z%p+>*1G$0C#r{I)*-Pi0Ndec22?>P@IWeR=M0kkcx^o$F7LH^hbrx-zYI}N~84Hs+ z<{d)00TxDBU2I)D!gAafNY@gE1S~E-_8OQqAZ8>Lqx3|IK_}KQf6#tDAtGHYR1~r> zj}R?UqAkUj@leo)ga&Ry%z=S|`t(30km(6r#k3(N zgutqqBtM9{T*B(&XfL$F#HTB8!XXku?uIFsW6i*(S1J)h`%4TqiWujA^};+bO6g8u z;8b7@{D9cCb4eu`SY0r@)G_bryfHTR=OBwQB=8@X$=PBEm~z>u8Rp?ha^xE3Ju1Ub z?<0dQqyW`hWMhOm56l&8jCAc~b>5f^HCR&A1|#Pr2tpRdbWgi)C0HRBPML-INp^A_ki22xEFd&tNBeD8Xn1W#F zePk$OB*q>r@Y;CbAb@d?30~KUQRg!gvmj-Jk%d$Y7e_26lZ|RZ0X$!2Oe91(>WLW& zQ=<3IpuRlAcry^-(4W$xyjx=xHb?~H-ka%58ZIG*63i2IX*%m>^As$wF(kgq;}P^c_D&y2{O zGEvCEN0JbnIF%YTbe|czC~-tC6jksb>~rMELSytmqDm^kdPtJCY;_C)XY79_bc(P= zaRbUji7O*jpnfUVDq_I|W|cZkjN%a}8|(iI*XtjCSJwgHe_|vMP@Oie7psgAsEX^w z!80r;*Xy;5gIB(Qc=tBU4XqpBeD1QWgCT3<^J5%5i>C%BF^ob7E$TM9Jqx|KJ|EG3_Q@iYU1^&CX=-#Udj&S&#Yq8}^AkmDyvEe$I7EtFt}Bs&zQW zSZ{dsz^ocOCa+vPsMw)+T3&a7qoLz6y$;PcxtkAaHo9uRWgX(}=EXD$EONLu@MqPv zsV!r?w;2}a%#AqmTl8&qkHT{yRr=LkVB%S?-oopPc2&KVTa@KeP4DR22lG0-zEgd@ zyK(#UP3@Z8-DX&ip|@GDP~wo@{FKMwsWuDm)$8B;Mz zdGqfDi#Dx0)6;e5=fgL?T8|#n)_z*=YLg@v^yNnNtS%1EIv2V(w_ozo9v#H1_C56c zC@^j{-}Xm}H|#p##0=8e7Xy{UaOzh_%_!-20G8Wdx1TRptc zvIqC;b=NT$Q?ku_*>Bj;$*DlJxghoMOU8mV@((w^bUsj{Z$Sh7TXkzJJKv+JUf*L2 zBy4xXZhQ8QkOg`T?;UP1+Snnzj?2ySPa+=o{dMDGob&O;AI>Gl-59;dxzm$}12)9Q z1=Qbo{Jp(iz;M=8*SsnlN7iZDY3;)rUm3e+{;y5Uq#C9zL|zeVpNdXjnN*W===`2> z%$n82U&pnt6T81C_vH2-LsmG*hHkxZ=)2@iWX9|j6V0}D ziwLPwqi0-~=?$dpw@-6^Y|EJPAnEJJQ(Hzm7A+AvFf$S-t-WQ>$a`|6+WsV?U42F4 ziq|jpo3(8E_Ne_2Hye4b+uFMD)q!=9i-(o;u@BS_T{v%7npej5pAVx3i+4?$R`;se z+?s3Nh^MS?nfZOuuhyo;k7Y9tGG4dq)JFg0K55r5>BBKG;RE#Q?mWL`YW(e8?H3*2 z>AGx(AUXM1m(_=7#rc1_v~zWBJISgQ%dMS4%{RU|)lc;Oak6#bn8$Ty8Szi8wVk;> z@j`_6(!<6p-n+6LnH`xM6J=j~XEpbKIr_{N;lt=IuKROl{+wUC`uP#wOsA_% zt3-dNUK>BXh^_vhVaT4{!6Uw8*NyD4%Aoh!=PxWXyXAlAWqai=^XhiJJBvNHSFi|l3la;L3KOq--STup^J&4xDm+v|wblruSUjJPM^7PZkHRzi3;{KIY4i z9LHDtGe2Coefo#r%e&@Pvfn*y+sUooqBXAu9RKj0J<0rPm5vto8l~MjI`G!U*M0WS zT}9@oF(;So`rM`S#st?+-wi(()R=F6>_@Aphrt8JEgN#%&T#BF>+3s9eRjBY;fVujcs0DX5S}dN?n?V zU4FZ0vQ1oH7SDFs{2}Sb_ar?|AN6cf)gp_8YuvQh?zJ)vQtbDCsFJ;TPq0Oz9wk zqeg%s#c!$HU!WtRdPnumD)$#+@S*rHMIk4btK45$lpOqD#+!;;qifTIb0mHZeg!x` zD$;0J<_X!0txJX)>JZ3GAybA@Ejh%5I0C5*Sx(}v2q|-(I;2BA33}pYAqT@nidGdj zo2381z0?&rRf-Ok2Dr}vO@wT$7^FHOICV@Bifh?GMI~&t^q!*U&=WZEeIx}BsM)2g zKZv$4@hRjHYaH#?A08qOR|3gz2?P658MdX!m81L@+P-{b1{nhN<2mXN(X;3YoD}_# zZmkNOq>xz*h)Ebj0}k`t(GDGNwh#QkspqT~P@$#X3k&7gtd! zh%h|lIEd2`oz$LnSgpnp$}C@Vi#x#Z7@NS1-- zt02d6P!r5S`U(r5_K}I9i9{mkCNdE7#L!qmy9=a3=-Y#~Ar`CApjgx{j;5XhA{Ts& zFg~%NBxRcTSx{=wO?2?qL?9hwZvfw9mKdHvJk%`94);&Wz?JXg86U#1sp_4q=n63r|Nc;R`tuK4CbDn6M2(b%h*;2x7=8fXM@+Mzu7$ zVna`7FTn^ zmsD$GuSVH>5Y`0LFVX76SxiSKfx;V@1F*sZagR7+@x{;(=1E|G#bSwN95v)Hg4~HfR>|h{FN#tGt1d1>~)i+ku;f|he zqFgR)N;wjOu`4p z69+S<1Vw2QE~lk@zVG4#FzqNu_=%u~Px_1)L={90c>E z)?X#60yvw3qNd@}(%cD6zl!n^&|dkPE-k$c({w4DNW?;dT*I%H-U(^C6mm@vnO47$ zN{1;s1&xSL8C<6vmHxCLJ^NWY1t<1@GRDEfX)cE{uJ;SrBq zJ=(rd&wl(x}n-h^_-O-MdDx9R?H+=qlgg(Y43JhVzd! z%!+UmRvYnT;)|JC{aWW-oAPkBZ|2Z(tJ;qoKV#Ek$@~JZ!lA2I_R5?)F4M)WPt^W8 zTc+(@v-)ntutgSaM?Y$BZ!026|YtG*t^ziAv%^nvf z>}dTg;N895&kE0FW&SLYtSn%h5e|AZwArnf8`lIyCdP90dWnLsKDgs7DtW_W6rb&T z@>^f4rVNt>B7cKshunbUhy&$&&WB-_lE z6(rw%di3h%v0K|Nw29hLzvyGYYQ0zwiRIm_RfpruBCD?n;%7Pa@3b(a^%ZH<5MINH zcRT@W>>W4K<^Uu4pwEa=`y0g`Y8v11MnUw2@ZRHBRX?=+YL_9kM~rQ_DBaN3Y0zb_ zn&$nF<#ZTo#J`n2Jzz%7qal{7yUV<$-Z%Z)$up2~e}~e8-FMY2v41Rr0LoIZEK&m9BFR5t^Qo$ zh3;=>g;k&1`bYIqqm8C4>S2FEl>7M7OXD^5FEBR7pM87C`O*c4=#r8{#*(PkuXk;2 zGJD?q#%!b2`tyoLR!I|%cN_C?*L$B{%dCHX-MHafyAg4*vfTZ#+x8jMuIDzq*WUds ztFhlM%;~fG`l03}<1UI?e{=jYbX1;~lfQrB=cC-X=#o_tH3aKoN-1!T8^n}TI1l|+b?#0Jgd!`N&TNRwD{bisDt_5f}{7H48Ek@ zyn6Ony-k75O^=>`y!K+)zSwcwPPM#e+*g|Ns#)UMwHF$XOx&{C|CCGLD3jp$k4H|K zj*J@+bUm_$=Zx0Z+TQQ|X>;_T>xsdVV{P*+`em%W*t=!hBfZCt9yiqKy5o}$bGKCc z=-wlJ(z*_d5@I(WFR7X@e9@-Bv)`B1{wsUma`t>2a;>0M?&GmTQG z$)9}db_m>3dwqQmWA?sNf@}2$?efUZ6E$F_*|S1o^YTJcx+S;pTzUNo_spQrUZ+E* zhi)%?@Y=B9k&U9{7QNXCEl(L8yVU*4hLF^h#vAY0JEeR(E50{pPE>!_cb0QnA8laN zJ^yTrE2gLFxCTwTFC8)ORhw-^{=pv;(pCottvtNPsmc2V4Qm^FeDi)8V7*$J{4J%0 z@7%qg-}W3?b%^Qi|4FM^{xTgquS@-0!|$_f&rFVW9w;4E@aFeu=WBLG;-xFw>-T%i zO&n*@!!9`B#HOM+PQvdYYrjrvo@zO_-Maz2KEp4aH)>|wf6Zujw~pq!?#8c{+q#V$ zC|Ev%cTuqHO6`31)MYLmTKc&!?sMeQi8*Nt4H_TZ*0!*BaErj)=e&9`@e*KtMC))mapAG8= zW?hR7$~DdGG(OV6c2AA27d{yJdi|I=YOYICsQ%$QrXINTE!`Io`y znuP~7z+Y_2Nu=y%?r-=@u@shtzldK3kRTf1FJ$YkMVvg#eOk)i&if~{0S z3ShLV{-grLR6+`*VpnmMQb>Ud^?1cQaPCz7N%a#7#S8qpk`{v(%6O2}P<>eSTNERP z#G|s4fxn~jn^kr)#5jcdbvETufDf}(b~2#8ss5z;37`^+538(M*eYKcY|&I7R{ey^ zPKK?rZy~A;ipweKWb#`oUm4gEsQ#q-Eu@E)A6B*)o02a53$jx@jg@M2r=3~GPzs^AyQ;1 znG*OpgK!5gBlslCpfo7pv*a8;4|svvF_1o0Cx+hyQ9FIC*ZRMknI-1!&C^L766#)El!3qJzZP{ zH7dPBR0$eg_8k8$K|>=!S%qh)d_`E$2_XV}x&o&XG=R`y0EVXJ0=_`vlncCz1IuBQ z?xG}3rk;VMM0)6Ha1>g?mI(lcvc);@U=U*dE??jkw3#7(mUQ{KuX`);f9`oRCumkl=wl6csqB<==_-AAi z?+d7E{A<|%ZDf{abXQWA0M$RR%?2i|h?^9r39U`fO@jsWYNe}|A13w=e`%Q96Gm4lE}gA!s`*x&s#Dgmr>IR9Su z_8&(jjes<~7{~?%z%ms$3$q{-M`^+c&=xq=;9_tqAp;_ZY@7h)LMW~gLQjql!X<>R z1T1yp0*zjiY|6eYouc;=vNo02JjduO>kb6|XiI(D2B zIH*+;VK&V~>SYLm@Wfs;Wgz+f#`;1zH2()b}QvmxdGiY(7srvCXbJy0L14ZG z6<51hQiYwJ6<7>CLz79A!+;vWl-HV#EjV-^a9~DHCnunP-=+Mwp-DkUG{ucV8&GHe z#Es;VLLfs&;MCB>`2i<+sQ}w~m}e6aJ~qUf84R$`IRJOmSgi`Ei=M#QL|cFmLX{29 zLCqFn<(4PzijPbh;Rgk+75OzxY}m~r<4JT#h+m*C0v+n#AoPu4!4$D&P-H`qmRta( z5djPKMhw3COo*9^woM8LG*%>1pc+L5PEKyvD3vepinlj?YrX3HKuB;%F`&gUvw(g| za5mU;{p+Ghb#O{J3B*cBsY#%}C?%#*sKNq27NYBXiJBGCb{?so;N}SYDpojm>n}qOpsb{R|N|KBgYPb^n z<AyOB3jx2>L2Nkzs;cj zA(GNZ5d~K8&y=X5h{6=IycE%^;rC$x)$ro|pKyS(iLyKN)O1d#HqSpdy-st#5KnwN3Qj>HsNIK%gh-sj&%Fh%5ho0Yt1)hG-_Y>dz*eeKO7v| zmlJYhUysvYb6>KDyb`VXXmv1XcWCFp`<6jReR`hS=2pGxcKsz5JME7Z8?H2Q9<lT_OnMy=Ki59ux#{C~7uHV=Ike7p{gc85Yc8B{EJ&BTX14ome)*8a*k}7^ zPuGeZ*t;?I~aXF!6+N|O^h2jB@rYBtAyfnDSOq)?XI{$P_!&#BiQH*^b z$AvGnHfJnN;hI@@d~nAjaz|jonA;t@#3%!J)E1?HCSo41524)V>do_M>L_~c2GQT4|ZVz9#zH?qk zo#khA5Ry^@PIP6kt z(@}RUy#4NHTb;HVx@VDpr{I_Y^S-8vF)mU2Ehj!x97(TBRhBAoaN>AvDJNEhW;0MO7ZL;{?(G~SG4Ie+DmZi z#WiocT3fwjXH3L5+`~M#HuSPT{PX#6pEKdk_q&VWWMIl7e8BU zWYS$UZ*ES5E{%+grVYK3`|a-DXPJGwUp(KVRrNO0TMc#_(S6RhK*^zI#|KB%TpHc& zQt0re#>uAZch_0Vs{W|k+pWL9`x$IC%01NCp^LEfuN^ImH*c9>@$!&i>nrAZPsT_m zN8fTiHAv8=pxK-+`)q~Q3&vzkwu}uvv!MR!pEYie4oTI-&V$#>KQoLX6Q{<`qh_JM z*m(fz!S$knokxrZ6s$2Epp-AjtVg(JDhUasY*g>4m}M#n38K2I_^?Vs0+5~R9o09h zBqWIJq4L9IuStGFA+kYUsJGvK{$jBy!lvhNfZ8<076j*a2DaHqa}CYcF`X<_NZ`0p@iSC zbwC*#!q$3fM_C&w%Yy8$={wYrvjHd@ko`slErLd4 zV*}426>x%o2jj_36_WWVzTy&zc>x2493l>>wT2J^{6-{DomY>V-V+UpnhjbKpEyfF zM-WynfO1et_V4T-5;lt|2knK!VZiT&3pfgn%MxJn(4>=*H`MA2fnm_sN0bVBFntFk zPsBw@G7$NBQcA}Eca)XHO>D>ssS(stoWnSL)@f8OltQ>I@e+mWzJW?))A!17f3@KM25;KXay8z-z0u9YgR$+R={*!J+=Y~!Y z=`$>_rb)UL#mm~(8xIluUQol1oC*@JatR8SWl)91Itklzcz$Vsv#Cu3J#jO!uoIPU z779;E;6%rln1`1maFGlvB#7w%5a4(Mfn{`Ykfwz&Y-fvs$E#0*bQaGlHztS~8Y+ah zMijCXX-j04v^6*s)uGrRg-k6^#>aGx%5V{i2hhcNQmK?JQs0h~d8_6`Pcv)N65D{R(LI;#xmIyO7bQ+-XgjriGmB_d*)2OB8jrb5C7 zh66j7^29BY!^}n?hweUMY{29JL8A~G_7xBY=L$8=f%Gku%El&Q=R|9dNhppu@nBDi z=GNY|D_Y0EE>o9Y$@QHN;VbOVRB;of42a;DBW@8xD&=zdaxsoW@U4;XA>i1g4%c_ExmflHdyp*V` zfpw+fS4*FID|9KNO!bteOF5XP2^CAjua-WuR`Al&=SGc}5}yi802+Q(S09Ep4v3c; zRJGa2Zfoxnkb(mPUsG=aS4{h*#03gc;+g{1)e+ew!GP_m`n{I1WYN@2KphFBt?5!V zbfe5HL3U^ORSXghzpASv62>_bDKZV0>gtGuF~~qxO2ehPdJ~yb0ivp3NhRx)6C#bc z2ssvWlxa7JqL&iS_&>lxh{V}H9ZZFVU=c4j7UJyYlh>``oFWPedDb{TU~<^aiNy}> z4c;7KPh8x{xy{xV-4ku6-W(U?wBc2?dNW#>O>|Ef=a>_^=%c_Y(-<==F6?`&6qVf>_PnCpIo8^D>bgOpcl$cicCz$Zn18A zE@hADw_5$Z$9~`QWq5+Qo-=@7+SQvU#D~v?Z&fSWlgT=u$ zjT<)6AJ{+2u;&liH0R7Cn-BPKuYTP9KfQ}rBmMdvxRX1(b-nGEhMTSp&uMAN*m1Vy z#o=Fa1IO+-@pjVV!Ocqsf5_U|@qa(@B@z!e>8pI$iDD!|ZSgH3YK z;rQ@5o9|YuXB%@R%jTF>e!Z-2BlX;8Cd_$mW57rj-f!h{v|jw|dJ7A7+nX-#66!l) z@1%@g&789x0zK#L8Wgr6P_8$3-2O39ZhOM6o%wJhV^ zJ|MgERP>>1K3pWAqMXD3!W zrf<08K?~=d>#Apl<#tUU5n#4;abQgT__?F^?)|vro?Ep(RadmAn!2@HucTUI@>)z_ z|8Lg8Z9SX0neaLa^0Qs;vlm?a&?lxa`*e%XmV<_mZ8NIS;J5#-Tb)m=a2VmgXyM|_ z-v_VU7&0}hnty{^?e9J4<`~!U`1GBR>XxKjGF^TC)XduIJT@3wi`2KIC zRgPZ3|K6PLI44NnF-0ls3J<@`{A{IPICpo`+Sf9^{z#ql`E=eF@8jXT;4IGh zko5E>ep_DDb9CLAHsSs_*O;&NjgANI%UEASJmgK^EibpeT>af7XH!wWchRgRIWuNw z-Fxd@tBdc1u3xMV)U+SptbY@qXEDF`^%`SVt7qeN(T+tULLcp~a{I91bpEnNMd7u( z#+aUQ{nE0V{di@*Hh!>ypxcQ^26`Hl*>IM5^L_(uNKIhn(5 zA2%?4%FeuU{L02F1tW#+MRf|&-xlQ5d^EpL;uOCn18%hN>wPE4c56vb4M+<|1C{k} zq(w2ul|@>RC&j!+3(`VqMG??LC2fFuVDjDM9kO~;FUVRZt|8c<~})a9ygRtXv4Fs-~arb@|@h23%Kg}Rcb z5M>IT)W}lyWjs&`ka*yc)00qZA)W{#YtWE}?KrXkau9GOsMJCRPlDP_IBQDON!K(M zB`XhoTqL7O=3kN1C5GfWGXMV}r%Pi+vMQ&m2wRcSEl1EIDI4mOGQJdEHA1Nf@^&m9 zWHX_5z=X^o(&=h&1=V|12foVn5LHW))Dil*Dx-@Wx(>7g?o<^`=u$Ew^n^_uib+D3 ztBhNj(A6C_{G~;(s)T$aU&exWAd>zPSY&ggd=W|?VezQe*fbU*s~VeRV9@VW`CJH^ z0AtaS%&2famzu|DYHejcm#Yk1mCr3l;35du%Rvw433vi%9mBGbCl>R0D7}G9DOlqg z+Pm~&qjH^F1vJCB9(i2iM6Sr^VpaoQp*2@0;)4#0+&>4>5puCyAeY1R8)64QZ#nSW zm8m12)G9$wdn@}1Wiw7-7Da}Uav9fU%uwMBBaP*}stn_wxRoi!as-Z9511iG0@ri+ zn96`#pufO?i^&v2MOeU7hgqm4n4abaAP?>)4!}qq@vulU!7YU9GHSy}J%M(p8J^z) zK(sP0N!l@_078-B6@eFt8xezRtv;QU&Q?^id#mC`@(C+E;)b>=q>R|0EkkfdTXGcY z;{ap?@Ckq~G0~ZZ;4p+zfP`Wq#G0?3WGv%dR>`=bvq>aIP{D;sTp`BGBb7?j{b-#B z;Up)6oHzC^n8;zq%7wNLMmG}D0%*kof>v+u(s`On#;wH20n#Irj(&o1O8m*8M};Jj z_UQ!9yAT7CLum?ijtmwbFdP#GCQ#UxvgClb)Z;FlB&uB8#QBuynzF!GVS)I;#(YBT zFo=MMfUUKkcmS#efkMv0%ndCaO#Bk;BOw*Q7K+4NXzQpoxMI6Y)4+th6$;dukRTVJ zzc8U#uj=P)?V8>q07F6^@_X=a=D}@|&yzrPm;u&~1o)>wssOxhEeFm*97VKUN)(StMUtlUHWJs`FU4jG*7X`LMXl_Ay%fd@x-KO+i>5>~nHhBi z{O^~R-utPyutMD##ztx>Ur0CW`cX76anI6l>EC(gvX2$D2FTUuU1xYvvy z5T{G+tpqL-KmZNJ1_Z>_aVfc1fu?x1_h4}C2qhE6wraNuSv4I$%7Fzi14(hUONn%Z z_ITlDO-=0TmzELJ)YKvHte{n)>DiQ#o7QwGDfK6+jv9VdS2qL+0t6S0zpASr5@kMO zETrLAb+s_@W*2De{lN3Jw=hXY2sK}-s~`UBrLeNm^{c4)$2zR(UUhY0uz>#UQeAx* zWq6ZJ~{$QS(m!Wvz?u`S_al9Ft*fbz+~%{Hcxl z6h{`Xw5T?a(cbQ1l|jLMiA(T4pQ2}+q6uTVZHrvH$mgT=x~0S8cS=VXl)p2oO z<43Eljx4=#GjiOPz@BSUBS-HU7?SK66m})qeM^tLRs*VxOrCdoeC_n!X4`B953Sw_o;}H-+Do@6TC3a93PtAp6zbdD$&i z4eq%{oY3do@3+7EPU%rShvj**b5*^*#~sEryY{(BEHBUEK$9oT`e)bg?C4wgdi;Xc zHKKl-i``OfcE-8he*5{s%}M(XzZ!6$*hwCgwc+MGAI62iv!6#5H!x{8@$Q?dLym=} z7+B6vnHbu;W{~K~kJru%M>VWF(;;%?2+xn9`tK*|U$9-#Zqq9(Nn+r2Uh;eWtyw$P zomes={_6G#_brzBjg@@d)!xiN>~qx1*Y4%xUF~;WXnuP`a%#(riQUJ}eJ#D-+{W){ zLbl7=EH9gF{o2L+Jauy==UC5p_wP=TgBQ3bMyw0iANnQn@<+$pF_|%~6TTnr5a+S% z{jG%NX-Df!Sbb#j$|IgDT*c>7lGxSD`_C zzi*5j+AH_+yJ4f{gDy6y-{fV_N!R>t^p;JH7#sF>fIsW|jE#kF0~%hr_$p>ii$OKQ zepYvncoDA&HPPS_^HW!7BoH7aV#XxJoh%OP*K=qa+!F$CIOO1l&jU(>IMSr4TA6iCwsoVq6lQ zH#tGWGm{KU9W-w-oYkN>faDT*nj$ncQcOHK126~vg1JU*SRw)R&#%Sm4`_UTJoAMEj=VO zUW$VrTVv9oOevGbOL3-U@iZN3sijXjU(>kPEzR%xzu(`Mv5Hf%y@+!z^}pI(2TYve zE1PcCb-)ynudKgJ;w6<4(twZebeYJr_RPGiPXF`C=QTY2(rH>u(}^()t2BJjmVY~p zHEDb5`e#E}g9fCmUY*J`?KmjgJ7`W*eY>?RllJ}%de~K|^)}zD>G$(lXx|7+#WY z2u-l9xAw%Pq@{hwq?(6RN%y{Z*v4j;dEESt*VwgW;qQG~_8fm{t=anpncuNR&@;eB+kpeusPuS!z!lph0|N* zOxd{mqf@)8FK=u}+gH!kG+5SsY4(yTv4vxL^mvxgI5{O z!P1;6+e0U(e!4p@=)eZXQ#@5Pthlatcd$Qp;w z^I^vbQg^YOhHWsrsUMNeF^p`_+1cqF&wT6mbxHnnRtDbkwK5tkPO-GBZRwopf1=H~ zkLSKLZn>&>rMY49wz^egLvt4Q&c0w6!MWbSWV&xPv!wsE_~jS1amh?4&mG6Y8-5XF zdDoiwe2wiTLp}Yg)xSmeJCt)_Tq=9;`?vC08Ed1K#wE{M_Om2&yvNTauj=>d(0bU~ zuG`Lq)_d16?vc^xl=x=n-M5Xh2zxNKWnskfD?fW2{Plg{@eaK&toVHF-A12V28C^o zA6{fCzI}Ad&*}a$!@*X!xdHQ@ybatqncwz^Yn!&mO!ls5UX+*LztP>>7cBpGGRpov z&vtg^Hn$ob`LFl<_N>ucJ;Eu{(FYnjhwb!Emk+lH;PrnEP>((&%p4W4T@ExNqoUhCISThG519=XSZ zcUSsaoYB+i`ZnkKR^jWD&n3MbJhYng&MxkgMLAF3xF)8r@P9CKn?XoUkW;pw*B?=U;gg0Vf5467ZyJBc3qb} z!{N=9x`m0Jt#c0+v-1XB={Vu!8{U53+?@f9EpEOOKX(?be(p5x@rw>eZyYiWyqa$+ zZ{TDmIN)(`X#cnDkDI;Uq%2yKwb9o6z%t{38PlIQyt~zOO8>Vl@@7c;TnrfNW&beD zs_`iCln3?iMD0$N4sBY$r1Ncd=VMj5X){Y6+8?~Ll(Tq@QJ?7aK6`S8c8^Z!ZFTC* z3s?6bLB|?h{vUI19arVHt_{;IQqmm?NKLv#LL^i~LP?PhX{4oX_3ce~6j>os@O1wv+ z?+Zs>mvHbVqUXCIPwXmnrN5Bo92#~(8?M!`@#OpDg*t;TNabel_2I=#a2gz8Vy3T6 z@>rZohIUr=w)%#4Ai(+BO8?)+Oy5DapxA;RF8OaT;a^_z$J*|WF1}-?KfUB(GGRZM z{&QvESkoN5QUA#lkh=bKslc-U*?lN`FpOux4byD$KVa&kUHY2c2g>oVra(gYSEGV# zIb2h&W3V*1O9kay!0rWaR2YBe#|{1`Q)uG;XKw^3Du99&z+S-Y5;ULpUs*y$ntx#k z!U-s73T8|Y9sj}SFx)*z{N(=6|X!$7?bG$_t(Zh?t?7+CN6o29D(Kp8j8f zD$q9j?LthunkKX@$^BE|+l+%-X}L`2XD_ZAch|)&T)ygO6M~$KpkQIgy}wt$Cc(wa zXt@|ylPcX`P30@RjIj$n zW2@;L+j&QU^WZ}Cq?)|%%L-WnU)49^dRS)(GS-RQwqyoYy~E`ubz+>w1HQc2X=$Ap z{(NBBQLo7BOHan(87qBs=Aj%z{7cVk>$Nv@-#kUTJAv{@Qa$QM?iTmisAnc3 zw(oF#Ri3)(*WsWVNnU)~Jv8(TIq) zjHId3OG@vViLX{pE7ra{D^=f-m2odazOm-?I@dtn1FOlfj~2-)gJ%`^k*!EECg%)Q zb*>l>F8KRnU>D8rQ<7)VPD3_$GKOTETT6RswC`F|nLgt~?JrS5>2)?JQlFK4EmmT3OG zkm6FcD=FER&GL5e_3yqSbqro-*S{MESs|U$RI1eJlUvwjLw6nD#+b5bphiDaKFAPk zcRAX{w`hu8Lsu_yk>u>f!?D1eC+6!PVp=i8BX~T~GE)NW*q(+u90n81)%g!USvo{^ zC@wAMcGe?I_w+V<#bRYcen6dl`c0JIU5!p1s*+fQD~WUV_K`R8pGLbZMiuS53221$ zX4)`Ni8$AkCOw_XvM72Ts%8+TmL95>{=#3H`qnk7r;n+fyQrSdQ$KB@dfIeOFKt?# z>uFu&>IW(LL!6M3Z4~mMQzw=QPb>!d9!T^0pBkYZwRgSYr9@3kAe zoX~v0{3X}E87w<`O}|=OKlfs9a{Lbg?Zs*oJ@OSFJY%UB71JL!#9&fxh~aJt=M3~U ztvS{f@MpK_Nfx0*PftWlRNL4s&$s1XpF8c3oBPSam2cbKsnoe7b+*~Nu`J~5#;x@& z3JK+T_mg>RY8ie;Zwch|IAXAQKZ>p9y*m>^tUy+Oz<*JZzQ@9Qe`97yTe!r~as^?L z=yNVLcJaX;!R1zmQ-j$-9xp~>5%15ds=g1_axZEajPXu*(>rSPmesy&D%+q;!Q8?; ziOu*mmVi}q=0j{WlDJn(!KOjNsY_>EA1XA$3($`Bdw(rWzyxSt531t<+EKvp8$~Uk zrwBdeusCIGt?aF7zg`UA_tfyh^nL@*(xZd%*I8JY-tXh4KMBwvu>Q>VqyBa4@I!U% zK<!@&I??cSr5fwFA!_pbGinaguT!)5U@@2lq4pRv+=0!Hf)`sy`bUY6_eScxW{U z3>U$%-TG_EfIbX(o$yu+<2k}vF<=4X0NPZr=1{4$A6)zI&B3&JK_3*LQGhk&1()S7 zOaX&6)QgANEPxsLndx6khPwAq#Zwq_AUg9ibLgZ&kO|72u%=Ml)6XXjrQrsl8>}h7 zr2fqGuSr8?X`nrNFq4M5Xg?YGoB2_j1)T7W`E8*}GA!qUTe+)&PxUoRa{79D9n!R#FOQF;BBa|e;S01$M8V-Dj% z!&^GwF#=5!@XUYuvVGe*E}*Lbcj>?LrbFRZfcy^L;J@?EK?z;}ei)wl@4R!+-VG?z zKkUxIf??t890bS$alu7Y0Z`;m9y|C<0jc&8dlAgY&}7&zOu<1c1ovHq#nQr=G^oeH zg${$V>fZzZ!OGaN=;J#Ug#V?8;~4VvTWJg^s{D3oOieQ^L6Fp~vv9&xI`ie!*@w%@ z!&N2@eU=HCN?GNBq}JSzQIt4F9Xt-)U;5Hv^3auV?h#P>gmpTu@0jbb&8-HMu$*}} zDEO`_?rsXkn}~b-4oK5N-Xdbz{uu8s47W5d#|XvlOgBz%+1lDHWBcI|VMrv+4BYu6ygB+ZXQ&ZLNrHwR&tDI*aC?w3%dNrb4uZ98gX9|wnZzJ{+#Avn zBv&J8AJVnV;A8g%6E&QZPDACQtkK6UY!v2bkbmBmEB$?A z7xNL{-u6a1$Ayc)h~vkkJDA_9T`XUWHF-X;!@V zwTRDKKjeGQ&ol;o=pwD_rZ18=j;7UV-RZ2tn$oFY@66SYm$|kkm>yZ`(BVNo zKun%}g(Ap-n}@Ae!e~C)376AXw0p;6C&h{EF*2FS{uasO__w)L9UXde*iGIOZ*Nzj zxr&R%W}{Ml9Dl_omXew2ueAF1e%XED*d%EYqY7Cm{SC=1)+aX~fd8`d_r54_sZ7-#Uv-r18|DySHVJ3W45cPhs~zBzf=)lB!zIqm!t^BDyE-Z6fa<1A9jZvwf_R>*Cc zE1<34yPIT$W-4{=i=5vHtaq~U#D^?f!tMA<*yu|(tII(HvPQ&rGHsJiw=hNC6O}5s z__6GBM%f2m{gVtjcaSub859|WhRM(bZ|KG+8!+o;cT_9&1q&Iwi0nIU=dxLDX9x%M z&m!=!VwUw!UVpDAm1QPAfzrEQed!4#AlobS#>#LJ%HV)ZDt;Sr%=uN6=HQX>w~HBX z^{c0Ds;6Pl8deBxZ?*XAHw6rwJ)6wVI$%$%Dpz2(>Pc|VEF=Ly4s z7!f^jo-gaG`6t}Bh7bj?SlNo&=?#Tn-15rip%WcQq!V2_9AeSz-glSFpq384gWF{k z-+3!#Ae)Fb$MHvan_mP?6u}q>AHtqzB@r&oa4%JbWa;FVfeVDZ1M{N6=Byp24f2+BR z!_$WbW1V6g&$PtFxp0a(r#d%C`{vO3ogvZOy;%dS{2T2oC&?{j9xR@*5qM(uqRdD0 zi9P<%g?k;vtREgf!QQ(P$)rl~sO3B>KhJOT#oBvN_^aIo_itSeIlgX)f78(g!4Te9GyfU0znEHInkoE+n7(uzRJm z)aU~7sNditw>?T8-Zz3gH|rR^tB0HcTrwXOfdPAaYer6`Pe}$+GmGp$L7slV+M7u|^}#Jb?aXyr7u<)=w2HH%ZFUDZ zQKD4i0-FygQn^mFBRz?a`k+D7;l^P1QS7q&F?D zA30M;1(&_qscdZsQ@5(2>D2Se{gO*$JrB=PxgSL2=)K+IfAfLMf=%F}ckC5?_YIT# z#)%DMIZ-AAZ_f>QwH>08pnCYh3qz0fwERODdUVxPNosNEh#$G?LZ+|uX<~9C1a33!(9|s?_s}Aa~0Gv1IhZFR?Jvi{w~p}J%O zAgDc3I|eCmpgaO}F`x?pJl4Mwu>H>`{=K*P?+Sfu39-Y6B=2L0kk>48cO6 z3U|NgzxG5wr z_>asC$^vo3S^>D>cxXY{;aBf3FCTyc8|ee^p{^mIO4Ab%G6HRt022wolc47fhK+z1 zw%mPmiu^{;A5>!b@8uo^x!;@q6>IT>k_DIzym$pB&V*+UY8C(`3eOxSUV�Gj}m z49^@UW`So8SV9B{(fMnB@`qJS}|cvxncX_ zzBm1A!vVD>h%e!q!-S{s%t3!CV1a-)`u7LMKRs?fKs*gMzT}4Obb~iK1UzmK6T+?? zw%ZM!ITv``@M_GU+v;b}>#wZ?9|NFqh8LQ{$Ta~eckl24cdtO zQA5Y&rQGE<3E@=I+h_iUamftRQj%@4K1R8 zGB$KR;5fMmjtd}P30>G9ENX+Mpzk*MTZ)Mvl>L7beZ8!%ZZ&)n&wZe9LYWS+-)NPI zVv{a_@D+VGibXu>C{rwcxP>IV(ZS@WD)^29W$P{ zOV9l?T+*zm$>~@ulukWWpG*aX&QXWt)HOD;QR9o&bbXN?oNwEdVkcx^-Bj|RG%c5i zT7GCZzDCu9uoJCTo6SJ)t4qw0LErk3xVl2Q$eR?~zh7sUK+bUU%Ok&9h>P)a_?Spn65dfldB`2h5d__FUGjb>X0_5%w=X7#FlT;B zU`$$Q-rCt))z*s^_nLHc!Jd3`o8(M7?Q(rBWGf`e*Tn_(@X>{S-)MEUrUiMcz?a&^ zylKNPtSNRcL|(AlTb}Gc)R>($YUrT96zlMy({pv{148XJ;mf0|F(+RKU{$ZPa}+F@ zQM2DUIMJ3_#4EsnWys5tQ4?b%&!Tm@h{}ff2I^4!dXHC?% zsV9V}XgiXwhNe%hDeO|rktXdtuv$dI?KR;w65lnmxe?aD7;vM2^3)9*%NvCXVJDwF zf8F5?m4qcKQ zFH_rdU|W| zoaf$I6YPYZp8_sC3@1n6vZq0~P7@=0NnEu6&)exC4ifdvvf%4H+VPe$ zN|mi+WU1P!x6v^a2xmT_jZmV?dL_9cTb@Rp3@%3y7%(}tNZhf;6~afLRBL*IaDJJ* z`~tB8Z`wU3ZpCNFwUSfPk-Jy+&8)qxIlHrOvDH|tzCC1MzK&lNpeHhpf)ajhjq#ZQ zVog0{Q8L#1#DHPBg54)3?RTA6}`@V;3j7hJ8hKakQIm#Ehwb?9`qu)?{E}=F< zYJTMP_BDMGvsp$v_3Q-oZ12O3IT~bP=d+7e>Fiu{JQI}x#C^{mleamDKl3>8>e_*s zv8z23)=AxSv`)Af5<--D1zS;g=_%7IH+v&TFzJMEUON}j)ZtPgg_d3R(T(DP*j1GA z7uOIcy|rTVFEVW>aVk-W;tC2H4z!&U{GdM|9KL(S z&h5jy=kcf3uWX9d)(i!AwiVcP?6#_S&s~$)a3L{vUUzUOJI7jU+aTJJ9{1dI?}>G! z4(Xs~+(F|bHME0Gay<*i< zL6z+EOWWIwpWktGtlTZi5uV!Z26T7=V7}k-Rp8kJ*}I?0CH+If>KKCNU+$>@2N0_P z{hlD@2kr0$7#65!3n-+Zgd;yqrv0b#YG1#dKf9#>f+7fFIS4mMu>nsVd}v_$KV`i8 zFLK4l;5+|ryMc%vNG}0uJ^-Wu{iW|I4XRoFQ)WbC-T!>r z-$yVhz$XZ$k>cS3;Iv3zgLWeBxhk&Odr)fXoxPKERpr*YyF~LVkUH{O23~eU9T_ zZur+~2ZS47uRwnJ+dB*uDf^A<1NJ5U>U;c|J%vEPc?P9Q1qon4aR_J*p_>W*H%Nx_ z{gk2B7!Y*}7=c5ZOBb*h1Dai+m#fRI$D;=Us;=NX;}J9fES?a3z=;iH?|Afq^qv7f zH^fj-4|?waK14w4_~U{1)tUM;D+5jv(2)hTG0=Pg76hs$M`=F@;CtZ``svXDRwlr& z2eg2JG8QQ605%40L!gHVuxH%hwAO{_0q#-*2%iBzM4un5i5Jje@IbgAhJZ%}uzebE z=?iefkiUHO4*$%`puApCHWGk2g?h1otrl9Ofuk?zom$QH1_YNh|AP2 zK~uzlpkwViR#E?+JEe>46r*(@N#g#9rG{u#7>=R2tVTb&Ggml?ApyI+4fN)6*uCVG^vP)K6Ps3CARhsn&<@ceXChb zi;FStTq)D!t7df*HS+s#E;z1_6ba~STi>K(9zqTksjxw3QIb8$QirZ6jkU_97(>TA zyW@S!+^xx4%jmYXe>Z=wRcCkJYw|CLWZ0rQ7<8lO+p3z@&wuLB#G^cgk5x}MBA=G( zUr#_}qf|HWCJMi0cZf7oR;KUzN^NT}9gYYUr-b)wy2saW=UGp&@zM*w`y|}5k@rsR zz;NVlyID2C`q>+WMXFi$L%qx6IXa)s(26ap#%2~UYfQ+kW)Z@!>|#6(zTsT8>pP46 zNcC<^UrVegRXElnn#+yd_N9*VJq-i}ja4%YtZF{>h}d-^9fpsKGd~+D+!^`2bYM9w zuI{#BRZV|&QJB$n4q1~(M+);Dp1Z8s;}|=PwH&wSA4poOPP!I`n$sJ|B_B`-I`xIG z68ZS*t7Z`?^-zvzpxh^V`3fa|YMNo0%jeA0jH{c&gYVzkXgk#^u)QEs)S+mHNc|+_c>!-FWGQ^k&aQvkj9?xUK#RHTSgvJ^ zDlXx|^HNcg82K(*Yt%JM0?1_%BQZ1q1_Ul{8v%cV1!D~fz5DC&H zXH2yThn4zWvLdc49|YNOG8H`U``DAcM9|L+OWcj~6%};&;I~LrkK)9__)aQ+It*Pm z{@pt5W^;^gua@Hh^-a<4$`1AAhOxrK_kE)4oQ~y{Q8m<&DAz3{!d|Z5vO}{e&7Rq; z_7S-nB1SzPcVBwVgl2q8thRBa$|uigv)uWi{CHOTDZHf*6)rpM=pk~a)YFILp`_=r&~6n=0>HssD}pmdbr`q(d2o>cd;xZIYGMeWmiSk@ysX6#p}8c zUd~<;O`R#&GGBJkeB8`7h*QW?D3*MmwGM5Tp$RpbviqUT165a#4T9222Xw2&y`_G; z7hA!8^615?Rc!+|drwo5O|Hwujy1OaG)8+fIHV7W($1pwwaqW9(hc?ba_2*5(fuMbi{ z(7-_eC;@|L4#->pITs)z!3FDW!a#ALjXcn_>o5E5fIz}`kK)MXJ7)S7It1h^;CLi} z^ZM_n2-g(EcHAHx1mnyAxWF$=0ku2n37ZjrzS)4sXH$XvYEA z>R?UzVDLi6cNpYeK)ekl<%6@ z1PLK1h61{cVph<#(Ukdu#w23w0A_BO@gYL zs6NC7jPNfuPgCbYtt*>|hXFN&42BbSIzNB`qoc!A|ZN;qPRq$6$c!R0ECLm9c zGVB#t7yHa2vNy7>ULrk@X_~gIjmd*hU3Kl1Ap$PFzZf?%iVcoeneZ|@MTokVyT^Id zq`cZF?<=|XxCOE6wyMdML4CqBhV}#bRuH50C{0egPkW28JU2ElC~$FY=C20x&R)}{ zFx{J-uOS&#p;&E`c3CYQ7ireraC$0N+xn=ZSGjnz{Dt#eAY)K$%bgzOP4}77kXvd( z{0@r5RA^0U*sP<%z_#H2=kmX?Rl4B;6H>L9s$acXS^2neLlb@<3d7 ztTfz;@im$y%NxW*`J)_aj{$-jv+4e$J#+0`D-%Fh zX%va&rjfD?#&2p$Tk7xH6p4GsdvN~wLH1_9n2p5X&~i2Ui=?HC?7<&SJ{)Dn5%vxb zAD`b|dvxauT_;aP@%l4n&Z%xy?2+}T_;=@X>KVO))6p-R1-|xdk9P{Dd(*6o{NVFr zuU6X=3zGa?y>zG>c&tB}v2)8IoI zx=PTu7bZJ0TXwGbL6Menh(|dZ`kA>bTaUS}TB29#gVJ_!&D&I) zgWK)7PTu65pJv@oG<0m=HJ!1N93wIa2<9jDd>wzY_U`kK<7`pt!Yy+>eFkwPhpIyR zItcG?MfcM>?d*-~kTiSFi$&*sx##S*o&6=IievrS$A^MxJ54nE+{uNhlgllmbV1p# z*)JAC-Z>;mTxGqce>Sz1|HD2u$(yHz@Vvrf0Mmc)3XjhDAH2dNIP4$s3XgEPKYE47 zz`Fk~l?U`MKuU=RB(#9=0rpj@j=9<=TZM?bm zpz2fN)YO#RGcCnZ8$=0;3vad8c)~ACpqP2%T$jblL~T@&k6!g@IY`b>KXn)LkttVI zAHlW2kZ7E&LO_00rYp-6!Uthyf2&-nF(LzrVodBC_K#e<@#(4kW~3OU(3y&KbS0%N z@uzrlVMHY;XBEy7T;Y0!r99T|Vu?1Qa)%-C7FuId#k145H7YFc&M{Rgsy#JWLUCSn zo+Z~TH0Nm_(u?CGMxme*Ba};5BkvN(ilBc#T#q}wo0w=HYRaQ0M#ycF8JMC>VO~jH zU2BNzUl;5+pkj+UZ?Fz7`h`lWh;)zwO;}efhxrxDgYY!3s%@uF=Pgh(q zY|q^FyB@xQ-#m99S#wWB9%qtLIj!bnXBal8nc=758rC3wt0zNA1g`OqkYooT#TyBQ zVSd8tawbW+XyJorbZTg5y1HvM##Ltft=db9+Z_&C4(Jw7d~E)>vUFcter_#ui$$mR zG4^6z@r(U}Pc!gtoMX`Vf4FguUcVo1oFhd3e|_V8M`g+fgL3CPn$vHb(Lk{3yYJ%Y z$UA2G6Q&#>B>T7NA3Q;Rvtsaf$>ERjr12f2+5eyT4`cy;GyZ$7K5PpJz1;?GOk7J0 zxW%^OWWF@pFZZgfPtJ%kOy&XU4Z;9hX4bgr#cJBe*eV|+yO)Dduhp&8Q%@{$xIt>F z&^}f!K|acoI*;xRDPL9!(!WUAcR@~%F*}urtkadmYR+4W-Nj?`Cax<rPmD$Kl zaA(3AFO(dHWh+rdFiCkbT%vuhY3Ye&;D=h6LQ1GhZt@iSB&i{@hPljb{2O1SA5vOL zld?vub!w+kdb=~5wZ~K#p0k@=9~eY#jT@9yQ5=*U5z(wqD>6H`Y#HDFNZm!pSaFJM zfWn8_WMfOf``Yc|w}GaW>T&?4Nb>XU!S};il~%}zM6^QU_RI!`Ac2`cORAS8GFD+@a_&;Zz1wUf4e8d{U2^>QI13-#C4T>M zNrCV1jz-n6bAD{d>)Y51mcdN3;u&{xE#01kuSD;sa5P>kFDfR&;v)(jNpKO?Kok_0 z2~K@YQu1W`=9{?;^J0q!p|4#djQmh*Awv(jwDPYkmX~XFhAeZed%w@u<&SaFmfOGH zUo~ShdZ4SZug$PJQZS=!>Z~z#&Bb$_XLFR<@loyV&OP<4%6k4QOZ}5uXsCP&$q%^D zkZz-UoTk@4&uN3e_j1KJG^(3j(2(GOdnv;}e`b~xsq5*)lx&*ywt94e{@fds^HN8P z2n36mfi9v>$7}n+%?X4y_l}gT+1byg4+Jx2EoU|nkgFA5Fj01{nm=%eoqvRvxC8a0dpMRYb^8RA5msOR)?bg<t=9=u4FI^mzLl*pVl?OR;-AU>*qcRQlPiY60R-2ICaRmX~jaLQy_O5V$6`a&hA z{qt<$^U+p;ai3y6-0!v$79HHF%HwEQ?z7TeYZGoC$xP|tV$pm-<$k{PdWL${Mp4Ut z#J&1JE73lmMDRUUF|45wX+no)KZ~&AS9v3X%_u?6xu%iM~0L+0Z{lSJQ z#~RJynI7f6LG%S<3Q(_qU-xiF1$uZOc?~Dl0A-6`m;#IpP``#VVSu0cl_@tE6*%%R z6NW-Z|91J|tre;T4J}>3m_osvzc2-Bg<^wYO~02$`h#B4-|i0Rr-K6BzA@=u{7rx9 ze<`jyrUCQKWdfq!zw0vPLm?J|UsLADe2woDUM;(5mJSmSHlbo=N~e}RxL~rcrfwGH z@q#CPS}k<)f-MIzyUiHSIF2*-Ig$JGE<6)m_Dva|e7>N?pPjXCXXw0~scP`xR;oR( zCXRv_n*p!-i9@oQBwU3tbt*)z!ZQCyHzH=e)j8?UvXzX;^f=#vh@L;-BFAp`i(;cf zq&ZAaVWc>C=swaqec42#d+OZNt!ndE@>P+mYEH8#D4_Z7ZKGfiiCnTl0$TNpM<%Gz z#0U#kiMlUic6IhAx+upzF6eew&pTG#_Ig6`sBUVPUsz_*VS&tvhD<+heHQQJNQld` zK&b_qIfoO7iov(14Vj|2AFf-~$jQ%8$V)A(Crc)bA>Tzc=LYN z!g(KE{83fYiwHRnD%=I*%Y?<{ZbdTu8!ptn+NmLy}12|ob-n3VCq>W9-I*q zoJ8e8Suw4wjV?8M8Jvk3=g6xvxQ0z_?W)XAdw8yJvGoDIFVhQ4KW;6KE z49LB07{Wk#hDc;e$3~o={)}_RV@}K0;?{IQc^w(ErWH2ky@v5p_0y0K4sEvO8kQgB zOUF*JpDJ;wwkT2HoHKcSz(kNrKDox~Iiu&w`31nBF?R;VH^|(mKgdwbl zN{3!geftgSK9*xdjA1CZ+C-|C5+jE_Pzqq-slT-^AJkA>Cy$+bhcMajT(_P>b^HNN zo2WLURf8@5cvg@e{^5S0RZyl}$LiSA)c3lSsBNN>b)*OEMWodYkBb9MO~bseW%2b4 zJ;w@WMSiVZgCMgfXYs1bx0hOcNIljFCtM?aS*BT>{B#%&Vr!8gKJzB0UreOgNhzB8 zs~6I)SVuHFKYPOU+3dl6X;tS^t^BstG!?;qX5)FqeS0lwvQr(0Z9Gl;L6O@mYA*99 zND#+{Gse0$jovcxAzVe#3?PZJ|;SAx>YnO*eN*}sQ4%b`Um@jY4i(=CrXH>sSarkP(ImVp- zs+59pNW7Q0ncKtNL2(T4Acll<3R#)HYqM#KN)Suzr+nlGj1@8&bS~Z5Z*lvSw0BOH z^%A#rZ1d{L^(s}59Y_hr+lE=uNV1^3m_?LXD8yZpbgv5Q*PWG%Tw^IJr}Ad zvqRZqdi#sXCh?iuwqH8$IFDAH#B8iod9{`HoZ(~+yF-|${b4DM1LZ5D%xY6>$1Ur= z;#K}OJCC#CogpzRco|XD(AiiAbp&x^sP~f`de`%mUI$TA z*<~Bg`MgUEXcw*skjOOGF;j*fL_mrJ@$^4xP*yz1<0)@n5k`BSDTeQ(om19GQKY~m z_hCt4@4Zy=sgj!4k=e+-s$E8&;X|7#TLD_)+3H`I1Po}Y;vYF!z4jJyzb24zHlQ)< zB!(a*ZoDC4SoDYWgVF7qE{?)om(Mv8u0K>wFh#qK`AW1!Jnq(LtW|b>>4rgs-sT+X zimG_|0k)MQvx>Ry{zi(0Nm*XD(XBx!oI$H3YFW%p9zK$-3AF>|cy80K+*LN*xKnn)? zmuQdmf_?qvA3|RMg*m9OBv3m9IBuwT0w87ufD&FP=@R4@=nFmrZe2YAAX}*q;WpqA z5&~@q022U!#6|`@hWZeg?g?mn!w)XU(GGv}2Y-T^_gAKXn~Db>O$^`BJNthS2Jyc`tN=(R{(iFGTslGUe*R__EMH^T61a43oj2yY z(8yyxwdp4;IrK;enNK324$&)`GvR-bRhP4@IM}5MjAj`6D6MppwRzGS*ZFlEkAY5S z0f*cjo#csmv4*bdD37a96og19PGrPH1e8=EeP^m=F-V3uY3|II#G0g}nnUHkU=T|j z-@zct{cA55aB@D%R+(sVj!?i%-Y@#X*t|KsbTBkW!()BPEH=|Yma98h(@&@Ny$Rxr z7hzm_7iG>bUJskNM6YnFhBM=~XHU6I(?eNu@6zzSUC(}UmSxw+=$ZDe;wZNn`R-)l z4Y-Sr7v@%KJP;Vj-4SJJbD>0Z*JCE|K7o>Y8KJJukr-($boF}M45vVe;VF^_t|6lt ztdn^)KI+7J-sN;y0r*+Z&Nq27-Ddx!Nn<2;jqw3_b0m?h9Fn$a69%UGv)7L(`?YE= zlUN0^C`aw}=|`%%s!^akPAKC~4O%kovsSqrVCmWWA~VZFxKx?-H1WFF>}~^29P(}4 zl#7rvq?2oujft}uw}&4{+(Oen~w%SOS-!6Vf;_{katpp^a_@vQ##J0DZ)`h1VYaQumgd4ErW@Cjs z0VqO#DOKAYLBM;>C8ObSJx=wbtf?wn_C`w~M!ZJ}F%B$u{o7bsjFdyp@KJFDC7zPg zV)Cfp=k#JTnx8&P?Bw!DDlaeaC~d9v#saLPTcbn%Mu9V+fVQ#H{}5iwO3U}JY^HI99hg`tE~yYT5P<_ zjH-+M?2H>uRW%`V;}mQOK92~l`Ni4cK1P^m6bHS9^~z81yV3_2>=9-SY%5x4RpX6U3VAQ( z5+SXxHhC0$2uI*l=&cJ%xU#3<6~q!JD7=(%o~C=p=QdxMTUf;yHCpK!^+v<<>9@{L zOB^TD6}2*gVpp;SVo40Cv~MLZ%?rg^il?-yn)i7T6|sI$n;sFxzW0$OE}LtoG{Eq7 zT#Qi>q{Mmh1p9{A+Bsg+4_A8l{TvSUSCT);^_42bAI8gVCXYngU&DWmcO7}?RW+dq zjyxTTbC#VDQ#eM@XNZ?N^C#AeUYG>v`ecym4YGl71!l5r5-$`sbDd|zZ#wbyMO^#h zT~Y@^rkm;JB_HC}6ztrU58T9zxxQq22Qlv^R**EJq^+_0hm#zsO&UD%uOjH_nr--% znxrD{?>A*#MAN$_V!4uO7(Px_T6$1mYKr7|fLZcGqZ3_xF+2a$OlLuY4+!F?pHaDBex*6!m zmMg|`iSwS*KJS$z9TzcbcWC1!Xg3{JMIsEZ+F8qfnQP$jFgsk6l+1DS5+wZ9n@d{x zin0e6pC;cp+lX+7dIt^R=9*cSKvOtV(dns`7Z~?0)3;n2$-_f#81#=20RP55k{H3| zeZqq=F62pnUp<{}n>TW@4lap-+-MLHdwE*9-tG3*Vbz`6Cslp$?kM+NT)X>v-n8YT z@0r){3LC7NS=&BfHIEkY&Sku^svpb1h(o!Zg}z!plxzw)biXe?D2H(}SDL?A1Lc*B zR$qqe3GI#3-kq05wUb=jf z*Xu_M@O#GXd#J=oQIsrqPx)`S%!-KmAf>)DzwW0wL))zAgFRCy>}1{7CA^@KGHk{A zeru*NhP5CfP26ZHj}^7|1o{Oc4`T7!SdIk-T}~-glQ75u`>R)-v4<=4&X*;qImA!S z7POA;r?A|c+GQK+9P}%>nv(KC3vqA^yM1xvg@C0%0fC6#u!&pyI$g(gMU` z5w2A($v#)+aSTcbZr`M9vSKa$g6I*#!~@UAKBhDH4++kr+xiC|`$*dGk0dx@#K`!L z=JXq0DYX6dZ#Uw|wLE4DMSlQlIsmzV;~;(?Tfj91z9qCJAI6jmHaGgisJx(yA4+uy zV+u$He=%X;#=~d$=lMSA_cb^A!>B+J9E=JlHwrq0e=#bc&ibcq`YucP2UCs5(~I9H z9)By<_~**8$3*nLIigTz{@-*&+tmjxhc6O=a_rhQr1bTrHhpq|9OX)Db5x9Q6g2iO ze%$~SIaZ0?t>-0*^rjlQUfa#-ygZH~JaZ#you>zGFuE|K3%dAQZ|bgn62BG7D?0U> zmJE}cMFAh5KAr>3m;@WRp*~lA#!vf{e3HL#kCp)?Hy+9bc71AjRdzM_aZ%Xzln>wSc%xT|w~ZYypXiQus=fiZy=?F~ zBUxEmW2(l_grnM!;ZeNkC6Jq${IW|W!}IxoK~Nt!s-tw1 zSaJ*%gPwzU*|@}7Zl#u|p$%M~?4W^5ETp>fvesIDYC!Ji2!-K321U_}s%;D8QHDyH zZLc!@=MJlbUY=_%QICjba-mKL_ar zWNZSsg!-@?&oRV^k>MKRt1{#O1=o@@ z};nKDY4n}(AyHJi)(e*U06@f-pBWsT?leds#|zHM1IZVVybGCoJ+N=^nG`^wG z=k0E)xfa=}w8r5}Q^VCGmN?>Fo%_D1)FHYQReVtqO^rHjO@3)A7nm6pDo^;3J83Sgn7F((1uqz)LDe-ze(%ytT(}F zFcDlWwyJ2QG_rdRIV-z1W=VpfhAL0fh|8eSJI8UtX{?ajk;D6qM1C$8!KZcoshVcp z5QYW4vQ5pj5-Pf9kgTGx_IeAfIV8;dt9q9d()#LWRfmW=n9axBcB7|iwtEcQ7H{*i zqN5L>pVTQL%FZ2O<}~*H47Eep&o+{|Q902;Cb}ht~IBR31*xxnSbUFGb^B^b%dSTqzfT zJkR#6+v(ZFIPqS7IgReE|1A@Jh3;@|fwvk;q?fstZW$SqKEs#1N{^2ft5)n5wA{Yb zD_+9TNBzb%x-=G1u>wP%SkN^R~Jk9V`>cRM8?Mpf!g zc2-l_P+HMw@r~x{KydW=7`KJieBBaX)_>5R43Xe3ndln}T$aMc4IHH=Ulq?QSJSsD zA{KiVVR=9n>mBW3{6ms_P2H-?k5~}I7fHBpBVOVW%pK&##Ae^+ zTkUh~sMs2zoGq)`qRSCxtI9E6o4!;wQ`~aWQr6!s%CdaijFJvSr*Nu}mTunj(9DPrLT_W^cy}A&ryl@pG`rg4oW@?H)#espnhbGDbZ1~)A{aF@z8@`*+N9tVZlUB^Kc6o*SSkdbWDy^X z9@&qha)YG;5^gvX{$6GE54uF(J3+_YqyMj6qVIS0n@c1B9@B5SL}ePn)Hh=xG%VKx&6BZ&OMUmIirJ|66j_03bZ+sFT z<0#|^uuDnQog1s~cva%j0g`j|#!DvC!rmg0psC&ZdUZ(!iO6iCjIl?`bDiYp2g+D} zc$xQ8J8Y*gE=TnCMySvSl$B_S2$U?g1?_laiHd~z2-TOQ%!bi)da#{Wedxi47&9Ht zh|WmL^76z)`^lxe_n)rfUc7vEZv1X9Zjn|~fN!Vf9QjDjFhhFTl$m$5_1>&!7nU3l z3q{XVIY$c`kQ&}F;op!Jcf2_{HzrVX+Bx)My8Nj43>Jhn;jt=t%D$kJ%bLE`?H6+^ z(g^nf2zy*X!AsxW8y|l0Cy( z#3X0xdMOdET3|ZuW!(U5$ReHo<4ae#>*5>pZ0pembQAT1G6cOG6& zs)$z>bzL$tAaX)yu-`mMe9)!zw536n{DSVulTuWs9})V^$+3f_@C?YYQHXu8l}$II z+NEMXuUEyojN$|%t=vit-9Hs>u|4299<`H(%gb}JAH|3)&b7!`wp(Ik26JL30{#A5 zYUdGrc{+~3KJ*ptX?oTxz1w#)!^s-jZxQl8)D_{Cd(L~wQdy)}h#mb_PFsYcfn{OE zSfc;nRS%&XPQYnJigVo7j=8WUbKd&=z%d|A?JpFnXpH zQ8ky~gUHJ_xC|V*u9;rGX3^*D5Du?sK71oB`IPld_5?rTTn=;Sp{6ElTV28m8|hot zN?T&auZijjn|VK>Ey(0i*t z_68pC1~oRhV>*at0Xv^CHOMNOSNwIaS zoHK97WT(tg6N+h<#{F(>DUJnrR*x;4gc7|-7*50Iui`_$|L{?ZAm6#dhn4Rc^{i{G zv8p(vXA7^SF>A(A-5;tqrz(q5pXyj<#kzu7bKamlDm5*XA2XeI73mfKg_qQyup`~} zjO483C@!~^23hCm6@J`!36xk&F>5SlKMgoP5>z^K4|V!ZfygXY=BCPEPIxZmX-tAV z!2;?+i<6E?`PUV%YhF)%BwH6lF;_Q6&}*H-N5$+ZFjy{Hl7`aM?7guqe<6iZwNcx= z7^VMFNMaMgo#&C4dom_`?*ufW+<)ZFr~V9MjxvU-^z5SJdSc6(vjnRo$v4daqqdZkF}ru?DeeSvp%1DtB<}Rnbapr>W_$-ADJh}m!^8o*j#aM+!E8Gjm2_XL@lNtr@Hz29oTkyZuw$^BVW#(O3oEt zqrWCoLU*pAJM)IqtDwuMtnv57Cn(5Xxcs_Ujf4wSI&S}<+fk|T)tHi!15dLjP%qS{ zyqHpa?*qnVU8sGvQhC-g$&?e1GxjA}o=P~nw5KUR8S5LQl6|bi>`_CebVxF8>HJ<1 zNA0HAq@Zb{#nP77lU)OvX#QGwF{#9WqHejgijwrGsy^ zo=H6F`%LMyEusAPxnsUovu8M;U|gE|>q)8g0qO!JeW_Cpks=2iRirM=cwzKK`RT-v z=1bMsQdI5BlMA$?slOMWld>CQm~lhB{h4!^#o{$3PSX2>@?5jF@5o`?&sVs(eXQ^^ z`n1%@u=uZdKizfTZa6Nis7VVxQ0qmQbLq;QJ5djfjCSK(YSWY!F(w?aIY+K&8a==4 zc+01~cb-%Ifa_RDoSy1)|5xjyT+h~a|22~7aRS<1vtIm`6)GAPx>mz_$?oo+R+Snn zlb1mfTXy!=yrO+lt&245l$Mx9feA?3W`ETv(yc}=D-M2h4zJ&h! zeD5Uj%MmXIjT!q_cK#MKA}8w~G2?KxY zcQjhQP+0Z)x^JCklGl{EYv$J^nJJcLirk(UBBow%@$1`S(xoHxWR3R|-!mP)F*Of0 zViohA3uY=To1I@5E2MQ~gW#d_@@C>{(mE=7+dnB83mYXReyjQ+;d??@ZJhj(1iIoY ziE)QN9-RCDS1o?rXGK^qwM%lJf&bF9F9LAw<;-bj5;A)Iawun;aL-rD)j#Q zCMA{VxVV`4_s+^`3w&x;a{7W3A)jHqs}KKvyVd2vSL$gY~iF?+nu@_TQ7 zYO4IFnZmmS$7!AKp=~~M^SAGPo1Ise8XkMrYm${oYOr`3R@k&nuzuo!K)bSFM|$y| z?ZzKdrCRE~hI(ixt9%$`BirHS%D_G|cKUT(GJ5CLO`DRnDl7zkUyL$2leGGT_?RR6 z+#Of8d}??$K}#!QLP~M$njljz-5gUvm&j>9uHRXkEpiecyilQiQ>My%(b&5$O1kI^ zbFW$*)HPH0X%xlnBz|6LyXb_gvCi|ijMrNa<$p~29dd1D6?sm|i#ChKlJwuNPTvhu zO1VvLF|vD_`gP-JV`BKDlqahWe!3kc`3R8o50#FsfqUR9>u60s}j-M)D3s2NLB znltA`?(z=$G3R(ks*Lu8`H$}BZ`TVn&um}lZMb&Po2eb8Dc6oBZu#;1%)9S_(=Szi zXt~onYF?x0rNP`P495KLRsV}yWo^|z+$xK=gDd!mE$0YN=_>}&79Q-T1gQxr7gYEM#Bp{s|u7A0*wszC=W#T&})Jweml;xunG<-6=AI3yp-qLa+p*HSAoa5xq zJt7O8e{Ir}zvPG4nyRWe=8->T{@fV`>*h6@A2n)BOL=lG!RyN!eQlMiW|+_y3VPB{ z!sa}{Jt;m*Ke*|vZKLKUtlrtG9ka|O7U!H^5ZoRTw8qFk(o^4?yyeo>iM3OUd|nVP zKSj-OUxYqs8g;HJ_5PQ$qrT1cn}7b|o`t>llvA@BcW+r)A>L-U46{kBr@`CMFSp3w z#>&`a(uI^#+D0|A)ig%6y696eO~d{5KWGN|;;Y9fNnFB}#spw?CF;DtOI&4M_gU}+ zA#Y{ewwNZ}M<uuIC<|uM=2u&CcLa#P{hT zrcWkm{$PB)eqUDj9nIGDH+IG(-LiRGDm(t}LS{rr34$L1&eDl{+*K*Ty&!<$lfXobmi; z=-iAs$J^IlN_Q)0ZG5SkZK;#3J^J9;qLp(q9^Ps=#C1=X@T zN4DlvMTIRvX43o1b5>y{C1rh|V=zXMp=w$1^JmBQ3Wsc+O5U_qc#bsYU#D8QheSZ^ZdhIw%N?u^xD;vn0u%C}L{I~i z2H6g||6fJo+`kY(v2UIK;a~rNZ(t}mELY7xe>_0opwAy;ut5F5`p1Hu={z=&aJoZqQ+F&y%iGr^FHU_v2i<& z3zRPn5_CSb&aP!rUT4KUCgEFHhFZw8i_N>gz5Rs!wtSw!avR^>-dAjHL_gTyJpM<) z{DM)ZL|jjX9nLe;SVNeSp6|?zb*@?SQf2YG=cY(7V zVu>QXq6*ra*k+N!kLlhX1mSPbm+rjw`+LQz#EmoRHvC?8GcNh~k8JtyK$ME{og`8V zeedkzj4qVlHuL+MXS9}ENNlc+@_TY{-v;SavjgQaEw|Dszkgg>mbd$o2fAHGc29@= zRr>pYTltYc>Z9ylR8(&tB`0#_Vn=$YP|doYrRdqW>6!vLk3E76<~tB3Nfb`Jm7H

R434s9&WumVM7B=sPxNZOAG5~O*@NBe;j_jzN-Eh zA#MB0jV^ifor7|h2RFQ^Zk(y4mlEStd7|mr1-;fK6Q3SZwBIWKxi#zfzK@~z$0gnp zFm)O4ud^mg$7bq{bFBtXZ#o^XuGUCzUcA*XFlHKFDEh#`GY)35&#A$lQRmHF^H)yX z7vb?a&UxaM(HiBq?49aT&Tbbv-JO2u-rHg_X{@t|B+}`P<&gi|P zEVFh+?9Ppf@u_-u8dfa9Jr@&pUCbEYy{x#aVS&)>l6Gl1EuY*gsP}1O&Zjl))?k!H z$V^ELSYb_XvD3bk>}!2R`dh)wT1&?F3qqsqgIDedxcbKDL{Z2%{YRRmZyUsMmCn@J zf^nvT*}bIm&ay_x|wzow=Ggx7@70JKoU`?V{ghvHakTiioqqd(O@IHJ4EZ^p(SMT(2wqbFwG zyyA8>9-k2ZUTenOX)2gH@}jOn@Hc?^MH9JR>G`9?h9z=TFq=oU~+tS0PT->(=XQdiFU+;s-v>%=4>W z*0}k=deepwlhXpa7iD`=pWS1AS@pZ(PS(lakD}`J(kFdBq0}CvSCO5R*r;x}Z}el? zhqEoNdHO7DZVEdYt>s8PfAG$(S5jwuuPTJ(?;CS{Yxvc%Awm%g&lc1f-&r4y-zsrO zaZMZjq;bzj^9}hL%`M})8u6Cjyn@)_<*QcQf4TN!@uculhPW`( zFtR{g`q_SYN!*q(vCAcy7IHqp5gcH|1C$aO6G zx-9mTu~hw6H{!x^#x_{R#gEcDL$Xh=wh}Pz5<70Y+v1Sct?`aa7VJ4Vb8h*o`quNc z@_P$y_xb(GIWGM2(<$+`cLkelMf7|>PQW&Qbt#ERi`AJ_IjT|XvstSB=bocKOzhS~ zZ)_da@?-qsiWql4^{paWm~hdqL^0vr$ysw=Zwt8|w=eFuzw)C1S&Wvx@0ukqe|I;$ zGgTFHJZE^#zGeBOh)r5MYCfFUqW4+!&UKTg&ToA_7G)%#SY`YvXXfVuxd)Qww*qX! zW}R?S8C!gARM=&cQ>82L7J=7|r#30!ZDLg=3{}4f-)+}2&{{$*vvksbEq|j|b!JdZ zuWWUB#|z2^f%MXcQ^y8p>fE>~-z8ap^~feU&COYdWJZ@INo+2fnS0J=?EO&!?*%F% z^+&C=wA$AxHP!E>{b>;e)%4x^7s|t5;HOU(#8NzQQxf$LmaabHNj(wnw~1btnqI!< z_!Hx?w@`N^^Ci*EKJ!gRIeQ*bnGv3A-MCUIojLjC;`)VUIfCZNZOuj>Cg9ap=Ba9a zq!`HxJZQq6QJ>%9ab#=hWrv)7qsf~cMUCaNm->$V7EP3uTj(jVBGO=%45@5p=(Wa~ zLL}EQ$2R3MWwti#8m1P*C|b<3@P#in}Px>s@;2yD1^B3O-!BIJrO}AxAzn`B26NZ?y*= z_f~#Bek9rNbLX=ZsTW3(W2f&RKmU|BjMlhCSd>AQWbUcO2{7oih7rJMP>?ssT4 z)%=`c`c(7OpHIi>eA#;CRh?~G`Z?(_MTc(aC!1?56_vSOk?oc5>b_}T#m2)%P4`-^ z-z^#Sm2{}wL%C&(P^r=L$e-Tow~YpsZ3xbw*;FYA4e^-lEs z_!Z?L;hxvN;%8)Yd--X-v_&}@pYFz&J7eD&7C2qVc@jMdA!DQHxD|)V;wS|joP5GN<&X$ z#X6*bivo2cGX~95ZXJ$*s32is`UxcH0l~n82bbR1}>C>Ny19qa_3H zFHpn{qMgCoS^P1av*1{0M}SxY8bf4{&EWv&LB{-5F6+P9JwW4qWIG%U3k}rBz^=?T z91Fa9B)9?4oZ@Xb7Doe)Wi**aW?<+fAb6t?=)koMq9q{N0z3j-njWkdmp==R0Z=g_ z@`fRT?h5pfaF8J5U>FdCXnte){c6Iy^QVLSuRaBh2xb9`HSi<@m|G^DF1rBSu%y3^=6e7Se|}3CE@{6a@?2xy+jOJF8$W(UtKvM)gSCiaGb#MI7s7d zfS?)Il{hR;oeYI*m|-0Ra!=;5N;o<2nuI}l1X<@_-};WQ7(Sq?0@{U0g&v-hF02Xg zUGx=$bfMuO*0@VR)m@>s--qNeIRVF6Vm17kqcUxr&j9GAV z)Hu_axCx84j;8#!(Rf5}x@I7emz*{3k&HY8mt zPac&thq-9!%4zC}t4Cipo*gMzjb3pnVEP?Jb2aR!)q%fy7pTomI;uZzPS-OR$&#^d zNgel9y)I1ihFsw-QOV$brg~Uez>C#2**Z_c1Qv^C`-&Wnp~Nk`y{g=69Kf7wbh2Mv zoprd@I>$XCt9{n|)?Fty$?j50`D$jVbYiNtta=bG*^4C22upGTld62CMNATY{p)tHZ`}1}d6moeYR8mFh2eg7WKK+qcf3Afl}W1Y zn#Y&QENjKOqUNjQ5hi*yd@-S#98nd?TIQM@kiT|uj`g%{X=Rz#HE!C=W_cR6dW-#1 z6?3gy_A~jwS%%Dk<;v0J-lX4)E{);D>3-%7X>wbdw3V7A|Zk}IQycIFfXO@Rg(m2?vBOnMe_e**kUTmfIH_&m|Q^+NwR0FOSMnzjq*h9 zl^q?g>wgM1eS3RYbe^Ev53$k3o$`Z3!UUG;D#v3E9tpEwn1O9Q0$aBAzZRhTN5b&t zA#eV`n3na!foA>J^9SMm0YnCfO{xR*71a9J!k$?8IRX85g-mX_I0CAF3lwp>?A05_ z=>i;0{d^AhrBLrC!zl?~{ZEVq!sq(Gllb3U3DzO(3!FvdzLbDs=_L^0WCm44?ms;A z-Lgyc_`$Rq5cRBK{9!yi5hRk~{>!`;3lWSZz!=t_SHdm#k6ZqF%ZOA28n}-Cyq?T; ztPXs_z;gho6hwRQ&nw|CBWV?Xj>i8TdKv=>pZ;=8^6zLIK-SQAG@^kyYq&?_yNEis z=vCsSb-yfAJvHR2qc>QIYA(EDp6I$uvccR~Z$Dw#dW)H6Rub=ed!iYI_~L@%C81?a zwh}J&i?F97W!hVgw{I}ZQXVU$CaxtrcB$}G%2PjQ|Mi;7r>-DiQCAj7rjK2DPIZc5 zW?^_?#!}M43^i?)t!ibp0Eht<*Lw_`6(5({!Xp;@U?+4k-< zspiIa*_yR^zk`jWS4BslYeF8aU>=^|8uz;XxZX*ES4Pg7u^Yrxyi%`hu04Lc-CKIi zZBbDQ>5=p(RmtEekpwA&uXE<^bDU;ISB_srJ!SKJuSKX^gwj?S^L+Gcf7KN1@$fk1 zj%$wAV?ElG$S8_5h9na5gn3cy*2a7xEp)h}&fMfS`!^VE)ZVuO+lx#l1~2*kDCO13 z=_2=*pPNyxBhGBX&$<03asg@LS)sh*#7BmEDSOY(c{?U6@0qJ)*?N!H@^{U=;?Gb2 zkd(IO{-@rD(aU}=s6BSmXXX4_`+y^5l8UW00;(RdQ?tv%z8PI=Y08}(cs}Hpto*Ns zRgTlYiDXUPfe(E-7E7;qW?T>9q=9pXqP+b*tWzPuhx2%XjWsW}r@1e{$INvB-{{+F7M}q(HYH z&SRF3BdDHRFC!3%S5R;&`ENw|J#j83m|kKDi1KhGuk`Pxa#Y6J7BCm(s_^~7(wa-=4Y zS?ImJ)pEVt;tFV138r+uk_k2 z3H>(iX_jkiL6pRa4^dXyuJc`e&7WRHDM;E{hFqHP54b{Z%3FZ-NaW_iSZv%@x1nzPIf zbLl#RTaGtR<)7Z2zt>7eO+9e?nOMUmX_MwY-ak!W^h+ErwXqo+8@9t*C*6PFYSU@2 z+B!%lra!%^dt|fKDmBkNEgBU2xVFKfp886dH?xF@kndq> z1F=4EzL~9qfc#Tm&5^)XOF(|Y`DPY7KkF*aH?uhGk)NH7rlV$;@^zxAI$P+!-2jU zEF8$|l2BkIVk~U9A*7x;#$mZvu7A8cGUG4+^2V_0$e>3LD+a}P(6E4&Bf!oh50&k( zS8fpF`X>=1GY*XbK@FsI3H2o?Q31CaycIyZ3l(d4+(W*q4iqMlgvMdWC^{Zdi6$~p zR6L!^AQOpb3=K=861i8ke-gqc!$IL4n9k%tUK<8C;RXmGP>dV$WpSX8@OTCuN5^5Q z7`RE;(oof8pg=mB0*cWD8kO7d{y7Yv49CKXgOfyNDM6K54u|A?*uS&dvC8x`6q7`v z5{OJ9C|#pq*+~Qn9>lzHC>lV&sB~__kpqHH-U-R@VA2r_^m{n)1Q^h$hf22`XgWjY z#-rLzB4Y4N1{Q~>(1=vfKcvxcBqkZiOn{Vw3A={d@ctFtk*zqQ)`$Ug8^>^@v4ep_ zby$Xj2s(iQ9Uag}$6|;yDu#llGjR+Ij!t6YXjB51;R7@VM`k$E7-6kA44B|xbQ$p+ zSV*T~Py<$+#~ugKN!S~75(?f(*dKH}i3vRkI)e^mgcu5*OMa3aituNvU=XQqcp0&M zhQl}ui|Bb+hBGO2I)+Z61Ct<%fW#3)>1009>$%QLCqSr}L{gTcL1_;&yzv)qWTJeF-Y ztLF-(reWEQqmmeS1|3Zyl8AJ0r3?}@D=ARVVKV46VC&(wn}25`XT32**x?ZHVxUP3 z8l2EUgB?C(H0D5|g6G2l!6zAw0;dA+B$h%ZVlh-Sl?f3CcdL~hNAqceW5{49_`p89 zq1_1D_QYY?O=41ERp~S`jY+}5TS8+n8AK{@l0ryDrjQ2NCwx3L`#cITp+eLP_Cm{# z3<(Pc@!>;9aSjwTjznjmNi;Zi;It(J!4zz35(I!`Je`If+qaiUNQ?Xbo14H88;ru=|!IdDc5JosKKz{}6 zCWiqZjv7Yv$DIoekSiPEs-l5h7CBfr#v!jA6mf@PoCAfx04zBXPlJt1WztDB46>04 z6bz1nL8G8w$hE2iR0u|9INNW)sv`al932Kk2moFIs|&&lfc@2Kd~C@%D*+?VBEHUabwU+At(Z%+aQf0NO=F36%BSNC{Y4;_Mn&c4}J!_ zl%)nbm@)~wLfN$zqI{c2w%(Ms5y`O0&JaDlX7 zWX!j2=AG$ZhBCQ^UKJ4JuA97MnPaa zeM+!WT*{JfeukBAqZ;gb+zfUc6?uPYqt%qxs|vg4$ue>!&reoHvyeWyR8OIds_8&{q3*2xlCZUA- z^uDjb(=EyBuN|kjXC)`0R_(Bl?beB0`zY&X`ggV67v9X0Bs_SGz4+nUksYazdS2nI z>olL$(N6Ag(|1aJyU8Q0?ToHigOXp7hQ_^xj$vhH)id|G-fE+fH8+RJrscRasZG;c}kjOj;{n+42;ClqX?%iZ0+{^>EtQ}Nc3cUDJte9i9B-PoWd z9Cp5Qrl)PF*-SUr*q*~Q-BBm}scjRY&e=)Y>PzF-h?*vB&1$)76nbl6Y}IoE%d0u& z!Bz8nL+;Y`J@3jy7A#DOjS=5oCbe$!v(D<3O-gNy%$>;(JAWr7`aEiG-m@_;X#TOM z7eiCpf}TYyt`}IQaPyg0?jZ-$y$jkh+8&Kj$xb=!yQ?t%+J(gDruROd`&LFc+lq1P zd}bADc;(_aX|1tqy>bp0BzWOeqtCwbnrb^0BXEh* za^8_JMw0%UqY6uMCcN1ZdAICMmg-OIC$?uo_V0*RZ=Cm2G0oQB1t(>bT)WXbZ{zYO zQ5TQ;y@?XP>S!^~;D`UUk9VWTU+{29EZJ+8S$ai5?PJ%ytEmdsS%xKHsn1P16|)L# zvV319wl<_IpaLwS-aV;y@R#WRIon;PZbe<57Fpt^-x<*{PfBHNJDb}T#uW?vhz*`4 zT;*l7e#Z>w$%2vRW@>(;Kx@$mSmH8Y@L zi>txg^&hoLT)du5FVUIe@bcbQc`Y+ngNMEN?GqiIO6|py6xtM;mSyf1I62{j(IA~3 z;s8hj`;0ZAI55U*tZ>`p$=KuxLzQe7GZ5!OWML@y(&=H*#B?$NlryInc$cHav4bLN&vqs@rAO86r z&R=Iuf+PRLnh9ln;QV!V9}D>>&R^%i)d?JwI)Q^yM}XJt#uXbbk6gv+MX^Ek@DmmW z@z1spYl-+1rS+kH2mn6CKoWyNLFE<}gFrxX(4nJC2MV2rA=5BSC^XZ_C=`5x<_Lp9 z281t>NktJLI3fXzh(SXU@GvP4wM7gH5BkD16qZgQlTqYBLcIP#%g91J&YeL{hd}j_ zia)Rh%Rz{OM1DiY&BM4efJH%2O(p_%9|wUSnhIDMXt|(i3=-6=vD}rs0epk}xiciC zfv?3tDgy;`Il#fIqcB5*_=j<4a90!*gG!;GSeXtJpxZPWnM#HV6pf0;GPzU8{vj%V z?hGn7B-r^F6c#|}eF!SzkX;#vVcZ$g{y;+;1lpi95*exwR4VL69En1L*PcM3aNimK z>fL?25fPoifgfV+Mx={~Mm)hfv@nVo)>!mWG8JfkAyTnnA`9U@JnY zl#JpoHV#l;NJfp=dEkj;Xaqt^k3*B0BmiwP zup}}T8Mp)SQ4)c>5X%aq`1{Nd$AJ{SfyxZYqA_rw;YaN5k-9hog(Hw~WWcWw=@b}HX{Q9EE?PvL>ut( z;^<5Ug@UD$hzuApqtZ!e?mO#W(c#NxgjWFu@*rS@qZ-)la3Bi@H#k!N0o{M7R5Phq zI%r@(w+ot%3?d1^0Z_0EG>*n~V*^OvMs{OtpbrMn7I@aEA#$3**dc%yNN@%W9WYY< zbt|2fXYlnUg7+6HYe+bVOfw;hd`N$kS2)Paa0(94Yh)^L_QB~yff$eouMm+6?8+o6 z9lSkvY%zdCY-Eq5FKB{#At3J&1QC?NVc~EP(h~^0`~%NBqtHookSm7vES-X;Qpp$w z2Ktt08b}&~I3Z(@JLCUbgoa^7$mW3Z8{!LOSP>6t*pRIf2rziw839}Z_yY_U(Obkb zu}nN1$yE3s3<~<;RBr#k;v?eEZsfd1SQ3Oztc(&MHQ@T-*o0GSXb(a8*Z7D5q-=cI zZ15PMuY&Xj;Y>ns9mw1$&wP=W;m|IC#tR9$$xIT4KqR6dUq%t>fDj@<0||OGT%K(J z-xPoD3_3|L_Y5u@fFMw)M*s;}p7|orI|DsWCZ3FfG@p(pKpz@KVlvPSct5cau#@rJ z$Ls)3EB@RWGBk_ii%3@ofmk3zv&5l+a)4Ff0mh*~=Zp;58N4xo*I@w0i^70=f|-33 z4L||ZL7rLv?#IaP?4Q>NC}p9l!n!qNTY`W)+}h9{g7U9XFRW@6f8PH-pA8raR!bco zi#mW20QCac01U63keA^U3T$91Ih1EdKGZEnbV0pCF!I)eE3h#x-A`uFWM1b;$=X=PyEfQ~b`0U(8dW+9JYlowQq zLI!X@oj`+qhC?yPBw#ThBN!FP)R{;Q$c@3`BN+ha&LG7jLDBM`I|I}tU}Q#mXLLw{ zAa^B0wSj_z)CdM9z|YaKC`ce6D&j7L^`B?g;E!X&0InVqO*oApC4w*>$!bx=p&i7( zM?0|!>il_2`W^`|wE&hG>_u=HtdbXD=r@EnMvH<&G3hkGI1^A5SOPqT0*F5VY(Rkq zKn8RIcO*K15MgA`gL7N(zc?6g#X$ChfbzfoK8 z5tgllx`vJDsM9s=pG#@7V_r$=T+Y&|5S{G#yyw}Q;$lMR zI1l$po2OVS-3r~(dC5^GXM&(uSeiy!!x=AJCh4r?q8O~C!EvW4F6#)H5&PBNoRM3e z-RgX!eatEKhJ?k(HV1@sX?!)l^Dw)?;!bZvi+ z_NcvnUA4Mn`E&>4A3@hY`fmF4RSuJ1`Wa(X602X@Eurht@up|nRn3VVj=P0_l#1nK zlXv{+_&zbS_a%MLm+iPrSM70I53Z;lKdIV$!V~X}_7Cl}bk^^$%$`*C_NX|aj8XX@ z(?4EslcsADQm>j^uAlcyQ=$rWI^6D@eAyd%*vCBgB^q^=C${e+b{5D_W>R&v z=8Xwjpw@X5-JAI?NwE9G+n`({=W?ZO<2#H3uFk74QV>d8clg)2O)u?<=v3m^<)h{= z@=}ecTy4K`*J2X`OjN+-h07)P;cncn=~QsM9qf8|ZFz9L+%AD5g=P_lUU~^^mkFHE zJl)LCzQe_iYX9=5z&EMH-+n*ov5(Cy&(2Pr^+4mwnC6{w-eX?Kh_rsZt76q=uV`tk ze`u5NoyQZlrp$8|b3P){Q0ti1)?-rtY}AhD^_RDw9WS@8xoO9-6ZdP*X{Z=H+GbNC z;54Z+zs4dLyx-Eg{8?^TyBym^CO^*ZChUlR za#nZk^0^upE#6(a)1W>swR^K~@7a61l&5u_DC&LL;XNO7>Uv9F#eR~X(Q(aC@3Q2A zj}dvylMCC=;f0qGpDO;aTB|i7K@v0m&CAuTGn*FFygF+5+*mcvH6yh#H!pn|4~wCgz=)SBSIwhlybe@8ti|H4zG4y7%1y zT6|rJ{)FN~nGQ#PJ_+|y>pAaTb$0ev7h%8CSy4T=iIz4eHKw9nZplC0q{gtBaecFi zLEO3-hu2KCi&F!AreyLhc(?m=4Bwq2IMhV%gdf{E}@rr2A!bLkT zcg#?_HMMu=oBnrdk1X8i3#0&e577n^A0ne;7&!A7SmeN=z^E&9 z)?nBhMoM6kbfmkH29Z0SM!`~`lnI{*P_4j2VoYFApr1^kbLWo(u*m$mGc1g3Afp?= z&I2<_1el=#G|otG3`X1-z`jSv0mcADhF6(MV-PS@C^n&~WCm`KM2zn(0XCsO)G%RC z0j4GZ)q@AT|Ih`$VQfYw*bg(E0N7%pFihwZ5wT1vo=ODBIt2(wxiJTJ7>ER#UOKtaZzKqQs~i5dx<2ZA<(HX@!p$Y$)HZt-O^ zB7hg9eS^V4Z2;af6cGrUM(jUeln16&FchddW1*`HusUGh12QNEjzXt`;`^VTk$q0{ z^^pNK07e+Wf52OYkVW93<_X23k-lbtCqrZC0KdXANEjj&OJtBKFdt530*w?vW4I=! z*?|Xt?+j|4I0Ul<9uWo62kaW)-5l{bjfFRih^Inx00ZOTfW0DNsPO;rOrZK@K#!jL znejaUK>#l(WPXh0^ASn|1meT1X2|oLh8i?f~0fr69beQZUQDJx-3q>m?9!ui_ zz_3F@{ysB=ix&I`G)tix3xt<&O!KH14#R&yaRumV8FU7O06;zfy#+F$Du^)cMTNOa z#vrd5-vbZ?@Pc4dks&o0Y6oNw+~J5%X*z%a0p>`68C)n>!L%1(MgYQuB~frpESkyv z#8{y_f1Vhp_6A%U0B|Hh%?*4##H4Vl0t?O14g{J!6cQTYYlegv+Q78!DQP9*z zxG*5pB{9%&40AcY0lJoaIfa2TMIG5`;1yu(5Vi_9cwP}9@B0E`3M_&hqeCm30{IDo zgQlP;Xbc`OO5B)X_ziw%lnC4f@OUiv3m&;MaO|*IcpSn!?~DYHVI~DIH2@LD0&fO_ z>j6p%8WS4a2n7q*6XQR;7J<89ky%!t1cIg=0DAy4Lgp0_^1L(X2jb}f-va+Z!!hVg zApL^Q0|ZXsJ)ncfUAyN$z7_|tI7n)tjRN2?~>P_+SK2ur{- z!O_!zt%HgNJ`_BI41)*|U2vbmtojgt?+gkYh*B?$CnnQD8tHCWyJ;GyXA2_4#~+m;oFXtBs5%03!+bND`V?NXYY-`*_nCn&B!JV0S`^eDfISEt3SsCb@-U8R z43h$78Un}`P_S@T5&?M%{a6&h=iv4T)Il5w-^&0jb{-gb$3QNLjQ_KQFGl>tpxI8O z;y{T3lrP}jf=@t-gQgmkWRHZ!CIz7w$8h94hNzUM z;Vk9~aQYDI!HJ4Ro$TOMe(Z0IcL z5_+$^kJh52{7LAW;<DP3`R`Sk4} zs}(oDHI!(N|GjGmA*$2({LS7=t9}UmsJkz_rgN#W?M2e#E`l8i`V8`sUl zX^&EP@+4(JwUegt?%N9=U{Ui|EPW{9d+dDHwj3urUGEKZC!c+66<~U>qjO5)+0Wv- zq&Ks5XHf(0puUa2=H6afsZ;*Lr%0hCeBqNd+mgR!FW*MTZgrx%K1Ey3IduBc&90+* zON)Dh*D>1syXn{^(sNMC&nr;OwyU}`gaY4wOiHhNWF~GE2@KOCUwh_w8C-O?;Rr@ihNFt<`x==b=yGsrX$V z&qi(Rj?}AL>~=_0IQzmXH@DE8^fpl~lbwOTG(MQx2<{f2D=sq1U0-m| zCNcF39;xt9rbsPe)@+5$yTPA#?s7Tl-f(fT2i>~k&91#g;tmyB?(2VN)2bcY zUieg$dKI}Voa$PVK z2V1+mbzeK%D5ae$u+v#6S1h+yFIRYr)U<$6^2ZKRbKOqVw8Tu^{5^5Za!sKW!#y8w z+Gut9n5NA@rv;wPtq#ywkP&q_&%Z}L=;Hg0K`CllQp%E^vZLB2UeF%(OnK2v>+gF! z(y3QoR(VF%Y;g)$i$#(DV@cg=85+1|AIpmn90CRwOJR9{lY3%}x)$lV9k_g85tM3c{liZxDNy^il2w4d9HHqo*w zOVjse5`@cSM)@vGAy#9q*uMMuC}gAG!W7R9T*`sSe`tXdZirszqZzIs8W#Y zFh0J~tGA(dtMyDrgC~2{f9<&D(s;tE)b-NwRUS_kL@hk)QTEaK3&rQjvgC4##A^Mt zqvR{s2#Z^uBnDf=cxndp9zR9k1mJ4|-bvX9-hoHt>;rw0B zPWty;nxYs~;Y%cm(Drp}yS_5wi)KqJo;^7ES)h25_Dg9-kGYzvcY0=Ifz#)r$?lSr ziVIt_CDVOhU$Y6#E9tJztX{vtN_pprdF7|6W{Trdds6)!`yD%0=SP5( zTlMSsSoCSfo4DdgoSoy2pNI2>cI^_IPB;Cq^P-!L&**56DBp%xc_*cQh^?&37SN%* z)hgBUv0wbN`PRDTDl0WJ<+OyTkJptq(2r;=6DjpxbaJV1`_dOhZzXyzERaboy+!P* zZ)sJX7x2;MS@c>BYVYC8^J8m{*UI_L>azFDIGKOgT(M-MdJ<`4!bGz!**Z&`FM~j1 zfE1$NG0Bc9{)EP`kB@=S7$7!=>xKc10d5BNFAh+1?ILxP?G@{pD?4hH4=<1O%Flj*rfEmnOA#({pfCIdhzGL&2p&yx3I>aZwlNxL;W5Z47j(i20L!3Lfkp;M zWf)xVCHwT|(>`HY4aG<(l|gw1ge8D!9oi+(>f%*jLZ(h>Ky3Lzqf4iTUYsDL&@ zGtmTC{y*I}J2K*v*?pTETn999U~C(xqYxzrICGHJ;?SELM}|@ufdD!J$Yd#SxH73s z92v&`C_to4!gJ{fup>D>8P3`!$o7CKb1)kP0Sds9K+y$=D26th4y+geyoOR3@Gt;F zJm6P=^a+5OzyJ<3WL!NncHGHVv!QrTgzbR=&@;r`h^PaON0*a=qXUSD1|(bz6cuC; za4=H*St_m3?hY$fnjuDKm*?m$Zs0J zH1Po5Km)ZL03Nx$AOAW~Xb^q^6L=CJ_c5@c@S7YU8pKQT}X_z910U*+_r7F9w7;ZWv4c>(>5HAs`S?@^6>) zrImeV!$Ep zusMs3ypcnou>a#?BLxZmY>|I*c(&OXzz89jAs%M478?Z~kBSF`6M%upOeP3Pk;!Ca zasj9x;Ry_~v-|h)k=75pR5gqtUJ^@BkaNe}mku$+i(umaw^)N+iU)oZWa^2lYXoXM zJTAon9UghGUKOGRIP_n|B9lu{S>pbytU6rZz4EbF1(RNVg)9x-{(Yxd{BQYHo7>;6cMlSOyV)r1^Obk24ksK7J2QG*i*-ZJ z*NoqkP7l&m;n0R&Yl){q-`YE6Pd+_ex;vfeYG?c~F-t^ucGtnFi|s?^lxB>zkPn}% zu>Jhcoa36;F*P}-zcWLh8g!m)t?xBF#zd|A>>N9`^2qeCa>H9bO!F7NGjlUcp1EqS zw8=lQMOZXJq~*l^sS}k871wXxtd=ERE0NR@|M)aRYu0zlE!3yumft3S79T?q{3x$? zWRG#^mn5us*Q^Oi0;l(`%%IJ6r?r;8)+z5Hxof^K#x5={4 zG48AR*CK;wC8E>Jt!PW{DrN6~q!ylqSFnrJoSU^Oj_)Px1!6(JqdRat-A6_ z@r6#P(>m!lM$>!3O^=P)CH>PR*%X_%hH0WOrolM+(~{>iNP-{bR@;vr9V=Bn&qKL` zp#5-_PDl1;&+#p0D1F~?uM=PT2T(3q8vpDpTp=T765Y9|c+!D8ZAmX)eWpE5DGA;? zUBXOLVrebjTQTI+;{xHxya2(s!qYmKxWld!KUFLf7MrFOM(8+pwEpLFrOe$M7%APk zr>Zx8cb3xE5^7Sr8~)6uXW@dddm_o^`lT`Q#CM^lWA#Zwp?-7E*`wa=FKlg*PiYx# z+^bo5%|x;yUQxQHDa>B8IOC~mVMLC-S7Ky>+RQHj+7a2GZk+h6SM|+A@#HqeG3l|P z%Qh~-`7c`3Ae(uiX8G?`Yxb0fs|i?^tjjFgswyoq=U1b^hc)lF3*gRNzUg^=)~1rn zySz#_>X8oGdq@^(NGDFI&6B}n{k`WIy*Im==|XLMhcn9DI&M_8v1xXlz^-jeAI4`& z-I*V_q4K=l%X{&1{`+JPxLU7VZaaO0@0(3J`zEd59+&xg=Mqy^&h(iTp6QDIY2;S+aU$8aUdyzo?1cE?8XUzX?3AC%g#bN0<;N6-0I zHLhOf8SL_Wl)Y$3xT@&Q?y0@jCpYZfHu_lL^JDimCcbKa_6&8G_}ER{Wrpp=J)3J5 znk&6L^-buEz`Qroa$6i%9xL4F?shTu_Wdz;>^m!?9%$K`&h$!q#(e%dz{0+H!Sr`Q zPI)yEyUJ(hdM!=a-kCSQ`NH_7x)8at3%fIY(k{x{EzX?$El9bu?x|wOrvrPWKgOKB z+()b&ZXz^eQFTEep`jCH6GS zR35;;nU#~Z&N&{#jb z-_GAkRL(wn8TX^d`fG=Yi}S%>1<`r_o7DYm_S9O5nHFx1ncCr5c(w=TAKBO>$D7D=`VJ-8w2_-w%fa&U1=& ztwYK)HBH~wUG@pnun>A7TqH}M^4238CAGIma+}%XM0vA&h7+80A8Y@Zeqc}M-33Q) zdS@wbydttwTqAPw=QCy7&J=CStsO_Q((m4Ssm3?CO*yjX`})(k?#QSZ^(rITSr(IX zwrO2?JO7SX_~~C41n)m;bD9x9@&Ey2jfr zHvTSUmd4lbzvGl{5Y|dz!sm|*%f4qd`}x;xfv2`+ZO*J|cvUl7Wyv)89@?DSewX(3 zuKm#9;PT+L!0yW3Kp-G2S}OkXjr;ZQ^2$7ZSW5X`|73zw zJ@w^w@Ru(qX44cG9G)(!F}p-yi!$zR$|?8N?zg<^fz!V&tI2Gzb{m|Z|2ygb(r#m& zfPb{xSWJ%mXt$9#bKW4C1g0PzE6$uZ!fwR=35ynv^-r9p7-!%c2(UO;ahhTro_!!e z?fWOrgs)SKkMY@lYY|`w8cpp&Qco6V5jiIUPMf9kc%l@KAjpIN!`-IQ^rw$6ilPu*sj+ z9#j>e9`a9C0Bqtg`N!`ZjE_Kj7Mu)ed-NHOP;^6SUXGXH>?{lh%t=I;^Tt6Q1{|3% z0ShS+%;NyG3qvJwO{=iCD}NRo(Zzx>$A1h548J;b4|uFMm_4{E7W)O_k7;0mhAh91=JkfiVLh z>7W+KHHgl3{`}eBIB3zq^x;2t!?>C{D4q<%?!Ucz{Hr{2-aXEm{`2k)y%$((`fv3K z5gGPBBZ3h!odXLAY+(f53$-w)JObrEfZvCP_5W*KMfPdWpS^%a<`1ASf=m&EiZrX^ zf&pL-kUoNY<^e|rj!s}3AX1>C2#8)7tA)`r0ENRi9W=Xu2A8Xf$45*)qGbozB97gF zkbt)6u`Z1EOG!`9l31p}j{0LD((xzdAJ5CT7r~RY-u*5SSST@9Ns{S)Y#foBmK-Y|p# zZx*n`0)!m8_|TypdeR9R$21avQ9w%pG@}_HP7lnIpy~j_h|mgT5V_#~Fdoh~zW{?% zFw5D`Y=k!xj(pyB|7}V6*aqwaDd9kj610DyT>@ica1J06rNF8*^gabCSfD{*Ky#ak zbkzvJ(F>ZNFrWikAV8=($UfyCW`@yRcHOdqoi#~?&kkTdc!oPG2{FPqK;Js1!g!J`Sl zgbp%3K)V1Pcrr8|2001(7yC!%ZIRI*%>V30Ci{WlX*hQOWit#Ini-jGeZ3|u!iEM2 z)_*nw^c3I)`s*9^-?~k##GFrI0Zb_&yO(1)3`)W7g+=CJIBPRtfk=b~?Hn4Y1<^^s zcSM1x0H!U`C#Ne!*Rgs59rTf*!{Q7z`qlWlP`cfV6%_o;LQSM z5-=HXcYi%4*!cpyTNFGnP{BYxjf#aiT&U&I=>#UQD>4De!nLNXYAb&{0~W~NfmaJ~ zZ*04Py$49)5!4}1!~e3VtO_uHjQeNM7J)>vSRW7(0T{FeMjRgEV-!F(V&V7#>^%-e z1E?Oj4uCZQq=-a-41&fDDP$F_`D8b^TRehngT+J`0ua116qx}3r4IV#Lv02G1_>}4 z6a{$yXec}b7<+)k3*fSF`hv^l=CVQZD1JFxWDm2<24pWN{D9e@2Li_%8X^bCVu!RF zC>Izs5|xSv@+35h0z#xX8Wq?zp@M=VQ!w0^F@EZu_1TR`Tf)>4Vm20Pc%W`VCJ%YG zQLqr?&;W)AxHbmhz>!gS3=_~}5Pnc0nC6Bj4^U}h0bE!uso}tC2FM_BUkWuxC_F(j z@Fz%xJODU9*oCYqZrBHZUI{XG{ZHaSFNK~1BIn0-DQSR^&cD30f9^flrJy_lAiqIW z07wJ0U^sp%bqz z+V*ss0=>!I>tS`i<-!R`Qv$=P_net4{~@?Ac+@;X*BQfO!7|0JZ=Z{rKQzsn5wml> zwc~ox|Hs^$$5Yw0{ljHWDn+Hp9Fk%0%{D|zL}toNW!f8sGA2T%kfA{`6(vK6GG$63 zQpl`CB4b4oQHb8-R6P&&j_y0Z=kxw^eO&5j4d*)7xsGFfhlez8D7~}n!#NZ)YQ<$r zu$jBPcE6Mnu+LCBLtpl;;oB}((KXd<-DM2n$qE6s+#Z)3zB7MscF#FY65rv=)LK@) zR$ivhxFU{vrcOTNf+VhrONsbG9 z-Y|Y5u|+ehz9V)#Di7N{O1r&F?*ZhWi$~9S~X0P*DR-$p%Rd6ui$^jJrslHIg^+2?_J!&UirUyknQ?bFD3R-U1(6Q}z~t^9z=wyLrI z=f~;?Uv;%web!p7oG$F^Q0w8ku}*hu=tu7}yZO7+&#$k^;@qR{>#J>a`3gsV_d0s7 zRPqp+^}Jri*$H_XA8)$k@jL3%-2LdhKR3PctnK9%whYzv;v}K=GQIa66b$vo3BPOFAHBi{>*OMr__@AZHrM0BdiFKTtXPqH_LZuK7mbG|xs;-R`k@aK_Q~YJ#=*4TMtAOO8Tn|S z7knT(%WN`>V*A$GS6vz2!(LKHDx?NjA7~sFs&vX_ZTCCz@*B2%`tmisPRh)PyM8vL z^4!NHwS6~4*cd#&HZA8wN^!eXY+S6p_tK-X^wx3~>xgaLw<&D~cb_o)SRO2-rp%c6 z)~SO>mfDY6e*a49B*ti^q%NZe31^cp@K>W6qqO2)%bMt5H7dn&ch@$x7Aurzx#*GO zn{5v7X1vfD9rUcd7?o5rTJ9V+bIf~2Nk)OGGEV5)%Ch>;4+FLZQr2I6mC1YXQbL`_ zhexuaLQnU)7d(E(_1yPmUeLg}YQow(#g>v3hGi~n))zjG-20Tb<_O&1tIyPC z#B^y)4pK-~3Z=7z``Q}BeP{9K_XzRWle6a1hieSm-yhEGopj*bXOZ$H=Hi*saaXT{ z;iktj=nl0ia<08`WvazH-6r`=e3tgb`p-u=q{zHkNcB4;HBNsrv$Zlvf8bQ`T~(c? zoz^I$*Y({)=InhJCpsfh16N{*u8Kvaj%hd3Gj4YHcS z?;AH8Z8GBy&)tAgbZuIR`?10yI8TcRSVVj-w)2uqvh~dHiPQ(P1z0g90$HwKPKmIy4f==_#q6kvn1=BSVAo>EkW6|R-@J__VQP5#f z$c9V<2f3OkSk=R&4+4o7Bc$WaJH-84ee5^*9ZLO(?lZzm1}!AuB`>Y}3_^V(0Mdu^ zEr<|-^D^+n!1GcV2lB0;n`vr7Sma$!@*`aP0DK()BEJNyAsw3KB`@7^5KshP1uPzo zF*OAxNF=QQ#V0V0hFT#geBuc3?>}FwefzJVF z7A|WSP5RA^@nGiyP7`Jrpl^r>3FA!hAfze;G$vDjqHL@ zil4QWh3pVP$)J}i#lvN{nJ6BQ69H{LV7eiK$7bNIhyh^+U>ahGY=g^KHU|DT0@uX; z>NsK>ISYwcy5q)R#fgP7D2Qo`faou5f`IeDgZU5aD#oV3;wN0+%U3l9;?FGVKnSW@ z$Z-kw5ZG6s{5|XAyXgLHEGmk@BXnex2nw!5%|Klc6ja3#D@$W>ArnFwkDrIHA zfqE#MvpPy%A#{INoZ;eHp<&n0Y?fY`S%6bq;k1bs^oiY&avrwMdzXHh%^ zp@0?tZ$lAf*~ME$uB;G#Fp*a09z=-E@FJme4+BI)5rt4VnhT*Hfm z&fVOIJy#+46a(Fbu+iBP#;@&s8B5x8sjQ2Xx4~WMXW?V{O4{=flD|vZD7b3;OImCD zuab7Z&b#%@cMh<6Hsxl&acI0g6n|eLG}5XJiyPA$FBnqCR zegEOLeQo{AS7r|T>#Z%oS4oQGuADZklrxmFGP>pj1;u*)d*~6-rDzqd*EZ) zu0kp>)m5rFEF8(kMYYLuuP0;Y*#p7>^}*Ap&qzgyk%rT`>c+3#K7M^tfx5q{M@Hhq zD{^mjGD^cq-lnq}EQfgOiZ`}=y&d3qiEEU>guIlwuioyGnU-$C>BN0EJwFV|P@YSm zj@$KJM(pOW%(icWY~z^cyy!Gd*A*;xy!$sC`nE4Bq)aHSAdl?oL#wSS;W*tDOeQ*w zDO6c@c#P{P*V@#&vm@k*cceGoQO_4Vo07*O6;NgHcw?`g-j;&gy;sy7VyCHPKMR)~ zTN8Ih*Lesp{c39&W?HT2)w67hf+DW�hHla;dM5>}2P)#cgnHDL*1~P>b&t zCB?)h{Aa_8#+7^1;?~{}s{fc{Qx(%mnqR{n)K{Q5B+!?w@WSzQ5?#R-RDQ~8(FWVw z(+4a`LUy3?G@^#;F51^!?W^&;Ga}wn$%$L9%&ECqC}PEp2A`WG#a3sSEFGvDQhfM_ zW=041QEgwVz}almFLl+(Xq~;b8e_k$q1X{4Zk#3Nxp|-ELxECZr7VNd9U`)+8TO-m zp?psaCbU!Rb_6M~1sF+mY*W2)OEXi6rgv(DR8iTf>vl=ulS?7>Y)O+>A229U+LCOw zu@sN3ReM_fShy)Ty!rFD7SM;fDDgS=Rd_k`L(N9LVw&9V3{QlE<2Z|ym?}TM{7~Q3 z?EJ;dXWM~w4CB6oE*Lo{k-@cPW46g3)eF;h4$0p*n_CsxZRh%;ZOfkb8ta@;4_|U* zQwO^E(Mt8bj9H7lxqmugV~-AQ=L$Jr3b`F_*bQXlPL>j7>EA7LrPgsrk49YHKK8Xg zS*ambfq~`N%PKxq`fAt56?}q|iTv97$8=utitcNpJ^cFAF3xK|wByy7R>~>&b91}v zjaxoyu82)h`+VmlL+)s~P~aJEuCZ%0l>BZNNpm0T3G7JJ5R3I#bL*3e^?1OY4OU%D z`k|+|LgEHopMS&zHl>wij72_K$FIWt*yptTk*L-L)m6v7T61g^yO=4Im429X{{;+w zW^$$Zaov{3`w#98Z7iQL7uU5+^%e~X9ccCAvgQARf1&wS?ZF`_f3Gev`XHx-8>eT` z8SeU;M|BQ5>b&+Q9o^!Ps)U4_4qhIZIFNsk* z&~th+PTBkW)MTa6R_;LI$}HzCB`Ml3?hn59JxJkQ@UgwB;#BNi5Bg&wzHYj^u^0S2 zBEv^hl}0g&Ukq0VXWovvwCCI_jPPndg$- zipfNvH3##DEERFY_aAq|?z6TVT^ApM*ZaXAT09+V8Bn)$9+yYrnsJX~k!)h>$<}?Mo~Eo399}9KXVU z^A*K^^A*KsX+X14$Y*Z$hu{D5Z(myRU+lzrHRPXNTBfW4@F(K%nFZN=#O!FchKvY1 zKtUET6N_hQXi$C!n;bAP0&h!1=mHAnkU|)P=ni6%LwNk2oxx@5HvsGr-6){_K)*rE z9*W8!Xb<>%1T(RCmSzG+9Dq|oO-@`y6b~LoV2Wc37H(itU}6gF0fKC28QsBtXT=EV zVz#OYWeG7jOU#;REiR!4ehr9xo;VsH!hjtE78%G%LD>o%+Mpy0#=3<4o_lEfw`vtq z4FsrzFzARt*Jm9nz#b4_aAC+Y0UswoYD01_`e%Y16p@XwBH;Uk5*9|L9n?9Y+5;CRU@9aA_)8P` zEx~jzqjA^oWrC=-3M1ND@eS7#W6H%P8n2@nJ zD9<7=H*lB$7&kaL6Pg%-Bb>I>W>>WkG77L7e;KM`sQqIk^|E<@*D#!>Y4SeTdF9ACeLQ%E`y4ili1qm3R{6)V(bj}0^ycBSJhR=+dF$!Ks_>T!#G{QGW z7)@l2%&jo|+iWA~M^K@grB)%d1O)UCAL`jP4ti9JUK68Xql4=a07HTZr>O}FFDhn? zF&08YrA*uybhrsu$ufQ^u~3qLdrA!Q$$_m84RvGWgoPA1f#tPmzePb0R}=_$aQz28 z1)MkdpoxH9xEVM@0UdzAsp;qOaGAD}U;Re5Y@j(qzX3dp)V-0n60`Ux2Ws3RFixxq z-0z5snZi2?Tx=ZRQQ63gsC|8EMh^B9JNp@>Wx99;p2N?4wO zGV}j#yu?C9V9X$HNhFj2(TPwGkVCuRtQ!ziQ~wwWU0I@4#AuXg4VSR~wXoGchQeWC ziB9mXY zZrU>S4^0{=v3~yO-l1415%5^z{EIRF8(8*tE?*qW{DZ}-SB>2BjtDkvE4zZuejUUm z%D7r3_g3z$Drez*yDf3_u0fGJN7$n2st<=4HkJ!~R=;+0-4pha$IfSrF(%5XXOsgz ztIPx(`;MM5g=z$jl)gk@lxdZt@gd4XmG!>QGE6mm9m4|IN%_JV8$a6RuE&LnOx(Ys z{%)W9P%)**IH&*jPQg+t-#xp|Y3&Q@y?=+1{9aYnIqu-^^v-#1%*=Hst%j9MX_Y>1 zEqI#IKWx*Oo3TI0dgUAXeQ&u7ccmFA260VXyQ;@_JU|bZ+ zcEv@#U!1~Yv*maMzv7I!>E{SKj^`{zGMd{3Ha?e*!Lm6Hc60J$6%HlfiZ*CvDhGBQ zDtPdzrUX^mWJ0@hR5J)$%y8t*z=IDc<&Xs4Nt90StNL#F4Ey14cYIu`a}M*TyeZ;p zF&H)u6 z#m=kyC@mvQk2zG4Ipkglk>GlSqtk4XZXiO|TEZZgzzWTQBem1|(coB=#hASn< zpEk9Oh)uq+X=Sx=G~!by+h*$*x2xL|ql{Zqb!;atwdVWu^%1@e(M*#KR=HO9wcPkR z4lxErP2Jh;n&bQX~;1jT!QJ zz>34Ca>1wVr@Gm%6Tl*VfZ_z~6+kS)KlZ}3xlcV|1Lg%q&iH831$U?x?A(-JmhR%w+%{zB2 zc&>jwcK{}D@frSeC@57d5sExl_|OxcSqKm91NJtjKc(!W)rR=cd8L z9u8!oe+Y#t2+FEveoEc`?0Jmx0RR^j1*=_-*{#R+cb7c75-aZWsR9`TZBtMG8Jpv6KsR#;+- zFA2bR{ULN7ZIW24pwbT{PGZj$+`|@c^-rO|{ah*%o(;krB^avcl0${nAOLD>grUIQ zT&mSSg#r|QsZcOgLEK;nIxIfVh>Pe1|0z_2Fo&DFn0}Vdp&kSE4GbI+h%`-*D$k9F zdIP8(L0OeZCI?~+iwzj@>Jt|KyI{r($0yvgKAs%bel1nd~<^~+>vK2LZV1$}IO zT{7M%64J$ZF+APvvTrk&YSj(pOwHuu8eU9qo6fZ8qs~jde4oN*@})9e`x@`lW4CZ- z&-+%DhS98CU$LE4r|O&5`ksVGYewSFjEL&d4>Uh?9t@XJ50pzkl3e9t^~J5_e3I}P z=@(LxX9J7qbRw;%2jagxzN1*hZ20Ne8+yj`}l>V#974$>$LJ}N?kpo z(*`;}WR7((?R`hHeiQD9Z!d~PzQwg9JYf$yF?Tm+bWn?nU$~63!#la!J3G%ayV3Yq z%(mj5Aq@HshVik}RoOim#pjgApRy)v@NUK2qhyR~k%{Sf&bptv8Wmd7{Hk%C_t@kv zs{Y}=H-g4;arm^Xw2t^EY!neJwzX{)ovZ@a@pX>sWygxf{n%WE?osL{JvNv;CA~GR zt-66*7bU=!D`8|5B3bihMI7#^{M(B)*Izs&zv7j`;3PnKc<_t7d)=jGXIuaFOHXaT zMF;6@v~?%hA(Q{^^vR?q4Ql*J&17$v0jIb-&o(7-NtfjdSYvSm-H(sQS{y%mvGft^ zu=CcRqv zhOe%=SN!oHJCB5I$GpCO&f|@7D)5)0^{l&cXRPd4jZfNm9oLR3E;>%%heuM!c1p{) zSjap2S=YZyS<&};OPqn~&F*#v!zaeqs*}~HFWvjW7_*7F#9((!YOwHmO1%rAo9;GN zgx!6GTKT-_q?}We6J4jIPdi)QnWmsds+{{=^pE=_o{#c%bRP+bDN3z)Npq^ii7|rqO?=`lv|&1)uik`ZoJm2 z?WXgNw;d`mw-tq753FtuC84syR2LlyZ@N}mvG!Q!*4kSf9b|9n@ zyP~JxUo?nTsomXr#rAx>g#?+RbFG6>rpL;H)Da0{sWK7D8v$fycIREdzx+v-{BI#M z|C=g{fDzZiui`KM< zhaekU7z%f?AXG*01&3Cb?C>8#p;dx&!@^cUxEQj6g~tYHl;CvtcahL0!QpN}B#1Qv z6NvDH1&uB>Nq-8(iV!AL3tL4bLZPBgWT*t^y1xvCR+m~3SWw#r#T8<$F7;^s6bh{_ zwIC2lHlz?mWUK@!>%y`AArx9&YDIttBV-vEVy!Nof%FZxn53|uAP7!V$sVKot? zMGMA?pk$CMW5Q{g$G4fyGX63Y3$}5KZ7-k~j)B`cAw-0o*l`^ye18)TZ!H$kW(zwG ztuMMXez%SWg)w4v6yP2IMeB$NH;{yhwZ7>4`>S;fLI8vh3z5z*`Xc@g2gnejF-IhP z(KqUMI2N>Rh-Lkvgv_0}&-`~dvfAJaLZtOY*ZA*ngnCb8#leGHbg}*p2PtXz{1P@! zNa7*Vckmq$02(W?@V{AfXu!3gphQii^}ktkXfS#OPBvjUvOO;TK}PhsFc`v6s7ovv zDg>`Mz;20j`frvxd^12ek}z6)uFk>#X;v*LhemK`V!u2-mMh7Ishs=n{!X%yYQ|qC z+0S(jpSUGP?^b(v*z}@Eh_#iJcB`LiXb48A9q*}lI9SeqWz-F}i{zPE(_dYKRa!aY zEi?6#1#=jO-(GXAExKRfDVk)Vv5rcg)k|va%vP$>3{rb9Lo2qDOV76??z`cPWvJEW zin`*xhl0hcqq)UWm1QtI!o z!*#DjwK$PW9+&R3Qz+E0R|;4riWc$+*Y_QqN2^`j+Nu` zk2-oft5eNma{un{Dr>HE?$)2$Dq+G=iSF<6e^SVK`Y^L?#eXf`m&TPW!WtaaZBV!;_RVFX_R?4b@ zB=)sBxXVK>6hdrCc49$Ko?x}-6%sk%G?E^F52v-MH&I(7j9sl@zxS8?1k>*ROLl_;4$6#GdB=Cn zNJa0uKqHs^wU+g^@kZ&?@J?$@QP9*FVU=1O7xie-bZ=U6U&O9K52c(n(rNwY z28Wx+FuODk(b)Cc&<#C$-8L@bDXLX)Za}GMl*L&kt2|TuNk6Ka3A47mDcp9TA*kZ? zmLF6vaq0K#zUp+n+2fwA-~axwt!du9#(r_DSB+-2alH~GCOK=qxUF|tN4K%_&cGzU zRh$}S>!#-ibI-|dksm|5rC*HI{3Ml9|7d1pBHmv3f>gic&e6o^8{b%CK~vwMGh z3~4{w#AIzwd%xt=!G0XM)$wM0yU&NG;{rwf2^u590}-n00+{=#RQU}$PFr5X*A~f} zOPhSic6^%T)>Jw^v^)HwPxWpc%E2Qhy8HJReTb^V(QmZaQ=xY`$y_75WMCgtQpC#6 zd>SmC<*`DPXuad1*v)c!7Yst~>95x}FBtB^Y4X+JlQpKgZ`XF1*E_5ltgiX24l}3~ z(=N8A^Mi8RaiOqqJY7h5BLAoAqmR~KDXxvZaZKf<^q#hGZK|PHsJ^FuZ4Q2MHq8=z zV%mDU-uP0#^0%Kl~h0JgH*Ob9u13enTuRi>K!BLBN_$*G5 z$YBY9l8bd7#tlRSK%*0eLOY9g90>(80#Rb898r+ISbEA3xqVS$Qjwwyd8$OmEDVHG z&|8OE-h!ULN>0iqP zl#+;_$YJ3x);e??fx`;{RCwXx5ZYNX6a_K_#I7unhrjfgF>owJ=sXKs24|wb*-bED zizE!QLnM5uwT-}Z#o(%OVJPg83li7)vklXQ1`{Tbc_#=3P1(O0^Q`*;(d}SiZESwa zzn(M5?I27uVy!Pa=3n7}R>nf&y>Qrw9`0W}FM#e7yR!vBvA+lw0YMWYU||^LoV;ln zlZbf$~ zFvS_W(Lz27o1XZ7TiqF+xI6D;8^2zn`W`CmiTmCfmROQg&y-Istlw_-YS)0QNDq&X zfzx@bbjiA~J0&@nicaMhm@9mqBz17}Nj`OXh~~Qyb3*B-YQq!8kJfy8sUT2zSf3<( zK)74ebB4?`%a^}e;PAOAT*kxmm3djYJ3P-gopUAYM znHYzdBy|;+#R=0LUptjrIr(J!d)o-TNYy~H@%BBtPhM2N@L}LSC9{mDVr-L)q*?35 z=xoDhe7rBl?M{7R-b=n+UHa`V);(0~yiOS6o`=d`*g}0)tLk;?VSAB>G`EX_+RK&1 z_2otzANcQeci#2<&6*z%-pf`QwX)+I8g$GU+v&nr@vvDwR6HMhl3skn}n#n%TXZtoQ{ zt4LPftg-7;-!@XqJsHNAQ_Wms7)+^F+kUSzS<@5{S&~hDU1i2=*Y4UzbDo~~zKQWG zeb3j*o=|#$rz~{7O@AWL^qiz(TgvPE{f5Rd>UsPY#%22tX=Mp1X7CHHanWwPpXcqa zg{CRGq(yeW$YpDauamX(Y7!5|tgn@N%_A&*iJw((H*Vryb$rM1oeFI+@f6nE_thTy zf)akTro5_=-QMq}wj=!))S9ifOe@5`H2Qxwl7Dept|>U^=}Nvm@7BFMq%N?7(-CV{ zAJDP|rS4Ye|8XyyNwFerWRTRxt;*3JJ8ybuHdynH4V&}blUVW0hD+IS-Pfa{4Nqk~ zbhI%vTcSkV3NTt~9L#MA8`)!pwLXYfCIlB&CL3C1kZEKUv)b4^aCu34Z+F#gl|gEX z(P-HeB~}LOSC?IRhNJMhZLZ-drN#ayB;QIGiG5ndPL_S8=MFcOTv^8{@@pzTbW&w* zBy3t^mT=Fy53{yUM9#u;U8pC?^_Tchp=)@k^Il#$zQ!_Pmy!EfhUR=sPolKtQ1qH@ zs*cevBh?A4>(j#rl3l({ei=X7CQ?V{E4AkVdk0qV1I~x?aA1O~v5#=D_vC)Y!b;_+ zAE|hmX=OuNSLnY{Od&~MEdAm0v4?No zY@dGT%4=|DBdPNqd+YxFPu8Ya8fdGoF47EJS*&6@`k?ydq@}>>=^K%cW%$g)DtW>` zuxx5Ai9I@YD$*Nk;KQrrCFjlIskka5kfi#$vg1)dZ_{_>%&ERAZ?=$>e;j&=Kf2Lz z?3u`pyw7KM@Q$r#@8y2|Xkt^ENRE%|)vBBn2EoKZ!7qZhmvO=!#IG;kNh$-bm_yGl!oBf$i3GlKD5ttZyqc| z(J0(-IXrz(MPHmGRWi?k*@a~*{q(qp;AZM|O?z)2+nH?IKb_?2=E>5->p4It)2eGe zaX)*IXIp~O{WYHo2QI{lL@IebthT4ywC?eqwIp~x^Mh&)Q6%Ty?6=&)zq2amQm4W+ z>fvtQEQX{)!EZdD#|HzYTa9o`gzyroS zm?i*EZE>ELxv?k^p@s3{Lcs0UC8DBHPGehIMkV;y8x*| z>b_`1_X@5$;OL54+{A9yE@Po_(yxhMo{oXYCG-ip1``UXEHrY>3`aoFNdzSBqI|#q z&@2ObJ@-ibZ|mT9uR)y@Fxw!!JL^&fMFn6nhJ{{?n*+yPsd};OpJv^fMsa{69F7!AYK9y z(^OQ{L=-9=CT4gHdZCX`LVsCpL9Z`9PGkiGP&En3S3`; zmSK_>?Kq_I;3pygONJm6D>{#3_oq%HF5(!_=_E2{f#~=yE}X>xiz^g>)3+(V+eSCZ|M(zK~Z!G+YR9FT_w8SOmDc^jZZams#sr z!iitV^dR0kyr(GQWB;4AiYQzla?eCspSx}UY1Ih9dy2?)5(y_Lp%8iOLI_NW=z9tz zl)sp|KUOHgGkEE61n?jRop}WCfH3?Qh6A`|P60@eVCvvq#ULKhM8GXr zP^Vg~<47pz2w;g+qM?h6KJP_BK?Q5kg$kjFAPOiF5R4UCU38f&9y+Ho`F~v}gZkMV zo-@cBA$RYy*G{a0a_~B#K{^7S#6i~OE2z!W2l`!510kosEvTjG8JuVnp?9ynTi0l` z4qKIaz~US7Pn&S@L3h8;)aDV*er(qKV2eXRIaK8hIvN0F>C3HT# z@9B%;Je9io+UxCNr%!)=>08XSBd;Pr&XV1kU3|Z+znP22=PzTsmC`E%I|Kx{H>-JE zW@jU_WV66TZZ|ZJk5}XjJ798Md8FrYXZyE_=VoGYBsCN6fCbd1L)pYu?4e{;ZX zGW2qQtiFkf&Q{x~f&@7l4rSk?9}Fb6voWD#xX94Yr=7DZQzrN8Rrj5GdiOj^^07au z#aW-z2R$Pwy+s8DjmA?1!o%$&I_MpJc1)lY(ae$qMe)(&udKh1zbp+ZI(t2bvZJs) zsMJZ0Y!hukPQ4Vn!LIfEIUNrLm@B7AR}aQq=9cmM5`A^1l(u~4)3yi7AuFh9^ej$h zXezefr@j_c%=|8@Y=CXO_npci73&l&vZ0Dqx-7O=>DOVvVx_+db?fudL$ zB+`mJz6VOR3^DDIC{w9FSIaV4Rdp&nnPs zpXA#`z3L*{Q!;_|cFa!hk=WC{7=7zESI6@71gcVoP)AfQ(GF@pmFPEX97h zlwoQV8r?&7q9w4Y#)D(>$#aKe*zDN!&=n4&%@m!zuI&1+pWj>?OUixpdF!^7vEpUw zN6(=5qoTV)y?0h8rRTgucf9v2bV6->zf0+4%8jGOM?_^g&aob+x#?T{LUjxC6?=mX z3L7WGcA8>)<*i~dOwuu{YPlZMuY1UMxPFc1Q=h&18((PPSM}DV?6W;v#L1H$;Sn5v zXN>~Ks`tzl8@~(KP#H$#zSzL&AJoF4F+jOtD|-uv#?8XWGx}5AcXlMUbq%q!jp9dL zrti^&b@faaus=8|aD&68%cE~pYuwhjv~7%gv^dT%Pj1`C@PXVFkuQp-_^&4V$LO(R z1Xu=Mg$(6JoTOo?KXIKar;sNoerC_K_6cV+KPsBm(T<$zutbF0UH7!M=q=eS45?3} zCsv+txBReEs4B{Lx8bn$s~1^CN&!y;x2!lkbzS00-r-YZK8YaMNCfAl$2_0H*&eI9-IJ`-w- zmlsY@pCHpXq_Bd%XYheS+xCK~>sn93^TY&f9(nu5w=mrm_u;s^KKPcRA_u?d`cXfD z1WVblH*#;d9(kIKjoVqW@$7QO3tHVc6x8AN=@ZN5)di=>hwJUa^{{szJ=kI2yZ}Sc>76AUgUVG2QWSAqR401(gq+m4T6H1v zqb`Ha+O38Ut_x~E3DByDprv0c`_-s|{so5X2lMt*2PpjZ@67wSV-kPYqJq>v9-McGV z8Nt@p9-|@LG7%JWREYMxM!_K=|MVU~hCv@I?;8W%A8Pon$2l0pPFVHDFthL1KXELCqS~u|%*IKZT!uZ_D(MMt{F9n6 zd1c!8*M1Bk!u=HoE_U`XJ};nqPidZ3Xu`=5+jHUSK3T=5d~sRyUR&d}sFSmMS8+*x z&AF&3nnN4Z9)3CtHS^}!<3~o0B)-99Pp7UPy&$qP)UQ|RN4#EN4QiL{{i>K19&3s> zPpF7xbug#nveGV`a=?FlKY%}JKz6QK%Kg25vD;l4jh^20}^yQvgE*(nJ?mq5BUFZ=m0E^MZfuH}n_S%}_r2*>8}eoJAmmp!ib# zhI$eXXAG);7%g_+jR^|ln*2eJ;rlE&OnK%gKc4M-aoW>_Mq zSy|oRbM-UBS`Z586Hy{$A83^@O`0DH2F6eeCBzg!tAuINf>7}Ih9^zXVQ7^wO`0Ey zp7nDf+Uio%gcuwHbcCSQU)##BXYvjs$hN@|=;wB|eCgIa zjk(|HmKea^{t~~Crl)5Q_ytgw>O3U>L6^#A!^cC{gmt(Bc2)^R?%J|WsYIlrpp-+k z^}WZZt{ch+uH3nG+J6OYMeY>;_}SRtbN!qJ{Awp17~j4dd-jsfQ(W;zFo&-hr!&3% zd4F0Bk=>`qohswPM??3h*2rehAzTpP?4_}RTSM8D-gIN|lX|UBBV7|G zn^@F6T?GRlsGa;2J)yRFTJYAXszW*+u|E^t?QS z?Ov*WQ|68LDq1^-#}s76Obq47(BHoK4^8gVF}K{!oEWxNy~L+h*GMAZ+BoHgZe}(w zlQZvK>#~0c7&A{a^Mz2%Tv_Sq?Mr==#DR3Jdr(luvD9_)H@4FklJ~o@yI5XQbxa7v z>>X>PI>3IF)#TkJ60*{UI{Ptlc~b@tN^?}0>^i3x!W(qiRq3<2>&Y*^JaWPR;3YG9 z^wtw?qNDY1^t_?N+zqS_wLkRk zZcYsD$rbm!n`ipmJHF>f-Y;5DnH|~udAzyJIcq?#dR&iX*U;MONNZe>ZkLv5lh$Ep zl`{i}@+?C2Pn_!BcR;o?PKtcBZmU(umAHsKZ!;K3*OqPC6T;?#p_|yDc>99<*Ueh` z&AmCRBS=}^caE>O;b8XjH|LJYF|6`C?WiHRX*BKw>d1ablGLB>>`N`y)%E|zcXH?H z_wMGSmC+fLn;5LMH~YqDF^WFr#HN0Y1lyujvm(Or}VNh;sz^uR)%}}zX1v`8^Ope~<0Q)OH#g&Qy z8^oSxNok73>GWrvuOFx!yH@L#wo`s8RpA)#?Y0It>_%#O4xewQZ#^t4Vp$#SNP5cF zEQ{%6;|kAJVtYh*_`PZwghYc6yf~+*OlI^vo6GO$Myl_TLCxKGuW!#y-bm3$aS2RX z>P%Q&uKg08zGi!mkX^t~w!J71xhIXKcSzU6phCOK(dcvIyJ%5+*whWT`wM?Q?O;wy z!ci3Ma#d^MOrJ~ciFZKR;r?#ieHBs`ep)k~1?{UY&iTfSfZyZhb z)bJ=SNtBx=6UamF@((`MS|)c+no0DafWy_t6vCr!seE+!)R^=<(-62DzT%T;xPT_I-@yhfzg(o^(_K|J50b1KEN8h{dI;{M~Qa8mJ7t#0l zI>qM)bPk5nrr&lb@1wpR;_vq{AT-Ujs~?TvwHveadC!RUxSeNwM6abMpST zqi?)!)B2-x9vjpdCpqb4lqCPCHK=IEJXTA0gyt=FnfH5crw&b<2eg2aP5ndwj*Eo@Xa>@bR-()IX~*_w$Krpi>ozh>C6?{X zvxoV4VkN-$&whp%AS!;Um@Y{*ha)jDeE(cT#jG?xlI{F5Q-B|kgVyYCekVe|%oN~{ zexA6%R1PUTFT_|ZqssrU<2PI{AlG(?gPaH?cz=^u7=srgh_pijAz~^Hh6+M(2Q3c9 zpWwF&W{Kb{N02ql4*d5?_}{VypyMG_Z6QD|pdo1l5CeeLv8-Ut2oQlq#oNsx+c5@_ zc2OLd-GPlIXmXo^ou-K~=yF4f1v>hK{hoUu{1X*mlOqZT~mXyS1CB#u>3+0y1Xwcx%Jc4j!cSpyr? zVZj4}3W72Q$i9ez^>Gkq>cDF7tJ7eH2Aad5*p28g2(gMGT!h76+rL;uX5bKRDunoK z<1rw!F9bCJsBj=woOo~-6D+=afzU|C^Zu z8VY#_X1~JAcoRAg{`5OF2b0pjP0gR{8l32oqj&Gu`BtxKjQRRGTdj?Y5m(rj=o7|{ zG94^^h&^^&aMCo||P#58rKA zpQN=5Ep(`v-!_SK*jtRne{3(;28CY9yoV(k6jPt}ZfR8b(tJHimPDy6;M{~*0ojkJ zuj}h~T|UT`+-r~Nbnq%x7A#@A!l@!p^_FV&#RLYE>O$M-7f-fyV4}pTziPJ1T^J@q zr|2s(YD7ly#!5x-Zkx)g}|t1iVA8oXRf{kl)Th>xc;n)TUg?|rlt zXLG_VbNTj$X3uU}=l+}#VAJE$s{gQcFjUnrc6dxW(rAqbO!m6RttkwswL)UgQ zAQ-g0fTI!nrggZ5No?7ialn6h2hm>jl;JW^}t@Zm}JSNGrW_65Zg z^0)?V8FTO8fIV`Y$6FOqrC0bvCb-EeFMNM{|EMxs+$Q<{(`Zu%u{NHKaeH5lykpMn zq%uhD^f_3Te2zMX^|H^!waj+W9ZVIcMoomPs!H$Dl-XF79?hbR9=*nQeA8fW@Wocg zU0cJnu5SMNRx#hnQQuL)&}mgXb@mN0p~#Nhi|o-GH&~%li*Df9+ShfDNU`Met_plK z<<9r%PT>mA@-X}N+l0!`kZi;{$Dfu&hZ_2|~4pV=&h{rpO9=Ilk;O8!vXZ@tBBV!JaP%a$Hz$uRHdpl|oPZ4T3-Ytp;> z$9NOB{v<`)JNvM=qMOr?te~c*SM;&DHO;h!^dn7O*t44NfkHIcPq^=a-rIZjeh;Lx z;A|VdJAK7w=!;5%-`k@S$nq;Y`sktuT4lWy?GKZ!Ej`-bkMBu~zSYq1A^NQDanzcb z-s1_@Tk6_71R1@k)=2YhEe%Q7He`LcHCFN5b!p4(q>W_nN@jv;-}F-&oe5H6e9P6{ zc!7b%68*54<{bCwqR5d;+k0;rXh<0y-l;vx+1q%4+wS-izp&R=M_!#8Gp!6)f4Js- z=z$e&F23<W5!6Umi~0`%U|$j?cPHLvE>QR4lhLrN5CiPOX>PiFYkEZ+5o0nSyO$HahnG$h5js zvj3wOZ%XfttX_R>cjzloVG-j|Hf6q@*|*G{7+)W4-MlrN!yonj=)~t7jZ(T#eYM>_ z-m%rIrtZelZ74tTT_vR0`SuArvetLn9X;cR3L@Qv!EBM zol^>|0V^wu2L;q-zJ!Wy?!W0ADbc-d3&7hkh9y=A%hnstFd62D)SWccBCzBen= ziTu&5B+2X#KcPPG8>FN^`y1rgGW!E^lvtP?&a;^Kw`>JDPJo#Wu#taC7sF8;ZYscX z4-{|^0y7{+`|2k!Yj~EaL z{^w{6QoE4dE^(_Q4(tL`JQ{A1z|02xvQQ|1SOfeTEZ$APJc}?poPBi5dZHJGEEW+* z!oU@c5CEu!0nr8t#u7Q!QuA$WW@ZA0H&{r~jm6Ps##k))Xu;z!2DCXAa+QTvL$KiH zfZmqp*bjaxaLqSoWU#;432buf7pKri)n!+_01u<&0L%2)&^0)}It z`(}c{3#0L95y*uJCwy*-_-_;bYg592S^%(Z{^#vR#sN&He|@|E^LJow9=bdu19SyK z?Ek%QAtQwh|6fP;pYL09Yb>+5?(8T3FW4^NoVhTqpBD;(Bp{n7DohB(7C{kGRThR~ z5D!5jR1GmgWsL=)2=E?5ywy3$`A_`z!cY)iN7NAr^ZL261Iw7#|ECu4ASVXhn*(W{ zm&yOXLO9RWBp3Xh*CR?ee|hEpT<@7(n;31;z)t7*6n3(mtC;8{LMuhOFNH;EitpIW zU$SW@ee&B47k;>JU5z_<)r?=N$KZ>A%*31jVK^mM6Lbi>GG(Keb#ri)8xp6sDuKyUYU zEj+fKiiu5?{(*VPc7~>QxagLSr@GAEx}8*8iENX^s__E{oi50BUN>EtX;PN3r-41$ zU4Ot;n{FV1Bj-s5B9He!5x(oFCsI{l*Wvg!CM#Rf1`~XagJSKB;p>D( zPWwY1!R=Xjjz{EmZBgfC)Jtk3D7X8(eb)JHnl&$TDm|Ka$G|{X-|_2=T1B*80q>G^ zWPR2r__-#8ufd8#-M>&xgj@Y$_oqej!SdHHd8q1UGF zN^acY9NT?wr4HKVaI|38vChe&^bXH9<#BVD@tbt}v$`cVvGQF0_~99<^zGw@Nrn-Q zwN$>}SzmA3N^ydhDpaUP;z>0>$NB+%n*C+s$HUt>!@^(e+1!1bLy=aHx9@Nm2Nwrt z7Z1bw5c+j2HK%2WkFI z;l3MMare3{m5&5iOgoSkom=G$w0}hR6SkcvCQQ5chi#Oxh-$?4sy5i^F64ybnid+ z0rM<%zy3>#{%R&pzw5V|N8Uf+vwONhG=m49c*tLi>7l*h5E;V(U+*m~r1JJd`86x7 z^f!yQsAwG*4U>GJCUmImpbsvzFg#gBG4x^|51w;OX!p+Qvl*r5&5SSO$}dvWTC6qg ztzK!Fw8`RQ?nnF1)3=KD?QTwfHBxmWbH5Wq4(EzKetGap51@YfrG-B)Z9 z1JfJAZw7j&4N#Ih(QS&|L~pUhwA9I<_^dXo$9;M7bThKhv#V$m-*Fh>B}nYAb@5+% z&wFI$IseC5S{YNH?nKNu++FCzbb^_ggsQz!Pnk2!68R^5nv>_tmH&jV|2M|q{o zwXXw7>+Xzn<3Cem>$m&dPb@Ea_`csapJMRM!>nM`)HCDqhxx%PI%PArKfWJ)L#>tS zl{H7_@uFa7Q+#xRSe3&;sgLY&ZUs~dm(=T}4wtP|A$yhT*Lmy_bE)tNMJbQwx3n?- z7dyIAy7dNFUanA2&~{uYZ|h(!pQK{L`!THWd!f=gnp0JcR>QrPi8c+b!8z<3o}Ts1 zSbh6jiHeHKK3%-;#8iGw`^hfHO@TOyroNZ=9f|@R`vV?@v|AJvB#gap`(g9hIoY0K zYvMTRg%=F(?!Fv4wXyCFi{k&s-CKucwYA^EfOII`Eg;el`4A$~DUEcubR#X@-5?DD z(w$O*bcd9*BHfK=!G8C7tsUpL_jk^9eg1{uWX)$e*IeTsbKGO#yddBdh@=+2n`?wP z_aI|fOpYRV}~td+a4R*st%ogmOKklZ8GZu~${* zc^sXP31884dIoM%gBl&58L2q(7`^5)4(_Tczh3`5S=A8w(Q4-Dm*SXN91VYWm*6zY zS?_x@_gFT=!rxXUZ_!cZjnZdy_|=KjY<3TNR0`CrZFCp8*{oAdf4n^9g_f9{dfsK} zjy4mmOPLwz`bv*dhWlf*9%o0y&VemC1dZ8MDA-|UFz`C)`N%BlJ1DwC3|o}VWr-Hp zs$M0jak=pVNy(vE%E?KJQd<@M*CLP9ECZnSK5dh`#py} zFR3S#-N_wm$&dA&acblDvhGbt`DZ>UQ?^fxS+bwFCLv>zJO33Y@?B`mZ(#5zPUQBL z`NQ$}ZHw!_!in7W+WyF8eAj^c&oJt5$9p#&*uX(1P_qLnK7hjjPPzd{+CT4o{~u5p zKR3_b-r&E3KyOWpc^?Tkd8(`z`E8BCRf%qqp>JLMKiXJ#!{?#ekk3n@mU6loN zlSltEPzSF1ZAtg5&w7*J3<$JYRd_IL61xQZGOmWp{JX+<0C2-+6Ch-067+A#W*J)}W0pSva1If8AiT?U5Z|Z^A>Db#w(g6Y9)&Xzt)bMDJ2T-=z ze4Sy!BWSaGz_A&;QFBU)yDIZ1p47`n&Yz^8em(RNfFI$P>3Zu}+a~JVv-jGc=M9m^ z;%x25;sJ)M^$^6(S4P7z+9!_>&tip3|P&>qAl_$0$F02LJI`c_bDs4bX z9Wh!F(Zfd+RkL|_3~8Y)R8b84VoYKA;YE}^8N$gr&2Sx`5XTMFq)-_Qh~S$Wg#)d6 zN2kL7n+Dk|u|U9Zc6}dpN>P2KkU{wt=0xH0k*clME^QlQEt27WW4U z;E~U)0#r|Q&}ZMdSm7C*V5uiLJXUTnxc+$YOi7gGA~pM6U~G_?hE#ot2QmSzx4!I^ zsVRNH!CZGRHAlq>A2(|YdnFZXi#bJQ>AXPFT@}r>`1mbRM3uG4&#jom=7xd7$YO%0 zcEU`Z7MjpPtp0XR#FZ4VDq+~i`E+3vi`oC}|`9T~oiuu~TlEu?^5I zM}+*4>TRLcer#8PYzPE^RT5 zo)*wvthZaiMeeBL6W`TDX6-TKprfyNo1)^!H4oKC*J%YoC_$&*niyB!p6F{ErYtqh zRu!OO8cA;&$(X-7A``5@R#n`Sao`9seW(?|HoGRbz2EqUp@V%^uSZh!e>aQd4mZz_huop>4nb(C+#+M#iZyd3a ze8`(-eC}bb%Q4=mZ14mhX_g)?{|KL-@k8+aft*nEyU~g@C~C0{Qxb08iQx!d6w7c` z%A$8S?^t85=?(Gbk334SOc~$Co+*DpxJIz4Nr0`~@5_UX4TV#C<)1V^)BvrVw+Ou(Wn^@uI$5*K7Cd$_nF^2n*9K+4@F{u0_GDlHwW>MB|&x7By& zRq#;QvlV|6>HSg3L(<1#2GaW&qL%rlM3lbgvUW79(2-kMW@wmC;+yx0#&?9>8im|c zIr60olg_m-5f+eaxm69*3F+myb@Sn2FEJ%b@3j zPATq4yg!K=4-~=@vE0#d0k<> z!(ymGn6jTsF?v*{!zkWu5q&|DY6flhD`@zgvBV!h!`t2d2Wa@46yt9wCvW`|fWd$0 zjQq2?#O<8^7Ml8&e2c_`DxuMA?~s9Qwz6-cMqiABM65k~84_{0o5bmm}E$ zwjQt#{&6S(YkpEB{cushGw7FnM!*OAtD(%CfF1EKY-)k4etT&5s~Zdg6ppOFv}5?z z@Z?`aMBied@6pl!TSNp<{{K~s{Ra`^9dZF|J4xYkW*n4pRpgx(zp#hEFB%SbYL+ zPVN^`^sAk^;#lJOElL4_Y?WrZwQ# z;4ksa(QU(Ue-^GGEiKIl?*K+^?leRE^T-e+nF95DMK}-Si_ zDlh5q)66$6uOhwFKT~6I!TU$Hex`m0T!Y2@%#MNSvk zv`{EP8kvt$UmbCG;p~!iwz4y>QY3QPo*Az7iIl935-iQ--QS#ZnR0o2Zb`u;fDmX8r}-&)+QJ^=g>=H{$4?|DN}$X(;;k+hm$G~I^x4Ws@KJpj0pg7hKYW*YRvp zqytx4+&4SY+|jdAc)`$G;1yZXh8JoZ8n#uuZa^7%NCPQ~?I)rL^DYZOYHbSXB#{2sLSvr6O7};sFQeG!CkG{Jb$0q z^a?~l`kqH?lxc=it>%4!^u3-`zB*}YFH!ppCJ*Xpr<0V}JPPEvcP6nN$x90DI(Yg| zD2-_^c*Qe{-N-sQA)f6x&6~-+&wM~r_>#1**YG|phyrcc*UwxU5rk&JMrW8Fj5N%O zRIYJ;|9O3~K#j4Y*c$KkDMw)W?iQEkDQ38b@QjN`8xxU7JJbb6pz}la6H4O8kNtA) z6l_CKLYb7EED&_QLA@U}-cO*P{@SYO5fu~Y+ITgG7Pm~lzonOq@Q&Ry3H^-oAt2&r2sK%h7Ys3D`|4qU0nYqBCb@Kk@q_l%JoUGCu z&Vx^%amcMdz~t!HI`_SDQ<*rFCE-uO5YO6Gbqcx z?WAIwXOXBd9&ayo&Q=G~oN|>(8O$22cS|;d!y+f z?WtJ99qjIp8G5tcP3Wc>Wd$&{s^g?$-n z`IxxTGi#)q0zG4gk+XhHIoOhFlToROb>E=j&D#&FW(eC$6iD$8p36I)%nG4ZQf9Sl zW8zK_vs*bBE2}Tk1X3gO!*zbJC@XD0W_4;JG=?qN<}-1sQY%R-e1i5Yg;crZ-f}id zTJb>LsV6&5BO`AeFLq|I`zu1n#3~#eC;vi}Dsoywu!_zIC9QnAD#No3;dpf+Tx+Ul zt#F3Jo#-v82em=anWgdOWYncc5;+ZtykauA{%1{js3m9^=`4iQaQ5qcRxe+A;kR#$ zCTB>(F~_WbKz?_;?%R#P$|Q5Bv*M%cz3clP!B^TDn{(Z$%DK$ujiz6Uox`9nj3&~f zh!j+moi*U+pi-|ip{M66=6>(WUa)i4RYcp@luOKisf`|?d)jvYj6&TfGWObFCRbHW zm85A~mg}>sdHy()otJbW(jK1fTZg4XI^NO2Z3Nd12es2aw9N6zu>SXUM}tD0?h+u+ z9%VNhXBq*pC$DD@Drs2mI4w+g`U2zIkom8J!4)=S1Dg5s@z2c*aTHd#>CR)IvSCqmy=hX>*ZqDj2TA8neaQaNPiItUHt8tO|8zff(ItlPiXv!tCv|Y)=^EDT%lsjL|P&*xwd6fAqq> z+53NZVc!Or1K*P}&^OWHw{#-CZP;MHF@oj1@xYMLu`#f)12l+#S($yCLH3`w?r)OB zzjjmr+#de>Qo1c10B^nS$0F1wyFAET?2ly-gYUnqF^S=l%{$Zi>ui*>OcR{WHsce{Ud@pYQATkP@ znAsWF0A_V&I(7zv26~qI28h7bZS8Cfbj%T*rmIwd_yu8fAbw#1?G1C6ZC9V3Syx~M zRtfoVRk4j6O@OCh47DecXJnJs+eaMmo}}Uw4q86Lv-Nq>(kJVSGvn_#11*wS2s z=c}6^jR3Y6Ffh1jl8CVa3=D?PuQ#{yT(I3<4_t#?YPei3Kxk-WqDW7&#-%#2glS}D z`7vU&iHgcUt6i?{=LL`Jiot|E9((t4;necrK?#mWk^4sWbt6=32O^~sg;xNYx5L83+!tv=c9*@XXMVQ4t3EjpHp$Q5`{yacsL z3d^-?_K>V^mrKpK*&2j9RI$PZ2JlDa8;gOL)N7qdaQHipUIu`h7<*>YHeR#}snq)m`{b436!X(p@2% zvW|#6jg%gU_uaXto8M+b>;yG}KZG8wc8cjh8*Lwa(KuZLSIR9GZL;|y=?ykAv%4z$ ziO?u!taLuT@p4I;Y!CYgv$|-z-$>_)<}-U2+zve~l9%v>tBd1|-qh4l>D`2=2(b0g z{%DA@Vt&+`+mjoOCU>&f<7T^%vWW6Z;-6$pK z!iUJh%r48)Mtud|x9Yx@cpe%*`RuvX(1x8G&KSNJMdx`fOK4hCI=I<|1t!kZv#?>^ zgArG-j69i@i;0{}OV5^^#C~w&o>e#%r9=yp^Q<%~qrFvZJfVEyVib*FOi7bcGL$aO zD0%aT_>GB9-CSq&7$LRnnWe{dBo-WX1be;(>qu)Hb9@OC1d~lquc#*LHXv46CT;bi zD%K0MAAj6rUnzS>Rb`s3HhDC9me&b;5BxFR^m1fV9>XgeV$(dO4k7WeeVSo>2@(?{ z3R?a%Jse8)Mw`@&oDBx$stM9um?$^qm(K2`HXmmiQ7R5fwMw612l$nRBDq*C`aWFf z{}j(+i;5^ytCuoUj{`QDU$eI@o$^ebf@ZgP@Fh@TnC@#D^y58H^$NOxx!F<&s-)&!qy!4CD(qyUWLZuHSBQwv- z$e8X836=lo&!lPipmvazRq$lOz~KUxxdK;Q|OxN|P92=(;hM%a*Q zV8q0uPiHl*y@gFbxs$7FU*xt-48%&DJF|O_UubMx`!+P>Gedv-Z?hEH96=E(dk-J<>u%VgbU^1G3*lm{- z8@8n<4@b_h5JU>(ndbnZsMB1y&m%pWtMJ_p?>%CB;HN!f*(v)5% z?64>;mixJ}KRNfX)hPS~Q!-ztOxEm?pzZE-*anu=pm1W1EL-`$dks>eW%4z=6A#!C z|1o)8Yw1oFx0JcrTz9inD3L>3yt}=0$Jr1_A04OY!Z21yMZETXGc9AXTWW(tgP2>1 zqsNE3$9BFdsM?owwPkBde6Y^(?LSdDK}q&D*3y1-JzQ zmI1x@zTzr=#o^Rv8#77<7Wke!=Ry*~T@!IZn z_%ARm=sQ2+Ut`+e@W%I;7IYiZ{XfRE-}p2D%J5ql`ke>eZ{PeKa{e~0|7iy_D}WPk zXmcRmj{(Rh1e$9AWd+cQeB+kP{O2BYKqvi8uelzxp&^JxpOc9R_zDDsTL8T^fUUTp zE)%<+4q(Wj1K6SI=m3$H9Dp?%JK*4^$EnK+#02Q+f>;cHRJenFOuPpi5dLE>tgNC+ z3V3300K$3>plkU@Obj$|e@iUg!0GRY0!HtwfFk@CA`IXkep|czawy=F&I0IvesR?w zPb+@B>WylI8K_-;912|ZuO`d__^X3~JMxR6-_ZB}B8dO~gyLIB|F`(Ve-Ffemt+0A zVB;nr{%?Z#q8kwZTZUTEn4}MChi{ACY$aY^ephTvzR`%JUmSHZMxdQ@*|NJE4vw6U zWOrr^PH?8zT!jm^i`V=>-sDsRt%#T7CzyHv;kWm(WhfRncPW;Lvor+-0InV231@my z_U1ojy%oke7@qlfe@!QZLN9|u%UJLv*6$76mY2ss!R@E;Cm+W@)I7HSt5pCo$ULw25D0ZHC-Z=qKTvIK_5| zHS1q+cPPXAW%Klt_H>1u!F|R>?8y;mh15zDf=iL+ALJoNBY)|XB13WCv~ge0;6R8G zCy#ngKA}{kO>N%=@6Mco|5y0esz z3DOV{p%WUaN>m8mWEh|IsH-xLQWX5j^-J|;QOSBJ_Zv}L(S<&-S)_PDqvA48iOfON z^>t!KDKtKf-w6T*UN*dl)*ts$=7f><$hO;4CZtlym6&PA(xaox6re-#S+Rjm#(T`U z_vOk-8Gnc&WhMN9wjZwUJM6uX%mFOb>pHis=l7e#xY048P2D=iz-eTySUy zoxGoeOgoRaP(@GWeEMD}RyScJQ#;d#H*8Jb>u6G+N|7K9i6Ny+$UGOt3zX%IROP-@ zz9ph7u6S6v4f(u`&z9!=i41ZeKk=d;{DGn3;`ytcRtO_zilWcVSJLg~sbyJSEeP{a z){1>eO>U+wp$P#+Fvx1$b7-+Luv%jQh>FjcDqgs!#jOz*e=(Cy^eHm6l>2aU)czFV z)qH}q@6cU+J^1%8at7>YUVb4g3ag1diJ+5<-NRYC2!05~7RZf^+KD~)@q}yD)}+c- zr?MTXkZ8Vf=OBj`l$Kp128 z62EJcuC}4yfAHMfSWwirhe2yiEb%H6U3>glEq3)a-cdWZ)6^6NRGaI}J86xBlKoBH zRK{j+6&g3z#nJWssuah``1gEu>Qxbyy*~CFuALj?f$@WD67mBsM8)g|YNLJ3%PMoDUk2i?M)U0`^bG9&%rH5!`Hn}xH@?;UDpd)AbcqX zH>+np(SUl{?8W(@cxPY0&cE4jiPE=MWg z%C5@Sak$=c1ZN{+>CI$vh+rEBJxSa+&vU;!FX=(rVjt|#XRJy$@d*^J17p>Q)p)VC z;#lGf#o8gW_1gTjR$BA@Ac@lK#n9veu<@>Af*T`19RlthQu8LN7bOn~iynWXv1H?&y_mEC+6k1l;D@Y2$_k-C}mnL8qy4 zswi~l0=$=PeQ10^xBvFx)6r)Cn6UH2rbC(WF+zkRq0_UT{5V~Ys<9o(C2xb8y`>9{ z$jJ{jHQ4R$qARQ`>`k%N0a|X?huxcOw(JV~l_8dsN+nx@4x=aYa?VUaur9-auSxel8?OD19SV4*k#dFR2e?j6)D zw6E<%5V3d&NDE}mLpE9-`VDwD^M)^ZlE3y53C-Fw*JQn8LeT!y)MbxAbhfd$f|Zsw zA-kv5NW13ANAu%!k?fV&k8tb94`69?cDi6h_4x}F{FB>V>}}0k`lF=k-&YtkdA3&0 z?$1BEOven%J338!>)z}s<%gTF)KH$V^Q za|Z#5zd!Z4gMa{_{}Ram43gipymA0~A9iLSaE|rHRt$ix!0%@V;42dc`=2&2>Hx0E zoNPclHDH2fz;=tdSvhoprdj~s>+2eDu>K6Vzm?4Vvxx&Oyf=y2EPy_tEi}-p|L5~&Vbx>T1A{pAI1QNf!2sE>1C$B|fQK;?i=hrsPy94*;C$e}H~bc| z-!75g8T&se6u>_Tj6mNF1quZaAoKd+J-Ang?KI7;tUEB{y2aeH1;y4pG>ba5riKc*x<0navP#f;# zQ$`hhXr0^vE4N)fVCvK*x-?TVhw`FaqVU^uvx2#J-g_Ut%PR)4Te&&+j?!~z3oaBX zpGpf$AJni?2#p}J&RKSg=n>Wd#}q25J`wya;c%-^Tt%X+qBlGVg$LvEjqKHt+OD%^~eehxbI<_&S{DNO| z+CeRFkD-=g)dV)rl}7MXoXd}ET*}`bPWKTr5ow8xq<;VhXc5Inlq@+x`B6e!VK8hU zngF^iZzy~xm~QmzCN(PuR)>%YPI2;?!g8r-`ToF@Uf+Dfw}vLwT!lNAV_H;diq9V$ zq9B=hf8Gt|=ZCN9#KQ>CZpi6$(x4KuUoM9@PVqEFf+(OaSNn>hx2A;FJ)In+6FXb{ zf=l_r<&!6h`ePG}4xMR&q1Vvp;;?A#@SWZn!>>}6N3sfJtgJ*U9P{}hyF}6LWw633 zX0&{X8oCq~we#xNAxv`4KGRh0OZJlOUlGn?&=eBWX4j1IvM6fk&n;KE!4BO)RmPQV zAlZU;5P~o3+nKEZL?BP|OU=FD`$zg2qG_zNSZxPCRB7Ea*a|ciw^shB@}WUV?zop` z`UN@s*t1y}>_xBDt{5+ghss6^LXQhl=GS19J9X?0nBSIrMTw3$J-Bauda8Xs^b+*) zwJQcays*zs949;M#oHrtY$j@4PQp@B%uDl@ATYLQEBK{5<(ey@%_DCbs8C7;CqXvy z>HFiZsd1&VCUM10VP)3JcEGbnn+;D?``xPp)sjX#~^VYkGI{W3kIhKx=&Jf$T zO(;_k8{yX-yh@?`Giq4G@;x+7C#lckp1BX`yF;_f+(S}ki6Q63-NG}H3F~5O3u(K8 zcq@IskM8;29sEUW;fsuB^FufM#nyTIXs8i%l1X@$*UDla^Uw@CpvHAVcfk#eD>>#T zxQN7?3LHeF{p+W~Q$2d{%fqQmSVNpW3_9Js_@xc755wOY#46a=QF=bghHbEl?kd7P zu^)_E;9arz=1+PNhY>~6Vxz!}N&7-np6<+x?m=Ywrd3>ULTM=H&d6l?apP*QCWM#u z9)l+vp|J2pQ|Tlb`=&*yG5@??tcl>>473D-Kuo@--)e1tDP8rOPoIdkS{0NmEeIS| znjbGqr@*8Y+RN2-+v~b8iZr?>f;4vq$%_is-W3eYtyCxJn7VJh;`1bJ7Vj+pAp~2H z%*C_!x3dmSb7?j6d((x%VUP_YFYeXM!P*8^x(zn$l174}zMzs58dQ>4atnX-hxdOm z*^j{IzqV4F!p8{cx(A5+yaoBvPF&FTQ;(X>mY4 zMB|dxyEv$DG$%l*UTVHGr5=++edXr1zGP#-zD_=Nc{(S3B#MAn#j3><{QxpiAyY2>}RndshE1NC-dxZ29p`dn;M^8y<|`3ioc+ z2OuDp@mmSOADT6QK;OKie?9aM#ogb@B!5E{-(Qs#Kox+%`xjV-`CCZ+%b@_O-~>b! zKf)k3@OSFrUk&}Egg`*n@eOnN%g@RT25gdm{Lo_hz z*+`>ufAjb{*SO;NoV$yMOZ&^N^B~x8NEBYpt6DjQDBK=a(SW-#$mHD!@-zuWCG(mk zLc1A_=O^PA7lk!%g=n-xD8%;`+|k}AM1h0614Jk?#jGCRYxeP&Z)#{tldQ{J^ruN< z!slH~dz0ka^tCDae9#58FGR*rkU2qI6!UDA7G+K5s|#PC=+eT6LshsSQ*srkRYfZ} z&+}yji737YAsyl*$#-6KL8H##^+he!zNHYxAb&W3g@)Ha+22!bjC=`cW;kY-J4KBb z5DP-%!4GQc4XRUIX_tr|uQo1?aAOjeZ#!GphNW9Dc5^)hVfQ%|FUfb_G4*BldQ8%# z;5}+8#K$T8utsH6$#?wSs81o2Mpb&UUUg0@@9Q8LPwx}d-}uXXJIbs zYwAaCXCxJ!TlbN)hl`aMt8-P93@&O9NfKu?h+-kIuyIjfAT-cDk@Y4S?q-O-soFX2 z)tQ#oJoI!Rl*+0|Weo9;z=o6)nZx5i6Xgt~8k0eD_TK%xO?j0@t=I~Lyv377rxZzF z%tbAQ;cm=E7Y?P-U4v*&1zD2VbWCJnCmj!28Z(YuArcGr= zK(ZsS@q*&qxkaM|XVsFyXEX;J#JYiO9T3MfG0-pUu?;_Qhrrl9%-?UK_scEMup$&9 zC%uTt%Q#(Eej@Z1FG~U9Y%lKe@-6Hh=Oz-bW?p#pT+iSC_SH64G-P`7lm+^TijR{r1c#y|jPR;cB^Jp2#2XuB> z`%vnV;}-ZA2*gGz{GJJ>WG+#cCCLTU!RvRF&rd9b@2#S^zRZ8jc<=#Tjoj0>J7pN^ zjbp?j&nMD1Lfv$TX_1wzmYXrixpcHMQN>EtokcJONh1?H`o}nO1y;4L>fXBKjha)$ zoh3^{GlMf^sc+zBE4HBg8OwVn64}~4s|VJqsp!|L3#bXyqKi2Cdj$(oCsFV>vYlR+ zb;lvE7_jGh_vkK|k=&_)B%Co^rQ)DYAIS6+rww_FPeXCa=g`6GFC4EeK3tdnLWMlt z$iLl9rEH^;Pw_4I^!QR2Rp|rorjTNBO|2}3{9O?QNDCK1GWA{!U%oZTp={+-3mq21 z&v(#=EHt%;2;Ky?x$3Byk&d^?dMQ#_&#`w4l(#U?9#VO|)Di$=m$&(vrsP&a70{(} zFU}keTE&2|ndfx^AGTGCjiZ2h-@ah+l2q{axbhbo30z0rs)CdT( z`y_^Ko1n5a&UGo+t9ll5t1{WQD2L&ONbx&zJoVc$)Vp|X726ChHoq6|r&BUE(GRgL zz;$6k>vE0Vkl+TN%5I`zbl3htXD+5565*y2m|zroiGX4b6-P|cKxR?Qxn}=(S#j5( z$7GhWoISbr$~hFR#)B0WE#3ar=Uom3_!qK-@rzx9c1N{S>FacFk&I2IJ@PGQI-`$?N!@96NqN+1twt5teg~$8K7;iORQ3pJ zlDgI^7ni@gsls{u!qr~+s;a|+|g|U80f8dVRLXa zGZ9`XPbZ-u9`6mY>Ojw`T*?}SJ@xBw{gk_4pH>`#=A32`M&8HC6c8DtY^>&@GcU%! zL8DfC-J^u7k%i*L==k)V49X+F0ey=L?p3Bw2UEp^EK=+|+F2NM;qnwtx^h|3)aRMa z^*Q+d`Y$7Vpu>BJLYKskCg!VZXo$kkD2biNhK4_eetEQGmUQ8caACK6Nj2txq9uX50d5rRM4T$xIoIJ-d?Uzv0MYF>Z%kXT^pjMr0Q5x zh%uG*j`&3b$=#fNhvAKh{;!BfXelUJqpw92M>=50?-1P?Mitf!&tyZ4ob zR3LiqvUPZldw3p2Qlz256;Cse93};W(kCO3 zQscfS+Al?0wTlz1B9ZT|!v%6mY(wgv+qiU`xCIsaDb|CZr;pm^6#AO2qH2r}bpC)c63Y07xve?s#zEy}ZuC z)Ybr#$Rp9?{9xiJdf&Q{#sIUsMuv&%f)3CheZ1J1DMi~HH6LD?w_a4cbGEIj zP%ZoA%_H>k9udI$K!H& za4^~Q<>aLO)^YEgzsHBRhUDjJwf$CVI(fQlr)T%+Ro~~xo;gHDKuxu6DM7keMxYLn zkI&^;T@X*61b)3EXI13?tJ3DX@U%ZDZEo@35B&9Q)Z5=slHBA@+}1_k^{sx(Ujq%3 zH`NG$z;8IrAG}RKw?F-zo&0AsFhJP?f(JH$_XT1xfYOE;FyaFN0%%syO^n{3^Vfev zQo^FA%K;>8=mBnvfX}8rAT$9oGk^pJ9WXlw8@rCqPfYRc=lyp+8Z(e*zyy30W zz~5aJ7|M2&@$j>t9T@rzPyH_zXx|ge-%-!sxB|J~vZ zkeyr12%`eB^Ici!g8q5N&(YD9#qz9H`Y`Yj(6IEwRqNP;Z%NJc|3R_uoAv=NBAZUFaW=652Uv&1;?M zUg%E~7xW>$4;@N%f_B41-v^lJDZyx!L;KUSHC>)S5ru`t3tcn}o=cOjV!@{uEA7)8 zBp6nbDe_C|=*mIX8~YoMg#8O&9}D7wva+-+CYW!+>aapcvIro?Dn&XHMDbr%Mz}q1 zF$;w#(`q#r+gOXs<`YL(7AGri54&!97+tP9rwQ!7a}?phAB50Y*ixSLveM2qt6q=+ z?L||8o-rm+Z?CB5`xw^)!r`ivMB<(zXszC^WXgTLjlJrS$sy1u3v${XXXM~qI zf)=-Dy^L@$8cmteN;|MA)f3bV}De^Upq1s;{VkQ&G2>T_2LjJyzB? zP{kaV=S+H%>p6;?$d5d#%`DN=5sug!anbyKjhYw~@G)C6TQ$d*L@HXFQ@R<Bu$U z`@_s9<|5F_Zae`2OOh`^%(K=_vYG++4^jfCW<%h6BIXFZ5X%*5PABEsaq-F8bB1lx zgC?~xR!F}6UdMy0Eez5R5nCIovBe_kp!itRv_qX1BR#+7*ArKqhCbkjmeJ-vcjdT+-b21940vNS4z~@{ ztAu)nw2k`G*>5!H#U_Q6maD2ZQyX(?j zqP)jH8@rJr7YwhI5I4cxm~Zrmxif@Q^?ibpsIh}mr@q|%z_UVlJb6Od+J+0iR z@Jf-)Cd==U)JLw=cZ0jP?lLD?bZu2u#?BJHA?6QzH%p+ajSRXBes%PIYsCh49@;v-T@&0*MM=g! z4R_9qnb9STP>NsG)_IW3;SIJ`au7=jA)DDYeD2ub`6ekyJ?S{r$CaTG)9ZT+>(kj z^vU@0vaQAhwlkZ@)N9|g3H^F;wZdw+LRcaL7lMFslDgt;j~%k>DUF&yxaSPg1MLIE zBtt$^Gy0T0*GxmM70iv}x(0%qPOjIh1=)pr4<2_v=BAt?8d5cYF0i(FUlDC(Yh@ez z+(FP*v32^=bBzXDRCLdS{n-i6Rbxf}q*j(DJw0u&P%X3A-0o(~{jT`0=XYrQ>do;? z{Za?PqN!%m#Y>FilFB)SVUh2R)pYrH1s1RNdaFe2q8V^Td6E@JnNJ3L`n9s*;qvU} zc+Wn~ePWc}c0?0Jd*W?5|8)xvXY!dl)62F|_8;|DyzBzBnI~91OKXT55)5A;mEIU69@pOtbjn_$EyPWIo~k- z|5tF?-x2}*e`KZ%`mUGrKS&G+G58ILk^Xf9V2*->WilUX^8xJ|JY4w66*$+PfKriW zl1eU{8qPF8uiG;?`gq4tn+PXmA~qEX+4xiPPFcgU9s6qOtT1w1%L4N`nn~`EY=27@ zWKj!^m-=(%nBmic7^eIz%VB?3e2;2;ut-Uj=OJ2*-sd-WXz5hf$ody~n^U#=M)WCI zgCF$MEYe+n;0>a37A-lE$3vWp+uA6HId)r!M9&o&YWk8&*L@8~iEr!`%hm zoNRALe5b+|uy>~e;x-BH%Hh`}Ke3&KXEg=T{m3-i`I_x1hesEYF84Z>S?3Ac)5q@y z8uEQ6v?*rvvGtY2h>N8*h}e5HgH3b>X)^EZzBZKMqRtu9cGvG%?gM7O>45_42QF7f z*Ls@XgWM^LJ$i0)>W9``4La0gMU8G+)Y($DC(SYmf|Q&I*cDgf_=hoyXXl~}M*UYJ z3|Dnc+76ifqDKXp8n29rNCKA?%RxYZE}h-HYh%+4D}p14DC7kk z^BHR)lJh{k!+OD?EL3POg z?3Wwqx5dvNZKVIHwB-zJE$wae3~Yg#`qu8~U)e~1Y6=G3ni~D}M*5#g{HFx@7a#NQ z3NG+X;`nbpDgU0@|JzKr|80NH3NRYCfByQ3tx`6(1l0c5ue374nVD!~sHZ2I?Yrgd z1Sb2e%b-lqUZZcJ#tKPiqT9W7cjS?aBjVgh+6sO*>EgWo>i+t{_&(QN_ANXlc1R@6 zv@2&SWqkVd{+Dz~Fyts}>VkV_#F#S`2OMg|>R&28UL0LtjDFd?q``W^6VCjq@8vjt z&46JAwMuqt0kTDV@P(_9K1(9iflxweciuSTmBVQw6*+ohdX;5-A&JT2Ofj=*eP3wy zwYd3{POF`K#t-dTtU~$E6(C>rrLZ9cFUf*hw^+k)$X!o7t2^mjWo*!ccdhM?&c=C= zmcTteqABPxgxrsnPK+I(EYusnmOj^?G5zvU{W>U=Zk7!{=kaT~YS!4%JhL$hFNBn; z*R4xc7RDooHvYSO9&C%`m8c(3ND{Rz%3^##Q~6sK(5 z;8~r+_QaY6ine$Wzav|28ES@*e+$HkP`TA{4xPAjHQXI$6!gHdU8$mw9@?X4&5n;t zBur!Eh@Pq~N~geOj|#C{y)vRxcM+t2iPk#;VTKCjjzfon@44T-Faithc*oAs7~e3s zKl+a8QWAL6yq)!(ROd!@i{7$qqKj!2x614A5X$mwFaF7?IlEAMlzmgKPkSCFU!R-g z))J5f$&2>mJ+XT@i9u?8m#5B3IK_qqO{F%HUChu;Ngp*{6AC2++xxzG@@%-9pA{Cf@lwsSy9+sH|Flg@YnZ8=W_bHMzxCe=6A%Y zyWK#|)l{k3IIk7x^S4zc@KE(C3Cc2O6KaMc8m11nml_M^h~ZN(0>+8+%s#x7#FD{s z5qYk*PSVA^32C%nU@I%jpl{j`n*-%tP{Jy+-WbDBKdm?;qNq4_PM22P(=U6NGkDj9 z8O125*hJqvOro?6Y7^Pj4+g;l0ZF^2kQPT@h@>CMXP<&Gio#4^vs&MCFK$z#Rn~*w zHVBDGXlO&WE2*uNui*GSMqaBO3gwaY!i(LOAk%ud{*6NsWsl1vE|1;M#3`;)nwG9o zCWmpVecfZycg6BvmhanHfh8^|{0!4Nh9+KBj2(s5Sjb<)6qX%opi{L$F>rpyK7#!a zT&S?chOJeqo_C;c2`e+8BD+#$sSR~+rJW{=#-LfwC>bY|SjfXFmG<7Edd;{-Mva<= z$cg{wRud+%#J8mh?cGKEis%zDTV%b}EzGM%&1LE~&loHv!{qq-hbdQJ#ks2bnlrz6 zXb53IoWjg{&%J+CX(Qbb9pXJsUu-5;vIl!eOySmfzi91XcO0L_lyF+iB1_7wv_a9u z`0)tTaY*31a`qvvxO-PR9rprZOI5Q}lW41oI@pfPb{Bg;FK6B`skd|!+A7R`-M%C zlX6~~UGE^s)q>g+jyr45BlX&aClX%nf0mnn-Je?m))?=}+7PCd;?9(HG_;Cfog1)U zeA;=?|JAL8Kj_LI#`dj?;U(0*`nga*awZC!TfT-fPFK&}a zZ&-2G-&k?riyMf3`<+`=(an#3Q`7)o{3Zeaz8UlOcm7WN{X^!Q8HjVaVakEjCJq3` zf;c!Cm;u!bD?1n{MgBOE76jHZ(qRR1KtZfbK$aOuhaIGEr~||ovlOnRGar zIStqhz&}gYze|t(XLtNR$=$4gQ8qJB5dt+JAi)Oa4Sb)IgYyq1>$f-j|9IZFOYGmd z;UJ)07l>*4E!PXE>~FL2fR)P%0yOu365n(?aW;KUFxbF=iBlIyuGRs%0}MgBfZ~c7 zNGAsCGwXByyi&fcTL0M%2efWLe=!Rw3y{VH26Xa3+8c0^0{k85J7)b8=lkC#&SA)+ z4`f?28R&t*I&22IOsu*r%s_HF6YzbYvX3_<2wmt^{pACTq2WAcq14B-IunzN2A%4GYIRD;40mA5j ztpsRb1`e)(>4JbvrknKwJfMHRz*)dxeSIK34rIWt2W&<9Kw6;z5NEB=ZosAkSjZdv ze7nCD2xb8N6g2+b zWm(vPoAyo94iKdQ>?A)91^$b^U6w!18pxUi9tj|V z0~pT&NOpf54_FfX>3HCN0uKs6+Wo3u=r&UI4;R+WIA-9I%)smBCOq&Lm;RQI_-X35 zJ`Dg%`Ads{?~*`&8p;CrJKUs7+`RE_8lrx@GyrzK5hedaHsL!u5D0Mnk3v}(Sf976 zQSRT1NC$n_-1Yl8EDP{q{w9~uudHGToWr_LmMq+dQ7C*=%j22Jhd$#q4R)ZwgV%w3{vnLHO>kwgONoUpc4j*P! zuM&?HX)*7vv(oB|K~=RI?PSbXUx3P7%1Hfq*VmJ8Nml;zCm(b4j^rLpPQ1`TJg~T( zL6GN#j&W>=fnBj=-}!Pi_7xjP8J@2Z-Y-vk$L4|)FZ3Uv@57RTSw#aTegl_ zX6yR~tQ7iCx<$PiLT<`kQg3eO>gox%6gc4%vlEORe%Pb5%vpcij{4Mv zYi)O)!mcWOw{FYDCT63>r=hm`8w}N-Q6lBrDpn>s|O^r?Taa7d-`Nf{UBz^v!8RkZapcM&L%t1_^9v2)vhDW_oSmQ3b>hi$e5!iC3eP&m zE|#{C(xKC-H|-fd^SeJP=v(+KJ@?3|1gFGryq;%v8boR79u|2#J33?GLthVN|N2Zr zmu-8jHH+?CTEO>7+$8_l+?UT8y88{*2|r_1I;52S_@Reh@AFTTD#G9p@|qj73i zUmnfgZIC5a9(pXYrsnR=I+yn4!<34i7P4DR@p0mz;3k>t(X-#IvuHb4f5fe?$wW%? zp#Rdu{4~mK{U%j?v`+(9iFUJmW7oTqrw(!9Y%&^W_1k$WzWV0qNHrRatY-^bjM1~m zREXPbYj%BBrY~P~lfWb2v(1)oN5vHw3w>FSEEmxBPU_gPU4(nN*4nsvs<2YuLw7vY(p?5kN{lGjyg22TfywHx@ ztxsi6BKLm#jn_Qqv45Fgp|EIv6{hKFuAj=4h9)%zUOhD}-Bq*W)m;OfS(w<^Rwd^bN%HMx{3tk=YjLE?;%uLu?u@P=v6r^m9YITDhC|8^wi1sJQrwN^FSEg{ zJA3EN6UV`fvQA{I)z& z-!!LM>g7YB=7*lf+w`T5&0kK<-5$}j^E-AIx9UsLiM&^6xaTk~zOlc%k z1b6p6+*iByXu=97^p`&JsF~54PqT`*g(?hGoT@sKt5yAw{lI~?#a%15h1@-6G9(n- zecWXA>V$Xi2-hDfJ~?73xUF7;>F%C-xiUF++Q#LX0v8RGWj~xsbf;EJ&3cl+xJ}C8 z@|U+mB#QYxQ^x!y3?^5Hm6}Giid)5HKO_gJ?stFtQ9$k>VV!AoiKx-5r+as)6|tyE zzKip6*yewQye%*a^H_%{WnVr}^``K}y)ehbGr?a2#m~PMjWuqgOc(ouw9x3t9WGq669|As}5QY5bb-jWnP{PPn!q7T2KcTGk927>UhKmOhb41O|> z4}9;j1V0(a2k8B^=%dE)0r=JUHv@K#e9t7rVB(?vcWyGmsY5iA@OWt33uh_fKj9&; z2P&Kbo-UuNE~cU)rnpH=QQOJLNet4JGGr7AMUP7$;-ipJB%&1wL&l(>^%5F~wWdIO zRw@-kpi-y$_w<j)p8hNEM8m4b(=YDe`ZbO(PIN z-oTnbCMaQ`cRk3P;jEy%4TB@oa7tLpR3hpO_78;Mi9X*-1bA&gZy1e105=@O^`JFO z{OMxYLAE9k&{i0l5cp8dEAd|^dEXj(7vLaBYY#a2WWoGQAZxhg5 zTj{&q2xXZ7(Clv+j=|A@V2vkWaA<2hyrh66(X2^C6wQi?rCH&oF?{MV|6i2}|Cw+! zEOAhNLt}t;3=%Jp4~PBk7YGpi5rIfi0%b$k#tArp7OaS5GKE3}c8C(0IL*5`F~IqQND@A^`R@#5lP06#n3(OJnH*O4Gq} zQ>llOhXUL@9i9nSodVwe=1`dHUkyb=w^%%^qiN=93hq1Us!H&rh~NlJGjs}eJ9#J` z4^ZH=SDm=4Pq(CzMzhm4DgZs$|HXZOi6Z_FEu2*9F#%D8WeohJvFHoN|@m*;ATXpJa> zau1Vs&GxuF%gs9)_BMYgaGbv1 zkz(>QyG_0^F-FlbE^O|ipF1!2^z~{U_xB1QjvPGM(h+XQ?9d|-!*lU`Oa`0I)(3l{ zG#^TS@zp80ooC%9uD3NYDfv+TNcfQ>+`U_4_GQb4RTQddKW!J#687>t5qv8%;1HJx z=lhtlYRlA0KbK%^r*5vi>6fp48&a7>FmGnRXcca0=!gH_!7NUs99^yq#L4V>uGTl3n-)$ol+eelBrS6{g1*h zL#hHMn31^77kW{C2KIBDY?i8^KYP4TDdS<9WTI_9lbH}kXRLIDU6LpO; zOvEZ>`GBO^j9L2jS49+>m8qEd3p+O7FYy-5!ehvbq)uC;5EA7=bw4N_U}Kg0>~_@4 zv31ydU03cS1ET;`PL8sX%P|!jF9u`pR(Dp1_gW5SY>tZBie{Y;voA*;IO`STM@UCC zT`Jgj)RefkDrVO}yN$);;Gzb1zY(>AOTJZa;U0EWNWmFN%jUj{2#9(4sDfjFrL$vQ z!ed#q(5g#j4;uu0-ELlSt~!63%G97hC|l9n6q%_^!c zt=%n|HNiUWs@mMNzR%m5CGQ7O+++14g6BNmSHp>CX54#fuY0LnIrZH7v|G7q%5vZ1J1n%d zLdiWgk)7e9)s;&hDePmdarDNrYpBrN=2z}y7z#8wSNS+Wz*bINFfSvBbv^4%)xv># zbnk;}WqvP~RwlbfoK;8_y}Oxa8eU#qv&onAlILxSaDoTwWCQI~tj`nuU3SfCWF3b`v-(w4 zMn!Y?gj~B&uX5P`x?_=*6_=4f=J12EIHvAhJo{xRS_^!hXdMl+!z8j4p2z!M+ddp` zvvuE`H!o$q)0ZtP>My$F-MQ)g>!<@-{9o3oEs5gP`ldXuWYE6knsk*DZMA%#&T)Cg z@Phu4Ub|=7gE{urb{Ulp^fsPvpPjkV(b#WuEAR~DQId-K44`(pjYRbsQ!KBgG2bVFb5 zC8!aCzBaCXa&y~K21D)rJ^M#yne*-aER020W!~-4oV(V?&HjGf)wem}6g8GD73n8B zJq0paFWE%1IBge-JN8n-Pr!ju{=Mtjj!K@|Fy;+<4;9sJZgF?of~v?tab9_7p(DML zJ=d$2Kv+XMMcas0SY{e!zj~;mR*=0CODJk)qHbUl-gv{f1-DcN07>~cDTrgaU#@M(_G{y-}z5yda@V( z7)?*T(m#C1HzB(|29H8k{`-gT3W|7$8ODF|{hI+18UM-nH;?Hx!8dM zpp~dtJe3ISpQ)rg`fE6|cLq!=0=WAB+!^p4pj7*B?+nr(5Ij@xcq)maM8#N>2o#JG zkxGH4ZFm9=H&w9phrZc=hp>!4GbMOypj`c*I|D3H3IB6a({DU88V-bKtx+fvRP_V4 zOR>U`LCO|Iwx-f(7$BTYUX5cL<;*;@@eKk;LS8TgM+ce)gqjfd!@s}SApR8B9oyJv z#_;ct1Pb0EQG&p^5Vga`4=tr(qx*O5Uj+aEH6TsKQy|f0g~Fq#R)F15fvRb31z9RG z5!#PX(6}kD5qbvYn8)u7(kzhBpvTvM`{DqOK;wU&*!YbbqgX?t00r4M3fve?iG)^y zJp~0>I3gNP0Z!x8wKj8b-}sFIrUQ(x?>P@NuyY_Ig8tS1@T;{(rcy~X91dPh3IzvP z8yW-rOdP#k3>rvf=pEU`ThVz7;-)gvJT%^C8zcp?EdOeOFQutW+5l3!FMGT91?qEe=8 zY(Hq6|DAiF1n)aA_~}CQkST)fJq9=iu=qfT9uhM@^?bg?s&^uw;=z8=RfX_j^|K~IQr-dtH z!Q#vqjwT}MXJGJtHyi`bG1SmNIl^xk{-;?b^bhL7f4RoTXB^=#Ko$(j5l~Pu1kWC! z)%<+I|Fr&NEEb=c2?xmr1)wW{_e4ahD3CfESm0<9O!v>jNb;YS+l&DRGh;QP??r-4 z=(yb&VAtc3o#l7z{=?iOlI)tP(+ld-p&SVKOrYdU1ds#`DIPd*=$s)O`WF)pGZT)~1K=U2IBqxyaVQ{dFc7lSFAe`w zV$K+jG&6={k@_G2pT-RzuY~(O!~c-qGKPE2jN#uM9fZ69!2oiE0)GK+1EB)^Jj@~g zDJ=&C0cUW9Bm2o10s!k9P#i#QApC?>xzaDzw>4BO5`n6T0U7~R8j|o-DC66uiMSs31c_M3%mG96Gx8 z;cwaf=aW5i5jr40z&-%Gf$;&gz4YS-k+%UYAcbF?@pQfx5aX<&$dCelH;D>MoJgRe zDFiEs{-%cCbTE8|rW+P9tcveO(`##iQ~4W4|M6T;6gK)x-+O$nf!2ow>IFjY10J^+ zu;qZ!`E!4YMkZo`LXD!4t*w>7)rOb>{BA2Ui3Amh08&i}8R%(-8JcVGw9!B=`))Q; z#72M>^IK;BA%7J;MfYkfqxEZ26rev7cOZm8^5ak_T!z?;2u$uNyp^%+*Z0Z#KfoZF z$Qe=8`jJlpzy-aGaR%$vkgUr8wz+XO2^KP2zge%=_rH3zP3nw~Jq<+qHtnoeZ;W@g zXPFnuR2Xext|4pq;)U3k_h(gQ4xel@Il?EYx&4y3ySrP6)7`VLbUi)PbDP}!?wE7! zGmsuw%D9W)|L7g2L+4tz-2*bo-Uf>6pnXAZy5}HeaP0~b>G(lyHob%Aw|Ta^EZrbj zvQ1+N|BHKTcMW95vha+olrv6t^plId#kWJIdx%DQ;SxaYJ`NtHrXMy6T2^Q{3a^R?W2T`{Aw{ zhY5$afNXUbA^gZ=iGlaw(r*3|{`2;>wJ`IK?m5xq!6yBz=)8Kq)6rY?`GsdABelZP zJ>1NeHCPD6RIT-BavZQ}<~FI)_ZRHF(L-ug&*+}@O0ezXCYxidRm~YEE;35psMODi zNb+<&(;XZiV?C5`X3r=`Wk*_q(Z_qV3yKLL8>4KulnpyM2ffxTSU)U#Imk+9C0WOr zRgn*KwytV+f#)X9P+HKCMwCA30e6|KKQG(q<{`sU;dh#;ip!-x*YclDi_2U!_x@_! zW{ZUQt3w7p`_C*sDW*rZ`f&Wg7a0{14?pvlcPu*6HreJMOgVP3Ou6uK{RoRDCgH>e7f>Y+3=Fje{4cT&xusxu0Gg&#h(dV+k zX#A|k?Y^&#n)YGuO9^hgTEc26_tlKwogqw z8?Pvv4lffc{Km0uZT&&!E1?mVMe=dCdwO0)wxyP+>SxZ~nXz~t_UujK$9SKt5jmXm zULuq3>WxgoD8KXUrlT%P&D0%PceJeMyFBZYjOmV}2d=z}+qE_eW3G5y@3lG;qnwjz z8|!GjOjo5@J*RcfKw7fbJVuXjOt0y-gc?=6D5KOYeTL(l0oAjvuv^^bO{-lH%POpQ zzU-!}!T@z+S+6T``|iRd?~+Sro9@Wma~`l`;}cJPQ$>{yY`@r@p6n#NRBiu)43-T{ z8{}qdwC#0IGGfPi9`E%ig1gf zw+dzy_I)`&Kv-kIfPGcSMc%xUWfKd7-s~5ZuisnW?M`%ISl~HedxelywI}&NTH7(X zCjZ?Pmu-`VMuxZd9gu(2czh+tELz*P&FlQRQ}T|g@-8|uf00{E!s7Gt%nO_NI6K3& zxMrVDs=RW#TT|=)jgPdtzKf5$&)-Y49c;1O^mb*;0Y&?qO)`1$VeWhsH45Js_C;or zoFB>s!s?w;Ba3#TL{cS(pU3FVHCVOc#um>lVGEYa4=)_)4XR1W_Q1xj`cB3dD0Zt2mKJ3#QGR3 zQ~R~*4N?;XHD+@Z|4sQFKo;Z=fZp)E*cv{Jvm?gkZy<*@{x{?72zvcEG73K?_XZ!v z2^dfjHTH$z)_wmlPVE3j_V=G4C;p_$w=qj*#_<^glJFo^fI@%`EVyle+u=z7JpKyU zAmK<%$SYnoS@)wj~kAq*TDfJRE(S^SZ{6K(%05z0A5CX?|tl|iYw8WrAD=0T8{oF%_;;26oZb8qW#1As#P$ck= z;q)1YlFi>T{Lg26=AzbrA`-}{L+JutdIl+xL~`m7aQuA6|5S4Z5KeesXK0Os5G`c3 zAV)rCI4IsgS_6FN-!c5pXMCaq{g-Q;4sJl(WO@t*us0+zfLsoBJXrKE(nf!(l{2=h z&d_`-AqIi~%9!04$ORCANAz2E|M7Iw4}qDndu$8GA|;E!sYj+8DILW@jS94@{>AeC zLxmrth3=V2C ze*yCTX|XsOs)1+Ba3VngxFlj2ID@dT05On-hy4Y~!hj~<&sWMHr;X?y%nVI9B(v=zJ91&+R5tX;>^IoUJKVO4e8_AV^Yy59JFaG&L$oi(+$Ny zeiCkY3K|7hotW+alc9L@4^`{a4Mi&Z5i;Ra4D5%>@o9$w(+Sx`Cl3XKC$5X>h9a`@ zcv$q4hay$@6PDDpLlNK~W@PeExaveV=nscZbVQ~b3d~&4?3!{>h)*V5bOw>a9}&Tc zYS{n711DCb&6qAWWSb{EfbZBK)aj2wgTJ90IGdF987o)S&#HD7*-6~zkyMnWN!->|W#t(Tl;VSb3#LJ#;6kp{>snzPzv0SJX z&dnP|Q)hko>IIRn_$1`ivD6K5$I7Y(7lt@E;A8r7KJM9gt}J)}Mmz_l)TS(LllMV0|wE%!ZH7U$xn*gB#)YZ8R-&AM#WEBn;z zv|5(P$|_II#QRU4z9xH|oAu&S_-AjliXyKIDExmd#KIH&=QrM2nyW40(d?5lRtLuZqhh1W?XR=h+ zM+>(R8X9ZLE#izy?Cp1Go~w=TIg*6GYpV9i>5k0`wW?JwCasd$~4Le>1%9R}`lHDBdjQ;>Z1_G2}HIefzuPY|eZd z?aNMm9rsLO$K5ZFOmKlqim?0pe49QBvTPJ8pSyFevUg!`gXf*ydmgJAW*HN*7d_b; zPK%MC^1f!yt~&L=c#fiM&OXhJBLQu^{c9UlFZ8eE!}|BTi-{Oe-t8gS9BOS~Zjs9I zFEI{o6E)peU3m9gegyL&t)@*MzLc07^jBV=^Pt;4rYOYZ%Z=KCx1&Xm2~JccYTkoav8-tZ2La`On{X^pgg{Anirp}Lia#@PrF@?;EW&u1*1dq( z^d}PzGloUd^3$j*z>NKBvaz7&4E%`cjGp3fPGdBJaRD`Xn&DG4W`9^@U^F5G3T0eV zhJw+*x?e>5m^kfJ(G}Cj_o9D$P_Tj(FhI+lyujc=P4s*IbvVE>(=8J)d}7EvWjNe3 zB5Z=2R;BirOB;#drV~kktNwI#!BzilC~Pnjp79JiuKy;x3aVxZ(Foc6Aa}1d(ThcJ zM)(!-Ca2=AJyD3^j!4L_JBKKH@|ns3Mo$fNfl+d~g6}A^_b8lQ0q{v-ziRG_jZJ87OAzHL6W2;Ibj$)K~ zW}8C1^}_Aam*?e&cb9jNKTwC>bwBPX#j1>c_$(uIe3vwd)qSt#;G=iMqT;z?POK<@&vG?7Ii+@gtZ0rc$cw)Z)kEf1(}@rr#fLgAFu;F6(=Z{ zxEJ4JvU>InZJE8&U~A?nH?iik4cMU#`9sojZ^Q&ve{u?#eR%YnibucC{!0>tp)cOB zua`L$q<9ujmGQfp{M0Cbj^}dr~P^YS(3mp!sxcGSN$Q#F`>yW0oBeB36MlYTeKX|-7G4E(+`R!fi=Nfbw52`WXY#2jA zk(}ay9sc(6+h!Zr1hc2Fm0q^A$F`z|rfRCd{g`@ZQGwWoO+|{=Lp>WBZ`$JZF{8I0 ziczT_(1lyh)=@aOa@gL}$iH1iyJ~N}D`}J@++m5O@w;rbEqGy-DOKWz7Q2DD(DUMc zc9^> zVx&ek^~Tsl@q2Sp*jIfs`dIM5c%{2z;hRsn3z|R4hEdJ->|4wt_~o&3@N%acwV^Pj zB?6uY9|#?kdH+23fe)4cmG)bSiyX2FA?^3|mMX3Ckxjq2ZbkVn0wGH!#pvXc;*W-g z*Nv(ThgCL-%{!HGSZXBX_A#OB0-syc%TuH+78`^a6_{3P_%HpqLf^3Qyzar zei6kUy2K<)(Eg=~jO6=-V4*{-w0t9nf=iRTHYfuB^cY#qNISrFIr?0B^x^-B5gvzFRZH;+K17 zuhLH`n#K3U_}d4uy34Bt*L7qDU47Lf<1@-=HmcREHFvX>$D89}H@1o0YSFx9F3f%1 z_v79*!a+EfRXC^T7j_drwD=^uNq0ZlMr4mrJXa>+W&VXCUg753dH^dxiQIRxl}hl< zUnR0I^Wv%vf>~dB7_&5m?gpnKdW;@w{PX^^@2ATt<#3$C@4O4F6VfIM3~i zr`v*A5fpQ(?DqbgMm_DS)pG^2m!hS2E!X?f*KTZ+w@7)dFRn!Fai{v~>)+n4S|#Hv zlC&vX<;$D5vZCD0f&Mq_24d1j$ZtONNN^Cr$jUCn~6vWyqZa_;&


evpq{6^5dq8~_ggDIOOvVSjN|B)J4l zN2Uygt4;wJCtVc_p&NQSiFsga|Kh4hISXle=X8pTIO(cr5j8}Fb)OZ&dqGr^DFglME_a%rdVge33t9%1Ux zDH7IZDb;zS<8ma3`|;ko+u5g6yIh}-UK|;^>h5w`LxT;y`jO2$UYCFqOHahjk+qL| zEa@4P67ey+yu-tL6?2GUXZtI;iih_cR737we?rkXbhhzDqaI$rbawou`KsqxZ1r-x zZmaRQr0LLXPb(Z*)!51NLH!KZJx{Sr4MP%_h=>c;_lx5BV4=ldde>J-_)4|i%lBH? z?C)JM8YIZ4do2_@7|KCRI#GR!X@PiB%mMB~9s#knO827N+exy9JG?BhE=o?YDUL*yRFt7 zZN(E37HPF6h~@BUvBVuJ5L#Z{|J3=IL&6;?k8|rs*3{~ah+K^>)84jN6WwQ@u>ZOHQEv5-sM8@xNa2x47UmbE8ZpHSd-_VCVHNVjN*z z&Fk*`B$D`bpxJoe1KfO`0FFSOcbKlTS`AsfPrRWoyp={;{8^^k5{DPvnQeb`r7>Dl ztVS$9M{TFLXx^($$Gajd5B1$HNc0R$^xUG$c@ftdC9WX*GK68z*J@tZz{jd>$Wi(_ zxurke;)dYzTbzDkr%-$M&nsySU`|*u9Qvw8&Op?iok?dN;eDxr^5p`a%oT&JsRyo~ zt5r8#Evr3;cL&3Jbz3*|@>GqrF3s2Oy2^*1?2x;DaFIFf_ywuHjQ-?g-@1Xqwd=F+ zt4f~>G~2KTV)+Bk_(wQvZWULJj>xFF!)jgeuPPrMiuaIBa ziCcd9V1`C*hQ{IJwujS%{T8oTdvK|C%}JI+AAKS?q5{gLaW!J*pQ&5w7ew%Um67wa z*<0zCLE|}UI1-~1>H5H@(sq4Sp@bld*C`c0&5W9y+kz}+d2@q_4UODV+47{`gS>|* zVp1zvkDX`nLVw!3@bUT)$Lox*7Teq_#WM#zZL-Mv)}Jwws&cJ(;A`qG?Mv79lJnkq z9SyL(W$}_MbhRXCnZ^y@6duNqo~4V<=X_EsJaFBx_B=}jv*qS=24bhSF>cpWlOYBZ zq6mZc9(mEaogxgup6NLWD+U>sir0;gkzbw55zz?0jMHsbBWi$LxKsvo4!@JyT`pChf8qc`4|+O{7`%&^#>r-5T%f z9k)*On>QPXedT%FX3phYA2xeYMrwHIlkWFT^~&1=`)f?`=Q_h)WuF;!%>9_c#du@Y zlH{$~UtU$E3~NvJzvy9Q{G-w!|CmyyuR@a-=Ns z5Q(lludzI}jJ@pTQt}5;Z=s>GX&tSJhwvEnW~!q_hZ%l!w8n%d|Er@lLDU~AyXof^ z9tttPfA~kf3Lrq_NW-JYS_r@gy4vStO5zL(?$8N0@O^Y<83<|Optl)PlnVvMP(=5O z)cK!EEsx#tIL7vuyeBLocMl9XXhQ@Nt5B^0UKatGF~Bo_p7H-jVpB#L!p`2rQth z0@aKE6t_b45frk_^n_20g#ONOXy1TPKoQ&*H2cMXhlmBR9S!`UU+O{p`2wFBULf1# z?^H=m(Qih=rvD8c3*exxZo0_i=U0Vv4gl9^AWcn;Or}UTPrfQ>lt8brsmTc?)KvP; zB!gj^LEmL+*a#H#iBFdf(oY(y1SPSEYR_bY;i^-E)gPw`Drun%cxvhj&VmWS>i@M- z*vt_~ND~j}@wn>`q>3}4xa=98`#{U~3nlYIFYCTNU>~+Z$Lwam=WHftjx}{=5TUnr@lz7?upT0 zpZ1TpCG66ODMfBQwD+kCf;Z;t*JB6f?NEK0d)`p6E_l_F`P>gbRZDw`M34pQT}+Q< ze@SIj*4a5*Nq|i%G&G61p77MX=(BdHX6E4Cn?|QgQdb?d>ALcK{!_xU<3V-jxOv&- zx3$fBGDr6nF+;@A&W2T-gUp#LsTppD=`UR7cuG`O-z-*CcK2(QLjE{kVfH&V)hkTp zidJO#UJH{`aB$~~EbUNID0&iN{-tqS_O?hDW7RDYbA!yCv(wrI3moHL*(xz^B5jnu zuj79cC1_<5E!3X;Ksd7mdb90zzZ!D#&Jv?FFP6s+e#EPtsJXcKrM?^MT;(OMSJ$@( z2|DCAYiltLuf62ICd*)lKfAV2zjLIFRh{<3Y{xRMGfX*k{$3hlqVkN40n0j{XuDrs zuA`-IJ-Qtg|6wo|VGGF<6Eqi<=V%4s5@BgLsNmqaY= zzt&@OY)f2;O6J|Y#U_+&gPbKHM|p-9lIjdpik*GJEuZ^2hwdQYbhp)5vU;x%6-(Q) z^!nZPZ<$Y}@|!CiEfw>q(_;QZV{an|lI-WBa-HX{q89x`d_rK+wPk-1QF*h}(Vq)-2avXC`Q zF)#Sf3B(N>v8MRmI$EIXKblAA5Ru-eeKnxaApe>Fo`u>6mtB%nm6wQGQhdPgQ@CH+ z=gT|SaH9rI@;Amf1RQo)Ay{&_ndjIAleu*(p8Db5E&kA;zV#^{ZSrcD8Y{;Rquqj> z%eO9Sqy@L4Yks?*R?Jb7!9u=#vvVmUFTYiP>z$z2VI_T* zDaWf8*>gR8ixa^dzh8vbS@d2hWY&_hS@Ks!jHH=v4^lUrw5g3)7>nQeAa40Cb;Sw^ zYE_~9?P!gMvGtM+{ZXa+kMQaaE9&vT8eJe|bn;FxZvtD}(d@W`!WO4AG{fq{u8R+` zH`X-I*IZG`Dbiy0{<6kN>$fX>QJ;+u_R1zN92C1!m3a1wb-)uHmiTfu?nS3M`O`f_ zMrXx(-}HBi7me4y&62Jg-FQe@ySX>~>kU5HE`bz>JQ;U~MTUnmFDEF^Ys+o&c4|5x zWmkr-RQ4nuU4K|uZ^@Me-8JZ_*hO;7%dXAc{_<^{r<~nLHEQ2OT6=(LYDjFZsAphQ zLB2T6Mt)~6D*@vgwEvdirpI%=6}1ZR)e8LH3-;uGyWO*?)`}M8es+E0^Pu?k)tB6s zS(A+f`fp{(&TUU{(p|*W%hU9HOSgj&)%3(g?UE$t&*JQu_PI|5Bj4}kInta`tC4wo z|NM<1GAlo?J#6EP5^mb$6TRxt(T3jen;Hd)>OHDuP3@P~7roQzQM~5FRp%xwHRSZ* zp6y0Ps^RLpTE27H=Zj=hzcG#w80H};B9XXOtm1miRR#NPdq0in3$ihH5;Jb^9qk^9e|I># zsKM=8S5I?n)=<+c)+W>9kyNR&CGLwA3Uk@}JZ@;M7PnP?IN~pQF13fBGx+8H!@L_l zV)uOEvON>nJ6&W4r~Ur`?0<>u=!eTBG7&Izz+ah($d2A50SVv0XBms*pusBg;RkVE zq-pnLL;tJ#9$jMwkbA^aLfmpVCqY^X!Xlu{gVXhM@Agmowv64@%!CvF#6i$YjRYi@ zf8ro0XZcn9_UCp_bTt3YD+lgA5_UrST99iS)7GQ+G)J^&h`-PT{Bye}LeO-7lfK4( z$1VS%i`3MiNTCzbnrK=Fd5V|&!&Q-I1^h1Xd?$Okp!+y+Wlwii3}iSEEyc-0!9o7z zPy(XzGu5|-w{1eS`2W6xjQN4nHSQn-8S8fw6R%849hy~MCnWqGNs!L=~K z^}YG0=8O68338B52Dxs$b?;5nh-hW#Qd{}dnl--Xh?l#1$a$Z@~P*&6z(x?MY*?VAaAh+b9^Obge&H)=&3CDhV zP*QDrzw+$p-O`IIWCuU`&3S!SK5pqIkHuNBcazoL*|j9C!q{e>+$=rI^ytpB?%PxO zibl9Nwbrpzu33AE#JDerkG=EBE#}~W&p|rfdrzKUwYP-Iu*c_fzMjqgIer%mnAYA) zjd-<0#N(P{ovk~BVF|J;*P zB!Qhn*TcF}dTyl_76nyDE>bQ#*)bflE4K0^8@KhlY0s%Qxc$K>XDQS8 zHp%O?qMCxDm36&OM0M&ncyGn`M1J)1@NF`?asKY^-XwIOMa4B|(ej7x`#Echzt)+b zQ)}e%*t+h+mM!%I5gjH8B%c1I*X)eH&WV-WRlmzm-SYixmQ|MdMa(aPc9G>oqi4_O zugf}_HSAy3?s801-*mOPJ9B-c%+n;j<$ccVcZsvx7c#kdo%v!ik1_9fZlr#67NeNS zK?xb3Ra<-nn3l}-U+KUmtEDDEy(=bYb+ejJ(a*@a8_&(JH6U)nA+h_8Mf3KAPlZg* zm)E#IULP4TJXc`v-8Yx)yEpIRx_w;v@)Gv>UCIZ=OJA^mI(?9I`Ho$tcR`fUvbNL< zr#GaR_mdf|xnhouq#LH(%WFE$ql8@yp@ve7!(@wkJ$@ z-^*W(-d7&+8}{jOE)~2hyw2^kM^?T2coR!`&9`*#r~6iYPfOVfEB0jj zY&`Yex!_n=w4SEhYEwSUm&vWz|?j)BX_duGH<;#AXw|Ca}e!WAe_H4eiC(WerQ=|FG+eypTm`l+ZGG8q! zxtze+9=^a_xsunpz5UshbPeXh!OlmQ4my(?8LeaAV+=WHFTWby(R=S}G;g;7gEh6n zX8YjMQI?g$<#|JN?3(r4^7FhI``P(}cJMA~a2ULN_Vr4Y1&W+L z7iKT3TB~${<~Sy6%SX$uyEbnbG46Q-f}Bm|D5y>ek@ns zG8a2@@m`t5q7m{ybhe-{x^CcoP5$Z+ySZ)~Z3pnX_VzWV`|}xZef^d7K|<8m zLvIu>N;BSm&$M@r<+(SVn{vP1v=f_mwDt8pLk|VJmN`Bl{{6l*iLHnBfA+GXxFn`A z`OPP6+!|h6W3tH6Y=hpY>7M;>yN8m`gyl1PSRP8=Jj+5ehY30;OI(gUcMZ zscLMGbo4%!k8#MYE|z9Cw|Js9Aj-C|U^FGj(s7=r!)E;xl5^(TsaHN)tns9_F5Ak9ew9 zCG6h2XiKGZy55!KcWuGTYa$OXZ!iDm$Y_6QFLqh8{V?9_8XN8P8=HMHwT*T+heu^> z15VXRU!aO_Wx`*4p?l3>3$LNjx;7Q}2Dj@WgLjw{lZRwpqD@|eWw>Bh4t{*cuBIc@ z+IK^Ep^2!#BY`*Fk|x=Q3K!g7(kkqCN;xOcM9ybUct(`#bH+{OLw3rNB_EspbnBg1 z`6|7t_?IYNx#e9slo9QbDmc31)Y5O|&ctxB?bs7sq)c_*o6B@(?aq3Xvb1t;-nWn4 z)oTrG_ptC13VJ2=mnPw5PHAQt`q}4a1+2oamJ#jGmI=!`oGu~aVf(txZd=acL%W@2Ki!S!ABUJm!*zbtGBqpyiu<3`kVuH&f0gbrFQ}^ z;_94=#H}9%Zs6R|nMe}QuQoNWxKmWX5xR3Fc7F!Gz1UjGp&`Su20hRP*@k{cL&>SV98$Ji&dF9{JY)a#N;FFDapOo@?A z?|gqnn8X>96=;~06s0mx$_6L(L|d42aC6k@F9yOTx1KW^myBFv4e@X9@i*KR`AGZt zF|$~auK^@ z=XSbmBW%*E>NOyL^S{`4(P+Un(x<|PF3c|Ojq-D7?^$*0ML5=e4I#ZPe!{{anIVJa zIaA)<#`vb>=AzhYWrF^TVpO!W@r%U0Ut$-`Vb$j>bx{8NscpbX(OO5JL5Dx-#KV@{ zH{Bh<3mluDe$@Qf7U#0KbLc6TVqWQ>kjD$RQ$Ft>^;SN1)je>u>AlvdXmApC_OvJN z#4})e&}eM;n|$KZ5uRUzMi>zIp`%MbC>{N9;!ezWATcxMdlReQ;9XAKmZuJd6Bmw6 zgl{nU*o3q1mqVcj3=yQCJQP}i{@h@wDMGq&z~MT1C|vcILlN4+bX6{xiG|%W807!o zdg9VsfQ>_K<0mentNNQKZl8$*RG)Bv?caXkcr|N{7teb%$Lz*SLeIogJ6EjnxrCyc zW*urcxvaGG(||j_u4&pSB71c*^RQ;z2Uqv1T_x>@{ky5_jv7BMDlW?}9=M~Z8shL$ zebFK{R}RNT3hhC}WFE(T{x2egI&BB4JntUJiydZJfF}e6duc7U%HdzTxJ3O)`myf9 zY+O~H`ev)+^>l&!Ewa@iJi#RP>!}U@c$MYua7FE+T{AtGVu7h)euwgP> zdYLP(?kLlG#xN_Et5;{9PVSAka|!REoym^l`er#n4~eySCd-J`I`%>A+7i#Sq|!c} z+10(Q_-dMYhQKv1Xt_w=~{`&WRR0op^tqpFoaIDzUGu~> zLy&v#i=o8Njr~Uq=h$A=jl1z=MaKNX)2?m%g?AS$-gYeIN@P93=QNL9$jM_H)T1O# zb{jJVp9@gb$ZIvPmj1}x225s#J_4q1;^6=kTg5o`s+J-0AlHX3M;_naej zr1!R^*5$AD3Vi#ont7?tu550gVx!&IcQLTl@OdlC+Mx{my*6^>Xee>Hw5@EpK624L zY)C;Jul_vvs9n0sPF@wCr?R&tE>?M+=lK*e`(O_P>4d_$#WG*N`3?>-m6clAijpd7 zx+Mg*c_wJB#2)i;;0{i*@YI%Fx$ab!V#4NigvV?7GWF8$(<1K2&5?in>EIz&oy^1Y zH_X4kY3{OzDW(}g5iOifvh%xotZ(jLy!+Uq=cVfe)E&aMFWUfWh)=Jp6fm6OVOkl?36DO#uHYnUHNP_nkJT+G{C@5yHAoTvC$JEp6aBY4F9{7A_a zY+~7>)+J4+(j~ZB{90z8aMH_o^`froW^P4m{HH5XB}YC|_ySKPYZh?V#`L=e-=YbA zp?*ERR>i49Sau+9xSUI8W&Ztghi?n2Rz%H>OeBo3Jn*`pov+uHR-Z%twn_3^N>AQp z$GonQK-+<}$Bo?2YgkyJIq#eAndLbuv}kVES2ml|143N2my!x*k?{E9E5)@od!!oO zu=s1WZo5i3dUF|rOs3G~9O1ALe}T8#v+@xQtW6Ig>FBZT-7$cg@)H3*fg<3B+Fd-|`wGYdifX8b3J-Sl4}=RN%c zas<;q&>a)x3&+2CylW+f-pyfB)@sav@e}Fqk|+?7qu@M8s-BSwU}(4vogN^m0x5}q zCu9+!?HU<_$5>M-6f!i5!DFCFA)06n?Fy*`=+OgB3aL{%-HgrF%vufYR#1@FLz)jE zMFLnHFyM&)F1Jm$8yZ65@m5q&?Z;z5JD!3^SyPnI&^ifEv?f!gHo6&mxHDt75?!tl zsAEuY4cY)mr3iEfqN{~N)$+fl2=Plh*6>?KLx~C&Iz5tc&~p-lvZ7KkG>jFFj78BvK@Pe!PF7qWdx>Xg35?G+9&%`q zCPws=poav!c~DgTla8VE*`{Hj4=4t01%U(+4c#)8C?JxEqF|ucBgGmzWlc4F>Sp<$ zmkCIW5AT@bGZ@Hq|DNGw=uKx$p<>A>EEtZaD&eRYD{BxUqmfBeYx0yvg_xP_ zE;T;mSXke%&Y`UsUEmPuG6k}5|N7R^XPiK$l4&5NNyeh7(8G&DqCnpXJQh!+5uhfE zJVopk1IfRcdNF|$3x>ijF=jUg;hjR0oZqq=PqG4OEgY4Aw}MR$Hc5<6=4xc}}<`Da4`tydxlgCfmr5HTtx2!V0ff6vU*Cmc_(Qlg;n6fzO|4dS7- z9SR!xf`lD~W^H9Pq>bTk8BPR!86w&m8o*I#a15fX zNPr$d>kL>fpmIT)I^*;+er7h6@7;Gm`GihPhGqyz-#0iFp$qNL*EfcQqf((+AV{KN zFl34~NY}wy$5LsqrxT%Z?UZ#ggYBh|y&9n-e>WS0K|^IK_P5Mdf>i>q8Y~vrGp(V) z6b{-^Lf<`*I;4@&l&R+>OvnFTOFBM7_alEJnSy~9!9N>{6@ymXP^dAL8x0`KUkshN ztfv|bfD$5KISsUf$ACxibAxfn`kuBzm0rp^z9y%;>c0)egAmNmt_lr!>H5!DaCz|4 z!eBp$d`x#~5YdG)fvH#)TzX=zZt74dFF*m1hXIKm0(f0hv9Kxi)?-1&_~HPS8<1AS zz#%<(C|r7?A2#*UaM~b6(-3=1GjuY|X7W{m#RQJnbR(za=zdttuz|rXBQD)E7oF%3 zOlL2ms*8tBdzztBaLUP71$|Yd>)O@p#)7HItyU&si8wJ3&_QCY znajh`tHH+KlyOuLVR8K?Dp(P@f^|+4M9*r~Fzp-_7RmdSFUHOpbrRT+Xg*DYUV}44XDlP5rT%KxhLH?yi zjc@avSmBu@q0NZVt!&n|43X(psJi*a?(zc9 z@VlG1wnT5ZQI!AK<(>M6cdPj?^BrrR`cT00tAfr$OW`%|PE_>Ber#FtVaNXJr;OtK zlv(E7*0EbRoe>Ey^9uS@e|OQ9)&hknE^NteoXDNKA8n`OtBzdvzvBdgPC%)WYGz3BdxCyn^hJpc38c6PLOPXO?_amtDV~;wO7~PUh)>O zwCXdDbmS$-Y=5x!Kvq!vl}z<-0wLK%za548k5)!;o%(otdeZ)?obO!E$ptMNH@Y{U zaJf{>Ro#$ltkn2p&w$a@Ttj2^3XHYHhc4VOLlIrFpJc@w9nBZ=7Ell z_5sa>A|7csj5GB-1k@LbZo^qeLA@sZ;4xT{3)$YwC?g^>yps6t*M*l?iTJ^^SrX1-W8DjbLXke zj>0(~Bm5*1UM=C#TerIl&7=R`Na);_Tw6hH>mLtyQ+8xGabL|_Ven1*$!1Z7w&r~o z&%Wh&urmB3&(VX6C7PPtmz+FvmSmSoTIo{$)_1c65IvUU#%vqfbK#N&<69J;Y7(-4;0@Se`{$UubVv4FUe>DtN z4aq!!WZf_f#!Ll6{)U;I#r$FT3y02Mr10m^HxHfM@X0U?#;hU0Y&8sn4c89AG6hDE zUkz!C!4JdV%;dT-e>MEgOi2;uC&S-7BvuBkhQFDadWQTBGaHQg!!UF=SP%vI)i89& zWT!CyW_UK3(KF^J!?Q7{{sOIr)Ii{eK~QiM;T$x_Vfe$>#=p8*fPO*Opj0#HjUbi= zxLII^5>W^z_|IlPXt$H8P{;(lLlq2x0UjX(L;&e127ydws4&K`pGO)i|JH9LjS>*M z|MeTvE5R|HP{02gM_?}GNt$nj&=2nq$!kW6*+5Ps03wi{jnL-DoA1Ac$zVPvYn==^ zhfs9|tVzHgk?99>7!fALqyFq3+LFh^_sC=%iAIO|H{kZ-AkwS?3BC*rjYy`WsNj;J z=`0T9D0RkvTS>zs15_0$;sfvkQs4y1LkHdRKW=~t3=HbKViEO!Iu%1j3Ng|_KA!+v z15XDmh>T(dB1Xv(P0GOVfX1tU?Toji!R9Ge7_pO~K;HMAkhvDkpn#Muvl;w@B_j1P2fh6-g3;(qaGl&KL}U zBSFBFKp_$UVWlC_7%~w`hf^pN8eWB^&g`INF*&OdJ#?PqK|B{s4+K_Kf%F=6jb$vd>bf@q@)7=E*{Qz(jN-nAn2;?xE&|sX$(AuiepeH zI4qG)KvTewp<(e<3LtIZ-j4A`MuHKOves}U6~KuhtT#L|DCq;>%^%;$1V%<7V4%J( z1_BQ>DjWwWJROH8(^a658aisCihjB+n z#G|QnJQ+=3fVD-1=n)M?qG2F@LBK;;fXEs*8dW)Da^4wJ92*I{4DK@=1O=dG;J>a$ zDg&y{(@``kmImPsB9THtkh4?O#WR z!!xKj9F~HiBjtujD&UX6#SDf96$__5YK#+PBwjr^BZDIyklFtR1{OQa?Y|C;#!!JV zVNf(Ql%FTVW}}e_R2-~Eh+0q?I0DNn8zl!mIRgV94>+Cw8yS?c`sbxcrlVmYl2ynw z8i9_bqiHnANJZhv1PIg;@C-8RxJKgb|2D4SOBgAc1Y$a1U!Z_>3T30<4uEjwA72^y zuY5P!A7Is^#`PG-eP`f8f#-`uktt{*jRbrKV7TF^;ARmp z6e5v4#$udw>jJzwV6Xgdn*l*j2|upKIPS>6U1Z?l#Sw9Icxz<53P3VIaDm&33I;Hm z)yro>zLR=>0Qd(b@p-2S$W2ciep0T>Ga>|&Lm7Aq`Eq@e7dol5dw)2v2`f=tRQLHMSy1O~Se0!#yK zi8!vyI9|ueAStf`{8O-)=m;MOfF(Kz4btcgESMVroUv}BNe3{{z-YoF6>^aS0!9Yz zIUMri9T4M=3`N6IfDQ+sJqD;EWGa~qls-C?r{sMF-Fp0AZlCVpLQpGypkOP-q6MZ7Ps0S>M^Dhew#C#SyOz?0Vd| zF5|eb43G*q&w;HAkUJGP<|GJ{fPV!w$^eB2e}Q#ilWt+4fhCQo^#8XQ$MsIe9TiYY z=~xD^VZp*BP^iGg;jB3)Y3p9vTA(iR=8x?}Mwpp<2xEPS67qji*BI>L5wY=M z>KzcvCrZ70YSrlUOlOYQ`>S81b?9m8IoZ6OB!Kry&H$ty7 zuQ9o1ag@zg&A_`egg@`G^>`QK#1J|2O?mHqk%voLXNtA%+upa8r#RGSTdUpMWj?B5 zP!Z0#SGVQuzPquVRn;e|v%Om08cg?_bN=wzwF9MO;dk~;ItroppMB(wZShbJT((KU zxkpXUr+Xh-ztu>2er>>JzdKhsxKy>$(+cM*e_ivVy-y8?{ZztTtozx}HormtuKknl zih=YEV$#XC4)SqtLK{b^x(e^|=xC3x*m!m8iv+EOCBhp)n1W(DP^X&rq48Uw)g`YA z+xVyT*DKyGeEJiuB=>S-;0+ ziT!5u6x)xFcHLgh^DLA9^M%3>_iTi>cW`dg3CO$m$}Yg-MM&-bL@@=ngH2(pHD6{= z3w*oRRmkW1#@zby(j=0#S4QK&yt;)wD^yI177E)ZA35R~qAR1df~-TcCWg80Fcwjv z-={^O6zFf?8pVGWu6jjr{Emx=m@RWG?M}n0Ma#S+S4zIOI^TQmRkVEK<7udi=~l5z zr5Y85oi@IJ@W4-gC`7#Pn|6qs3BA8{M9FMC9`=x`ttY^XNiral|zbUtE^K*+h{K)vM7> zW@)O2Ij*oV=CvxBxAkA>=OVT46Vxu8wXY%S^V?h1akHj&%r0p2GY!Ydw$+mG`KOq_Ox~L=Bnzuefjv{LRfH!pZ@elGrar++LUdAQo5-MzZW8{`i0PzqtYA0c{b0>-N-Iw$5Z&^K+XCukLpX_cOT^u zk!~hfR+wnB8*Iy{G`SX|F0CiZXFkJi7ixj$G_!42YXq7kw}qOS1abV_%vSDvUOHKq zO^#G4Z_FQL+SeFpl6sM&Z06=i@^d1)&uq7)I%Q^WknJ1y&-D~Vcv5>aBk{PD@Q+KY+#gsGFyq^-Zrxbmw`ca&!^xEce{35e+-WKlm zv1a;du2sj?gfnvY-TuTob?Lcj#|x~t>&{w4P!_PpSGADQ<#G#JcZy1B)MZsXnZAOu zqw`5tp~=_lhnqLsN3N4ex2s&U&Ix;>E!u3sk8<(-i^$Jk)FvozYmMSo@Q|B#H&FD@ z$|FU>Zec%u)W^rv?-KpF;F-UGqEo>OZ*~8TyB*!$G|tL?$6k+q5+;z1@yM_hb3S@8 zrAF%30yA!Lqt1;X zBHTHjA0v}g)@1Q!=K5RJ>^O^tKq4cI^J+$km3RDw_lW}y!S(*BN84`p_GspPPg-3m zH1Ei6!%&|43;BMASAXYio%!;IEK04aXt$26q3D5<>Vi_!md88h^@vkldXy^l7FdTi z#0fR-+0CiOv+`d4U84&fB`)&GtCjYmEETOZWFxRsMR z^V1Yn`SydohVgc<>XI2mo~Trz6HQJ7sI{R2kK@&v(ruQZ>IU%TlFP=RVnUkEh?`~5 zH29T@Ie7bwmLP$UAher+=-1d1BqMW+nW+c}u@IPLgH+7niX_63IT8ufktBGEsU(0 zp*%U;40wZ(a|eJY5Qo8`M8ph1&G=tOMx;?e6$vnRB7;GP+$$mwJ|RX4dInS#Sd^@& z2D9SG^}}9DqX+=s;S7LE#t#hzOxcKx@Y_ z#yA;~`(#qz7|2-yHw|HYLhdarb)-frY>|Imi?HMfL|`NW21|1~$AdrBFo&ib@{3hr)q`N}+>CYYiTRD?Kdunnz zj)i>=421tWjzbc-kqQD6==a}dMBql{>Hgb!j8q_l3*f(g;}Pk7K&mFx@xK+}7&KQW zXTpare;iX$0f?r+4?}hk9uP^Oy!?5g{B7zCAgz;j=%C@o!vOGy1FHoG$y$iA0uD0r zA)Wxd(m$U%U`GN0fCQ%`kwKsVi3|@H9^5bxI)_vxB8o*kWY8O!oC!y0Qbfp68tynu z3dAfyv1cM3|NA9Ac@aI3vtedZ4|jaHX5EB3{`X6K@&a~fsJe!%Y4Dhs)fgd62rPFz zya5Dt|FaoL{`)mPdGWa6>jwvg%9-U2;o1Rh2T2^txIf#)WGWpv z;#3NjL4<7qw*ZQQA)p8hpj1-u3_Pm`Hi*VdQpbmu3Klt40D{3X)h&SbikvFgaV{VV z1jEVDL)euRG#v=86e^$^$cHMxVj!_lw{T4KXp%Y(u{r1_a;5;@gaJGQVpdq>NWuO& z0!c=JZ;SZ11jx1m$v8ZaRe{HZ$j&ez!I8q^@B&wI@)kH;k0>YwJltm~jj&Sl}>+AkjtxvOOIrRlrHdfLjidR(LG% zcv#NxNzC!ZLe)?eIKzkfjRWiiQJ9-pzyDI>4DO{#TPTQX3mn|YaRSgS21+}j5V9L` zoQ$))(cn|!sE`0o!C|OGU{?Zgg~CJL1|Ie(p2os>fGWe2*XyCv1cRI=V5@+l6F6?r zf8;bl{~2B+<3V?jPJ;s3bQ1I%3sx-y^b~0XDuYagduWV(If*ys;B+IW30U7itpyBm z5CZ`J|Iha&z;VbKh$oOCXM~0aoDdC|4CrkVK_`raV`1h(b?Ql*Z?N;B!wAV~u;Ykr z$V>@G&cX3I{@2IJB&OF6A14^(I02LvRwx*^u)vY?1T~I@LZ=b|WCucs3WhJQ4oz0UlCcz)T#CUM!{l5$I5_x-2yi~sae!|C_(Gt|33UALXM7SpP38(m=zS_6 zcMFs}fPx_H2o4mGx%=}9heH|?h)_Vm0r@@@C~Oi)knaI(beam}46tUl4MuP#XTFCQ z3K}_40M^F>B0+?-BJcvh`x(bRL6LwSk7WSk2-FJkpp!sC77S!PK#dtJC>pR_Jj{sG zBy}7izamHk!lwiS1t4xTFi6p`Gl3=j=L!E?Mccsu+2r&a$)!QTkv-gT97KpvOv%Iv zbo_5669)r&lhbj;1c$4P1lT5oBr%ZA4u+m2BG8K-$2kV@gaO1dg!Kp(ED>^|v1lNB zgG>Y%;DE-kE|bZ-F_8*NNSfSGw-Lc(q-5try8YLa&D7i(o@|72HW(fsR>cF|MnIp4 zFlj7$Z-ephp{D;wl(XN_%z&8o9~o`Z)ZXx;YHj<(POT7+ zoyF$W?bjU|QtD-5mc5A6uolim-B`EAW2uT^XUk4Gy&FyU*SFpeaFdv#JNto(YiY5w zPEKojnf&~OSw7nSXLklk%+lqkb~Xyh=L?%6pO1>}G*9W@$LCwE(Lo=chrWo_+Tz1V%}njsENB$|#b1}-ZPg;5E!dVb!HHA5_J?(| zH!k~pLZfJj1-iv7n6#c0HeU=KB)vB0Z>ia-Jw%vZF}m|ZXJ60V~ncN+P!r)y`>Bx8*-@QGY_YIi^ASI zxvw(Zae_Q2GrsycnX6c2S4sBDY0BEBvBzuWHqCDCH146O%Q7@p?(}FnyR+w`jCgHW zu!7rwy0f=qh1NDL9>V?djdL#uGuF-pp|``|G=hb8zZ2=;EzP95v`X~2pyh5_G`x#= zee|O>`3?s|V5YJ2hULd3ci~UAigCy4B*$@c`dINE62nza*B5uFc;x!&bK;5qXH)lk z2z=o&-J5Q_aF%1h(;Jscf*ly#b%kym>#yh8yqsFU+;t~kAa&~o(`%ypa}@41+@n{V zGSJkU;@pYSbr-|~w9E9=6!$A?Cjw;5-`|AN zLTe=w*J!z%pKbMmqhOUlli^Phy(a_e%lDnlP$#M{zuZx-EtcxN(tOV99eaq~052tcVP)c&xT)(+5d34I8IR#yMxaNm?Ieqcmf0-wl>s?3^ z`(avK#qy;5J3TKgy8DwYe0Q-0i*#H>`heb!gt~_suKc!p)AXf% z69oNe=F{w=YqfK(q0a{I4evVRL-k9yFm6*j9n_|FH8}jextij-&D!5vwp^jviB-n* zP2DJbQF@?_v3zaTr#-d}nS57XmCRl;B{9nJQ&rn>jA`p2cs)g7@fC#?4Q7~~;rr+tbhI}0IM5uQWV{xQ3)FmYv}hUT*wu5!8YXcE zw{5{xI@o&EQ;yF65YwwiM#Z+@(z)@8Ahs0uNHswJoawEx9lsI*!-3c zJkz8Lbf|9O&Xs$L`EY3cX>QHEA5Hf>s!L9rsV#GH%5k1rU(e0Ef3z4-=8Lair4w?+ z$WyFc?UjE2z85arIW~%w7*H;MZ@ZoJ?4X8F#g5g*X}0B`8JyO%gx;<1bTrrl6|QdJ zcdR;8ZK0dB!t2wnJ+JyKPOon=!saE()=YdoIl-Ds_HTS_Y^Lx>wQbSP8Zn6 z2KMG~>Sx@UK^4zz;Ocd-+vrEy&9Lh8Elw1`+9{Bp0tuJ+Ll(;RdKfay>au3<2!h+9NW>Tm$y&aZkl?Y->QNjZS{_o z<~sa-+kBMfOPomSx_as9X^hX|JCd`DBLZVRS9E47wVJ9$Exz}FZ8~L-`9aNdoG+Iw zG-~Ya+s(E7#O&?Sf)83=cOA^z?fybx?ZMWB?+3%$O&aW#Ex)PNywJ7V)@!(TZ_LAU z(U(j!XmQVWvC#*n$aPr=jlms*tQ;0U?N{#D;59OuJBD}zz+ajK89&@GK@5pQ4^pC- z3CJ#=n1f`$RG=ar2}q2fLJu-bYMvgsJVfI z`VV+0T7aUl#s?;my#jOwCR{MwZRLG^huG2WfP`#~`o`3N)}nK(B>L1Yed_Cv9+NO;X31&Nl&kR~$lP zK!UvhLW0;dbbB0MkOVo~pj?51EM|##E2nqNA zbQdrKMEeX57Xl(ji5~|uz|m+RB>-YLBqEuBBZJ%&=(SL(G)VCxf;tJSPsKd7CUdp{ zv4Cy^hzC#y9LacOapT4T3>bJK1ToOEkNe}e0YOc3DAn6k_8l6f}KbssHi}U0|iVppmf4I zV_o5s3DE%07UAR!bsWV1LH7cP7Zd6DUqil3^J|i49NC&ko+cvr17uX-0U=oApJ$v# z1dx=%fFL#`2g5=E*(e%=K_oE1+#-T%CF_h&VpxND=pn?5!Hxs%1wd4Ucrk&F|Lu$q znzxfP<4Bwif`CYM2~ZK1gfdP{$_|zQ;XCvnq8b<|zXrPyjU|!EzzoF$(FKf3ETHQk zO#*xX>KF`j;I@r8R1i3C&|{eZoCg%KKQ@JMDfAdX;9r42G-^~T0_#JeB>=A=Kg-e- z$OM1xFEoYM4Jqd=O<}E$+|6S?_4iFj1_{S%ipbc2CC~Cy&`ckhmO5rrFyx^88OtmI zRcW00MNGgkTEZm#@y&n&4+dzZP$rnEQTFSDq4~%NG)tF9_UYh3AZ8Wo)0r7gzikeI zA)sS|`8!6_L5F{+>HiTp{yzx(_xae$+CT|}h#q(rh)X|tSox^BRzp}h5jMv}vGS); zo19`$P#L9rUaoVg*mv-CY9FojNrp>Nc>hc zRkLOW$jK3_E(Gk}Z1}3yD$Hhai{tYrY|Ea_R1TbWVbz_VF9Y7zzna%{!#Gha*0_Z5 z;EvRE!NYg123@ymTyyX7u4U2h@7}r`K z;n(eBQ1tfLW|K=O^Q>9BE!NogZ4ykv=rCSGFg7bCax3M1%#FmK@DxZri)=Bz8` zJ1REisOqY(IcMKGuDi>pCwf=@AUHQ519iUm3pg73XxFGt92{EVtHL*CjP~Vd=u~+(Wx|FHcnr z$HmC5_PVJj8Kbn{wj*d4hhkCn79F88d7G7^dBfdqDQ?yZ&Z{j=tSI+*_|bDKcay^t zp|pMYy<4Sjt~}2B$m5~y=jcMtdsde{To&Ju5WChY#NdhEKNft*Ed4rspbYw0Eb!rA zRoGEgK!9qGY~TOC@FDDax+4vFECB-EzurPaGFEfQDj4G)fFzFz zbR9?w01~pARxoiaclQ|22FC+R0*+D53kN~u|M{4|8#$B&07fuotdXGaTQ zLCS8A=?Wn!N#nmTG*V+6*o~~6A5|pjw@)WPIwCl=V>F-0QU`Ds7(ZjZ?~%m{m4Ewm zfM22B=vYsm$Wljx0}2(W$7(*2wGQ=r!1ZNq4Xo)uO+2g^M2T>W7d~i4OukbB5HIuK z0hD2sVATJW>oIcp|Iu{7|6~4}uB>gp-HpBj`m&wuM%SWKooMvwU;??i?Vyt#rtf-| zVKGe8`B1@CJ=00r_?Uf+Th()}7x!lf=mcnl&a?Jc+&%Bs>Yv|L1>_&>c)Pbp#_C;h z>@DX~f7>@Pd>t3cmNy$NZ}x2MtC^~BJkqfw2by!8Si6S=F7gwKu_!7LU!`g&9o2t ze8+cJRHy$?%cdQ@s&MZMYG&D-ob^`Ln~dUW-&T3A9C#3?*)0?E%w6r|L)8Pz z+y&)YdB5$LqoHn4C1!I#?iNo=f@S>CsqE$oyt7-gZ^v#r+uw%2C%ICgq2@P35jT=LVkJ1Xi88?r!x%>-$bew}IN(269rKd0b`z zpg$x3Y;L{#_V0qKceyP3W@WX^(@@H;aB7)T`t5Xot9h=$dMS%_J+7eY z7?~Mv^)#6|TiFEndyCDMXUK7|Zy{{wIQ9C)^APl`q=v+Af}iT|A4q>aZ6LDO8@0x( zk$cK={l#YP^ByHB%D0tW75HE??Pb0C!>MO}Y!SRJt(Tz}AzHHmXV4vKOumWUlDvv6 z&~p8w1MjP}s%kDp)Z?pt9AuPjn&Ab}DXrY1@r6f{MV!_2H|8(Dq3z4@T<4N|)))v@Tx@nHcZaX*nwyFH~dUt}C# z3V&a^%=zZSllk@+7o%o2HizEnSk3PKN;N33p~!3jKFK#r`br8>(2&F2A?b6VX-rVz zdH*w;_}dGXr|P`8%PHY{pVzN`?OeU8w#xd-L+u*-BxZ*?30bW9vhK<{lBt5w&6v!M zf+;`pVrGk7c)V-xcb+ZgiLOG5DFpK~A?&ADldk-{^Mt*5%JvP#o@^W6TW+6iyk@PX zjg=p%;m01!58)dg=|yiFsAY@0y?FkDM)r2ou4m7yKvoKq956^Od(ovmm$Rjedzu5;pwZK6uyczJ9&b zy&a0Gk=u&By{*_f=W)3temZx5cjbu#-CypU*tU54<3^jqAU_o5-V+lc%PAh1MIK=Zs3%fBeUC6Z@YfW#R?cXnM&F*j z*HQVWF*Z5r>=wNvu_ptI!sROVk(|A^tU4mP_hxmzc*L&Qr;d8tE&G;7>_RnoL>J!_ z>5@%2Vy}JCSoVVMTnWyoA4e$L6J~AKt8y{Ru6mNX?3ptv%V$5z-|WlD3tDfs$Z|Fk zHO-x^zQ{MnCEs$i&!T2^M~K?))a){Ed-2d-H1gASgKHJZ-)`d0EUq}dUG(UsQ?nw> z5}T%I#*5D%3MLQOVC9r3Rsz#dkcO1O% z^SkorA2@EUqhg088!tA`Z}a50`7Gpf?PuwmpN}7zwL7R8gR=7TsoQ3WrUw#>+;Lw4Nny=!KX&EaYLZS&uH*P}`|{I>aT zy=zEC{l$tLtMk9{u16Ii_}#OA?_C38J^r(Q?_D!N)$u0o_ulm=&HCSV{x{w=_zfe? zAeQEci~Jk!8dd^}k2`YCk){yvM(7>Cn%(f!KfbO%GzDVIA7>kyLV7Yt{;(SR@KhFi z`Iinu$yJ~$jp5JZN4go42*gjw<{e2>2P7Ug8X~2HoEl)Aj^wsaA}&ADB^)-65uLe- z8poE_M)i7gyvnYAp-SN&zfeAwST40^L&Q`S<3&e9T#nm3y?!bD+r@$Y;yq#%ZqO6W zwUIs9Q(Q_+i#2pwEEA>7O^V_sWs3HSPAd-e-6<+8Gc|we19_=c92FF2j|`jq)r2nF zFD}bSJ;_=c(=e;bZGH+k`-Uz)5I0?ZyU$0(%mrSX6Dt%-D=VU=tKEJS_xpQm{9k|QZs#)7c;_pj$5V?!RKph> zKBhwBPqH!K*mpDj?uA!W3el?hH2+0YJtLP0{oIAFQ`Wq+-En6VyXhmXlII_;*Kx^N zDC+7jh(qa$-?P*D`o&MSQC{rG#mlsHA;#a=X20U+^KXw@FNbZrlvwt)a%W7UmC56P z6&w1~_uS}Tq>!}zl%I8FYoWU4KvY4BL*I_X7smzW@DK1iDO7hJ+_Rs9`=NkAhp%C* zH;PwC&|)@GBmX5^$D3IpQGK)4X{>jtOJnB`zQ$%LKr<^Xtgkk=Fl!ytWf{Bcy@l23vEC)Gcu0ENqdw2RqaVXIzqT&-md8P9rPthyjSPbp z`4d|Y;@;g2djCn?n;Eyh47BbaMr_V~V@2Qcyar?bw?``sWqol=K94`tz-c zM^9DTd{3S%z{a;C^x@;&S#hdck9}~iG}3VMlYDz9X@zlmZTK9|VvdN#Ux=Fv`p`DA zM{81k$bAc}5qYb$Hk_2vX*h+Pgz9~IlQ*c+o3^)V_j?o9M$)=WC0V?x!&$pr;}+EV z?fu!;5-m}}v+F`nhC9`p%O_lNSt)r(o=g8egXdO1;VakkXRAf&AGeF;m)>qL)1Jqp z@$pCQ_m=Lw#?9?~6`FTG?r6-->8j7`#ouaICjKbg6Ngin`VDVTLpI*^=~VIi1N(2) zJ+!HD#du|n?+nR`j%U1r zLBRqAj%o_Jgdp01sQ8UxP_SAB%wU(~;8UR~SOthQ%&$%1sVvCpFHZ&PgAx|xB!6uR z2F1wP9yFdtKNTre2c-sBn!;0A4fWAY(U7+XDV;1$;i)4p=dqp&gk^wwS(`%KZp1WA zq8T$%-+iP(GE&q1k5&v)bOMbC$RS3}g5%|Ids8i*$l!Oo@fQ6Qtth_LzUL zo4UmJ-p$#2?P3<|2ra#RL`W`#>wvNh{xcoVJ z`&U`3Ve%Utt7Sqq@Uim+7vxXW%O_NlGkN2~ehJ``3+v}XA|u@&)lNUF3y_m9j^0o z?kv|79=mLUZRdi72BWDDl4jh`Sr}nqX#KVP!h((b_6kKZ5d@;}Gv^bTAy_Gc!v2Fb z$+Y7qo~cNRB)a6*zKq_X{={F-;}fy^{ate-vDMBe7gD1xeRzwV!gWkEw8OJix|MLk zIaGQI!*`wZF_Lt8+B1U{_@umdA!qZeVl3&VXObzNIuHzwwSheYV}jqa&!4)@j99CogxsFPa{?^|M&u}q@< z*4x>pjgnhVggAX&svn|r>w^;CL(*QQ-g?2_#tW-C``ZL~2lP(f`=TsYz;EvNNIi&w=;EH~@xV^*+O~*`N*qTd`dSONFDt$9(IkBH;XSLV`Z=W%^+4T*jtlq!RB_g_yH`AWr*duI*ZDBAUNcUuK@NS0>a{WE zN$Ga#nGM%}qStQb+kLXxt8BV@RGHvOZoZ!5hJKd(Lc->q%||O{o1rKLH;mh`Cz~vC zJ4B8etVu}Se#Q2AtzX!JdP-f{lV#gqamY}Y>QgsLAKtp3L3k7`a`DI3uIeXA&%Z@U2gJBE+Xt<(&~SF*2j<;qzm+L zfvvGYEt@J5bAqpY2%HvByfr1~&Yp*7YvNBV6PiIckM()IK8wiX*n{|e_XHs1V2 z$uY$PU$ne)Yh&WEKP#Snf0(kolQK7Vg>3Ep2hzrQ&t4q3RckTB>t&Y7?duws5_ksC z3Lh3$W0FH(Z`<#_23yF_ahh&i+{;7js21m#{~%{0*Y^&;yxh_b@hByJ$0J1En*Ck* z+5M*^8WNQ+oxvFvIeP{tKHk-;*17gw{2ks;jg)J*+=ACk>+>+9uX3tws2eL1!tB!oNUu1!z5aL4FmL2hO#ul(g5|8Cb~NND zjMoyXXpI48fC0e*q5Seps4WTsde(q1Je0-G`n4&beJHR{ezkbusVor6FHJ#%7D?9l zwIw{1#mxG(B|>c;BT$JS$>R8b!Dty_qbdK-XqhnfQep{4i}sw{7V|H1>=k=#ZO{0L zhh-9Cr4BS-)L<(>2^=}jx$c*!AL8=1HpCt=8Tb-Kf@$8%5@^qY@+heUF7| zl`3j!3o|kUe8WGa?9H$YOsm^b(K6#f_KC+|8RE*R>-#@=b$zT;v|ZJmkhgJfiKDfv zM9`1RJ$KPdBrl48({Nw&(N5gfB`d|Q$6d!oLwsu2wDhM2F={^9d&Lbubjzk5F4f-u zKKoth>u6o)^tEwU1sZRtO?@wQWmeVNyo7@u){O1v7M6u%)Vr|hJe>Ct^JtEyu)1~& zX=mm^5H?yYo(k|7^Zp_Ri)7VloBbQ}* zwcDH`FWf!y^MzS}R%PW$kJWJ&^JwyM>~J|21sIC?O*iSM)K0P>ds}y z$bQtzm7G`Zvv{Ygd9G_Pd4XNSkCR)E2|jk{_LtqC{dxMSt=6^@_@_FnJla~>u%A6+V|v&!1iF*{~ySe;aEW8%7P{5zUT zcka!o5bU_!r1a$Cx*JnlSMkx-UHB;%mCk7|yrN{f#-8MIKb!sC(>g( zhP(Pc)8u~9==Jr_w?^vBUzxrn{L6;vWvj5~uNB+7PA{=z{Lnacqon$rNCv#JGw0c_ zqf0{E2(vD`cFc5(wyDIu6S14e9_OHDU&9%EoE^>+H&Gz2OeNr3y zM)gY5hrZypEpkDRveh=Gw3DOf+%ULza2MvgG~2lzoR#020J@-{`s-bMW^qjPvL4sZkN)N-k-x3U%Hqt zzvOY9Ohb;8p+tDPjN$Sk_xzX_dquzZOm(jeKC|l7#gdHWN0xn6aDTRD+swIEVhMOp zndn0eW{;lkFL3nsO?L9Vq<}Et`?gCve#06NgV#akJOUWQ#r(j>H?;PcfmmY0rfzoO1-H?{)O&EU@hU zSkFezCtWyXfB2flN7KsFO(x=Y#@_Q}_ZQXN=$jw)>|)3*T+u`I!|PrKhn0(;Q2V?( zy*`s8JCnnshbmsIE78Fop_9(G=t;p!-8~^3YP0oXAGN!zlX`7q#I{JcPv!{6fP}`S z3fWHb1_jwhp0gYJ+xPn$FDa@B?NHjXc-=XAW9zvm>!Nfd#EpgCf3KG$eaD|Jd-(VP z4O@Dgz0YId5_?!+rGXNrr~8dWqnZ7&tU%ws)O9<$tu1a#G#q;1&@1d`7~m3`S{DC+ z5%D(EPqNBhK=7urO0ByXPj29z{#&{_*{ae5oF_TTE5|ffM&5(JGgpv<{8w`YxwR(; zXJNq}hRUu?0B0F_!wi}$%#h@NV9D>zm5~nhm@R*6uKc;XNOlh}XU9ZYScyubMhZMj4~Q*f`h@oHli#f>Oak>32uZvLweS)H~@L7g|Ul$1#CK|7m${~i{P6F#qZo- z-xSy0Vn#v7-Cg?p%`+E5Of0v7ZowXnS(OnS)%RBLxfn0TTGyv;b?H%?qUwM10BOeg z<2njX`F&G^7hfCTq@m;E^ZG7X%3RZm6}GUgAYO9{7w4l&$X?7 z?-#@lIUnNuVmuQqSiDP5p(WBu-tcyr>U0OyW6nXsrc2LBoLVOlM#IQEm>xdyT1Bnp z#Jk2qeU-JDzxXTZr0n-+Qvsq35SwbtH*-G!thRe^%-`ybgV+nWTk{yzrGMc^`A^uukk43&s zr5^vr6P)<;v?xwsZEDKvo2lg*4VKnF-_v@hlx|S-((92)xwmKY2Ky?^%cUilIoLy*>0G%|xvb)1_LHNG@A@p(Jmv-HgZ8@fJF5czi&?YMvwN%HHa84t}+{&(<97 z%9kFKs8Mu{>s`4`(SzICee5-A56L}6oo5s~QlD#ww3#XE&J*0dKKt;IGszB_oH?!M z$v^aK7p?i)@WhzVb`R^pbBocd=h-|vbbC{3ImffBpQ0b1K5gPUJ*P~@f11msX>po{ z(;wQ1UVO=}7ou_K2R8>*)Z8&MFrRL`>Imk8{YRPGw>JME8Fa+ZN$T{bO;&YDiW@XY z->1&-ze-VzmH56Wc;IBu>{;?xq^6kOf5meYHS1vIr7VR6=jjR#CR^mDoO{$B<nPEg%ij-z-Du}&HyK-G}7V!Zw zgKhey?)F;GXw4PR{5)qSa7d-8b(58eq&TxRpEUQ)XIPt@)(bv={w3$pOvgF*KP+;% zP~|#9KM)%e@wEE(vy@wXdD=JfyE3BgOlQlG zoMwE?A@WN<$(_GPFP89N_D+R&-knCKac!Pw-=$+~UEd1F{wTFMW`8F{Kcs=Z4ZX-_ z)oIQjQQk?V(gS z(QD0aiY-3tyWZU_%HKodXx@y6l3aHeeMbw&$JF+@Y(27^lNy_>^|&WEI<@pnpZDg4 zC2#j0oGJBceu=wn$Vv|1I=6B~PU4*W8mpywsVOVkZ!i{Tb_MZn$WH!olFLEZN}-{o zFm##Ti!p>7&FC7}G-jXd$WvscwRwbr&U&dG{5!SZ+Y>O^$ff; z;hvr}T|1Pz%!X%sb(CdWUSqQ#&*DRDCpXy};XG>MlX6q876}2HTDHpcSg1Vt?d48e z-l#bF6cIFT;aPt(pB-#r%6o)rX6U{!&uQH2RFD&(KkJa|RyGb1^*M_~3{@&tnUu^F zF?!@Muw&CZ!}XB|&#_hS-_q1_cxg8IIGyNG6^9%PZBQa zy*ZbQUYy2m+jmJ_vtXwq2WN=w{F)2YhL=5J^?ANJsb5@8l8^3~U+sa(AmbmKk=L6U zS~OTMFhN}pDp{aeWTPnvyJ-W&N^FsE+Ef;izw_pj=2r4snpqat2^rML(9Pr~WrzDeG)?_hzvF);_*iPI{IiHFQ*Q7TzuX$pbF2AWjpo8R+7%}%yfxL6 z(l}pmr+Z$EW0!P$*>v<_Nyofdv{ko!YfNz|a#NoqeDS(L&pKSVc4^1q0fQvf1OxKo zuc_|Ixh2ou(!zBe%+b4_-doH6{JFnjj_MQ5)wpB|wr zUfOX>;Yzm7x82K@*ZnYAwI^tLQ$pymvc)n9CO_wX(9vD1;_DU=Y;z(w^TXBafx0d= znfu%2#WvNwlNUQ@ab8;MNr2n=L+1@Xp7=Pehtz=)dsX*p+A`x{U;e)RXXBr3seIFQ z@yf1&g<`sgcbWY(b(6XNs(`CIu{`vC)=A1<`1@0~?&@Oh$^EKsZmHSNaXbgTiMCat z;eKaIwZn92(k_GrJju=N{w87+>2m_64@HBMDsdIP<-7dcy=O>W%fg=XD9C==amejL zch1z!1wZ{1&yev9-GN-YyA;bSN7q@%OjYCwmnxe-^ZNdeTr{ z+Emvy3Rkxt`kA^aAwj}+lVQHyG<+s46J?#SW(xjSXqt@X0Evm6>e&)WzMaMI|Q+nCHoqt;Q5zq1RtV~I0 zypzF)tQ%iF1-&jDUh+tNqjmX<2s)c)*PE$g>YoI@T8AE6*!JYJZOpW@dbxL$nnNw) zj(f)X7+N$(v$g6{o_F`U3-oqVggC{WQ%hqMaQJKq@ms6nDOD@H_H-81(3iiomr%KV zRPV)rprDg>%~$Iap4Z4GC)ZF0A|hM1e=-uEZE2)y(VzyL5%(jTj`$k-1?=&-^jX5Z zxx;8ot72sE;&)cX5Nu&gcXe{#L8ZHbvA|4Ln-nNxjQbtw(}b)FmZ$;~Ef{VJyboYt zfZoPf_d5$B@oQ5+BuHasjj>9V{L&PtED+0JHAuj!U_m5)ZHgcgW5kMp`8r;QF<1hm zda)uBX!Iz3{XsKmznVcquX*yby++eSMiNLyabo||XJ#S3{vT0BM!lc^ zY#9KTCTPoK{HNahrGjg#?>$wCnkr(RXmD};?<~ov=WmZqGQ_WnlrEa1rXN&RQP5!?@zCp2YemDJPj6FK3HfN9CVkYI zGDkX7SAzH)W!N=yTkWr$gmGnU-W4CW6;#E1eY5k}qi2hEbd>(iLO)cq+VgRS)y9mu zjxA$P-E7j>{(RfMlwH%!KHuJkGI>V7uI|vC5$>wHkKbFU$}z=cU)?X?LzD7;2W16h z)aR#fHj*qe_q*E>@QUKC?=|-Eq_lD6rZb-T=FZ0x$|hpyPU!J`%a1OxF)&l2O0V>{ zn0#E5JWi%jMo+}AuJ6MoGM#0 zDN<`DEi34WX17ub&27RG{kWSycLaT*2V!d{l(;PFE|T86PIGCuw$Doal@Grpp_ZK! zpHO^?S(N%9X4m(y8(x_Q)||Q$U8DL@OXo?xmi&Yt^mzG}x?+Bd*#Uu#%?mw>T~csc zJ)5I-1UAO#$7|jHx_i+I@AwuUN%<=ClR2M1uc64Dk666keihMIEqr-tN~rg;M7_|u zH9q|JqLQ+cmoVJK_OD%qiq}AWc;a_U2B+YW6ZsOgOJUb9i{`z=%AZ=dsxDbA@0yi5 zE5GdOUQB_O&3-YVqk9Z9I)CpkO>0w=74XvvS?R88J4SnUX`$_m!~3$wp@_nUu0`_o z)prgU%&1Pp#HXCgn2T~r!+rF-6C<;5ovrnb4FR6L(q{{4In~j(OjS$nTUKCRUpTx* z4KJMA)?8w=tYoI=tyjimmz4{TUnvx{GjB54b0sL}v!K=UD}EZnM~miHFonBPTyCGg zr!_XW`_j7uP77kP-(YZo1F9gi`NVfKZ`Ty9m$_ZC)t8CI}-CbnYp_1zRRo3PVw zH!zOOaV-_AJE(f9J|Q_IBi%S8?UjR@K*6vKe=GHeS(>O?u^^mx!Tr2A!Ay%&5RA`&@)L4v>#h|F6iBYjD(V!iBp{t z674KIuLgw25YBWqtdE#>KR(WW?8;L4=c>m@A%`L=4fNKy$R#u=9;<9PSE5tBQt{Yf zr~KK+Ow5;Q+QiY{9zA<(v0G%(!BZNoPKW4K2j~}jK9{AJOq4^37n@XCr&S7U|(dhlLb=kxO*>qpFoy91gi#A_1Xmh@N8%HJGGl_*o5xo%bz zJy!LUy8EOr7eb1+9KzD8N}F@Ub%f4IcFx-}>B5OeU#3)27pCUU-cZz3m|jraa>Ta% z*#=y0i$KYo7j{bflg4FwJ)NPnKl4$G?|J*qnb}l}O%J6{pvpR0Z|Dk^cQtR{s3=)} z=2!eQmyp`GqIWS9oy!Ru-{+s%vggs9@m7Hhm*mhSXj53=)PDS)Nk)%( zjRf=4Z50)i$aQZeSJ&<%K29$JzrBcvJAeP3*<9r>a>Vw@mxpy#2A_cc$yMnGJBGL_ z{h1i907zfU__3P*u{3L#gA^GP+u!(Cqk|z0$@|XW!IuI60*yxikQv5RVGV0Yi4f!+ z{@fKV1p&cf#W(Pr(jR|aib$g&opt{{`N3)6^sR>873q8hEtEMf1*c&UcJluL00Io( zKe-~d(|`fF+z~nrC9&39s|k`mwY_tHs0m{4<~|5sbajPnvbDHon~#8lnHpw_c*Lxg zkyhVoTg{?1S9>3dmuQSqJKC^k$D*QXQPpVuC+~fd?DR@I>Joib1U9doLQh|^I>`)W zd|I!~+r<^z(1@AQga3h&+2AcP>!hUI9GPPalx~lykPMu6PYG?0(f@wCkRfFSwd97z zk#(5x7`Hf!RlSXrb_b_UM}Z>_PKPT9a~j{?d3j^6qW#z>I!b=mpEera+#IH|YHj+& zia9xg;aTs|c#%0vFCS@&7+;y@dQNVfhw=KYg?gv&E7vE@y|;w8`0&JAS1$B!{b@4p z?&@~zxZpF4%3H0|b6dToLh`-CQg(cZ$vF3I|GY~*Z=Y7FHmtFJnSEu=0(n;>ORdH| z({uGU1*lKGec)r+QYVX-%Tw<@3r^Ya5PN>*p-XG#CvCMkugJG7bIrY__A(#K9;qF1 zAXA$-`rbzv9o>hyf=({-0sMdE%vlp9NWIfn`366OCGBd5ENy;nn%*HQr zhs^NlZv$1A>iZ>%e`+5aIAPNJO0n#mHF`_u1@Z@Pw#%Dzr&b_cKdzGrgDG9x62f~ETiH})2Q~k|}+TDqChsc+~HaiddIc=W3xB9$fR=}tE z-xq}+yyAH&T&*m2>5K!UYWHsX5#02j&t;VZ(~js@^q7}i*o3pYvaw<1FWKwgUd)fj z6`nMXsyvdHc*6H|+@h9k3;A>mpWEKO+13_Otf+pHEbn`A0VyR};xe_ze#+{Mz2?g= zUY`8c<5cKaW0l6q0@%vRru~nTQf7A@wbXVq(*0QHoq1u8i{^<7 zaZKm3@00i#;pyXjSF8C*XdkzFd_t(5q!t*|Rz4xB;b=`r(fm}aL$i;qi{CkAUOQ%8 z$%H8?FL5EKijVxtaxj?k$Z8(W`tH3SX98WPHwe48D~oaXK!rH7rFwSK~igv<7nTu(8U#lThQ~vmF33EGNYZ9;tq+tlrz4oR~7!z+PbRg zifLuy&kWj)>XudWPdE8Gp1iDdazcvm0&A<;!m#?U7%voza}#!mv&- z*~FCmu<(wS-lj9s#*=!Ddd7xj3IyZS{O7C|y&5}X>6fEf+cDXUu9)O>@5OIsj2D_4 z+F9Vd!v0{ZLjA&p)t{MyZ4r$bPe0X@cQ5pkQCTB%(_~}S&$U5r{Dxu?akJO#y%uxF zYTdU+sq-Cja=&DE9?zG5P0nog-*nm}T$6F9{HZ1*!ffZko)>$R!(Z(Mk#g(An&7-> z3RBFiR{WO~Cax;)+oqyCbi$k+DfAubpX%m^hL*f?#yR~uwB@OS_@1?LUgsTOd?yLQvGf`}$Q`#7SJ#_uot zzrT~q&(2&~v9*|*drbW$#^{q!m*RfAdMMf#a<^&t@@rzTM=GW$XX=j$xq6d(hCB1| zgDD69;ml|4zkek?Sf|%W;0f+${$O~Ub#SxBG=ei9 a>T^CG~A^~^INEx9_Gj&e2@)$B`7C>JpSKTfuJMFBgL`V`GBkDBc-z&&s}?7ou{F3|JqE`gsg=I z31Tx7ckb?$6L-sHlgW02^D3f_y znsSa3cHnG%-f!0!llGtLauR{m-iPJ%YsMK1##~akpO{#(@!(U;1WT{sIR1zgfpPpzmRM+R` zTPM4=5zkcGo|~t4xlK3YSNU>;{n!H!vyU+HUE3WZ zx*6T;+_JSFg$wqcG;UjR)c4A&Xy_mGu%+Q8HR1GxLP^JiOPurD&z`CZQk*4X9-;L8 zgZOE5bl@{b&GDDz_&O$>-XTMO_wq)pP54wTne|F9T z@rVYI2(!t1Ez|f_P=_zv&oZ5~Oe|zmj*FCl(quIS|IJg*UH<$+sOhAD;69tJ_^YZB zF`{X?Q^aP^PG~-1tVmLcaAycC?;)?~E6!(=ciMXfQ zt26Q3n>P*Pgr=JGTDrYEF{xhLP;Q3|G4Ke@U*wdJ_MI}t!lm}jCAq8I8#m`1UupT$ zomgab$US(kL?HUZD6 z_xRVPAd@$YFeuz}@U!kau!jy8=_-p9)^eWMoFb8b-4lZbP4Ho4so}1J&!OS&iiVO| zq(chlT?ap;{|`=VmQDv7xnZB!7+7Q@LTfR(NYd6TEi9^? z0*xS1st;@flXVZ-#u|l0me)5^3!ZwRf704L(d6!l%k}0ER%5Pa>-$Z)Feh|vz)Wf> zH6+hO=fc-y)BWBAyGY|F`{_5YcunuN(veC`cs2P^vRqDL_~R=M)(Kyik;~ke=}cT~ z-mz8WgAaEwHd|Xx-`qNFqG$)&Y?|-0v8fLXzkC*5ykf`iG2X7Hp5||K3kOU9qzM(V^*>rigJ76{Keeh4YuJzxFQ4+*bLv+-Xl3hpesicV$J(ifr@FZmqdcddgVr()8&Si>~@?OkDaz zI;k~grS4X@6@2^wdJ&;c-Lj|FE~$9*A$nqrxP0hrn#5pI;Xv6L$I#3g6nPt+Zg@s)FjT z{))mklxh;L$O*@+ZL;5N{Oo>%>9zNzKNq__mXXQ{+2NX)qoMTtn`d=d;PLfhxQvps zr6k*k52eu$J7_iMBpDHVCZF5FjH=SOyQa)eH>t+%!|~XUaToG5E4F{1E~*&w!C7YR zjDX*gF$X_d96IZ%t-tvP46t_|9oiQYm$6(7kPYP?8J?6lRR+ag%7QrvQQydUMfPi{QilZGQkZF z9?A-LlqRH{oHjvUQz7Mrms(Q9^~%Df8hWYQ-ENgU(?3?y_}ubMV5@@Z#R9!cA7U#f zRbrkl3}n7Dn67Gc*V@=UQEvB>drYH*jjvVGRmJbh#eLX5U9x!M^0*kY2SjJPiVo@5 z(?3YzE5E#nA)Of`f3HK$87&;_@oA5>ip9bYxs?yLW;c|2D8@szypS2{1O5hcBB zDsXd(oLVH<@(cfciR-N%p}p_J$6cQP;(l@75(}47{60ZC@mj{iOIDOco0`>4LcOn> z`j}Z{@Lj2vuIWv1s`&UdS0{hb5p0TYzQt2%^6!NbuQ%8P+|In2xma!GG5inthEJrg zP&DySTTgLXN^Pa=6v zjWX7lMiR+$Y9bH06vA;72!{_-4gjYmhd1)qrFaskeh;T7jOUb6{_9di#F+r~27e#4 z(1e4dvh?pui69pa!s35l3f>4uK>pXI$nlOJMkF2X%28VS_ocwcAi{T?cO5)n9(o3Y zsRcvh`VkQgcqc|NL}iZc3Ls+6BXk8a*II9tB}?vUu=;W6kW^veb{*$qStnC1w-g&4 zu2MgSiV~VCa^#`&*VgZq2@``RYEA15Z1Z{2`@?%ip7f@0pI4W59e6dx;Pv-kEpt@Q z7vN`xIi6HON3JmoHU4mO|Cd}D(F&PxK8sUO!47OU4^?Yz5KjcK@6A${48dH;h1xK za*P^&s%}b~TlG2&Jzfm$6RvA*k~wCQckkn6LA85zt?#UB-&%>E9qOQd`^`>+MKtg3 zcFE&2*UP2EFFGx_-P&=>c_sRTs$LSG3S+E?%;La(lvigyEg)@jm0j}KS~g8}Lh@<9 zxX`C7w&J2yO0HPsZ7hr_u<>?QDBfgvcjde6^&e6{wkvHqjqQ47efqM?jqBISl}}uC zKjU=BZ^7M?arLP-+f}x2OcNT*cxclQ_c^8c{ocu8pNZ8U^6eMaUcsNdBXINe&Rge} zUenG@Xt$~JaFESwZ}VO+d0j`}&}eMfyG2i5byBPTJEnt3wzb*mhY+gI$}5ajD~(_T!hNoCPfVI|kkyNIMO2ho$Z`$}~u z_|3S}?qD6O(MbP&ZT0CYt2r_Z=GkrJ`2^w**LR6=r;0@d^i`<0`6L~^j>s`}PU+&E zNIMl(vc9cb{L#SUhTvD_a~3Y@PDkIi-MuQmV*AvIMd*x-8$Aw_qq=A2)hs%4*KvJI z{31K;yo*GyV-~?SrNsFy54}inpWQFKyME4ej0E!*xifsT#L{P&l+4dUOIHh)SHuek zshAqy{#0kCom%L6j+&`4vD`QDzNzJY|7XuUQpc&T;CD|Qryy+G7^b7OBX<1C%C`2a zm>wgq@e(uSlc#*#+N6i?Rh#c~&0uc`>a*@~x!%)>ZW}U#_J+`_o{N2w>=92rH|g!X zFPidZCrq4vY~9j5t7rQvCI73Fui$%jc}+~w?h&V)d%JDMubN3eG<|yX~>(M9|)@gLKdQLP8Wj&hyH8`DiI)&$;7wY&-RM2|p<-cFjcv zVSBqDhr8sZFPiYnYOgBMF%o={Hixe;KPxD)SAb7`y!p#x{64Px6Q{T|=G;0;i{66?JLkDW=$GKB-yJ81 zJ-r7X3jgW!?vLOH=iXVup1e7|IbvOw>gR|~Z;sG>$ff@}y@y0_tXTK2OaF0t|9ikt zxQNKw!wvpE4B;Fdob?#)uFybq*iHZhq_4pMNc{ieIXXo6f_;ucGrN(Vqc^Qg+#saB z3Hk`j6!a!3E?#vr(=&3_se38&R$|`K7vRRMlKwhZ#eQYir`%h9m=4Op8uy*)!WvSy zT6Rqkx4p7)e#qg>nNN!6J?TPqp{X0|3`#pM9F2Y*%l z{GPw}f??Em{)`9DcqHW}m(6To|DDC~v2E+fs>eKka~*D`(%F-Fgfec4eYAVfu|p9Y=_+VIQte8ZT`4KJB(}qDi^! z+2)w_goS>x3mcoB`kNk1AV^tMy=N}VTr8(Ywsc6rE#rF-7%LKV@hvkUL{4_ji>Lbn zuC<8Q$zyeGqztsjHm)1{dOJf|-$J=fCgoIW_1=14(GZj35P^~`sXZs zlHjkR=-9Ts=Kx+P{9tr=!JhntUNOfy{66N=r%RW`CFqpId@2zT_p_DwWw_B6ulwqd z>*oyJbt}IS zeUuh27Wui}^UL+QDZl52Ozb@w+KURqdCA}hC` zLAd#v)#R?ZAvO-`uOlnMZuq;qk6U#9DDnK)Y3tT&FDgqx?<}e{I)aN_W8x-oGa>aw z^!(c9kg4GZpG94CuodaamTf+`*=G6^`-NRkR++DoF*#T8#8=eLXy?AyZtC3yz02fR z?G?JF?C%zQR`l3y>5Y4(g+$6VP5G|sd~vl}`C-^GX9zBiM!*QxhQh|amV zrWKTLrqe!auD6|#qUgHT;dIyoPcxIl-!INTs=D>Lig)Tn3rU#n&UJ4~SXYyrz_FqVkYB*{3o!|M9 zmV3J0^@t+N>CfIp=!vMLPtc``JrmW?{AplU^?tI(c+nj;vG0S&YClrcex2~q*3|qJ zMYj0I)u|yb?=9c5mn=prouhvDrc6Zr0_W_uoZnvZA!9aO|6Chm z@sXKTxRvOWi4?t?$#sV(|L7X$*-I#c5chxKx2d9!C=G~`4p#dxB7+*AeD}JB9 zJYmP!p1k8US^-f$zWA4Iw`7dXg%v@|Cl{*`EH!Ii_xj|lk?P#PMyh48Xhg4I=+rXF z;m+|PT1Efi9A|B@e?{Re!NULXIj*_b*?FtGo1!(Ot^2zO!Uq;r558e3Nv}pe^eF(s z2PCn(TG0k+xiQdnY=}~J-_Th)Yk|8oOdGW1g=V=!=X zL-$%}8H~j-CWJT{V1gM%sRIdSXC;y`n zEHZE$l|*4eH)$#z`2Iv3m5!p3p!+Qf6cE686i4gyzKP-Sz|mOfDh)Y(sLKFVZKRhH zmPq*b14k2?1T>XOr$U=A5{-hPlL!P9naaSD7&s~oMdoGDnB^1vx57&93f?UD#+I*NoR5gBAE6HTY1=nN)8RSwaL>!(*qcAZ{2DFW*lbIMigGeURv77_v@UU4M%}AVc zklz?^So@kN4Y~9`_bdQ6d62LB-(EU6o?&^|f87;Urv@5!!oQt!*qk`hUxP0N3`~On zY!!HUG;n}8{e3-*`rpqAVFE*3z;Pkmm;*`t`^IG0)1Ya*280J3*Fz&IZa0Q{Y0xwo z4xQsH-yVEx3<-*sAfX6-?*Pfifbl?B zXMN@wx=Mg~__K-hM};IPQ5yIG-U^M+)H$!4+AsGP|vDnTg` z0UGO_A2PQ5-c#thI`ZOy%NBCG+7In&k8kzP+l{|baQvhNUdcXCY$x{Tf=K~1QL5jD zElRf!mB^e~lB5_fU3fl(`Ln*4UK=NEn57#{wGs=up?%LuT%0*G?q#K_M*9-?G_RbJ z%&q$JKSCBU{OnDpo>%;$l+*V5;)<MjpW%bUG!@|xX7W0b0TzFk@Ru&kQ&^%LpI zbG_s>Cw6y~DLbV4Y-lml;KR#&FcsZtX0T`6y>pu#^JG)9d!?v(22UQY?Nr)p+HN6# z4z<5&SN!DpnmXr0w5(_mb{GFddV%_~>}4=e-{5yBl`xe@Rd@z5I3FYFa_e(#r>x9S*#a+T~q4 z-c-V4j?|H|791rloW4u(Bq}F0f#gHGB3XIIHx}bJ=d)C&AdM;{P#Bi0mukW9@%+?< zAwvg)_)^O{dN)(-p6 zT>NEdl)p%$u>(%l|>JrD@jONgt2fr)H;6q&9wUI=v!4_46XX z?%Z9wX@Or>ZvOu6glOK;tc0URgywUKHD?=OEFku|)tCXkn*e5OjQ-T5*NQr{=o$6rY1a zkFTb*kEeT{^t<`<^4RE^(aYDBhIo9i8y9POKEQGE5i{Zag(ZGte#>s5bQBy-H(c#U zujO}f=*=G{=0GZ8|Gb>T#~ghx@X(lpz}6$-R=0y>z*s55A$2>0V-DCWk$3_Gc;t5C!D|EehNX%E z9fpuYh6J7xoVa1GS;8X}(NhA`3Nkc(HP&x$`IF1IrZJ~!Xg~+6mI0ru1 zFB#pyp(?#^i6j0K;tW9tX$)cr(7nmHe?M>%6;Gs5Nq7n!O+_=I;Q^jXrIO$a8UqJ$ z6=!@x9vmr*ZrrdsK=%jy?EZ0MLAVHf-jNKON+O^rL=28b#Sw@EI-LgVh0Y)m(G)D6 zibf4H?7>mW=!VUH+&D6<6Bs@Qhxne*0vpyhmdoR2Qs60*88{-5f@P8*`bIObOgsQ6 zWC{(BVKO2z1bmPY05Tt{k$R0PM_J~1T$dL>jhKi+N2ms2!mWaYr&~!Y3MknFP zG#m~p5{7x;oJZCFH~{Zo-yjbT4;%vz9DzKDia2Bo$B_QLz_APpolYh*7*sNe!oZ?2 zfHqM`(1DpiqGBjCPK=2>*a05x69-ZWv^HiB9E&4qkb$B)qJg6cFmfgyPoWVB1SXJ4 zP&6u)OrgX0nG9&c{P$8JVEzu0`rL&PAO{4FUNb{4g|r@kHpnSHOn@}_~nUwyW-*0LBU@5_kiK9gE!t`F9o_T3UHobE*-r04R z-x_b7Y}~jat0O(w$;mJ$EBU-&;KhKt>{;`&%1BH(+V9;jELTfin{xG>@51-W^8GCMep{sax=v-a zgwN*F5wo@%8z@;xUlyCGFs3AuoZA+)QKdIARbR`xv+G>ICaa@!p2=8TEBZKdr;GA( z&AQc&<&7P)sBi#j>(&@Ihri;v%b`Ksi zFZR}SgY~Fq(+$?4nmS@zmW$7iEv<1@7FXRC95O$)a=L*vs_IS0)t7u?+k^Qo7}S-H zxy2N&D;2-R6sap6cZ(@nS32PqQ!Gi|Z_5$B6L;6xVTD~9$J%DV|HW;wA});*_HH8X zu@g%_NHk(*u3ajSqwRrw)Av2=|K?NH^z0$nOfY?$9ddHLz5SZZ`ia6qW3wZxU+HYw zra89wW}2Co-JUTuJxX=)b@_?Uy4A=6ANieBwTzASK1_Q3x%bOkvvu*yL_cOCd#pd)p;a<(T5_5HyL-F7B<)_|^I?{f*@kCn z%iLN&Sf-Q5B~HedqPt(479Tx1RV<5c_4@s(P%p>I%|2tVhvCHq#^-)@bzO*=extZu zf4$UKt(xdhonA@ZX8e&!`&3@<@%rVk?dJOLik%rL>erMFHyO84aJT|e?!!mP$~Aem z$Df4m%-XWunXr5uzTijg%89;u3g=x!4Kow5=NNnWLdH}K8>5jpPwc;|Hu_$jzhX3K zmkEIo_o@xtk{Zx>W|R~sQws@fa;IYfMm)3oBkM$01U?dtNLR!1bglOrf(xb zas~b0uwXF=-w3RN3)TnrFZcJJhks)KGK&Vu`WyByquIX05A zKVZ05f0I%8!2V@uVb*sQOHUKIMW2`!@`3#~Ed6QLKe6x55>;S*(|7lw$uZW`V;?P} zJO9z(S&?A)1ZV?-163ih8X+TFY zJVZip`V*ih2?0(1=eX@C+y+jeVi@3sp@>v0#HeHj6@_9l=u`@xz`zpe6bzojvtcb? z-dYAOBzR+gEJIj8#D6>QBd`nw!s`?|yeCW=m5iZa!9Qlu!Bz&70tq)XM}DpEedMiW zSPXQl!Thld2Vy8(oa7N$hG&rR6daw3CKJ&BelUo5I+I3Y5*T=JMX>}a2Gj$qCpNe!_XibMg#ih%-s8X}nh?;e$cg`l58#R$0XzMR00FTAd#6km^sc3g!4@Pp9Z7-TO-nj z98$XjhOyv8+^9DY27yF}2pb3pBqD{30-%x(+Y;;~bU+Gl6b@oR-x+F#(Fg(>Pb-;6R->mSN$b1`KMz zGJtwuX^eKx@MsbZfHv4pyt9B8Nr+Z=Y`1x<_jRSD8xc41~ThZ956E|RDcy39CJ3Lu$VWNAt`HQ1L-#m z4d*oa-#+083?pC}7%C13N_Z@d&cITkl8O$%B8EUGV;E>oz6l~;&*Re!xEdNbaK8sE zL%}&s81)8%##8BNI=p8z0%*|!R}6<{02oHX0cH)=KO6` z!i;*;$D+V8umTIbCJ`tErfD{9e&$$?R?tvgeHi!t!fMuY&izWUWS{=b^ zBm(D&PKKGIpco`N6GMUg3J&N{K#3ybAltxc8Sh~F{!JeT3=i;F|5%0x&=@;vuMsv6 zIt~RGGLgX~U04FhabERlgDb2|DgaDu146%O2AA_Ue0uNiPS@mx}LBYDjrG6QZQaGhWw zqR=P`fl8*)Xc#gRZiFIpdW|f!g{PKb0jkFWK*P2S3&}Ci=pOB2grx{sLOc_KOgxSO zSOFb)x)d~tLMGusfsJzmVZledwG8}UgfK8*859{4QU4|mj9|`C1RNxI@DvJ;M#9s{ zG&GrlgOnf!4g6LnY$F`684C~Nt!3c<;vfk#U>OiCG5Aq?jaW1dOTZFg%BVn^Kw(j^ z7MVb5M1x%fCY^HwVF7%+wG8}UG61mymVss(3H@)5@d)M&&m_=^G#r5r`#v2@W{}Bb z8Xn7pq%M;}!V)xZ0o;6W zj5*T31Qw*sTgwptcTfleIcQK48uf9;WHN~iG-Tfq#~wgb3Xlo_DnJpLfES=qoQrWt z&#BR!(`;ZH0~vWF?!+Ll4*1&`I0b-^@y}hK^51fN`Y?T-P6@(10quppY8BWgFyPpu zFx;F%ZUd(fAlSkJofu6e0*1(-U>Sgq(wHO)hD@NL(42cZD@nmy%K+nm1Tm`&2Qdt` zcs!S?5Ie3jLLp#iL_7(_q!Wn1Utv<94g*gIA_xWkPr)%bk6~8Qg|~(Qt_@q%AIkvO zMsqubxy~3;NkS*X&OjhDu{11|2>}<-o=9{miAbglvpo!Hu`;@ss6RkqbqoQ%{lJvL z4o@I)+a9=D2C)el=zeq>l@9m@nTf&>sTeu|bWR}2Nu+b)ZLF*qZ>J1$00UOR_Kv}F z3n;l-MW7O3+s0D?l7hJc9}o{92c1aA0#HT6GbtQi6XbY!6?U;VJ*fDCBN*N-kdgzg z2h{f~#?*5zj;puT(Y zRDO%Rq+>o!Y2pqR2~`tj)!KhrvpZ>Z7yk9RXdl{EbEDfKMD>c&3l>v7g_ikLgPIAXLwf2=2JIXL-1mR!aW7SRx>@^jRwm6}aDo~s{ zvBz~z#*)2E;b`j<-_F_89m7qZOu zuN+z+>R%}0c!_%-je(wDYFONcE#FIOd z&E;>Oyk%NVs<89cuspmkJ=UV^+QkIxpxfT7#}zj{&MNb9K_wgv6>0p^y<$b@*EF$Q zmnFxhJ*Ujb{WfD){e1lecn8tzrY2R3W7kA^M$|n|o_>!2U#&AS3Edd=WPz)H_&OK4 zTUu^`7H`$@R+hCtaoIN}Z=tW8u`b(~+Uc~rEZU)ZpY0S+h2smu-YUO5XlG-%AY_W_ ztl(e^^7Uml*oFf4<1rbl4%Z&u|32l?Sziq`as8|Qm-c$ysLhYnl${t{ux(w1zr6Rh zf{j(K`j@gbUYTUv$Vz+n=7Owg1$KMX)lW^KuitEPGE%QMFr47>JZ@{SXVD(P19r1x zhp8DL4&nCoomhYKQTpDtp?nk~i%!T3J_>==3JT#{u$q3bKCrnR06F#%IQm7d;cwWy z4VIcU>yO#Ijec28_!~BBgrx|E{7s*<8S;Vs%Y6c9$Tw`JOP_!W@(r6c!m1x+UC!pC zuuG~5?2>8%yQG@HE~zH;msA4^&Hm;7k}&v&{mVr5m{~QM$Sqj4j;s%CrVFbQ5c!5x zm&f|Rej2QzHP$!%PlL#&#Sq!F7$C{_Jvu;)*&hb}xPKVLzPb5A(F5RJb{UYs(OG0 zX`E@OAq{MJV;PjlA_}tumVufbgfRbfXGUNdnhJCtG8GFYCwK-9YBxv>_=bq3K^BKj zVQ_K}SUUl47bCEiP{1@BungJ)K}Ex8EyID+3BENRi-S}RicAE=0FqD$&71+$=V2hp zAq@z4GiO*NszXX^`d%~GSb2%oz#^>sYlpAbX)mfZzd-;+F2^Y8ZwA*);~B;Sjvy=rkZzKy?GGMJRZnK_S&J zhVeWN1!Vyc|MXQIu`GjXZWMmh8wj09W&Mki~;&1x5%99oB=%qHg^mS4l_^~pde*>G%5iq-JtFY12jm^<7{v& z$Q#QDa84tYG{E&i<_we=fK@T-gPKT()kmigP(Y)A${;8uqo8m!I)Q?rQK0!eCtrl+ zzwvYf!Q+8)3&`GpWdt~=xgvX9=Zr{1!SPIooDD$!P%Q^18imGWKqi2RWzaC38MeV9 ztfSN)VY8P&=miJo|A1vknIczckE>-=V2Xg$9biIam_10=1O5*u&=8llLR0f#ei9g*5e1_|mB5uh0ZYm!37GdW`zR*=fuIU~S94dEluIzSW$ zvPDRh+i1OEJnRt+V9o)vm_UY98y?b6SO%1dg40MOQ#l#$Lo|DM@|NMi`voE?+b#ke z(x_2;jA(G6KynI-w`pYXhKW>UVdBvgP;P?c5qg-F$a@wH(ya@5#aPn?u0AyQ8trsp z(ReTc^mt&KM^hkAj|Oc393BJu^M!`fnJ{W!5yb#(js_(P!0*As zNk*Z7x&RgO*JLaMQaYT=kOf!qblbp!*GmR%kAAzLRDm>lNC!4R64b;1=Mg*);LKq` zwjax+lTmnJE-}cQ@Bj~m==%LBpf^Gq2ifS6_X||}fbjV5EY1i*Xc86bDqu&4XbhA9 zA#;sK1KE&C1LqMAuwfX}EHII`^93*m8Y-sx?SeW5GKL!|kn6#Wg@O@?pcx>$2c_r$ z>tLYH0*Yjyh6>nNR8Iewg^lvoF7S7uQ6bwd$l&8f9k2ia9GKs9VDo@i$RN|GkkxBhe^LDzx*CisjVxL`*y@y($(b|Qf5P_pa2Wl!6O=AG&0bnpF@=&1o2fQd6 zrx(S-;dyHp$W>wyRI$%4s7XVQ9#doh3lJ&#A!2~(2w@mF8-Ten$iP&E+B6JjvVMrv zBTu|24EVVu*p2$_0|*#bBVIN(xHrV5_IYwn!O<3OGa&k~emN zpNm5fMb>-)vJDi+QG16(fTE}nmq7g)_)b(T&;fv!3i>m^Z=}+OsjM3!ILRBkz|VzJ zgnqMthXpR&XkRZ7@x|eweoPp&KF-zW<1%56#1#G(@ zyyRL3$@TDp3LoG_L%k|&eEA;;5Q0{~JD6dOuJxFb-N zK;{9I2((5bFkcWU9^h%xNZ@M#0UQmSKnT7_ocW_6LY%yrFmQAUY$y@23`)_UBxkfs z5w=5M(ZP<02F@8kY*2>)I|dPwNl-xuWpx}#z!05J-dF~h29!I)QUs6`u?$MlxRV6A zu0~L-f};z^WP*YmA|nTNgG@96NfCfq2iqd2Wjyye5Xur%O#QJ8O3}Ep1i4yTTL(u=nGT7s}5dnc~%S8bWb^~Ampg<`cwmTqgqQG&7+zHUwnRI|f zIrnrZR~*8f-rdpwc!@3&|KmLV7ulCFe8a8Sx8(}2K1#L!@UGAJON zNaRTAK>)*R%Ye3lbmbd(&0vei;<&kkT&E06h3X^>jRA-n9l&Zjl=J{KA2jALWD1(b zNl@wIIDZ>5^4299YV^}Z-k)MM+IVecLaYdy8Di4HWNIyUz$&p;{V;S+( zEZEJFS`+qsfxbA7Yf%~3>4L%*C>>)RxL_Mdbb|i`JQXIwB4*MVoO=g{+FT#0ZUki9 zJ{Dd7BjF(#_dhjb8T zncVC-t+(~G-mJ7|u_miO+PW+$`%3y6q$#iP^PzCkr#s4xvXk5$cV`K`sk9(0Rscrk zvTdP@#U!25PQ5&GV!89p%wO$kr_M`?@$Fh-R=-I}WBR;vdXYx+LV|n7<)rr>s_%@k znH>1h-dOl@s&a*ar1~fS-%aC>*}iqvLs_jA$_+Smv+M2IqW2C5n|t>yU@F{we!||^ zrWsXQnke9|o9kBIoOHe7-HR6Isy6$k95J!ZeWG|N7m3IdXw)YEG}=>MS)9(gX0cv17pJg_`dhBGqkhl5<*@hkScWKiMQH*y$Rqq{WhUN zy6*U|xn=XrZyGkp*1h`mW`W@G7drB>bm0elJ_ZyS-F3HA=Ie@@nMP&b;%gF6_44Ka zCTuJqD5xe8t0HoopU*^DaGTFbbdiKa64rLIthBDfx#bRxd`3%6jFtvx-<8%x3%;Vl zS8AW~jm{7J%Pz}fAHB0;A3vFPKb7DiB7nC{l^1)y_Hv7E(*2Z38DZhzv7N2XR^ZP8Y{o3&!+*|nM;@$I_6 zY}l&s_CustJ6*cF`A0_)|D}V5T_;c9n7-JAkZ6eBTiyO!wtQ5jOj&(?`twCoZ&R|K-d8ov*)&1_yGgG>Uu%9#uxulQv~O9X&&boP5(;q+nOoZGwP4rv zJ^5>>Z&OyQw^tO^XA;7uVV&l^OI@p3e3CHEj$#?;Fy;FA)l+>5M}iA{TtiR0INv^B zb~E5y^lrh~k&-^ckdH~jRK5RAKJMFThLVp-eUfoJ5W@rMtA2JYo01Dva_nyg{;8jm zOJq}Wk(xFZFPX>+n1P84J{kKP#NA?j!)Dp`S7yN9uz#6Vy}|k$_Aj&L(jlbnznm?X z4yhdWH|$?#%cTR?iTzLPUuLP(BEQTQ`6IDK{zz<*Kd9I5y9#P=*&q6U8EI3@8a$!{ z-2b8fmq7=G{Z0RvA&JZSW{5mq-}7Xj3q0{Q2|yqNKGdH>ek7z}u7^Ab@Q@%?28t5| z8e}h^u9=RaGRV+g3KZ?|oEau0gUstnAs~;lI@dtw6!4!B-9L!xxKw^3?7ja=u()qM z^L9oMjSN^k7?3AGSRO!8AAyD(w}Ar)%plTG1PYb{m^P5N5m9$wh(jSYh)Yn1n-ZQU zp8=@?5*1)NY|HQvvvJjs<7yd^0h9+QLnGoDz*__nKsv}9qJiWAm z7E42Jz%o$x=B^>f-7++jgo1n~K=&Zsf@PwBHVeB55xQBCA!g&qko9e$yj_imNCwbh z1}uZd2v{y1+U&T#Mij_6(;ztkW#KqrZZn{akVyv}O3*Y1QZRpBFEJ-ER+&p@pOQONkCOZa36&10WTTk$v~Kl6G7lTDvWd^V>PSl-$8&& zGXfDgu9h)K6p$k$gOWZFNIprLgHCv%p_!xl^Z`v(rZTiCw_ECWfc5opM9wG2-MU?2F~ zkeWt=@+1|6P=VgPIVAoN5}_9k&s)pD|3yUP1}uZZ5GcYL^_+oLC?a_c$upo1&>>ob#Q{?X zbrgtX-Z1YO&pi-$13*OX&!!I*mLm|6<2q;1!3_w(Kp%jfOJt~}lY{Y}MCWz#5@ZtIZ zC7!NEEbRU`NRY5C!$M^UcM&p;b2uDjVs@-hrp#Nt^*S4?Au2yC6tQGeksg zz%nQeA&+{-fbk4n4?wgWoGb7}(a_-n4P70e+!lI}!%F^p|LB8cdHa?@T{NQCFkl$4 zD!6ONaed2hL=f|)0}ujqI6COb(U@okh<$_lJc`7i(KvLy`Y>kRS_YU0kahl82CNED z93Smz#sDogDh0CdBoyKmLk&9>NJ-EtfCkh95{3gQ=mX7pY8eLnU!Xw!u?$!hfEJ9_ zGFXAoa2f&1Zg&?wdIV?`tmfqoip%%A%Oa08Bi;Lg*w{R2%Z!Z!dcin zppcyky*NRj1?1R3=mVl^u#f2*9?Gra(w@h(ipMhPWP}dIZdQF!kRr8U>`6Y0#b% z9toLF2XS&VsCMIkMGI;yz&>MgP-puxf4o_27{GlY>o72I=z0ajbTEY5z%iSkx(YOc zu_W-)5h5Ro26;h{kAW=#4JE)dsP*7nOS~)M123A@3>BJOz(L#B7z*}RMOfbe9sau@ zhXN7`Sm3)tXHI~paSZ59z+eLK4$9(0z;QXr%6*wt-aK*kz~Mn7G;BzzA#EfSp=b}3 zNdNf`fM_@#ggs%AL%k#*nMj)mXng_YHIM}XfStn=g}T2%`z<0$*q7fQ0S^j#U;Xn^ zpm@Q`f%*f^uA6;afc}T|e}F>uS5r;s|J;`|=N+|bh&BY9S_OOYNU2pf*VVekktXi> zzUhQvEScV`*VtC2Li~6-2ItAtit=1=(PZ*Ur)A^5?fl)FrjpLD9X7=%A>xbU_v)IJ zsfT64E?rW~c_JcIGt=*vY>)IZWfviuA8!01$z@}L&PUs4$x1x2l8;aJU+KU7-R&>N z%k}0|tj6H-mM%~xg)T{sy%TDWOE_iSikoBEw)2?gvwIU_RbE$G-RW*cduBwri{#I~ zKIMnjuXO%rd&WNzTKjIT*!+W;J{hIQ#McHaHI2W%>bO63s@MMd%MXGb4&A+bv*;Q1 zGxlu>m6)jNMwITJ)K;PY%Ph_`|7Y}$hd*SN8NCqH#WUv#=nAb-pPr<7peSgvyPTNG zjYruF1ot2J(iwNb9ld>9ti7+8)I`^PvnSb)6W_jZqqPaMqr9L*=vAItyW6|3Vy})D zI7JL_}9)gPF%P>H769;~vWIT(V>@}xG5hXjs4a=MHNj;HNye&=PjH#X*uB&Tvtm5)svvf#`E*}4+t%~n$TRT?DjrRh3*Us@*I5OCzGibW&J z`E9%UYq1*T$CWWxv|>J+W2bdA?5~J2@%OoWzT-GW#^7yA>B&1a*P7htW8XIzchS`!^r?EtH9O}rR3(+wU6=2zJ`-J4;hI%cW|QOTze8)+jsoVa5~s1NB18rE zt{0*0s!6Z8@$i1@iojRNZ_U2VB&FhNrg%^J8APcRV%jV0dc0s<$5Jsq{up#o+;;VS zt#2arrf%Ni5IBcKm0xW!K0i*2&*5lp^<$I$)v~N- zQb|eX)%GTYefBf@$y%*59odnxpt^x8gIKiBIq9Yx{}pe-;z-pdrQc{P!`&SEm_YouXPHuG%@-So^G8 ztMHUo$=OfERiBQDY8H-a4w%y{yryzYRFiO2RW&ssOjJkY`5u*|4^#Lzyce?iAb$I^ zmEVUiU4rgcHyAccfu=C;&M<$v|HoO6%&5{^nRay;B7he zi0W6WNc`3;r<~0(&in>4sW*Cl{QB_qUDa8amBm-Cnr~~rCfDQT(IWDq&89gZvT5u2 z@UrAsjkJcx%dTtN7m%*NL1BMjGppD#lTabo|4(d5OQh(b?<%&WC5bIX zN$NjRV2o@UR=>985Uy3%d7hkFXYbEmYp?Yg?$3SG z(xXBKC8Lny1Hl`s_{NFYoCtV6oo*c7FCHw})bl=F4pd$h+T&EjHu2PIf z8Y!CdAW0|#Ohy8d9+U?-JTx29GY<^1RkHAA;*A8Z1*uA8i5>ug1P5;G5+g8~~sDC+wLg(-4Ey4^PJl7qMoVGuL~ zC_;z?kS2gvA}Jzll#pqG9)m=acqf&73+nqWelK-;-ryOKDWLTw6+jycg>@h#BqA|n z=-3K51aD|J&OGxLA&%q%o{XT_4ssuO{-FENmJ&en`oG;bgZ!5)Z7^VHp!cMOSWgVzE)X5#m_gc03Q;kI z9K0{U60*^GjXWRy-7Liis7wl|Sh?+ocnmd%k0C(jQGf;IJK4vlq<@2K4{@i|lSLoO zH3vT?A@iaQ#r{D{MU=WK*Bm|QK(oU2fQkm`4FkF{K$AarZ%O)+VY=ORY}WlL71#$3`a1=4^=esX_A>BI>tBDxgQ|1x z_t<>;a+M>;a<65|hW7AmJg=GU z*1d*4)|*VGw7nm?>Up8(;M}{N?K?a=aiO1c_$nLIaSONlg@4&S?(CT-!&zaT0jsT& zJ2&Zm)KfUT(>U?RaZhu+7p?aEBoF%hZk|U(BKySw$;3UqMT1zP5!;*Wk6LHl-*x7x zbwB#Ku4-+yn?2Dh|JcuWJy$#EUCUTLtmB$Y*{?G>x0(mK)VQ)DaY^z)_KP7&&!?@K zcv>=XOK;JK#}hwFMIPJN?YV#1P@ZB~FS5TpC3Z=24*P|F((~SHCT^D)8&36ct5&hk zomb7WG7dQ>Z|-=k*SU#?S2A|mrfgmI<*m4&z#wg^)$rh_Hi45TPU@9>^VAU`R}9 z7_Mv|E#?1}v|D}i!~;XDLch8)>8f=&JTTyFG!3Vn1 z9IBcyP|?6)*KpH7$o=c4)x!}ClDJR9)&FU;dN{BiNWEwpuFF~{fMO0Pur*v=m$l9X zYFCI925mTG75`;Bo;u`N0JNts6-?Ma?IuG}+NU&K6;#Lnx~l4tad6W}pwMp``b9bn z2lAHWmoyF6Ww{XK3gOG5Ju(n^>T-3$S+3dke?B&q?U3d{bB(6=o1Q<=u-2j4OZfUV z-TXi2TYYt;>cHfwVK|o-A&A(TcGOn-FPFSQ>FpprwwgQiynSwkI$6XctwYwNP+ zScHQZOIO3K>$2t`98XBWH4WEg&7s@_?rlvg9*;u@%K^S3q!b!%UY9jT0682@Q9UFK zbhtWbSViEy(r|HImK-5FMW93P%C`7sy7 zmEuNM2XRo((>;j0=?hKK1$m$9#?Px766kZO;KkilOO{Qk>mKVqaC41YRjSvn((TQY zS*>a`tZTBqiNi?qb`xg)m_6mI=>D~x_qJurYnglwu6(lEh>D$sgSNYdUu<5xiF1vp zDnSdVCkz`Ev7vmW{f%_}uSQ&qH4AXAfPL z7V|`}^H?{}kLh_HKKoPCHhcA5RSGuzgzZ|1XGHk?{MEw8PL+jHFO{EHXt zBQL`ArBSUG=QIpE8r>%(_~iN(tS9f=Ip=-5yQR?zX=+j}$3bn)3;h$`S2o{YKYT_d z>s^L*pHx_IM}NVS3MpeNY@6C@>n_6qPxfxwSHEAOQRNtS$2zG3$DwV_^J^SP?`@RO zE@3RE;i&i%)&ruG=S*Ewjr@;bP4C@l?~XcToSG}Ob79>(Q1bw<;q^v)ogIeVe>4Bv zrT$N6E?YTN-fU}()uxyyZs(1y&$V-zqMwqo?#Ki72ImTmc{ZafPHKLlOZ9V9n<*v< zhoxDQSD3gjjdW;v#Aob`{RPb$+#Q}WaC{PL%t=MVtF0a+q$iJB7m|4__pxC$$w1F~ zr_yfSFzBBeY8Kk{+|jA*Ti>1pE}2`^sCNG?gMEhB9631rBKwpgY1ylUpR4v_3aAtzt7jNVg1y!$|Ohetj&Iv>i(MD??Q#T&*oUT&OKyjzvU@! z)3;bt&-DSU$cgL|4j-pavm7Jy9oeu@HgxmIZ(aLaRu;T4$e;U=y`)3khg)hlzw6TC zVn|Z`UNa|U90~sZ!QZD_*rCk_A0&<3kr7qL*J%Dk*L~ODy&HYv+@+=6gYI?ut!Ojy zU zX^hEAZe>LrGPIbiNO!X|qN6CSqdg`gnHgn3*JI-N$$qFJDF0P5CMzW;P_!MAd(tH) zD<#258>-$(%Z$lNRgTLXUS>?D1eKJIib~wL`s!uIWH^)mJ^(cgFEb`9RTeDs=F5!9 zN)_kI9A0KjR;ol+=I}COG9?~J+oLNpCW9cS4v!9HHV{l|^4Us(KFhj!9!ZO93~%UF zHkCrHvdX5KcOFTLYrHt!#z;mC@!QKY21 zE=t!}+q^-52*7hqPFpF#p|Y;dMP3}7GMcVV_xb3L4yX}A+@fhX-RILV90gl0y5VTH zgCX@_iwYvdVhifYbv7plPZ8 zduLWHbPge;1z{KMfeDm5zt)>4w6B_$S}E0%vhJNt*i}JNqT%AY?5@!4L&L0w$p)J4 zUz4pe9qkank!iSjp;97Ro9P5zL{x8RH_z7)RF+t&STJnhAJFAR8;Z3?{;P!skQwNcWs`N=x$e>p$sv&+sM@xZTq2fqo761 zf&9(IIlXMQIRr_DZi*T?cT3+*_v>399cI1HaLJqcRYrGGL@cl3XEmhXx8K3BKc^Wi zI2QEmtkKKccVFEwGIChe@TQTIe>JD0K@m;ox{Vt(;L<4n#tj~`8l)Lr^xqTxfKMHj zu9+}y)bL}YHeIW(=P;$>9KJyBY16r0lPbT0tVQsHm))U^DdA3RSA*l`C{CsS>DBN{!j!n90z|aL5yAnQEa>=>&<@kP& zyp8ks54sQ@z2u5>lL-|@tv&Qpdd=!$Hf$EPe-btJ z-9V?#1JlNS?N}I9XVbb0u1!{&_?&Lz^4o9TxlIQiUbr-*aZFa>;eBBlPNtJLKQeve zGkrh<=T+l&TRhSrN>J5L%mWUAlBQDeES8@Z)Wxo~_Qwd}1HD$93Wh*Pbu@ zPk!Eg=SzI+(8WO;zwC{Oba~ib(4z6UpvIY2p9K+Kz9}i;w+Eb>+e+Um`tpgs8`GR_ z*d)4si{V>rxb1W`hd)tLanstmVcmwkvp90(qhs6Feb!GlXxAabY;<17WnIpM{A`~z zSAKHCithKrFa7@hthVWV&t8FrufJzW9=tlbP(G+x=d4ei!qRWAJo~A$^~T#Pzwwjr z4cT8WV9xN=o>9ZkbPSkR<&m3H?oet>z$4Bt!!@f`wAB=d!rT3GaFrE^l3nJn;-Jz` zz-N8rGB0tL@zczQ5kHL&#~(~KXu9hso+$VA2i9AAAWGZEmG`7WAc`jT5;w0rKuQi( zonB=Y2hrxQ%kZ)SQIb{v^XSUFdRc)e6b^J5UREFqU07X)mlcSDhe(&05z+xdcX#Q97Y$bj6G|CD1^@!uuMWjN%|Qme z@}lYDgm*$ikii07=D#kEhYg8QBu+GhqreDg8;Zb)&`1CM#BkMrE_HI%GKQk1P1{hQ zMWAg&4}fvif4(8ODrixaGD&~mFb>)hZ^!@Zhz6Cb5_m*NHxUFPF@*pUOTrVGD`l0M zT6O5d$Me%Q^znE&5us1uy}|Dz)-5)9WFOco(jn`Dv*)liB=oVa-DHwY#IBxqb26q@ z=vTY-8`o`VRzYzwyue(hS#FIVoo^<38r4V~6@TVfM)V6jnFwq5+rLvCwc zdfeKfe`)+jH{KBs(dYf~HJ-G+Bk4B3@yU(HTn~AH;Z=I38L7Sig;D=V9E?ZW&* z8w_l_?cU&xUAifzY)CcBohdZF{-jZ-M$0Zu8dmpupE|X-bPE&gZCY!{wNMA1#jFks zl6&m=vhP{=!MZ`C4^IlM)2&+OP@`l0mRL%hH;xL)I=?N)I)`&L>uj9`S?!yivU+)X z>TK^TyLX+wsu&m$J^0hS%xSX3=k`OM#@HYHSf|qB3m-ahnn^2%E~(sgL85*3=xV1o zC3M;Uv+70Ls}{?7*DM>Hwl+=cvZ(U1k+&_n_qV)MSsEABE_%S1$X%!V#vS}QVWjVh zC!-&&AJ#B`u^vnO>_YB1pU1Td54wfjFbT{be%*E81usf+Fs03dy-w$YOh2Azo;}YDy+5?Ija@NWdwihP& z*{ryDb^W+63T|?b*(aMX-Z9W^!Rid3uT4`Gk++v6X2{J(tP0CNYZozcU&!*wqIB8Tl=d=5^qYQ{PMlRt!u;&*%h?+d_iG9bJ4Wx z<99_pmwPT1kF2O<|vAAWmj z+WZ9P4gG3$YUzH#+JE_=P7_yk9{03|z$|E`{a4RMHSaYN2d;`wtlo9W`-+XOJG%(J ztY392v2o4x^nhhY_SUPl@7aoqE3)g>ij6pTxPQ-TmPQpjwOM8mnY*Q`;p@{X>`r|d#E=k=Y61RGfo_{3&U_!qSRHj~~&0eF-u03whOEF7p~~w5R^z#-4t%p6`AA&*{bMb*^3O zu@lwX&>&9K+V$4ymaQA52wu#aJF3NuyYq&apEBIlu78&%Z&pm{k}&pS-_dQFOxyiz z^r$wy`#<&TbM*YZ`&I62KR4)6|7(M0ebI|e9{6Zu(C*6HzD%mODc>i_c1lD&CgAuy0**l%{xp+cgsxRnD8Etb-3er=5oNkIXf3jTU;^xtCx#l^{4H( zCYgWOI?j9kg}tr1O|H7w!0Mpy+nx<~R;|{pbxhsBL4BuK97y{-ez9xX9Qm}h7YZJk z>~R}+y~ep^1-;t|EWa+C+#D+VvluPVKDl3K9i&ioJNH-#Gpzu4NW z+S!&je68LsufA+#;P~D(&q*5TE$C>lw4tO%yioD>@=~c&_yT>iISn36pLF_V*U+yi z1vb}QoQ{o|ih67Z^sPis&~%oP~@xN zQ`Mhci5W4=Z`iCn?_kRy;ls4>jZ4$}oiv!%EqW2F+q7$gI?jr(k)L_jHO*~9=x(<) z3v>6*?rCXfI=J(X_utcAt*Ml)=QMUmK;g^42B%NPSDV|oa8zQ9k6V>a)#9r33!OCT zzU6?k)Nv2dsK@>S#hPLgZ^**UhX$TH3irj_}6KbUgcT#SAbn4yYjyV*!G=$ zeaHJxG9N}FaN;_;lOIUDtNfuTQHn-v;#!eURBA6Jo6}SS)k*F037xPJkpNJntP(+Z z1r2q8H{stX7NU{u-y}W%xsgz5Cqws5I`uA|K^MQ@4euF zE&cJb%lW){C~~0K%w>!4zW`7o4~~>K7q_oX>_aU1udUz=Y9O=05dfhLG;FfKd&`Dv zk7_cWJ1H5V_y6AuvlL&CwPNN=e$<4 zT`g+~gLs`AwXrl@VwgCzVmR1h0DQJ%QyQ68CVH7TPs-0 z7CU(by*&3YKIPP*ediZB*B*W^;4FXO>JxhlKmV*zu)1z|Y}aiyB7@u7*}nX-VUvDA zMvLGRt~WAV$A((E4e3|?O}&$bVFmfDJB?cRnP73Jbz}4OPlXL_k4G(+b!z2e*y>jL zl7l@LY%+_xE6nRLqW|jcRo$c=y3{j%X=}FCwbP?-w!Xdl`>xuaJm}Zs6Ip{i+_>Av zeVFtr#vIPWJa+ zTD&(M8yEKLnO%N8>%BDx1lN3*Uo|3zx53t+PFuY`j%_NOSnOEc@Are3Zyy_63tT_= zXT^E>2jafn2%WAldGqUW)Op+Xn_@SeYjkhqoIZW2XO9MitkFx??|5d3@p5T}>-VVU zZbMr2s~XFC@#x+b@9(~A7OXXVkoCIT#t{a;^-T*tq=jEe`2Mub@&(2pHXOfqwo9wI zK6j$)Y!ls!o8aXbJ#uJ+8;T)yrYwuUvUE=59C6QHl24NAwH801PBiFOeK)mbUihXX zX`O1eaFyLyJ@8V)8|i1x&6yFkX3y8XdHlesRVQAYeZaG>(~{a&%NHy$NC=4TWZg5w z`qI#ZI&)4~3hNhqo|rJQ^0N^kHt~6zI(SyjSTgR_H*tgE;_Vj(OPANUC^=ZON+X*G zi?3Z7J8;m;Us0X6IXaJTIa@fkZSCID>Mz1}&buZ~xEh#lv|C!=N#E6&YCK_#OLom2 z?_=Lx4HH||t$u6UwHEz<89Ylk+oN5p!n=7dcRTz}%-dgh^iy=$#XN2+_DcEqXI}(; zJOr~I+!+?J$9ne;@g$M;NsAhlIfD2NDMvY>zlYlW+R;Pq-C{vVcR!P=n`ipv9?IJ? zT|CSyXyLPzn5Ih$Dn#B)>019=_?g(G>uY_+CCn{&p47FA#H05}-h+9Hguq{(c4qoD z+Es7y@}X(im40D057&DA<@mI9xsP^Q4~ovdvSaN5Q`5HBZ)SXy_TJN?b=*sNaOr}r)Cm^U`VbKz{G0eaR4Mm3n(EaOq& z6K?Z9`cHdzXmH}!9s5~ppL|WaY8zs@>tplpVIFrXe)DV_HX z(a=hM+iyS9`&#qb!Tcv0J>`<+m@-OH%6|U8J!PeT%Y>(_Jf+;tOMAhkj;?ZgWkp^v zAH+zYekt~X;c1hWCd>BF+*G5QB#|Ihs8|INWEDwUE~ADo~a*Y)7c9o%bt7T+Yk zaPWKUioCAwTg=YLr$%;9Z#6+`>S=z8s=IWV@%`m(k|%u0n0k4$#eFm*?xVo@X!Lt$HNxX0Nu5UZt5+HVUU^$Ll$y z&FdTRivN68LV)d=hgMSIkPk5fH})Ez);e%X!;x@Jvuy?y-! z(`~0r+L885QMf2NceZ?ah4dSKoLV!k+`TSQ{NT=dueYh{&9I~2y0Ez!{%m>Zt^NaV zn(8@(1aD%8Y)mvCQ9UvFuwzBXZFMKqY3|xJ{!YzqbA%j)|FbE_^kxlxW3m70s-`R> z(_o=*(vU?7(R)9|ddySwTV*isrlZT(i?-%1?@yuvMt7)pUXWtdV&KRYO{?w7N$gU6 za!2>7yuE#H_*|HhdgIK%(>DX(wXHvR@J@g@tX3W0N$Wawd(cF>^pNQ6xD}n#w^aMIi0yBi z(zK_;)zHk-9=ji3U2NSepmxf{rEC+E1C`tNTsfkEfBeAhpsF2KHa>3CBzes@u5$jzPXEcVp_}(V?pT-{Y&F0!^Ff8* zyGo=zDBoPe^&f--@>^U&o}MV^p@4vM)B|3G^z8lov!KYLEDmX`4`_41F z!$1%b&TwunX&aC+NkzS+^&jOz7OdLc`u` zPdwnTrn;L&#SNbQwntTW+j>nxp3dq0dHjU_6TiKS9z8Pdxj6C0y_|;5jSTa|qx~(~ z$!*i$`n-64Y|Yryq9w8Y=5!9IpXYvS$jw0+e(z`RGTZ2Mtb1+C3!{(5_w3u^x83yM z{PxR!N50KD|L9!bE1`C#;gLhS*PCCp-XQZlh4FB|QSsFxtU3p~4u8JyHRs%hQ_GHc z4NH7GP(D6hY=7~6`&R{Csn^~#GCL47KI6rgj0VZ08jWrI+KTmH$mW(o$J!sR${u^> zer~V8n!mzZP3U6&?bCMali%3>VeO9GPP4dvH|?Z#v}yRG6WJB}*>tPZac0wkfVY!R zn_OQw`2LChocUe8-QGND{Y!75osqGB?cmV$r&jN-*2%=L!@|&swVc}Z)0h3$V|8kJ zM#JGQDpkMKe7G0wh@}sA64xO^#l+#RmK}z)CHYDuz0|V9Lg;0vJ|R(`@>j~slD|Q_bQ8Gfb8qKVY{!ytkz`Wul-f4HOO94r!Sz{XN#OIj#z92rAUi=*3ECXj-GlLA~AGPxp1Js_{h zmC_`$iWVe8H%?Kwaim<~vO)0493}2MNt5*v8fw&+SL;sH*q^e*CMf6ku zeY9|7Y=unDBR&DjJ>W=|Dg<1JhsdNLN`@8>ZG|h3dS>ohtzQ9B5OiQ+se{*)gENxU z%s}}0?;HQGM@x}w!px0BmxVAQ<4G6YI2WBYs2=Ec<5Gy1%D^5gh1RDC8ZD4bhbAo# z@@bHM<|t^C+9DbTt;na;A)f(lxe~86$!C-v$~A{Pmd3t+t8bIPW!zdDugKb!c1J9)+&wC0XN4wnUkn(S zamL%v!oE+P=JU^d9Ao$@S`_l0iX79(;zVo{bAiF-(XUb?%y;gWnpenQRJWV4lbg%( z=c&)lU&;3SyRNGl!Fe!vx%n+e7pE=W7LM*Yy|zScsiI%|TJnh*-+yN3j=5@ie8-zT zuCoq*xH<65)py4xh(d)DIQ_!}wfs?@q1`Z21PPt2vOJIpQ(I@xHm|Gg7~ z+~T8GbdP8?c~Je8&F}oY<6P;Kv(&NSrz2FqZV#%Aq*_(4HL+v;3dg+;raYWfCCJ=y z{uINVHG^&Q=l^cv8r@F7yLiVT%(R7nT;{G_hoUp}=R6+&vG1!7TZZ5LV9_S?`#p=$ zH+BJ&e&@vxxqWGP1gpxp%%giYe)qWW?4HL=zb2^`i@IK`&g0K(upv0!dt=Q<6|X+| z+(+-IPhR(F3* zzxff?#(TrmWa~Zo0jAfE_c?p;(p96x2)Chc-rKD;j-C}~mer_Q52x#j+0k3q9?lWu zabnNS86%H7cTJoW=XE@r6N7(dC>BOfT5`zi_*>4#bGwhOdHbnlaN@vgGou&G2z25! zsBtY}`?FRK2fU8I=Bzp6yKr~MjAp{&cYY+*Oy&Rh^nBIl{?8wY?%U3u5cmDX@+$lI z(HWn5nNJFwxpPuxP~W@Px{D$lI+-7Pep?hUz-wya#kB*cbKh4yFG^Zid)f8#xf0>E zxER})yJPHDUn@9z%EM{%<`AzBFH^UM#lhlo2Rx}Co9jC_=eOX(aB5e~7roINzSX$7>ZhSZ;V0LW5h&FYT}DQrh!dz3 z1%e1wO}wlOWCTR;#*`twNU5TSuwMkXLG_6${ue3v=aj!vh5ew0R{f2V-HrT8EsglZefVPzSSL$y@)LV2dWuLP0d(_{oL_CvElpti~59)7L*5H+&sB#vBDyQgs zl&N>+uhieHY;aM2qW)&(CQUw3wvQ-(P=B+sr9=6N`kR$)7Rpc5_pEGvP=2C*8p?hI z@`33@38F;%D?d>`4J86!`AN||m&%V6O$Rfc3FM6dCTAB*PY{Y`f~(giJ)v~I zDGt=uSQ4I?LsUM%`7MO7fP@1dm<{zf0WB~tT0YF&xXOp+1O6f)Dy{&f1X_@o;XRgA3^g9K{Xmf%c~R)Q(!%6Y45-W)hCB)c8j7b3&@v>p%DsW`!~#f~ zNI1}rmn#%3G2S_;m`_RYl0p1IOix^tB4=gBGU)aoC0jgYJZvQ3L@DoLWXmDM$rnNb z72?)%8J8y)L6;LV$Ckjq5Yq!~r5D5K2EyY)T9hdJsJ2Fue582)PTuLT8hHY~RKOKO z8;UPeK${C|kj)X}KiKric1d52#1UcEG7b)EKsnSK2wr?R8fDAV>bNz^d5G;Hy^GR_ zoQrHKmnbqxkxWzIm}5(5dg~$vWu(*_h*I_yo4$I^knH)FSdEUBiE%zdfP^Bls{#cN zSwV>m%3ew(Axs-Rm8^7}nVmC$&w(Q|P0RHvYiBUeDhkw=@eQZ35NSO#1Hn^d>e z?-^=8RJmU>fe_J(T&_Sg28S5k+ERf6#ZR_O&O#oVOH(z6m^jntG#Bo#fRquj2nkz0 z;Fc(AmD@6@0xuO$N=eZ6fowih@#HMT?J`UuUnUUJpcEvQXV?Sb06faU*OCSlHoQkv zkWjuW_ok1fC*jGkT~Ls35Mk;B@E*AU4+vyp1+rQ6#YnP7jGogREJD(}R%{uVSfDRd z-q%bhfygP3gRMiv5esDsJ~+-n#>W-oQ^+sUBvFeJY|L5){}&Nq@oFUPS!fh0uVqpm z2U|TBpp2qKVlKsoV~k)%CKbsgVu=P9q!d_}kqv|c|5pTHQt_ODzXl?{<+Tg}3}429 z;*@|7_yHS%8i+C#0;z~AVF@Hsy4P5$Y{!gcq?3;LR;t$wtxg<%xxGdxe@ZB1s5m0* zBnkmyP##LYV(cD58BRG3TO;E+5Ds!4a9IB=MgX)$ta5K43Jz3R6=JCb1$Bv7Ad^V3 z`6C?y3L3tQ;?RS$QglkpOd0%Nh{*n#Gr~ty{#+vxA95iOcK~kj6$r}Y0x2ctQ4q$I zBkw1sdmyDMql{R_M$&^#a*e9h$QI$8E`P3(P2{bi=_=<_3h-RA0fwLiQkF<2U8GSPB-jgTYfVS5Bi4s78N6bk@m(1>NpkoJ~H=rK$w>Mmw1 zBcM2vYgDgB!hKcl4Ma=f`PG`wbvCqY>7f8nM6u?(d^xO?TDGbFqP zQYv5M(Jt(;=suTdlw2*pB) zge~AIBy2uKB;e&jN(_fYOiG{ht&wpTga!YX2m}{fh8!gKAHvu=CPCl=i*P~%EiOmZ zMS@sUh`kYl&VU56DIwi!EJd!z%$y3>2nm^)F}461fzLC?V1X3Y6XX5OmI%#(5`F#!D>)TyCTkBvl3Pg<2?zGP&=i0fM-d;xJJr`%o@CC7UN z&ya(}8@ww3L0F_Sh$T``Y_VKM&+E`+F$ix9fl?K9IdjbMKoE;)!sjY6XsZ3RoPbr} ze^v0)znDCV!K+NcQc(pcnO7}_rHYC=j&*>gUW>{YpH7*~$@nz*E30SX*VHMqdZ#BI z-8Zn^*H1Tu-PBvE!`U$dw28c7ktFRJ~(y4=A?7&g;k&A&rKEk7|!XvxBJazRk!Xr zytp3gB70D5cGv~;_P=L-KY7P#_xVqS&MRkorR+!?D+;*3;?>qWw~y>AvtY$HXmPX?ucis5r7Ck-Iy~T<=@z2p)LWX=_ zFnd73-41VZWG@0Ej+j4kW$%u+A3i9z>+9{EM!39Z^-o`D9KyZGZ}ata){4m;_Pp`% zTp7Eg=lHe#VwVoK@4l;g+v#hK465Jv>Ukr4{dVKEiX9Du75BYXZF+EaM~6787Qyuo z?r+;_469w+=3|W8wQV`3_3wv$k`3w>cC6rT^`m127wd%abvLch|KVD}#U^2Vy-kPp zS8eRE*wEtFPv=;}uaEUt44;!>=a^&o^=I&kk>S4&)p93f9S{p;u68du&cTt>f`5%m2= z&3F1kGPXJfF5R-1+p*sDrK9}zUbsAXZ{(`{(*^ck3Dc~%G@JSO)90T_FV1(0wDgyY zGOA5{f3WrGTr;orIHu~QF<Q}{QN=7xU=v4fna<8dkUrM0s4{aOrxJBG- z_@M|;i64rfn)smzP>COkHZ1&51gOLhMNm!rPy_4aJz`v>PS?TeSFI2%x@q_xFRq)c1s8U!>1~TLN7Nbg0h@eQ{i@japDghY z@ROU{_xAGju43P1{6v|2qLP1~^0e=1?`+?77#T|;=5?1#{mqA|QKh6`1P&nZb*S+_+exfZNWNQVN_eJhlw@B0eA3DGmymGKrYh9SF-x9z)Fd69}^?)S8Pe zLwgG-?zIK|+AKplmqHd>iYk~y%om|>j*dc#QlOVlh=k4G;Rq~ayu3#!)d0OLHVk1F zR!(^h6AKaH3%Lp&;w>Jg2ue~4F7eq>5CaI}?>r3*BQGs8Z;^U2BFII&izE`XXfeV2DcM2PpF`il@G8P=1#>JK))?#T-)M&FzC`JJtP3wq? zc>*M``Dg=|qO=Eq4?ujBhSkV8zKBRY5)ry$%YflVA7^>DMhOL42?=ULFi(IUXddb* zJPMUr#K~ed4blPUhdBpBwKWnMN}`WfY#HKEw)R@HwmFkxr@$K~;L2H23Xl=hFGUhA z1+*KFEk@0cwu3N_FG9WxI6kuJWAg_F6OHhI2WTx7X|qg*e4&DkY?DL;&qKx%bCA5o znv?@FAd++b?%2VcG46rzP-ftv)?92EctNnAm3K9w7|WHAejSpY5dq2}P{3o9;;d%D zIT2`>GsZm-E}1JNYl#sqX{ausL>5y)gL7WFp@2Xn@_2Z9{49l+IpECZhdBH;3_MwVPc2}C?> z6(V$6fr*6A1@jf~QwXUAh|g$q#yq}=C_&*B_+uG`b6R_;S=(wvgMt`HBDlqftAUuJ z&<`gE0|=a9lpZwrR?Opzh)5L=nJ4vXS%wlUNL@wP3#CHr8$g*!fqO$kwNxyC zpG?nzFpn=neGxdmKbt;BDZWtdU0=b57X=%DG!V;V3Jw8=VICohLCItS`QIg3Rpye> zTmc734;G5e#jBBU6=}&N>JWFL5-UQG$%11AytaVL2I^7>aw7!`?gw8^&w?-yFhYER z+SwnkkprfEUiov4AS;B^C_z>Zj8bACNm0NSq1Yz|T!lv|{syb4a?8xl8Hl~mzxHR& z*f^=npKBB#rNiTsZIFll7BPBI6>PL4p|1tZIufylU7v9ugbn`}*zMxQNa3I^f38uA zUPiR*a5#XVL*EYRZ-qbx@;|g_vK8>T=*Jo3E(ja`FM1b>En|ZwQ#+(X+s+6;2f9wd z<_CPLR6?Qt42Cm>Tmjk+g#cXzbi)|;K#&yxK;X}wk8D2SjVP}NqTrxVf!1d}9D686 zumqqe(AI=EqP%kk;(rj@0*V8qrCb5W5xEe!9y|pH;?fEh{WMcnIGH)k z)WuB1O$a=pY=zw(j}^-jC{ya+Zlf5GJhpR{d|r^^pv?}^&8-`qd}LLU3pKAn5MgD@7g=_#m)2$c^MCGre@UG zQAhvEt%L&x)hcE!ZBF&r7s8r$+34K4XLV~eH%|^Jprkk2hD^OUaLnPtWqMu0tqkVB zuO+PC^SFMK*XEUGnAnX{7&UX+zqfw9`_&3|kNfDHwDsGRxapz`Ns?o(dl$Zcxv+A` zflC!y*u^$D5tZl^FC4b6hE4S+`x^}nftKXqolAY3RxG&g-mU$K(9F%97I&E$9PH6H zGxS#Q*1@lHM^+7Y9y+f@YEsMkHxFF?9rw1E?Jn`n1s=T@6pBlByIT`lU$?fFJ7BM&9#Z9(O&)(Oh>8{vQlI*F$ zqq1xM`1O9@z0e+6U)Jw_IO1)Ot;d^vyBFkIF>Jv5Tz`Y68*<~{=%2fsXclV}BCE5| zxq3C@Uz0Az*6q7{=7?3h<+H+AfzGC5d2MWiuf6)#L-gYHe75I~t@=z!si>%ENYKHtN5*|F6wU-Bif z(>MFqjG0m+=418V=Beva_*cy++vgucV>s962mW+3x;6Ilt48`>*2_Fr92B;mcOc@` z^n?Z$m!x0vT2&exq1YXB+4Pibm`{q!y}YCI{EW8^Xtn=$6VDz~`!-&BTrqT2Qgi1o zd#gA^ZmzODN4l$HO62EkkB^UMdgcuu=U#u1VcNN7*TbFjo>wlcydyQ|jD4?yrtKe? zU!k+H35eF(-*wQx@h(LRx-{N}5XAyA#{}L*i853IJJfIxC1Yqrph^iTayCTdMqor6O}L4I=r!KtMu13^xWs zWjoXkaC3+RwYfLiwp}sUp~Mub`2w~~0^%|>;IXCPX+RANltd_D(e~w%%$wz8m{!;I zkzgSld1}KzH3yK4HkVYZW$0lAWQLT4Wg<|fBbNypc@e0-#9Sem<1`$VjE6^jBv`ma zQ%yBx2(m$gr7aDi)iPiOQ2LgkBuoGcs28A#oGrmxMWoI{o`pVVjK@cOWYtMkz1T7& zSU_2&#l6vLnL9`W4*il@Cr$D!i@c;?pqDVUsm$c|L<0HWW z9+UD~26hk*UN5-XY~*AW;K@UCngCp8$o2stL4VImF%UBnAR$$tBtkE?3<(xw!OLrz zoR72$mt=}0e3DaPagm4yM+2Hmz>lrTGR6ZWJ`ya@DrEtaffwE!oOd8j|F=UrTB}D& z999Xz1<3c&bn`8Zpry%MeviTB}!DUo*5` zqK+yj)nPtNsP2@N#eZ$evS}Cba%z z5u%zeL?Hx|CMHZ~puwU?e##@CS<8Sbf#|~@%aBz^7gu>-GZ_+Ve1L+a*z1uRMGran zUXVG$JBQXu@!!n~a9tS3squ&~0mNF1=Zt8`u(jnOw5~=u3_xo&*u4axdI6~@r636w zu^fUY;BBDio|R4+vvWoi06?K$Y#Fp#ARt`cIRh*RFhYeyDCUD0Map5x#h5+;5zrBd zWfFSsNa)!X&nPlTbeyX)3}AH1kRAbR z4Fq=t1O|#`9}4HRRwhoZuNhDZT;O*(GA_Uie69fc6++M~f-^+O=b*0o_nZ~QHOyG1 zP8|`H2^I|mD+u<9sJT;G8NS362*{*RW)^WI=oLicASDBK5C9|Cg9;oibp#LuA~W@k zlhjdBtg1ums3&w2l3XL{J9$mX*kI(2?oVed>j_lY_ zAa(U!RilMqUPOymsW*GOW$bBaytCI~iw(6`c=uV*e}`G0mR_mr`@b@u{JL%5I;mcp zj+p20+3i1}E;H^7zEiwijzY%%6F9L)|-9 zK0i-3cJkO%d233)hWTqOs!qzfll03ir|bJuHF>QKRtDdm*Vi+7Z_SL5IsvP=-&pZDa_f&!F%meWU-mX7Wc&|o-7QB5s-M{m8*F5y~cibbv5HI}$1~zRBJZi68IWIR% zuXpqFU(F_r={DhghfF`Wtn|okH7l*U;C5o9NpcU%$rIDH|M9IneHukM*X*(UI+u#< zIK`*-mge~pJ?CwIw6=P7xFTxjf$E#XM|5l?wo0AhQDt-Z&_$y21#>KyRSC=7xw^+= zZYS#FoM}COZVkNax@=f%=Pvcya{1MFjd_-N>uPGyxqxgT<+Ltb#;?8T-E3yx zKD}ZJY8{I^bbrgfo0aEv9ozin+uoll#MEd_Ifqj*7c4t3j2a-Ueb_QvFR~G*m0`s2 zZnsAFdu`S#r-4QDGd}*)M~BWW5I?!*5YXn$f~S@I!yhDFf4uo}!LcVF1J5_CJ|y8v z#6t0ezKyoW4DHa@bI;-=I#-f&1DdS)Xls{o|cs&fh;M+-vlI zmHI3?wx0dyLGJxqo|!0{JS+L~<>4J!{tdrApY`d+iZNNnE$S>6?&v${Z9i|TTIt(@ znwhk2J^Rs(cjixA4=>4?Cfpc$H}THQgSJ1Xi)v@3IL@ao3Vy$x_u%Oiw->Xv4Y7MQ zti`pkDK{6jIF)UBZ+_qyzOC6Sd0hS-S)CKrZ&}{8``%;rqpq>-{2UkQJ(brM_o%{}>Pl>R+k9S=~PdsD=7h>Tg!}kFnJKV<@bv{zlzDhI3E- ziTaz>{bQgkQvXW*&FcO!mb!lo6t>F0DV5(Vdid%yhMDjjJz*rjhjt-gN=3Tm_q3gj z;Haj64U-G_5Kj^V;sF!{#a4i@2^>bC_NRGjMT?19!&Lb_HW(F&o}g~|J*}3JW^@@= z1h~>Epu`lE7zrcbx#cX7L7)Ig_h%R{^8Ly00qh2MMz{Q)R?EO*DZpyfDZi)HGAM=#K%EGUL$r4Q;EYWNWP>s&Oel~^*EDBjb7OYS zRQWyi1_G{S9rAlxEyKQn7BZd$T+UE9VS%_*!cu^rT8u*hSPvR(mZcQHVb-#u{9f?} zqEmiPt6^LUJ*Not(1Qt#EI4M-t0MvXHt4ERn&xVF&FDvdIs0o#*BHs~6)#4e@_Sk> zV^bm-)bc3w=>Tnvwm1+eBDn|jwg7ZZ^pq^p&`d8zo;tq=d=WtXx~2ED8U~Dt5dAs8 zJctA`KA6w2hXBcq^enjgWC~gq9k6c34J(=6Bhk4|={>EMVW&V%0D?hm8Pr<91P{VU z5xByj9)$D*n^w~)^3fQ5%Sxs9h-EsZ_q19jM2?7qJRTp|DJ}?0fjWi+h)B#9!%UHY zmTU%~mhm|&PVeC~gX50uq7Lajt(M8K=y(dSuA-S1Y^C;Z8s$>da^Q+UnOF|-Cl2V|r1T`1GR$GrGSV|f;;CZGbV~1O zwG1UV)PW(UDkuH5GUQ|dyXVW$fQ8;GDM!O=#<&B*MR^-8aj|7wBGoR^mTcB)84O}k z9BlSdw9oKiC19lj$fjUP;0h92+-z&S0@Ruk50nE*~AI=gW?i%>fSUO_}i zkkA~5#Sf-W8a5q#n~YnAlm|)kv7jWxMqUog0O(@ZRuk50nGmZHkR}-jN~H?Y5eH=g z&{Jd1xFBNHkSJij*P8?PmrpW{c)id!YL0G3_*muLKL{ob;0ws%p(zg7dvva|L9Bq( zqYMy#F0Hu*zgo^zStSDLn1GY91Ia@FRx`%?1pHHAgDAs7j#wF4B_?z76dFI zy7o0JM#dcw4%}Y>kc7pSK{^zIjpelr{m>EsWB7m%ia>oSL7yZGz(SY?U3Z}F=zBin zDG<^{0Qn*;Mx0{6-62bXHuiEmjqvl)5C^VpvggD3z=fgmbUbOf?Sn=|H{y-Cv;^3%oV zG%&5$BFpVGl4u&bt}F@2#<)<_#QTLrDxkX*I&P5^pMQ^-=nc_DB`ijRPBy$>G|glG0P!(^PDlfyiM_nr zhXVKwkijAS0VV~)vIWH*>{KGS#8M!U>8WAnJH1KA7$ObK7&)C`7&PRdZCPH+!2HgU zBc%_T5=tQxN&%1u2vi6r0*DSHb46c@jQbztbmkKrq1rNFW`Lh5uVo-}BKRLDSRsoq zhZYT>T*wL|ctJ8wp`a(NnD6vvBjbUV;Xjr^I1~g+<+V&s7)qetgE9=%PZfmQSqvsM zxFM)hfLoG2XN)@_pjbi=JMkG+s}aJX(3~x=Wk58cYgotudQglOXy|`{ictt@O)N+S zOGDZ0|3FFtJ0geR2vwG`pd897_bCk`djX;s^fN+#Ou^x!FN4pL5@jnWTuNmcHW0@B z4@89ExCf4q6eZ z0iJ>PgCwuY` zGI-ABK+pgs|L;5_3MugWAeuu+`Prae!MwmRRFL}zjlG6!y{KeSOo@+Ff|+!|M=H@v zD$nHqPxwf7skSv0J`xC5wyyZdJ3}7&J`|b+-WzOk-?ZV*hRZD`x4u#7-o%r;q?e97 zZ{;|&a_lx)XnxBh>v}aS$e3!K;%_`|A1KZ?v?? zo}2%;_B?4bpJ4sB7Uv=^HQe51(}$}Lixf4gnBKK|6DVogWV*iFl8Vh6xY(Ik=~o?T z9u{!FMFkhOzv7bBnPZ`?>Rebh-fH)UPATu!?_U*pDrJQ1UfSsoyl>O`29K)k*Nv() zAUN>+&DtZ{2MB+diwtUtxJ@q}NbDE+?f&GQneXkxdk)KeVjlW^u3H!HA=!ImANE-o zx2@!MHf{WwPOrmv)#)>1@9{#X$q&~ynl|2jnaH%U2jyAcM^OKrQ~hOjRYy0oJ#JUk zcUkwDw>rM|4I9Z9EH-nxhJ9;}eROo!PB~*U2RFUS z`r2dxweFbJru4>p5)Q0C`ukm-(2sM?E(j~^>XGn#=AOz_2mPzljGA=NUp7I1c$l8R z)iJx4$wlMi(+!4a8MN=9zu|+;`~1pZFLF$>YgNA5x7*tO%^xQY-`TF=t-w8(=e=8U zbySB1d#6lq@4}6lV|HlniC|%fYrE!pF+XcP3i+P<)sQ`Mk$L2|Dt0R#eT(^VGh+Ie zk%1%od)BNG*vu`sV(oq>yerInElNl{*X2=O^xY@Mr><}&PvWg@~MayX&`hCrxab5mQ^>`7`s^e)le0d~#=2V!e)NujjtMxmU(V`CRw0znxAx zE}7P^wb&u&l;!mG6)$sYnpu6XdD(m1=~Medrw%-rs$wzR)pyh~Z}##i*ii+0Kr>EaO@D6j`Z*Gy1x>VvOGCq|urn-f^ z+NY(%d27QyWMRs zmZRGhEl!khOmKft0fqE)sI0#l#$%>%-L5*pIie3@MQYlWN{FNHhh?Y3juheKs z0onP<-yqSV`azAB1XqRX6ZJPE0k8Um_{qvq>Td>aO7)5Qn}zCc1_xZxuTZpA{hfC@HBwQQZbx4cdgdvg%jLHg()Q zj&fp9ZEZ(5XoMAFAh6J?2Sr=(^}zXuR|%DG5!yE(e?^OJ zO3`O9V^}eav&b;rV4PYllgN>>up?y?}%&J08uVDb~!1R=!wr(I!t(GA{ z$7Q4LDFM74l{KJ~*xNIHma8S%E5owE`kPNii!fjG5V21+4Q-mdibnKi7qM_aWRbpml}wG6pN@F1|cA`$pSU?8&KQh)>{A}M$@QRt;FM#kL_ zHHZ_}E_%&$0&!}!3|(yC?-8SF3|Cl$vZqi%6qkTE6M|J)!(j$iNQM`q8pNr#Og9jx zR?CD6KqxpMW5Syy=HbN>0BlAQ;lOsnvC^=CFz$b-L7c^w=>+1`Y8m*lh-@ertiWX` zWP#Qd2B9-e!iBA%3Z<_`#vKqfh_l!-oj{ygEmQEJIfw!^k+osNy&@tvlo&Kda_F_o zD0%>+Oad^vgQ!59#fIqw;?!!G6d7^|d}FS-Lg++;0|E9xs294uptGQ8%s$AZGya;X zK%B*v=>+1`Y8mKT(Z&RZO(73khg1%_TIe0X;X?P1Kt|Esd}Wq~*(pin| z;Xs#;;>iC$-o8ALs`dNZ6on8HB|}N5a0bpXMT0RC$&kb`CS!)85E4a+hzzMvDpMj; zWtK{0NQF!h8KQ`a)VsEFFV2y3zxDp^KiAb=J!?OE?dMs;XMGmrv524SuV+9I=Km=a zCmw*eP!=Z-#kt^<5fHw0m=Xv*xd0)C$3j|2OwE!07)WIiPcuVJWjg=vJ45_m$Zi5N z88By&2jX1txgbV|0}w(opiBYKJ`Ro$fVP8MLO^_DqM`FJ!}PC5Fc^d_A9*gAJ&KT6 zVSpnV!l~aDcL@+7i$jP7GbaEifd|enJoJgcn0aWZgI9$k`i6i)>#v;-XdDU@g*Wg^ zaRkMVklc`^I0k5VfF=(>0RWxC!-N9hy2nBjsyPyINlFkQAb#e>|BfL6eh~U~02Bt( zX)%ypM?=a9f!(8Fe%C^HoCQZ2&i%Hbr2Y4H96o9QE&Zc75GexTA3Oj#io-xwGsvRD z{|iKjcqp19_%(#(3CIJ0SBU5$`B``PJ3Ic1)(Wy@ufOV6lHx6b=;h;4Epz}Z~4;=^=$bZ3zDGN#H3&Ih3BtMHf ze`mY@F>ruU;Gt%P94Q!})__wBM&$k;B>10crvO^>_Y52i8phC0A@mW&F?c}CA@EId z6er-#piKe?VY_jssK@kD$|jDUO&p4jCY}fZ}+xu@y{V0#H3r z4#J#T2|z|ds}RNvML+||jW{IjFhq_edWsl)T5$yO^s}hHFyslM-=lVk11S~b8;(+>{D+;e-Mn?7j08}QX1b~iTgjRozfDan~ zXYBeffEi*t$Xr5LQIc^QEqmo6i>24dk8`1C)6dtkyegGu8Sq&$Ci!LAfvdT$X2qsFsML#E zkG9xI8qw&TIBEXC;)C#)O-s_!&RSB)zF286d{%Ws?%idW1G&pkJ1hq_5AA0UYuURTleuY&e{R{B|%=T#YXmvo1w>;;(?BlDcki zs5_DE^rfEdYRM1k8bv#Vm!!V=k^(a!@>F&%8o5){T1Oh zAvZYU)|F;P7EwD#FfVdk{&=s4$$sjM3707S==q|&6s{OA5)&*967!*psNUcFbiXG( z%Q?zWb$NlBbYD8(o$_161RS3b|{TqYRT8m&vBCWHny%(D{Hw{)ouuI@eIh9h_lUYK9CrfOA&Xq z6q9OY=Xy>3<*`=I`qw+8Xg+ zvd(F9f95XgJyjuTsqG|W^I%eTquawq)6GWRnMu8td3)u5Nc@P$zCFKYP!s1>IPopF z&wFX+=+Qiex?{$St&DW#CgGlyfdRw4gA~1%=jAmD95#pr?vuN1Dt>gLFkkKD>vuQQ zgS#E&`UNJKb$OG;Na4mv6jX?CV^hb*9NgGch3S9Bjlq#-VQ-GybvW?bXBaM z^zWY)K~ezl04PyOC_Li=nwm8Pmn&@Cw0AJSD6C3!+0GP&I~qpx0Y?zD?!d0hT^YoQ zJOonW5|YrACP_kkR?VMir>9rPLbQV=B~5f?lUf{&hijXp_-|kH^y1L`2t7HZR{!m* z{v{4IKB%ve+PPU}*!dnE()(cEq^xD?NoP5PB%U3bzo0jj*xP|F&Y8FUysJxqFAiZd z@oWG6cRBs+Sg;zP&?F&Fj?qDbrl=Hr#U#YZF*-n-z&JfpTQ{r9GvBjgq`+*TxIn!6 ztXj&v;=t++J!+)H$+0@ku5NXKA4Bq@`hMR+@(^1z1z!-+_l{49d%dBuSr zTpZ3sVyhwQS($Z2Pb&{_M;N0?N}Qad4l+2RfM+BnPL9z5g9FShB4zTxt1~AeBXQUO zqxn>>gv7IxV|BJ-2S%NU%Ps%eqpb;k%~> z)#@x4%J&cMP)>Ax%$W2md*D(NIY3ysWUPorCOB@*TI`Xlq8EFXV4@8)_N^@S@4RsY ztvhh#Om)ID>*q3e{8b-6K$X=UyB^zKz3%Dxx4Lc-tr`8*1Qc&i!+TpDj+TpO-X$6( z%N!SUAL+fq?cWw;P~R>n=efw>!h^Ne)|2QAR{!;ZaL_rd#HCu=qqNi#zoIMKYTmh*?1%@`A}H$M>l~(jbU!GCk>C?GC1}i;r6?AA6beU zb_p!XIc=G-Y@ffrtAO@C=e~#9i)$YTrLkZ7n%i3t68L7n;k|c*TQOpK6pf$UV^f9h z7}TgpHfPbU&%7ZT___47r$P!>IW`{_MreloyIJF^5WA9b^3>iChm3Of=kWN;)2v>Y9{JS&O9!; zxbe*6;)~q2ZSI_56;hdsT^$jYVdgmN>=+NO)Jtk_ZU_ViI_mf(XyZlh@;1o7INHqn z%JT+RRF78K!ck{Qut>3%0r!P+Eh+8`rCRRX7XsdIDapI!Xum$Tc}dUV=^s@)ZcP6Q zohx_BS*GLlPJ9kq^?ScxKZXTp3CA`suYOP8b9f!hYxDIUhD6w zx6Wg9`f&HAN8e@ag)Lr}ac2WNhIe%iWM6FC{9>&Gt%2O_>uCIU@f`>y(5z#2si7fjwL*$p5^c@<;+7#%>a?KGmu=uQ<}{0JQ*8 z(H`8;zuk2ZMKJgXK@y2oi5(c?XO=+fJ*0%m*>8X_g2ztmJi#Pla`qb_P2jPUvNaOf z0bMgg7}? zhe3K6U>X|6*`KptOqg$dJ(e_Xqp*#sjV# zYJH@{$uT-K!oUmZLgJm9g&CYrc{I@6Lemr}@fmLOyhevcxMaZ#C9yg=Mu!H_4p4)W z5+}##0L_B%D3cN=$LN641O|4IGI1V~8okca14_=5r9DnimqN_FB$jl}8*vmwbt9)flX^!pb>+?j8Gy6Oy9C%GYRc~rAglQe|5lkE9^41N(z5uU12=J%Ecm}bUAWp`dJ zQ;k|G__ij?q0oY+gZso;|5Y35CXCw(6PJs1IMuwTI2va_Be&LfFvU26k6Y%TdPtC+ z0RDWeDGSxohq{fcFgh{%ms6R2_SmcVP~6@?qgc#l%2XU|wpMjrM44jo+VQ}BA|Fq2Mqo=iw2^+^VWpf>H zeUB{-)Rm!KIOe*O#mOpMAt_eRugC zDpoP!fH-qS*!J!t!?iz9fhAv=Rut<9ly-&eQad6;w=UA8eczT_T}!fKPCRzevmVm7 z_fBj!6*+fhSU=wTTIYe(AK%2PZacRzJkU*A5%M7XeF??7izn_$w&)c24J(${MaFq2 zDayW5-+BD{xflvJu@W9r>(vP~+|KsACur(J9Jvzlw5l50>Nc34kMcLy^X|IN|8+Uv zNo$o*<~x`6HkTE@?&ez7+@mulQlhFL&agO=GH{#1L5`5_YCa6ZBPVL7OmA7-6>$b3 zC+a3k%J;9m>o#6_s#U%}`OU=s{H-66oB^Sho2i%N<1 zPuWjdm)?3@?Wzmvkkiv6to*BY(@FU(xxRxgZI`r3zDxUXofMVz(GvbrKL@?swvXo} z!b(&qWY+BHO?g|-n=D;I;EANK${xDLlK+Y_?t*x&rt)+Co%~0=nuX%)o}GR-F**@$ z7u`p}y#}M{!pgVYX?f>r74fA@8u`QMwp?S={b3#YDL=yCx{MH7i}O~5%4OXNv5|5Y zSLIPF`QU`m&HPpu9>~5(vENseYE)z)8vJb0gS!mTm5Q0Hu^I6f54zk8Yg5dW=l%9H zD^8bY$lbg7sJD&Vm7Gxpu@S!Dqb50{w?CUzkLxq17;|hieyK2g$A8JwDD~C`kI9`i z3F9NmcaNB-o!B3_;%q3NYD;j4gQwT-S_kLDj7wJC3l8GkJyF?^n9U=de0-wT4S%uh zT#!$bS+(T7mQ)wV<>B@#V-F5>SnQ=qVyUtWyP)zuj7LX0j>jb-NyV6*Io?(BjpFev zmo$x?mqU46hITqkN|kHhclFj8{H~U$JtnU;=;=r*P@m<`%onI5N64H&{U>+F!ht%1 z?VA!-c5-lagh{1S|1~R6C%RvzbRs8ECr;kZ62$_I2>`E29PSV<5?xDViURKaw+c_E z2Y^})aDK$s3ThV5)RYG~bLDAqX!b!#k+@G$#5grl;y{s$BjpC6AO%0?N+cx?Kr9lz zGk7Ru2m|Rb=~x%Aw&aMz4v>s>Q2?M{Sak`YEtLY08jzbz3qL#11VXG!%HoMJk|cH>3#_grV_g(6PI9I=ycaA6Oojw_0uG7aJ~tu?C2(YO ziOmJR-G!eS19>!{ZXhL2jQf<(7-nwSuOkWy*bs1# ze0Fk-6|mG0rILE|-=56Vi$nPyreBj1|Lr@Q7Ki%16sfuv(4LU--T)6jHFAi=YbWP~ zgjQL&l}OwTFqe;<)!|YD0(RnO2kLh+#DP~A=+j9ATS#7YVVgxk{T_1Pq*f=#=wLV{ z0G>#gJdElgXLU#>LbnL1)yXkBV66vIYZ6umZ3yJ74#`9S?2}rZ9IJy_a}cPKk|xLK zAO|cCGc1T~4jE^#@N0tZX9vi$V&}gY zBhTEyf2cp<&Yk*)UQEf_*2Th!PfW>{;9{}e!py%WN?37JYBe>u-a4FB{q*bD`isvGW+<7st)c%qWGrcJ ze1&H}Glg6lw=d7KEj`tm$}GXxRRS6rsqwBjk>g$kCiERuzMzFb@vq2@<*1af<4mvF@f4pIZ z2XmqAme$}=NjI05>Svev82KO6xkY^d^MlFZm{tI-z)Hzg$D{-6+AcBqnNs#Wx7_cb zwMgsho@?>w?;mZi@Vpp#Ec3zb+Bt{5FDJe!%bH!G<#ra;cH8pOmyT1QvTB9Pdyghx zT9+dftF}0>7@aNY^ez(Lg`;cN-7iO>t75ipDVK|w-Km10MODs0XUCR!Jlwu=$fG7N zPQkqdR=Zy%;1Ok)gls3+>(v;uPZ6C8?}Cf^N`K1m*aF!)s~yXm(VTh zI1uHS#mBvYEqJ+Kr$xy}Q^(Int75xk#a?@Urpev@Bdq1hKD)OocP-hvD~~c^`>m!u*BG{l zv)ptQF69oo6)%{``Yc&Nq(3Sy&P9uH;`PY+-t%UC4q*ma<8nc|ADw00&wl6k`+hp9 zwz6LKXv@$A@#T-jr4se@N0uu)t-5*e9ZmC4B}e56qnAncdUu&`w5BOh9@F-+<&mEB zc@R&b?)M|RXsrHnS;xn$>k$_3d9n)RDi5$-cEPV&wihihxhf>ZTxAT$=l(cER=(?l z?s7rpkBR4mFGTf9mPm>wcrH$E%##j&OAwyq)>nHKcaC85)=qGoa?fg=|*ELzEH1Ck%Wbt1&f)3-dJI3^U*g7}Ha`*cyj18ra z4=8a_h(z@TJa|{j7~ftpR=wqH$K;~Ny;n<5HMgOxCqL~Jr{5*WV_quLF1J^ZXVaOA zCuL?QKe|P^-4~A&V$)=Q=v-Om%rLYsU0pW)_Gj}bH&))1cZOF->V2w?tX3)L6Zgq` z!W|Yh@?K`k?$OUH8WhBh;2J-n53`Ao#Hk1to1P6 zo7L)8z$5RVo3f!AnMd7J z0syK<#Ck*O;J1$rl7OX!5o@G2oJ5L__%ofiJ`SX3WNbJL?Im3whvG3A;`7$WVa5|V z;`7zV(Ik>|#Lqr&eH;la7dEze>*Hv6Eo6w#S06`40Fog-Uws^D10q9wzWO*YM35mq zZ+#r7gvb#mT^~o2NYN3$HsEC@T_1;0Q{=2YUws^!y2%lruRaa~y~q)tuRe~{+!uar z^VY{mBcDbc%;;DqGHgQr4pXkUs z^dfG!eU06>2DfI1SR-pLiVzhwj!%V)e0Q7j)u^*+BwUfF-yEQKF0;cawmN!~ptV~l z6K~RETP~|}1!HaLB^)m^H`JsIWR+hNVAaaH$RBvZc|vn&sJ}QSXr%b-(4|Mteplk} z$hFHYE^6bB`*=?9kvP{~o`+I59C*syI?_%$-|6sV+us2TF6PhapltEcWb=Nf@1byn z+J%x^VGkvXm#_k!vi+;;k(xmlhw)*HQ{0O$C@bunXj_72&R9D9rs`{Zsm5`-O6(f{ z;S zN1Bs6H)$(LEMv`K=-DpPQ6hdn9m~Ag>@cT6+M1?;J&Lya{;nsuRz;Ya@X^2MSd2Z? zAhfg)C$+Tx<6ni)R9uH`KS=J`$;~$nN6E}KZ6}>Ot$M{BKRYb@}OfPQv z(#yQ8478Ct&BDr5>6|ebwcEZNJH}6H5BmGOu{aZ&dg<$xX17p%j}C`C#syX(j4?T5zQPX97nbq7&FpKs7S!_*YY@LftXXX0RVsh~bCU^d8qHx9 z!o;VZbS!7b$me$v%7SiBlr*SSmoGCwU8a#6cw=$w^%pHZ?7AnT;t(wjS#$b{*M4jUu40Df7@@*z?txu?x zHQ4w_5`Qyvz(`%u|wBQ^_u$x?X)5cT#xzO-sUsmcU9tWRQ=-8{IU;6=?Q99ck~=J)>P+I zI>Y5B|hv;55-E7}wt zi8(Ql*)V#KTlx*Hjk(q}^p=urnkDD1un0u4BnXw=3Rh(-xr@(G+8KL*`d<0zH$pp2 zlJLdP#rF=a*Ssy9prBPyAas+RvBXOtL9T)RfX5`8V%O0q)(bs^gyn>>& zcj<9#^5(qu)i~>mOkg99>FC}(3;hi~is@_iUbNi4p&;OZl;}a%OQZ1x{s*KuV>JV} zHayep+-}kn@kK{`_^T&DnRFYZ#NWUwo6mGIaVb zzQtU#v101kC*|V}nBp#LitXLu`6UTf9H)CI$qqF1d2b$xD z$G7*%eOgj}=8aR%<}LfQ2i-kbmxUi%Ua@{dxk>rfs@f&A@zD*dFMVO?=Dqa#dT(N# zaFB|*Zs~c0tNpk3(JQi_XwlwAd3C_#Cc#XT^|-uw(C{$7$;Yhb!F`8Y?@x}L&mZdb z=#bjl9gbDd+qh=}iYD#x){HX$ZOnalZ1I$}}50ll`L@cXXsjJN%M ziIVEXod&KKqhG6%0^HfuPo2d@Dm@629}erXZ*K8WFIZ<^V|($)$$qynPOIlVVX}&HJ#88cGXH}{(A96j7Z=5dwq0kNTpt8Ve#fky-eK+b5bu;1--wMdLg>;r*t9% z@PH=nV4o>EojaMYGXYHuHYX)MZ|a2@Y)(pi-qZ^**qoI3yr~yrusJF5c~dXMU~^L9 z^Q2xNo+FB?W{N}L0R4f`2~HG40>#hoUpF)+K;0eqpom0aVmXmtJZI7QL}67T$8e4) z7J^<05+zVrmB^i*D+*NBq)LFWDv?7uM-)K^BYlf=lN_j7Xv?3-=((aWDHBF75{W{} zWro84*Fa5hP=P`p*=+cJm5}L6(58q46v%%d2O^d*7@vqnZ%VULUv_BnI zzJ;q**Y;?fYZ7O9(4+TdG2NL$`Cz-=w8I-j=x^rN9cFGUyB*4RRdrYM@wP+i!h{tf z?ct~2x;u2s9g{ti#1_cmy1j;KnairlQv%CgDX52()2sSqnOsymUAG5&-|!GtBtfip z0>85Lz-gg!T{ZtVQmnBi+d?f@+L&s^Uae@Yx~>v)f8#i{psIt;^_UAAq7#jd1`Zv` zqQUfT`;j;L=rFH)(cR%1YRe1)RzY)IXY9O)LEghXu5|Cs7(HvU>H1`LDG{zUGx1zr z{KRCECpTB(oz*>?lLA`$yBI#QR$VEa^iWS#RI^S=kv>UzZ|Mumpc)bLr(V3_^y&g8 z*1=NDcCzd5+5`=;YZz9E3A_(fK3N>w%hWs2jK|+Oyr;nH(4IStKkOvz!p#ld_N^>T z(-66R`jWBXOV&pmy2e&ZTm*0C)v=z=(^7F)FYJ07ReDoy@UdcwvTUQ32L18)<8)tp zE(Pr_5@yyKIgjOk(*I#{=i-UC7^Cb_tk}-n!}g;_FFjgJ9`8vjUf2Cby53~F*4EHB zREC>;JauE;euQ!jj@l4_vQ4KIpSmd~$sep_iK} zDxyzJs=oWfmT~H5^6^VaUZpd?_W7<-#8~HErBk2F-@pzMqcx`B=Hy(Z(Ao0OSM(PT zjhRi$|6e|TK<)gixY%^SiUH&ZnE`9Lo`FNN5{uX8biSGutY=>w8y2dgdwFM{L`FiO zQ%DgL&4t8`Ygli7*k#K;IdnV3~dTW!oqd(j+)5Q`7p_ z^f}wG7``0qHOHo(FR|8omLq(mfbsj$S4_t@iG(cn>s~4!ki;u`y#9WNr@=am#^vtD zr4K)4iz@AjwzAneCU@7A@sMcwxwi%;=WU+HbBA6sP3h<0FSsqgF|m%OIS6~`)a}Bd zuFZpPK8lO!{jPMg1TN!$c%@i?l6s%1aqNE2=oP94-Q#jDr&_#{dfh`SsX44;!;e~+ zZx&FLzAR9F;8t6LHIL^7fox?1TQ{cwU#BO0zwmQ`LkQ`*i(Qthr@th5r2qcvwwA#YFr-pg813anrDo4mBv zMMU!d8$d!g`h@>^>@%T}o{!Nd%dBi>X^Kg9018gaMsno7L zQR+F&Y-2;gO=r?Sjp!+-KH2!rRgA8qae|PO8oIiDPvjv=?C2`n!jsy=hxKT81qvNn zm0d#-c8`K*Ak`SVck;tCd#fu7H$q0*iuJpS4;pva7B#ouIq$9^=@6;$*04r=*YJJQ zjq4sh)(<+)rP5Vxq1AdW?8M2cfC^MdH>{*H~&NZQjL!d zBe&3pP{SVC`y-ka^A9w6s46_IOxip8lD$o@um5FAT7LYEmLV_Me&&u9hbbS+ zDDWq@7t=MaSU*%frm~0irnO&&JFCG!oM-=_LYsSs21cls37^<6VJ|<=a~3uZaqPpux|;xq8!$5OJOFbwN@^4w-jSx>`nLY;|$rR z`u<;;GKz8vHe8QdN0Iv~dT+;*#B{-LXLr6>w3IpswLN-be0cY>_VrQMDDrZHZ`~I< zvPY<-qsHQN-HD8C9>*%o-Rt@f#$P_eRu!&jsdJyx;%;yA-O!ML=yyY6;%9buoenV3 z7Sng;&mA~^(uw*yiMtKqbo%Fd5F>rJ{XB_h-)%6y`{#Y9VPS4f*y`ZMXNdel5)N&g z6vA%1i{NBo?*g6fBysU#Q^TR1`3&KIm;$%MSW4t*M;u7_0nusdho256450q$c)}kc zN$9CRLZXeSAO86xBddYDR05Fp z5=hrJ5O~1!Glb7f0-`J!>@qK3JC2AC_vaq`Wu+jVK>ES|(Fz5?bL{+lxTLfqHj@8& ztDtI+1Tx5=7I;5sGy@L_?>4ktPPR!O0N>z05;TS@?HHURv9sNK}u42I0Wun0U} zT-*ZYl@Lukf}xD?-v7>SVu5*19DEp9G} zH#5UY!e|nRFNyV@g}(SR)BHt4jZBY0NXTGF6HEf+!@~3!%x_4jk=_2!TF)Zd`7^D7 zAsY~+$S4OXz<2RCj$0#@wP)Pm^ zGEXqS2*5w{AK+6vZf0R7g_E$bgfYo5uT>ICpq5xuOPC^JjyE+mH4`V^@tJk;zoR%B z`ZjQI1|v**SY)~eLOc4KSp&$|i-E~8Xq=fjoVQq0GZ;~T62}k-@ZSV+0v2U~CDwap z!t&4b2FC+#M&z{lsW@!>RckO^g zlosTR646=A6_teXG$>MZ4j3Xh;9OA*bTz@S7vh{J*!lv`ib1XhICAG^T47UWS~RI` z0%{*<1S4*9K%t4aH|8n~07*b%k$_TRfWhuxpM%t@$Y2Ge<7%$Lu#xqq#_={O&40`5G zGMz?3s58h+Bcq1`?f)|OY`wU zWRFscLWY5ujRyXA3tunW(T=T>aYi`nTC^82v+im(V_6x7fJICq94lPUM{MX+E7O z|MsTsVfvx(DxQox6h_mR?z(j7CX0%%>D`-8*ZEk>Ci;au^7pO|bzrDCUDMRaEb1q{btt$WKX{h>I&yC~9Yf>t z?GiRJ)K`SUJD=1&bTc-txct!J$F~oTnNI?>Hd^R}OK@38MU^e_@Y!wDsJOn`EBLw4 z(=hYhsEcl&97dWV-X7i4X?!AX*-{C$c8Ut^$9Bz%Vq=>gP6}Qu=je7ot=9^zdc$ue zLVxL~(aYZF#Xqps-R}7xetc6GI2}My+uao56}Ki|V_7w)?!n5?XMT>y&x*8GFO61z zo}KX{@q+7V#q@7)?N|GnXjF9%u5|2ty8C^U;bU<#4`+!=E6MBV@$Y>sF$6xg+^U)o zwJ)_X+#R1rzD3cD*;Bnw<9%YhO+D@Krci8R{Utg<_K2sUegp#5fv}{M;n-sX@1Ds< zXzgwOP&od0{DktO%Sl0|;}R@cnA1y9`rB+ZZC)m1sYi0?8@b+am7wIhcGgdO{go2V z8u3AzPv04~V7^SQ&V74&%MXd12o=_oi(G3&YdE{^+MN?FV;3p*58BM@*j&U%$9z_y zR40cn43hg_>R1^p_HJ})JaXsy+3rH|zBum1C#33IZaAzQ%=b^qG_X#lQ2qFdy6Q

#j|%g1bx0N-}&@dlkLwggfeaeD|;@9?zi5+nqVIhVDgt+j!5TLxGEO<>{oTHJ1y; zwtvXdKC05gwC!_zjq(+n3ZCXgeoXaucO=sJ@5c0&P`pTK%V(x7+!|-zy1bX#?$G`g zr5N$HP#MGYN`)>56!lW$6uUyN5kIp<`;SL(V1EeZ<#Om>UQb`w!4SvDIs9SROK7+| zV>5-2#%r@DnrH7nTkPylUHeLHt8r8Q!#LK%fs@~TUyjl_u)LfcEW_vp9JuC4)lZvp zUFU{(d4-l+)&Tm1K}>4W?elu~Hriuo`=u3e_*9Wtv3GN!JMv~ z^WD*kH?=Ta^F(fe=7?)w&LLxSDdq2uN1R>cd#*_Bwb$EV`DpXR4?3F$Noi7vlv&{A zpMJ<(iu<2u(VRpIw7NlV;x7~lpiB1OgM6y82%~$DzngDCihE>&)jxLt2~nu}&P#C* z3`+l-C=dh_U0O30p04sEO{662w-CoJurktz2=~q0n-*4{nb4TJX=knscMwdmfnI4M zNw}kDUMK%S64sotQGa;P{(Dc(oZvG1&YcS{{6DVVB2)DKeLK_lF4A^P=DmAY&(1kk zlI6Qsq3=2I`cf4>rtwX8`_IIv_K%BNTgIyU`!Zp=y@#FRdeeu}bl(JzbR0cD#*pGS z_P#cAgG)xu{j)Fj2(O9tu}Z zfq}L$&P^i&p8Tr?2a+iQJzC&N_*_h1!8WRB3D?s0V1^Q%3DJHBkF|Ek(VeAR6R7P^<)#py}F9nY-E!v^ImwEXe z!^MVIx0xu6`zlKp6ggANZ}z7AM19yj&U5#2&(QGlw#WRFiBD7>nWH9C&dBfJ31N!= zBIGX5KK6p|7K_UR{B1s3{&p^Rp9ZS%XM#h|{jW$Wd|tk7+Z&-5>LMI3X~sBLIjy*G zw9}CCT*>ZQ`H<%l=uMlC@kB-?WYy=F5H@FtNVGSgX()b#eW70d!?028S?E_a`#p~t zEsozjadAf&gJsWol@i7cSEQLa4!j9DQ#yd+z5Lbx%u~^wxRu@6bT2f|JlY+wHjG(T zZl^jM?;{bTkq%o$51AYJaRvK@)v7xeoosliaYS3m?3+F|_2ybd=Z(+ecYNPy@pU_&A3s)G+rx|%y`{=v7bb#xYTLhBH7?sgzCXKZQMiJZmDu@?cdrh4 zYWTZezCtPPT4(W6LI3<2HWt^bTlfp3ncEt&+&D!xn}kog9eswTlo3fAc;w(fCC=q7 zk;(Sz)b;~~jjRm>t?2M|cA?sv@~uDQ>TMW0qd|z2$k4neTguoJn~}(Lk2&Ce;Ej4^ z!?V1XpP$Z&uktrwK5_P{r@V?Mhc$%-XAl!w=!le#(v>bG~1im*R*!s}{d0 zTQP1`Ox3Q#PVw1yWCp%jIdRLdKh$A<&>>0jn&~Xh)(xM-zWuPTc+_&-s#0BV^X}|) z`;6kNx5hilSJvKjllj^pS6vXpAsd^szGvIsCyAG2w4~|V7mq|4KfjD~EG6_EF8$z3 z_0p$EPcLRdEbc-v);7GqB6Kv7=U)^AeG zinvErJ!-2mkuM>B+RTo&W<2)9p=+x0T}(l?$Y~rD(@boF#kdEeIiDdls_I zW{O`2gl7>B%_yv3raF?4f(Z0#A#MN;XgGfTFx4)E{AQ~0XX=OPQp?mh*g3V5pMTZg zNu(oV`k+GsQqTyuE|evpM@9-f0T|r}LptWqYN3(rkSPWR-JuC)Fqc9CMzP~z>@eB_ zC?@a{5XZx`38L)K)T93$#bGivjLQ5+?|&))>O--SSfQDhh zcnM29+7wG%a+%cu_os?OaRq8xkP3o-L;4VA#X{2~Oh<(31yh(nn}IZ{GOl3*!LfQk_e?jaCxcw)tg;;gB&o}4&~s9O>)OdD$asvi6|L5XJtebihM+Y7Mztc(vrl_ zivfBaNia>|>jq3QaX&76?7x+V5D#f2#*pIiLPoCt8@f!zcr&%e0^=PHKnoZ!E|?Ba zq&h536kX1ghoynhX=+q0abOC&`J1INI4Fz4*^P9yk{bO6OaE4NSQ?nikh(jGm1l&f zB=#GQ1PLfv0O%JkLE>yXto&QeVP%*M1AI)x*>-r>kb`H?Y_q{s( zwu`Z?@Wy-nMujRz*4MJcV-o@BeBt~PC);hQoa%OAS#mAM3k}jW#25MUt9<4krcJl9 zylmCo*l4$O0=JzjX=%!tW1? zI=JK+=RQ{Ra2r<9Wwp^XW+`3;+-f!k@2uIA&kYwUtlc-+vC~p(km~lG$PB6=X~m}^ z8(XVAa17cXg>^F>+&vPpXB!Mc{4&HU2A@YdWV*=)W8uVCcC&I{LAX$73} zp3A>1Cak63Wg=x(ljk!2@@1*(Lq-Y>vGw04Z{2*cZ9vegX|I)94$JzWsPur*!Tgq? z6inITJDi@IZbV+Z#V1qwB|DBca}!sd4ntX1XjO{r*<7teEgURe{%m*z-x=vN@bY3yBsdx4M%eG9w z;uI@|f^zI~nj^l?ca#L&A9uScw{-`@#K?Amu$Yq{bqZ7%Jg_a{C(rbWZC|hdATUy$ zPiJ=yAtgQW;MFHSyk~-yjRaS#2>JGU+z|_M@0RAd(UK7MaHPzz5!biZV}C}sxpF1r z2W|TuYj{ng7+RgswhH+M`TVzOGimEWTqs^`QOvw*I#!Z*+hzNie%&@hYA?5gNl`IX zyXhjX-s}A2Sd%=)6i~3@delA}*}YrjKVS7xI=efoxixR+x$5gBWge^oQpYRm6Sw6) z*+ad!nQvS9{o{Snbni0kb(^!4i(d9VQd;+ky0E!$^KxH_SMSEeROt%jZuS=ru$*1@ z@_~M?S3&4GzQ!sk_f6@@wsP_NID#zsEmu>+#a+Q#XI4mrWFft1AX&YHc3V zQ2T1IQ(49-Ocm!;VW`3~vNK>Zu72?DgkH=3iPw1tnMM1>GX-S6y}Gqq@{Z~kfoBF0 zBD4xNB8ShJoqopa#dr8tZ=8|Gks9aC##GIGODBUgtvujaK`tuM&~wBGG+ zy6kUyvFIA}1`V#~=Q;Fls3KcPI3xIMS2vEmdROM~&I0`B=nE!NO0=lTgmj^3*9x;> z+j^1pa=F%ycMhb~$;CX{bg9i@i?(lWwap@f=vJu_KA}|x*?Vdun|pI}$2#7wd&==O z^6T#RI7PF(@dvq|qddb7YPaT}eY2g3qNQd7){f&oHpL+)hC9w>wH9xP(JIVPLl(xR zs44Bz;d_~?oXY+L-D_Rkk323^=1}kb^hzr7DMzHLq&L%*)!q~CA+GHzlw03bCk(i> zEE(XlOVv61;g%=wjK^4--0ilT6K8wi7nKF z8Y_<&XS^wWHbjYE{rrv8Wvmw7`_u&$F6?H}lt&xBi15(f*<@Jjy8Vg&SZU-cu4cZA zek{4nln+(+UNOikTF)3;SFX|B(EC1;E2W0&v}$LGO45OwO}G_KeCc83i8uAyUEc2O z;gdCe>l7No=2X*mKfU+NnlLfWB#u-Cx{yh_R`1d-Qpti@Q!sz}!bHV`>5)M*#t31i zXXY-1!dy66FvTc=Bm&^N{FyBH)$9YmK{B~he}p)`Q$PGH*uo!8XBMWI4Uj*Y;wYH< zVLG8O#Tzj7o9VxKs+oW4H`9OfRKMTUZ>Im|sUAG!H&fkoQ$I{6FQz)&rhbFC98*91 z{F`UtJCO+NUsvi6~ z3k#@B><~Dr5Wj=Nii|Em zgRX%3M4|o{{!F=PBpVi5Fk$u!gtrhS0&D||jNHWhcIT`JQkD`D1am2@rKG78 z!0&*=NlF|eC1nP%L{rEt5Xa}U=t}-faY);MqJZA~r8oxSay&S{WGRle#G~+1rsm*= zTSD>-YYKS<;9k;DQPGA>vwGLzzeGKZOg z<)0}BOlzW8$d&%19B{u#Am*^Ja#jQ!4o@&MgQ*-+W|mSoQ!oxZ05KuaibK+pIATQR zEDD%EQ~V!0hBj3UoJzpOH1%)Dgh0h~!K??^0w4l`;RxnanFF#KV_}Mdp=>aH)Z9|S zg2)_ZkV1DmcW4(@Jbg4EBphb?@vvV3B@`NWhRbR75~juwK$ zR(vWY`JYdYsf+XP+!eo2-~b4KUTEaRgM$QtSHtWoa1DNU99u1Xg4DRm8%65Cs;~~ z!`ulAw5gOO8f^|2vV|qgGqwcgeW(<{QBV9z{^I=%ZW+|y;5Lq^{r1G}dx70^wA%!x$qbSeD&A;r&+;J;QuXHkm$nc|3P zAVaaI6~_Qu9R>nAvK0T%-qKX4_jj(qe|8+)JtRYq!U2y7k{gDTRSLI&*Z-f(iL+*Q z{@ISBAfA)pgWeCQr9syXOuqvI2Tu*O{;lT>y%hho>J0DoZ-vajb%?Y={!$xpJP<@W zS!(}xE17wqOx0_q@+ssL($xV>PGC>COR_`*n(X^49S z=7<9OF`OjC?FEoYnQ@2zVXcE40OD+D|7_Sl==UqV^w$Uukv749jd!{x0+VIQt%)?~ z8#%||**!m}w=c7N5hx%aAa^~x{;{B)QGmTn{b5-ew#d^NYNEE0u3nIGnD$p7H+IQ>gO0Iaf8QJMC50(R-M-RGmLS8FA7jnfLVSvaf>4 z=Sx~&A7#H+doZDmutKgw>xRA8c7C;WUrJB0*iU*UgnvGRHx5uIbqLzkFydjmk(|HtxYu-6q)Z$ns;P^5Tpo18xn@g@oYIZ#7}B zOc%|;+E0Nb&9I-YxPoj6(cM7@Benln&J2ovYqqd$jqWB(D=j3%u!&vdq z@DD<>|M5G45pOfyyD2IoN-Eqqo^>_$EY2NdTUs0$p=9W76jABV9!52`_MFKPgE8yY zr7Q}H;ofXi-lvUwigk|0iN-xEJ#b-rxs5m_)iod4+&ABEtK9WPAL+kwf3x?RC(FjD zcW7-g4U16vZXvr`Czz0LFD*{7_hPqUMk=LM4$zux%X z)J+kyJ)WngZOfZFH3|N-;V(z``)(c4Shl`O?FV<5zn0x#$;G4b6Qd3F&tGO|Xnyzi z-1%Z4b$Bnz`i1AM2fZgh<_~^qe>rk;)l0PvVS8Bh#14Ea51Oj6tIm(>d1UeoHt&qAr%m*tm|+_5(u)%i)% zNFXSzF(6cQ^CLUsytaO0DyEi1BhT#h>n;suyLBdR)-_uG^{7>&ej?A?v_tm13X4~- zz1RPF=jKvDUw#7L)hl7O?rBTgG~}v{WS$$WE8p^U5$6)O=g&0lM#5UY>BL+1lhoc9;4b@FMfk~v z2qlLpJONTyn7ZPpewZ#)OmUJ;{pM$(Vs^=4>aY4s?kd3c5WJ=&lpHV!qzT+r3FsP> z1dj@mbiYm65dJfWY!-Oq58c&i#UWZo;2wx0C%G3g7L9h_FumCKX zIpk5L2;z`h!a(yfP|k@HXC-GrKmJhhDL-2R;v49%MYz*(fJy~47-akwh=2)@e>9cE zTS@}{%^a|LSV#~_m=geWXevRlFe9!4&2k<8iq;VCN=?ye{7i#MAl;t7X|}e=fkxB7OTay@BswN@0l9r~VBgBw(q?(VHMCi82#M;jvO!f&?Ubk&KR{ zsU`4OngWRlmOzB0#m*vKL87srXZ*s^7+}a|L?}}s)of9y7{G?(0OmvtUn6QmBNBxw zA08s;xuUS@%oHK9!m#Q*qVQxhYs@4?p#=>`YLXtHm}i75q(l+U5GYNOQg~+OkEAG! zhe1QQH}_c~@?2<#5poHn;y+guwrOsZJ$Hxa6NOb5+F?j-z_di-co(|X=fXJWuKF9% znE@fGZGs^l01G50X$6I6Ch|#&qG5(Ci5&)oXO^4(izux6+a3PZ4~ft?p-EyHi4d=| zwrW}&NHfm z$aOrrd`qbsuCM056W)8?WMWi?-NL}hJm>JZfLiz0>dDTln%DIr1@ybAxi?aC$1;EC zTKqKBUxJD8yidE&8}?=VzKsVRoia>|1y+BP`r;{#m)gCCkA{o?fVdG&EFn?#%8jk` zF(%T?_Wo8D=ne5$uCq6bN>!yd=t&Bf^wq!rc9w7Z-(liyrE%eSC^8 zfl76|vY9W6r^$T#huiz4I31R3^WX3`Q9}7S3q@MlU>tAYJ?`*`uPrOi800>c$w$SP zY-%Yrh*xh;&L}?R$P}S~rx^(uV*Rj|Aqd;Q#!gPN`c%A~_~NBLHD`pksM(ww{qQ-U zx1|~XRM+ZI?(0CcmmI!J)^UJ_|&dIJT-=M+ty*h+*^9G zxm;}ViZU0}hE(6Cg}-@Xm-l#GS$y@|`coZ*l~r21z5NK1q$EUYn z+^*C&DyipidpYBk%6sw8be5L;KlX`8y1@I=;`9QqocIq)e+)+RE6^(OX6>dsEJo3Z#w48RIrvh9MSsms#+1(Xm0vP9ojZl1)T27| zsFH^frYF3S*jir1@?BD%CHOYQ#-*}b^plG&jjm2sRqRtf*kZaaZCS#t=Ef1dD{JTN3)+_Sxu>3e>Y8H?}puL1WaqLr>iXy(sFcjTGDpWt?}`s zwUpXOa8{Ot@pUb#xBEWt$hYT@ne2*Q+jj8s!<}u<6m_cu(U}L1JYl+fF9sbVSd^{Y z&Dhnkt9XFrtBAdkh^vDBTH6EtiSaU;w@#l8mP+=vM&T-A_jo3SD{M`U94NdzD(tdY zGI$g(;K%#)sJeDYWcoqWLoP@EasHHwEA0)@4?f>%J+ybCIXPjJ?sjW&wyQH0Q}krr zF~diX8BF)LZDkMMPF?!y`bqtkt@fpYI{aU9?8b)hc1mT9pfvMpF>M_-+jmKi%lSeRu?Yl%64u+!-V$A^m_ zG+Z{uRh{A-jJjg%@CC%K@?9ujA1^`~C?@6DQqttelf8~AtQ8+yFg)UV<@U9+N@r!} zL=9->y{X!SIG>MRo0W8NLlNisaze`;qf4Y5LPf%$|Ex69spW!K<#MO{Wp%t%D{Rhq z_toO9WD2tA_78%q3(JpNZ}$a#q=YyKVCl*W+6)@!&D5^TzRICnxNWF}__X|(J3Zy7 zx4l#4(wDhssu-nea-730)mwqtxGxUH8up&AI}Y^$tYMeea!-{d#}1F=5nv6V)Moo^ z*@qhJeDR1-0}zO8mjVGMD=t7d0QfRN0~82nfg1|>HS-oc9Q=!_fqz@)0TROpd;<6_ z_=`|rhv;*6QFh#ky+eQ9DFqyvgC5wPp+6iM7%1U{9mc}6pAPV_nPKogzC*|%KqJdR zKX=c}AlV25pa{H1^JgarxQz!r&c7Q9W`+OY@0JSA_6V~3hfI1f8rVN6Kr%qQb(p(% zK)er5l=i<{3$`B6A%lZ&hs^q?RRVlrpaSpUP!MnJaWem3a25ACgnzAo0Cyze*uZv{ z5A1bu5dJ^`JEjZf zYfOSA)+9>PPV|W6>uTbA2U;aFC*F?)aZROw8$G~7@YIk32crkFEbhgg3Lp1KbQ=TN z|4Af7YJT4Q7M9YKyejcIPVw`ml(Z;gu@W1HyXbWS8-{inV_D`?H`{c#tnSc<=DbA1 zi%Rv;q-oN;dw$Gn+I!jX(U%@Pi?kRut*KOs(BtV#b4d@NGd@DdSFPVli*brXGB9V{ zSRov|E+*>@G!R}Z*`)TxU4g*8m6=zdU;xs`Or3rm>!>CWlEKQd0 zv#2?(2ilpjKFE}C4ijotN=^>l3r+SGeB`~b+8Cjaj1;%zI=krr)u#)6&;R1_Y;1@@ z19nL{5qo29@m0G}%@?hyJpLC_aWu{kwhQEyKcA|X4!!wyD5Au2_K8bJp@r#~7EJ*n z4Q7zw1Qnje9HEUZ_G1rp{3PL8iLmSDVe0dXmltqr=kqNrxvTIMxUl>z8~TV*yf5Xe zJiR7k6tqE+?EmcTMKS_NZ~u3;({H^iN3c@WPUEfwPCMEwGV5Axaw?>rm5G#CED0+RhMhyqJ8=<0~!YtCSY+ zUztUUBI;mEQMK3y)xGh3?ahDNoRPcaU5I8RV@|XH#uJ)0*Ycpja4{4*e9>#>+yt}S zPPJW%Qsr$~j~s7Ev`+DRcuP$#bXKW%t7N`DHzg^ z&nb55^p~(&J;}~^n1iLbrK~8DU+{D@HTeVTIx3N!QrA1l9RI$QtlJ&^y4@_fb_(5S z1KqcRo!`^k&a-YjpYdJO5A|_^8lQE1?aP?BL~8NL5eR07j;3m*etFqVdfI?RG!ha4 z(-m*mJn?Ev=&MNF+rxq1HTi*Gp6KQDb*NvbAdRQ_!%~Aq<<>Drb&M<2x4G6I7Oy_2 zNyoVTiHJYs`ziuj_LBmSD57~?8AC#;aW^#a*S--ZIAIg>b=0f1k|!kJB45g9t5(~v zKqNzPQtrqLuaM43ZHW}(4Q;YZ?6ofV;7Tfn)p2+snT8&|pY65EYf2uioTy$k7|?>+ztc&8y;nj> zH{lyOyUyuX)Q?XXf`XuaIsgN2c5w>(wY^n&O1skZ#etWc7N?1X27EHSyA9dzAR3%V zok5B{o`o1&7nhS3_RaX_#C)!>LvN1I>7gFUp=H+>vm8hn$hy=nncprxHqP zJsKlbXHZ}_0^o$*z8YYB_fg*(Uq2SmMY#otye;~9_7&y zYlfVT+0k9z*yVJJ@U#XShO#0_F8TE7<|pfZI4Sp^j@io+26}K!CR=^=sy1=Lx!S#^ zqI~=K>H@8u{&>WbE}`PG0;VMCcRak6>#`9V^Jm;x^<#?x|N3ejvv-)o&c{cya&!{Yj)X`5WFUXP3S#8hb4{FAbd!m@@tp zyqIchcz?_jqjyqn4hO^c{mHuN2JSvsV!3E^b~7r)fPcCVf(e!A zBq`-Xyz4b~q5oV7#T z;LrE&E!vKHejW-^NPt7;fWg2iKM+Oy zG!$?h1Ad6ZX59yk9yTjnfE$pUADk85xb=I3p&$tY{vH?#>M8$ptxyoV0L|c^?+thh z?pfd?j6Z%Vjsrb>@Fj(Z4*N(2{wbOR5eCTK?Ga$x7jPV1h_R0r@<)gP+8=fzjK5G| z1KhcG9g>9Jt&ig+B>H70z1?)QgqRVXv{S^+5wW#!JnfFlnJpR{{!H4+T~CP;xyWJO zF!?<(w6#53Iz(+S>;Vzg$Ca6?JAgU&0Y;$)C1W@-mNKKF-kX*o6*66pCspR>+xz;M zSnwY8O1)BW4@9Q#RY<+la91OmwM}->N_o<&t#b(_il)?JQTF{O!P7~$9aY6S$cJkAJ2>7DlkAiZh1X;RrWLXC*cuv6ba%mqsvsQfh|u*uwpJHbx|wECMjL= z`CQwPcK&tp)+tui*b>>3ADsr8M_n0WZAtY)GT!GgU6_aiyIqyYK^Z_@U;+5Y? z$IDe4cr8?0T%Rg~B>^P7Zo4Z=6)O_MYuk%mOEV*-ikpS-ViUx3XS6+saS?Rfn}3v%+f)g%RG$# zs36%H7h!}fG+r5IYm1>(%UADP%K6cLBrBW-^SISD);3PUzC8Z4ZYnVbVy#U{v@555 z`K(A@6Xp*yQej@AZl7LUs4rZLbb1&>r`PdtQNDSe^aiuy>`wgKCUieo_!rWubQvJs|V;#GXZ`D3q;9PctC|Lt4W*VE(Z)b5AS zzf{ig{fhpT@-ZR|p)Oo)eCZRB{YSPG5xG0{r|x~djxQY9a(j!6E&0Smd{io9>?kVF zhUXyHbWuhC9;*EPAeyBc(N=5mEku_p^8)2im^qWlv=QigO^9dMtDd1o-A5n2cJo~2 z^ywRn$mdP^S2ysg!~Bxh(q!5*uy`*wGDtE|ix=NZW)1BhBv;BHMDL4Oy6xBRD`ux@ zE1Z;ESRuebkgAX|&bHO>coZekrT;9l5qK??2%lcvYrRKV$Xmc@e3{x~kQ7 z0}fHEvKf8f?(3ebW}xA6o-SX)IomUva2Gi|sC;C7>@vmo=bi*XOfHj%%83&5L#8XJ z6f3$^&jXUbb1ek@W+u{Z1V*8YZrKU`6Km~RT2#{T!V{e^G3{kGU&rp3Vq zKL^3r{?8iJ!JEv~)&zbkad8|Viv=~(J1f$tLh&W-666{G_*vah~@SKsba9q6ft5+;sC0)Vud~jr{Do^ufUT$ zh2z|spDQ(%=h@6#bhyc0M4cXMoVv~=#^4WirlKKhNvNI-3aY*o=hybW z-KF9ENbBtS+y*0gSPQ9(q%YNdO!}nETw!!kkq`BEu6|BpiFBf7vXk_C`>1w(YpZuZ4V`I`8Enb4;$Y z))hZ?&7ti)- z{c((Eq%MzJDm``83-hE`^q-ZCUudo`Yscb$e<$aSiV?j@%;S@VQkc{&S?^M6y%y20 zB7|#`F687iuQz1iR~u&V6m8aY7B=_Swg?*B`rOcSa-P<#IHAH9J=RK^B;0(^?AX;A zv-T8ByK~mdn6&5J8YM>zr1=G;Hr>jl?mgEb4Qyq)ZB$~@vw~+zi15v@@d7f}@%u5? z?$_KTDUZv3{wj)U>%5zwpl098CX-6O6aCoSJ?TL=6kAJ$AuipN-RO9?;{EW($-G6H z6EGiC;>s1(2wzFWRlXrxDqe0%?=|-{goT@k)=IsDaX3S#uS{G1j$>Pt+Y?BwJ4?qV zf^n9Ttn8!rQr{9wVv0{D@X#b|^k-FW-GDm#lyWU2Vdb|c-w5)(AUe%5(9q1E$#5y8 zyTvjo#`8(|v5G=IDeCC#iGpzFnp*;Qy#Yg8d>cs>ONcNX_MRcuwAB{ zPNzSywe58A#DEC~c z)q0@mhR5(UrP+zW(#XrXGprwi6KZZ0aEo7DTcYl_5+H78XMD0A-h}ia$=&*^gk5eg zLGL%0#Psz_RNH>7yBF_vE=CU_GC)@p;DU#xeuLiS?P~XNf zzq&1NcjKIhUuA%B@m&2&q(`0?zLUCY=t6JsvoxAHL2rCl>I)>cqj>kE$gj6G!M>e_ z4$t;pmh8Rab-eO#&kekCi`sOM=k|nOKK7^ZYo|6l4N9|^&ocbFO7wA z*_#E~Ut4c%mZB*jbUCFw_ro!|0GSGtv#@#~ryo;6jmvBG(hm9@E7+R|eif)wZuDXa5RR)IavTG1SHHrpFIGDcGcoQaWRme`Zc-&w;R+Db3wR( zSQ`%n(D`xkfG%2gBhX3@V>bfr+Ry`Bu{*cyi0uBs6${WS;BUc8&A8xNeL#hc=XY(u z|3_x;6K463`vg>_!TCQqK=(gfDT@uB{sy5lNV>rl=YPN6hNggum=jPI07eNj7#Ap` zHh~x#0f{pXz-9zC`_GNn9Q$+@j>d4fTs&YN1%~s$DQEzV0l+SJeghxESKJ6h{XpRh zaFiOevzq~SSePMvqXX$IQwY?A`#{WT)Uy{%Q?aH6yx4lE5fMyxq^UnzPwet&;{Jrta(2jI!Q_JTeMK++87PJ)2I^Dt0#yR-Ip_ZNhw z+r33UTg?T2=kG2Uba1nB!-=dxQidBiAwQ1?SN+>N1=fTel%jz5>VctP>fdfH4)FMJ z!AU|uKMoJTJ`b7tPxlHG#IwQu-U8E=}pHfSa~*iAm+@ zNk!9!rB2B}0auonkvX%-k_lPdVvH07szUYd{$8EmS7-0w!4Pezk%>NEYo`#sQ2Dq# z-NTXhV+CzD&1C~?Bg1Lc@jOb11Zz&gd&)-qcaaHHRQ{ZIIpxaP&Au)*lsDMeeuW-IVLt0|I&=6U%|>Nat_*(t#$I7*Darjp%gE1aD*xz%Wn1SS+BGGG)4 zK7AHA+spt7NY{+&y(IX$ISSq5U2PDOFiB~=HpZsYxS4#DlFwOI;&B`9yNz5V9hdqh zvI?b=1Nzv%m*bSUC`VJMGJt~|ZKka3P<;!+ek-^*mT z=l;T@$4c%LiL9LNx2mO!$~W^Bpnhqe(KdAJ9&)WQF`d@M6Koafudv2F)dI^1Kek?H zmJd9Jd7N(Q)clLOEtM{WcLu0!vfRhslbo$HVJBXH(8KIztd2Q}()zqi+jjjR28 zoKg2KQoV$g;RKbZNOtU_Wm86cl4A@>Gq%F34i97@7dAH}ZKCV&RR~_m=qz4;6(}jg zId7KRrVeGlWbL1LU-UvPGL2M^+Hv$Tl^3f8$)DrwGaMMPSC7}oZQUMS;S{CtomnkA z+h0NHxjvZPbUFH+!8NAog7YmyMQ0OtYrZKFNbhSjQC=_%Q!>mb<5>dhsgksYcMQg@7|EtRcDz< zy*U_N$!}UKtesP-h{H)FK{gMUf3kG>vP$mQs){tqP?PFs#*>n~_}=Wd>H<=e^wBO+ z$d@$*#wNSm$|k3~8H)X~OV=C0E*Qn) zB(D9S6tm~G(eVlLJjYhGN~s*&0K7gHHIthf3+Pm>qEcTtbudUtTJ=;I)(nvuD_O`x zqP+#lbD=+K(p_`N=b-awV+RyjLpX z@7z)=Qyn;S^DZwvPPIKBcBM>R1x8xE7)g1IQYKYhQedhMQ)$De+)JtIPrh>BCx2EP zdySs_7*3xeQ&n;i`C2qZ!%MuH^!RH8)>EqS-V?{?xmiCKDtBP;BiZmE|dS%WRBQ*0-#k!Jod@Fr)+x%n3Y z3eUQuuQlFQE4)hl zZ5?bR7F>r?YxT&2c!FOT#%tRY2F{%Z2HTj#GiIjI$`x=ui8 z&Nw%JmBgg!)p{{4Kz3E2?L{Vy6i3eh{i81r?0YEIXK55a)7|mCcqvOg>b{_{HCBl} z(iN<-#v9%iW*9lnQ<+_M&&%VC^z>o}ox&&GiH(Dp^*wdGJ*~q&1wN5XoOqvNlaz|0 z@ksr?zn_v++!ft~s;#v%@2=DgIO?GS2NRYNJ&&emiy;UU3LL%mKV&r<-~|Ua?Jv^A|~gKjRhKa6BB8 z*mgv>KfurK?GM{n2mD*Rn8vmrwEeA}Y%2KHE|l>@aTI)I7s}Wru;th#umy_rKYsHz z4xpoO`IY5&5$5(cL^N;Q!@WZ>md6&Qzu4fMa;r%5v`w|TQF_;8B%P?Rp zh`)BYfrLm7$@L$11+`2TwJCcTnDx2;UsMTbDsX2;XitnIpOXrDEWW_&l%1O z1Ou%AP{?niVbBZkC&LX*4S7tAcnr;qp#WI}XcZTmAxOS~mU1=@pwrEL5T)7|5FO2x zfh*(z?-ux#af4sa30HgJ{0-|P08Rel%DCA$jR1M83DAQvgTPFoCNMzl%E4s}q+rZ| z&eTENa9_Oj->wWUjs@QXfPWTL2Z6@;?WY9%8!pNthgwvDtbNhie={8P=>jsxf9!^9qi_M7>`!+8AMx<*yvBC}-2Z0x z?t+6;12krU&=$Ni;SCSq%??7{KP)&WfGoHT0RaFTgq_0_+&4g{Y|3TE&c$iW4IYDo zt?~N;#UnBtbZCI|HE6eh2gU#b1+r?~&_6)TMj)I9WTv30pPSQ=jorwIjhh>AL$e#R zLrn~MOb^-Odm)McX!sB2Lv|R)b}RojYcZVJ6yCbT^9RHKH8y^Pbjxgj4;sApckPBF zc;J5jo!$TX06RjqmVY4BKAb(HQ>Eii6@*7+m5I98|z}1f^zWn@w$~aw1xE0+2?xmd0M!IeBNHGP$YsdI z24w62z4rkYZS0lLRREf!ToG38X4O1`E!N51ftPFE$j;E@TRrflZ(sfRKfq z+YAT~umip!9y1l?b=M+u-!b^y%6)hFOdmfv)k!e1twFc=I1 z;Ra%RfEt*M%a|KtXlBF%F@*pfE>6I6d~lQO1y=v#v9rCm;ViXq@(ea`_`u7;pzyuT z{s*&xnjxo|5jS}CaBu=eKtT9!WMm4K-h>lK9-5h%95nj~Ps<&%;d>jP)NC*y@c|-i zD9Cey>i6I85)<$cLV@UXu`*ktqxU{1oul5QKiFreM`Ur^kWSK0h93)N5MTZBu8#UP{gYQbPrJK(HLOE5s&H)464q>yjg2t4@}Jq=5WLDb zspcTS%~K9|Goh|rYfdn3(!h7gokR)j*2RU-gPti8YET{@3`e&vE)widce~00XgfmR zk+R9n+#y*1GI+DTL@}azYw6U5HU5n!S53Cu*uK=ZEoj#Mk$XjS-5VC*S@n!JKi za8fK{^trUZ9bQi$hj3(&UCjJw#jD_06}Jet=PxI2h+LlkcG@kNDimKG_hO7kvkO85 zrAf%f8MNp^Om@BKHJvn7yDwu#sllVabMf@yoNR z-nuU|SL%uvlfOR}zi-js(v%$g%9ysrJc5!PvAh&5OTynvnOCr+EiXw@H`ou`c4d*a zxpxQK(o-EVLnv^YwFy%VVs2n{Sp{p;Iz$+w9Tj`|e zlgC)gd8w;i6;a=yr=$7$REe8WXH8k4*fN%0^0BZYhtQ%11cz9c1eC$!eUs{^wIW}U z+`QAtI_G@ubwn-axi6m-QJ=9J>EFqnfNbJWibs8T+W2ARc7$;hqFH_dS;Oobk3c0W z>!AzbWGAsFzkFv?=v@Zr_`xmU*qs7g=8EjoX1ynv%$pf3XJV=+{1`?wxQ zlCP$5!tV4PdY6#6Qxr4&L8l$?;)fbf)Jl$1`y+^#VCzqrR`Z2phY1;k(MOn@~XBhmNfcf>*cjJ@+GH)=+}~lg_JQ!ZdUFiXe)PZriFW~zZZ!jrA3^P*MFnR z$9Yz^W$3=#hj~5$j}6qVOkDOm9h8_Cus8!zEO1DA+t&4~T;2LHoZWiML}C~&S9M#u z2B2oRVa5`RU(VuX&0s1nzb7}T@l+meC|tI`KbIxhRbJNSL0BL8;c{?kbOj&EQUzPEv9ZhJ z?~a#kJ(hVMn08GB3+MC?76mmuJC%i&YWQ+6$Yb4|Zu$D4biUme48m9;98^>Wf`u&qZW~isE#0&-0X$dv40)(8`EB8F8m7gsrDoht{RZ>y}okM3u)mV&g928DthTcLA1E34B0G<9m^ZPBsI3bUGF9A_%( zYeJXU$z&#^#w6Uz)vInT(KW#E{ruTcvFWOOI7yRQ4Y-bLjWgr(y+ttDLacr!-g8(& zWiRvD4;`GJ6Dm7r%}-qw+su$hl2F+eSb*m?w%OmdKkTMGcIj|IA>4M}0{qNwk?1y0 z%xb0p98e1W*853mm%usSJQC!n-GdL;gDl7)V610p?(1c*?+x8+g8; zy3YiFxWHjIGy*RC0bP=vB{;e$32rwaGX>>waQ+?8rV8Q>5dHwWe`_|(4CucYad4P| z_ykV8$z}vp>$yN^X9k0snjR7)?W4Q-k3rIp&QcJtgX%O8OT*DnfD(h410XTK4U-Ik zXc(6<8#mO9n;j$uIAErr4iQMfgAl|FC}6>$2mJP<0CE1O6I5|w<)UT#&Af

- - - - - - + + + + + + +

Data Structures

struct  acomp_config_t
 The structure for ACOMP basic configuration. More...
 
struct  acomp_ladder_config_t
 The structure for ACOMP voltage ladder. More...
 
struct  _acomp_config
 The structure for ACOMP basic configuration. More...
 
struct  _acomp_ladder_config
 The structure for ACOMP voltage ladder. More...
 
+ + + + + + + + + + + + + + + +

+Typedefs

+typedef enum
+_acomp_ladder_reference_voltage 
acomp_ladder_reference_voltage_t
 The ACOMP ladder reference voltage.
 
+typedef enum
+_acomp_interrupt_enable 
acomp_interrupt_enable_t
 The ACOMP interrupts enable.
 
+typedef enum
+_acomp_hysteresis_selection 
acomp_hysteresis_selection_t
 The ACOMP hysteresis selection.
 
+typedef struct _acomp_config acomp_config_t
 The structure for ACOMP basic configuration.
 
+typedef struct _acomp_ladder_config acomp_ladder_config_t
 The structure for ACOMP voltage ladder.
 
- - - - + + - - - + + - - + +

Enumerations

enum  acomp_ladder_reference_voltage_t {
-  kACOMP_LadderRefVoltagePinVDD = 0U, +
enum  _acomp_ladder_reference_voltage {
+  kACOMP_LadderRefVoltagePinVDD = 0U,
-  kACOMP_LadderRefVoltagePinVDDCMP = 1U +  kACOMP_LadderRefVoltagePinVDDCMP = 1U
}
 The ACOMP ladder reference voltage. More...
 
enum  acomp_interrupt_enable_t {
-  kACOMP_InterruptsFallingEdgeEnable = 0U, +
 The ACOMP ladder reference voltage. More...
 
enum  _acomp_interrupt_enable {
+  kACOMP_InterruptsFallingEdgeEnable = 0U,
-  kACOMP_InterruptsRisingEdgeEnable = 1U, +  kACOMP_InterruptsRisingEdgeEnable = 1U,
-  kACOMP_InterruptsBothEdgesEnable = 2U +  kACOMP_InterruptsBothEdgesEnable = 2U, +
+  kACOMP_InterruptsDisable = 3U
}
 The ACOMP interrupts enable. More...
 
enum  acomp_hysteresis_selection_t {
-  kACOMP_HysteresisNoneSelection = 0U, +
 The ACOMP interrupts enable. More...
 
enum  _acomp_hysteresis_selection {
+  kACOMP_HysteresisNoneSelection = 0U,
-  kACOMP_Hysteresis5MVSelection = 1U, +  kACOMP_Hysteresis5MVSelection = 1U,
-  kACOMP_Hysteresis10MVSelection = 2U, +  kACOMP_Hysteresis10MVSelection = 2U,
-  kACOMP_Hysteresis20MVSelection = 3U +  kACOMP_Hysteresis20MVSelection = 3U
}
 The ACOMP hysteresis selection. More...
 
 The ACOMP hysteresis selection. More...
 
@@ -172,16 +201,16 @@ Driver version

Driver version

- + - + - + @@ -196,36 +225,36 @@ Initialization - +

Initialization

void ACOMP_Init (ACOMP_Type *base, const acomp_config_t *config)
void ACOMP_Init (ACOMP_Type *base, const acomp_config_t *config)
 Initialize the ACOMP module. More...
 
void ACOMP_Deinit (ACOMP_Type *base)
 De-initialize the ACOMP module. More...
 
void ACOMP_GetDefaultConfig (acomp_config_t *config)
void ACOMP_GetDefaultConfig (acomp_config_t *config)
 Gets an available pre-defined settings for the ACOMP's configuration. More...
 
void ACOMP_EnableInterrupts (ACOMP_Type *base, acomp_interrupt_enable_t enable)
void ACOMP_EnableInterrupts (ACOMP_Type *base, acomp_interrupt_enable_t enable)
 Enable ACOMP interrupts. More...
 
static bool ACOMP_GetInterruptsStatusFlags (ACOMP_Type *base)
static void ACOMP_SetInputChannel (ACOMP_Type *base, uint32_t postiveInputChannel, uint32_t negativeInputChannel)
 Set the ACOMP postive and negative input channel. More...
 
void ACOMP_SetLadderConfig (ACOMP_Type *base, const acomp_ladder_config_t *config)
void ACOMP_SetLadderConfig (ACOMP_Type *base, const acomp_ladder_config_t *config)
 Set the voltage ladder configuration. More...
 

Data Structure Documentation

- +
- +
struct acomp_config_tstruct _acomp_config
- - - - - - + + + + + +

Data Fields

bool enableSyncToBusClk
 If true, Comparator output is synchronized to the bus clock for output to other modules. More...
 
acomp_hysteresis_selection_t hysteresisSelection
 Controls the hysteresis of the comparator. More...
 
bool enableSyncToBusClk
 If true, Comparator output is synchronized to the bus clock for output to other modules. More...
 
acomp_hysteresis_selection_t hysteresisSelection
 Controls the hysteresis of the comparator. More...
 

Field Documentation

- +
- +
bool acomp_config_t::enableSyncToBusClkbool _acomp_config::enableSyncToBusClk
@@ -233,12 +262,12 @@ Initialization

-T~KZ z!hMjK8m^x7zZmU^%m%v}0uX2@=!piCQ-GE@z%Bdxr_vYzTwD-{DLV`ThvPsQyb(ZM zj5rM0L2bMl>_9Zl334C*vwJ(r+dicJCM-SJR=sa1c#8wWVE`-oIV=T5!w2dP|8gi7 z=Rv;JpNDdS49Q{b@<8eEx7P{`25C14XrlPpU@+_6m%zbg+G)~=u%VoQ zl7R=jeGeJB_gOe}C@2br)8!vBbnkvVbSS8q=RSn53ta176z;I0Ad?M-9yaL#$l#}Y z!w#N6__=t{-h<2lKMw^eY~V$5*wEi^VF1Mcdgy^l(7m(5F-|T}LAouY2#|ccdhFPKJ@ikH9Tb!p1F-VvNx1;$_#f3y?=UO ze>D`$`rBQ+jlRRc3+fk;+g|SY|JNb6eYAN;hq`TdUhjG^yQmwS^W-m4w-^7QZV%=C z1nRN$#jNU39i5a@wac09V-YR!GT3I(V;-qQPTE#^ODH+eXj>VjPj8B)zVsL!Tu5r< zO-j0tXQzmjUoxM+>M(Cf0g=FL=sh0(8Ds8}93Eb6Xsq9O2kjDF-^lHn^Bpw{!Gz?L z47k_bJ4zc##Y0>prD*I&WX*?G=1pS6O%ooaK#5$rUnO@brJGvlSKP1ckI%i|NH5x+ z)(#~=#F#gdAzCE0x4NLGE1SlpPE8wNnA9*eMuTyZ<;IB4+fES%2@NTYCzwKn>d7rn zxmlc&9?wZ}c?Cj`pOmmw`uJ&fT}_>yar{vW)2mv63m<7tD?)YD`D~w_-Za$BduWReqk z7!xz2XN75ELFr#UR<@j>WIII=_U0i8x!FSVRB@kcW>audV0Nl!(j(jpWmiH!=T|o* zF`W!R#I`)P67A=pM{iP*6vL%R>#D4VSjdQLAWEBwA`|eAb?iyn05Y}r;}W&0;$oba zJSx%8)fpsBVHWBSBGCz~Qd(7sZcbfJTy@K?ALn2lhXil6y-+(7Pf@Bk+i~u3YzUja z*n2ZAvpJrQCA@2FH^OaW@K4<&&5^Ml{KhxP(Y%5qz@y$C#Sk9f$%r!&J{LB88t?X% z`e&c<>SZ|9poxv43$45-F3_xFvT>c-P$0aZN(aGzz{o}XZvGwdqdr8mE*1%)!Q0)< z&kB)NZbYNI^N%Ew` zy+QrV0&AwLV$((xEAoc)2?muSsx2eTco}AL0>1_w2T?3y{i?AWg_3R{iby{qSlpVZH}K`22B!Uk z_be2Xn9y?rv8`;O!?RyX2dCGo zUr`>qXz6s5)e!}+HIi!DA3Y56j^~&vI!uM$F#+5BsvR>fVdEc#Gt+fo{uW+%Sbo zaekIs|~X8vf5Cs{FPAC zC$HnB9d4d3*-Mbj@5uwGw%V_4VyBZo(jN2R>yg8hlx9teCA8>hU#-HFrbe{-9ziw> zDHpITE#aHB?4C1)**R_vCCp4~^3hYUc)CFt*%uYeHeL?AEGjrjh$7f*;`c7!+kw4p z;o`EmLPnK=e2cbve`k7u1^`u6~iOfd` zL*lo55Nh=qISv88fcX5LV|6D&Isks{kZtU%CWcG1gEHD9=zfL=1>4oToZGZc0MCMx z&i?qy4zLBjveWdu58>JwxO)=)x8Uvv?;X5g4#-h}K1z@(1^sT@#Jj%_rT=|d?9O!_ zjoqML0VJ2Vi$g$N>vrEKTvZkBY5oD=0(FgS2a?Y_D}S`6fu)3iDs0dt3p8)wIe#Gd z`g_~{ee*RZP%Zjjy!_v`0;tN}jt$_2W-#FB1K<#70R!+5$dvG~{kGEB@ZZ8JJNx=* z*Bj*g!4m}q5e}T43@$Om@q38&-}KHa~Ji{AW01U{mC zk$BYobIQfD{jZ^sRGHSF672?mVSVXvR+3Kk89%p z;MD}%C>FIWz8H~GFHIC1kGy{FCrfosr{9roNJI)#54_XjU@=P?=Ry`6lRN-yI_u$ zE~^^A6;~-A=wu&(m(X&#cheW8#evdf%`Ng$f_KFim*eWm__g7q^TTe+IDo{R>zlHT~9^mxo# z)kRk0>vH8cV=_7B+`4O;U5J?e!yY`xPjZx8%qlM|31ojknf2glXe;a`(P&qB1lI~j zQdve>T>cpEiU%&8f078-RdS~EC4{fo4!ncO!xop{UAa|iuDr^k7H5w~&Xt!GV(YF7 zdy;?E@-j~+>IsiN=99&qcrki?8`v&gweRb;QxH+lM&ZtC)>*!m@ zDk=3av)PYQ9dhF7B27Kf+dJZs<`;D?KD-pxi{x7&ecZM4dl075abz*GMn>nRku#Q9gI(bIGzzbwscCyIG$d* z=gq)9dI^`bk_7haB*V2+1r>T`qUp|Bj=rKu$_u5soA|ugASas9dHx!kITAsR{+5?I53t%X_-#2T>n_6P)Val zB%&i~sqd^UQ3k?l^$9+OQ=Kg-cRo0re0TpQ&ajvXO4di4!8#ikP9aBp#j;6%OFUW; zLD8@`qlIs(axp#ps$ufsu6SsYSn7AdP>3aN zjQd$)yo9+Ls*opJ@nQ}wqsJ2&g*ZmdiUh=6*VK@5`RLZl!`YwczB|7j@nnSu$2u;hYfc&oz0#-sYjF{@?LysAuFO#3Tof*>8=LrkDHy?+q2Rki zdVtNS(GG3XQA9`Egp2T?XqO}k&X9PZfsu0`FXMl6 zfZ+~1@OuG435bD!;|^$p0e91OdX9tV*J(u_4t;$S(<=@j5$XuC{O~^qvdudeaWwue zU>E{$FNoFPW&Q9N2m+99I9(D*g96&1-=%HM4gYQJ_RgXojp2a78BSFRplaYofjr`m z_yR5t%JGN5=r8)_{%uDf=QfSl?v?HMdplA0`9CpwavV_m1bvm;dvj+f@Tfu3@9;9# zedWRjX9Yt+2NmQHw-`Y32mSc{vw|Y_!}BcrN@5P1lmniM1}UJQeQ_}9L5F((r0gI` z$qs5>e;x`P>YsBoKd%xyu$L1w<^DVrJo9^IJwk_iuLr%qO!qJS>3u}k|Cf|?;4<6) zi|KbAYA%2~{iQ>ltEsI#poZ%`ss6ETu0HT;RgpZSzf2_EqmkHVz{XGvx!a2$F%iDG zF~`Hy7pYi{n$+U;xqSHb(AoQBPb}2t+AN6MKF$u62#C=ZK++?qd6n4MA_>w|I5cNw ztF(hYLWVcYKesLneUDJYGp=WRk+R@KgF+iC7I4}qBebF8qvw^PW;)fo=5=`Lj#uL4 zV?$}O$MT(^-c?4@80y}i0!xw#t(D0!QUs>(TyqB^} zBb-EXNU4LIQQHK^usEKs8F(rW*u+&F4_<; zO=(X}CywC@k>Otwd<$$OTN)5C$Fk)-u&1P&uhcU<^7|};kesHB+t!Qg9*4F>!zzaq zm*U2c?9nX6|6Q2q%&q8lS9|_W$N43g3Hq~FqB6MjhR6-q{f?jc=*wSG1RsP34t z>kPWxt`{rr7jmy!H9JPgLqIx)WvWMXDyt}meY8ZkE}W;f+5t=WQm%EEcy)7GX;8o; zO2-Nh{aNyjQ)A24BaQ*?9;^Z~y=QCEQnX}(sEuN#Kds|NUUNeJm^Rx&LRU(YAb?Xx zqv{_TR|A<+<%ciK`CkB!ZXsDifw_4h3EU7x!mdBZC-sG`oK+CN9frCap%CPPZgKiF`h-06VDvK#_i;GSH)bUPpHX=5Tg^t$rdBCS z_}Q6DnO*lMogm(Lfn}AVlM7Uy`YegJT^Ov*>-kI?Toz?R=50 zE}#4px4X0_y^x;V~`1FGin`H{Ys2tLSGf5SKUzg{i z9+#@&gw%`lFtZ>$o3HIO?nM-3vDf81UvMvjj;q5n;C8lS+w;2J;fJsr(bklPWyJWz z8VSo3P4)?seK|b$h%P-ZLmzV~{dntoegYkC8NYPev*+ueIM;%@CjDX=_jI-p)6-9R zCvHw4wC-F*XUDmM&uDJKkd-;`8RL_qS)tVKie8C6Wenc0) z!Wz^wI3NojPQ?YdY%n|@U}-zEcKwmNw;^sq3H(1NUZ-ZQA}RP|oVy*Wh95>K zGwiwQ8cukj$0b5RM_Ha9^(*Q1H>rXx^iie-uQE|0z3+6h<+e1UaXV|{`p~j$7&s&~ zc2p-};N0P92`7_j2`Ij?IckBP%D*;V672XEN@z(W<$K|_y+sp7Q?^OcMk1e1`&kJI z?*?m~FCI~@nskH4Pz&U;EO~N5E{ow+re?ZNm|_#g_tO@KT+ll(Dr$na zMw(J#v%8U78&TZ-jpC&>o4Qw*HsUh!J|ZgA=CDWdRrY0U;=mwN5r+jK`-t~`5rk|X zmiz0IIky=Gk0eF2Lv;&+kROa{-~-&Lfrlla0`A9$?S#;NUKKz*u;&c_Z(+%<#1H63 z0{}O`06~>07mzc70fd|VPwb+9BGUM|ymaS6cfI2OX84aB4Pazrg%i!~CPF~H5MW3B zZB^{Q@73J7FGpiH;O+#06euU%u^TQQ1BeztmF%DG{);%}-|~CxY_y}fGLYs1IRa3D z2CoYTWnjCqOF*d|&>Q}?;`h&2c5o~0h4KH}R{9~k1h1Tffg~v#oIw(_0|5R2z$E#H zt@Q67fxUqCcC@tpBKoT&&tBL4;7~Y844{$*o9pM02SiH;@+JFb1w-Ks6`*hZ=b_+P z`n|#6e#1Lp0mJ3bL&2;EV#=Rp1%2tPAY*!9D42Ec?LF*TL9PU3J`WBBv+fD+ww0~m zT)yzP>2CZCzu#Pk&ARh$|M4{Z|0y!upJ~|_Cmvm@Wgk=MkH`>S&iS{I;Y}4?yL%8q zuSxYaywn#jU=8!nIHhBp1`A^|W6H9UM{rXOTnvb3hd=Ye7&2j&@;Ybr?{Bz0W3c5y z<`ltAL4EP@+#2aTcMWMT^tFA6>vd6K=qQ=0?v;W$60J`o+Vc<5S=Fvn3twsB5qm*Q z%iKVE@j52+7#R_#JC=G%mQ1#4(F1<$xUy4xnKEwvNg?uAHAY^`mlDgQ#@bwDPhcB+ z=}wAA4x2SFIcrZoW>KSrPh4()?7ND;8GT~g)2!KsmXyo%C*3*bI?uU&V@l>{UL{|6 z9J=xT{iO9hViRh)Cl5(qFc--3d|05qb;pHI`tG<+vs`q#PYM;{WY{;HsQ3hY{Ym%f z#po>0zNQU^(7JY&axJcVdZ#tNBC?qGCB&JnEB150RTqYuGHQh*(_^^cY4P1>K7kY1 z&#$h9fO0vBMW3#AYDNe3K~{5blgpKsj(inox~f4_%di=}Z+t0dG-jo`ByXQVLw=AL zd>Z3{_oNq2Ks)Tn2|XqV?U?;q_=vmJp`L_J8L5ij zMn~AC(Ap5eD!z0Mtt!hriyi~{k)u}ky{6ANkG(_-6|nuj&@@?_KOfFXv}Y=5=gEBS zGMc7B&Csqk7cz5tB5WYr=Q|zA=3QiY^EdBja-7~4UZ5-C@juLi+sCT=3lDC4Q~%__ zZ4&|ig$KvEon;0;xKCmLJaD_ZJ)GMb1iPo__FHP(rrlS~Z*+S&_p%ZHUwYMmR{9?_ zfk*g~*n0-;!bj|2n!kmQG_<4Ifoj^M`UF(v#N}Z=w|7oz*6)-gOwVMCH-%h6Vx%O! zgu6QYc}ft2hD7b!D6JRI>f?=s4*olp6{a=MPqi74za9DdaX?b>5*0}Y1s$&f8w8FY zh3ARO+p1ALW0kNI_mPv3Pa-9Ph#Ng}g(RXVDc~1WUlGB&>1Rp&F0d6QrZs5_O}Zjh zH_bMfW1~p#J=L-NLXL%2r}W_lzf0tJ-b65@KR9VVUNqt1#{sCaVWFH_aq)B({p@4L zwVLNR&2A5i(kL3K7^0VKz9X(dfN&sK{M#@=b|-t+NcK_hAD%X4$?A>sVJPT%wM zr%i&d+TA)!t65yEy7J_*6q3xQQZ@zEuP#{)OLNDAHL{k**A4N7IDW%}$A$(@xzc3zt4n1WYBPBW@MjAHdO7+Yi z7ZY7AX|!2vdWlba_`R4~nNFeCh3?Kk5)45l6s2kjn#8g}pR=2$C={~Q$icLpHK-3M z&6N<$+zAAg&V`3rwml`vPme4yaqS^F@i_e1=eShSre}`^C&XCRCfyZgL@nepx@-Iy z^D%gek-Sf21QRN{+dVV7iAr6Ljp%pFMB4fO$)@M|_X8#@Psk`ryKyp{kaQ+o4N{<< zrucmI7{PP=gqIoDT*|I|4Mt+%dYq>}Tv~w9)6K)%%EW;3>|SYBJ|ZP}hfw?)>ldSYjrc-Lgu)1%vwZw0Ty^D=~Su#7sU}lCqjW6zqtur*A&JEW!fA4O} zjwlflGH(_z9lS(L1-W*e;*ogkDd%%_ue}F)shqkMh+-|vH2v;krr%@{`mk7cDo_{A zw_!T){nvgvCu!yLk+Jq|Z&!Lv;<+|tEsV+aMupomhTLX#15QjMd%Dz=2%fHKhv~E0 z`v)))MN+z?kE7dCE1V)SbBpoRfEO6lDOoz~dBH5~X+xcyE*0Om zh32g*UAkkci`4p;)t=2OsHs+VbOpS1G#WjBZ8-O}$Rh(h*7sfE@?w3d6VInyXPOJ% z*k~DJ&#m$#3D6aAS3J6QZ-U@G?TT_%kmPrUGdDZun0!(m@kmcy>6>Bn3XA=qGO!+W zjD0c=fy$`%ylDLuM0L(^{B!t4&o`9bRzM_bc$$2vKRUAXraY;VM)P{JK=Z$iHjbM*SXpW@Ug8Tz0)U`M}(AY*fH zJliZiYo^SZ)0?~Q>M>DKdUICigBHr02V&?n9DRO+V)U_8(THk4`D286IOxRN(8_u3^T^S`7 zS#QZ(EMhK{)rxbqz}Z?ioY%nfT+Mg;x_lo8=WU@lC50kPIB%<==4=TUw=b4?1iH4a zhWj1D)4rXCfpU412-rh&NLMV%e3i|=*pZMo)p@L(y(w+BeF$B3bTBo%yyrOD>-qlb zK-^BS?9Z!i*Ki%pfp{QT*shuSivy7ZP`UpvF|l)&?swZ^p#KYyyc`G?fXBc0P zT!I8tyZ#)afRe614F%u=AoV{qy7~RJf*2oUpg_Xy;H;c`i{}0>9sd7419fyx!#*y~ zU8eyk{r;`fu&0QNT2*8EePk1o_*oNaxp&;RQjjF4k&(snXs8G?=kxCofBT#$AQ^>k z$}QykrKa1#W$vq)*{h`&Ged7-FOFHCK4EQ#I*dxF{qhE9H@}H>XFFLGRh@Iu6)x_o zzB~Oir#)>xP7gP|7}@MkzB@|MN>f~{aaC=g7|)*NGM**QdKauWj`{4Zpz%-jq~4mF z-T8G@cn^czRodlxtt9jHF4L^2#u97RPSjo2Da2mN)VB-|W)2P2y?SOOB)6Foo6Y@& z1rBxHmCWy>5vQ#UzCq1I{65K7bWZl%N+(5KWT2J8sCfyArVhQVezO>!KJuCt{`4-%Bl z*mK=_GqIf37hxJ1%gk+TnJ?x4>PkB$#|n)y8J`+qjkwYs_dBl!WAGzX-&dY{KV(eC zK$V&B@kP>&1@kbB(1}+`?-#tA7AYc-C%ih8C5UEQpy`(TTxZW=%5I3n3ocWUMz_i;5-FWyZA`WO@WPr>`rf2 zqatCPeJtvwMK25GZ(+&`0&49PpWj6_avMp>C%hKAM*A+6y!Ebz@ZBEOQw|H9No-%W z{V$$VZ)dp%@r^cmy{M}YE0ZXCk@Nup$pu!L>lw4K7*V~PRBO}3oMG9PYf-8jV<@H+ zN%$qK3`l(qPgpaw8KlEj?hzWDqFKqjkdj~x%&Xkgvssp7ZhNo>ys!aDtu zlNd_b3}iWW?6wqAgdPF0rZ; zyQNTPLP+ft+MDTd2k%+lQ_UyPPCK=bNmg+bG58gp+bql;moTzLQo=U!#hbYO10$d(*jN?spsYG2#_c~O*97i%bJ<%2wf8LE< zuD(8K+O5uuH~W2nHp-2$dh`bLQ{ojqvyblz(V zLclq%&A6sLLrTu}pgai4^hHmpLFMFg7tPluTHY}iT-~~eAEf&@pF~lm~&hwE& zm>g5V87Ebb;nQo+;i*WBB0pkhDr|a40>c>l1mK&7BW(GgrX1VUv%!tTgW#L~S=J ze>8{SZZTdW>oR&@hhAD-hBsnOllK7^Mk;LD@G#N4w9zD^=qAeRval^*ja!RcoiuMl ztPW?LOIIgCRxXz*dU~w4ZFGu;9zvQT+ZFfg9LA4?83@Z6ns$cZ>=~;WAR2_zroAm83Qf7D_dXZTZ3H z>-I*lp2iLGPUv*zJlLZ;pHi=N8+KDuF4(d2VE1vQqnTTa`_iW-XV@BJf9EWPC-?lh z4|A5aWD^=P#HOLx>}{Rx=GD=O36)+{MXVlm%I`3?!sZ<@H^GMk%e=>TV28tA8%!eo zEt8}5_%t`p9-V7``hv4%D8ipZB~W`Gu1T4g&5xauAV#OFB310NSoL5hu}}13NrQkK zx)S;3YW2Q7M?cJ{itN(`;g5yYH=NTgESu2Me7&q2c8^SVO_K1=Df?I5MO$$DB+K5q z4YvjBf#^=jt4&}`V49rHe>rBia1lj_-7rJ&K{SalZi(4!b85m%;TK1zRVBMre^o&E z1-bQ4Q#vPS*AE4R&n#`f$D#eYfWRSaX=!C|M|&IS^PJEMAR9hk$^QY?^)HkD%OEw$ki9HK z_DS0I?fR3Yz^x2`Wq(`>?7rVweWIcYbb@|d3S9NuJK|SY{i~(GRextNFzN(w|NeAQ z;PL)V|Lq?peBXfyzZu&3zDM+bT%vz2jr)$)`b!!Ir~`2R-88O7RW)L?oWLVVt6@Yb zD|3V(D55PDzExfwH*VWnM6BH1gD&oS@iO7F)z#tM%>^-^a3;l1reaZyUgx0X?~h($ z?tJ*T<%31iO^io^iuYFIaK6L3oACWQt%1C@J-%7HFSxHIul=HLcX3yfHxE-?c z#tG+-%02GfmLKgZ{N!b(NNUilG_aUskxSEF1k*!5JVkFns00x$!Met{b4?Nw?;tq9 zj3?+ACv&r@4^4p6G~V|<7Jtc`VbwLv`M$wXn-6Z~?#H9!GIHvlQP547Wk&`%D7p73b@w%ma6<#<(*=WKv^QI6_mP09c5Zu2GlSKdXbNZ=yXs4K9Gu+3jZB4;-&%1NjVLcRXW^6=70PcoM>Jb=1${UEr@zJQde=w9gao`a)C03lYLs!$N?sM~TotL4!@Tr0fI^Fs+*m%lON5a&Z43$?LqfVPI;A0T}>p(*CRpgs0~D6h?W=VIdndS z`Z%miw7#~U0&0A-7o^J~L5F`k2pv|ISiX@k0@Eh_z#y6V*yYj9dD4be-{nfCDnk@> z8n#&7yQq)Ez37@en=O~h!=R^QX~U_saWUtiS8(As(FqETx3wkE4az)<9nfz?KEw9h zlYGsuq7k~B$)c=9E81>0keTKUjnL&0> zBItORhb)@rjZ8S-=m85_uDe{dS2OG|Z<7wKAhVbLh|_XSDq!)PK-jjc&(O=}R;_D9 ziv?&iVwZ91c1Sd^dUiybT(K>$oi{?nKj)%!CrRxwU)d5btUG*&waZm{`JI-!c!ZA+ z^8r!Gq>QkApr!e|Q9OlrgNvYRmA@ft@~r~pjnaZD$NM(}y$_Fv28nkBvBETIu5TQX zUsf9q#n9S&grOenW4{{qgoZ^;F6AZ2))dXDx<+V+&Z&j?Y`H0pTIQ>f9Hs?^R2S|{ zw}jk)(r1~a-XH6yLa(#UMO(adZ-sG?h>qSk#-?%`$eldTE$?{g)v2Y)aH0izZ@uwQ zEXC80k6%nPjFPbj&E0H#E^%QcK}Kw}2z5M-=jEMy;Pdn~cdfI;al2G*pkt9eJnS)h zyunchzHnc**%JRiqjamEQ}A6HLZhm6>g?s}G&z=hSE51H=Z&_u`ZQRDO+qTSYQ^%F zV@++0Iu3j%ct?rOj#1l+XH>{l@dv~zLLY`KGwgCY3+BtX4X2jW`Bn~v)3u3?Jm(!~ z{o*=&gS2)WQPb+Y)3nvz(QV4P9olVOX!-O;x6Zw~yt!w;EwEwm`1MT78CYs%y>3cH?1 zp-7i@n0=@6v0liWdbhB()nZ<}!6@dc4?Pu)!yM-6myX%490J*0hZ-vN^5-rawdF6o ziN5fbRC_36@k7M6Xno%^0bboUh9S|X;C}gHS2F6li@lMEJK`Ah?Qc<@3w+p`6MD_P zc-4q3pP+oUmg}yNpwPh*38=Pwt9M_(i4v6{ElI^(`;4}aG-?fG043U?c;n-=WoyBP zt=p+bmKM*HK($Le;qjIao$BX99`3&7FF$&aGSRb`YhgM_Bb|*DjAJf&Oe6~)xmON`Ourss|v$C zHj|XS-*`3u9P5tYGxOnJCfwgqT>V9Z;v~xWA>lsJFZm-4il0cUxK3>PeS-oF1%I27 zoCJ>FE&c2G90(kL$_jp13IkAqNR{9p!&PAEPg%haOCcaAQe@*7D}M^le^`kWi~vNE zezo+QpZX8O)&C1b|C-ePe*skZZYt?t!77lp{!O4liQ4UmxK=`s39SZ(tim?Cq$zO7 zOk{*)M_bjinXHR=IYNXt37NcfdFzfg)PZS_W zA_(!Q-d~i}4uQV5iKM-NP0b9@Y0-})e$=eteg0m?VLPd62+t}1EeipGHzA$zMnPct zbbv7lb5>ZR#73BCU7HohY$;oo?Rq#4<;vo@S2hmZ@Hjylj}OA0&M4}-;QOK%$xK?$ zO|N)PGx;1P(Fdudq{=zIW=3Os!Y8(!+^JR=a8cqSk#})eZ+nw*aO4&w2!v`*$9}0R z4Sy&R+rG4Qel!K_Mz)V$b>Y!^1G)`aS;!Hk;>B{DP0tAUXeFX7wd2f_u-11Z==Dxw zY62Ym3){C1p1lmz^Rq*9Tbs{K=M%=(L0xPQbHFCV(G@aj##$3r)RYZ%;iqO>tmi~q z$W;p1cf2N|J`ndfsK11G!M%yTB zs5H(DX|)O!x|Pu(Wa*f@SguPq-&G__Z`epr7_7+NsO1WldPAaF&nA1Ly^~R5g`u!I z`VF(lTUtgYR%^dA{RxjpanifYGPXgKIgwXJIE<=<3d)T&dg(02L}o5v-HL_2D7)OD z4GBDU1>F~qA}*Mv9hK>4dHRC3vs#4ifi{vH?7nk$4cimV^TbMSq7eE=K38{Em7H(4`!^9zO1y4=b8Lu6@ z1DiJVP*WNQ@y+P2-SxY4)}$q|Z5~HLwDwNol+virGu~dZIGtp2+^0-;uU&RzsAo1m zC@*@TFmA&5vcob^p-{&MR@o+V&*&r;p4m!#|R;^_`X-pCTiCgYR)~l z=rLCRKWH8@oPa&G@h~d`8`$})c=nE7gGy%hlE!6bcl&9gPvyKe~!teV! zMP_dF2uiwlXH@a-M1NW%|Ddh6Z;s!)t&HC!rk-W+SO~#Dd+Cj`zQz7A-HPpX?ee+R zICfoud(mM5M9T-xU7h^#`-v|Tjhka)@hG~IV^lXpO;8qIRA z3lFr|&Db*37=$;1IquRXoYF^=Ho4PyWw|-Z1Gd^vDrENlZ4C-e`YfT$$leoRkfSIL-TA-jXF)bG68idqrE zq|rhFqN0TJ@zS)_JjYO<4@R*{hm+`cn-8E1UNDiiT8$vIewd!UBSGXFRTrU53Gh>djt4Ueg4iX-GgIn5R*p(F6(0*oXWvr zc43e9FnX{l9oBf_6+_OiQ>tG^XrboKy9JPbL|NaSdh_6(rWYf&=21Up11LIg<}_<^ zXHj9oY9o$y4XK~=#I}}e`u)T}D#CMpliMvKi&i^%YZiP>!3j*qy3a3UxSTEsnWY=4 zaSvR9ze*77QWu<-;S^L(@N#w^#iSaJh*ZDl^ei`ezYDQO+P|!QD94Omtd+fGUE{GE zn%eJ4->sCgPrAIvpuVa$RD1haY^#b{Y{{Ey#BEXc?8A>$UHLQfEk#-w=%A3ihmWJ)SdC-u-yUtGpYwVhhh4+slX7J)LbyI*fm~ zDPS9gr;L_Z?u(v!)o~Ike|+S>;<-#rf%>bi(RV}Ee-XrfmLB*XW%F4p`M>QNeG5DN zdG`6lrr!s#aHN{%4>jBGmLjJ&{sJn4)aLrlQlK#a1Nv7#hoaxIAN|32^4p2)|49t_ zZS4IOw}JpDsQ+dR*`lTz3P>RVF(lo2CR%h=KX^-RT18kfc3;k!Q^QL>gM%Zc7VS~` z=6zZdQ*^hB4P4#NyO3+9Ua+mb(DTwm;NalCNW>nFcm$TXRsK;0VRY)L5k%j0F>mn) ziXy%NV(Z!SD|4)OZfYLdY%Z>T*rm8ce>IH6Tdc`H;7Z8#MtXpMNJYHK#-5^1l_d2t zM_>aNF(Oe3J-m6=Rvz!8--_~8Ej(fN=bZPWu7f1W;DlBy)=?_W5(5s0n5Jh5Dgwot%yngBE3_qi=2-<{~9CI^~C;lcq#jF-MUDaTldMQT7 zrFznfYEVPWDTJ9&{a#01#|QZ+jvTtONYblMPDR+kP#hs+wu0NTaY>z0qMwxBk`#V? zXTSaK{I$r-4++-Knf7CmLnh_@E!sM5O`ABhcfiyi95HQSO~hY-l<=IoZu~-54Ux z6C_1Yj>6{@mAwZ&cP24ldT5tEg>@f1+B5f{t_vg*NjY^eb6x99k4EF2(}{8ovrK33 z1jmZKiGwIPpgZ2_jM-GaSh3fAv9UJ=@ae<*aoM00)>7`31HDEWvGJ$L9|{G9=^h`& z+-IOic#Qj#pOx*r$9qUR=Pl!`u7>^;(ywPT{`97vo==R_;^pj5dsai8fi?n?aXF4s zanG>%4O3za^T4v07cCX)s<(>LZhNkf-c;pr%=OQTFPr!j;eg(6H&jb=gOY=yj6UhS zK~(Uo$yg%7I||iqV1xu)5EYO9-X=)$UKtpr1m*^zx6~`f363r$CC}0%qL_f z36!n}?o+Zi0^SBy&d|u-9@5I7+VrdH?YG)>l?~yKddXg&yRooMHiWq{nb7{}Lbc<(I&<+c z4!`=;o<5=wL&I&`hbgHHqx9GAtq&SIFZm?>SumvvUswPW4sm3p7HJljNuT<%>CE}K8 zYZkl~d^MduZX+5WM413ft@xyOkf>rgf~p+huN{9??Fwh;yq8fz(8Suq-^ z`fvp;43EBJU%k?vt-jz6?JymIqb$U_^0loC(`JG01;}ccjA}?}^tu(Mly&|5`xURjr zoBEWExA>|fnYEm`J47R(g1|@Jhclh6W3L+3koz);o%R0hu9(^uN}ucIV58V<@bs2x zCc_OXgS>(J(|$vyBkb?r*cnw^3CzCfZR&Kvu*U+8Z)Eksog{I};D;|6uueHQ$U0)< zm>OSZpCJsJGg*?eENx3Oun5xyyHgCldjb|ti#$S8FspS zhX|o$JEBGHg&I{Q5@U5cgk zV7lfx(uDg8=Vem4#qlf=Y{m81Z#S1ZYtEvf!?Ms!W=B?A3Yf)B=-xUQ6RkTIeI6W3ZGa7oBv_*YerO& zMm%DIyTKwA4>H=V65FP)@=n;qg2nhICbV3YeOG5`aY0E$S&ezd3 zSJtFrGj~Rnt$ep!hd3`(@2XrE#TJ<)&Sy4vH@eceb!!Kth1z;k2$5#Um>$>Lh|h9i zNr0$2dmV!|e){cxU&32)QE2Y;G)*d)^mR>0s_OlGx3bDIyFzD?wEc|MQ<;>C!l!6E zh9`1pBo@M7AtJkKQ24Nust90>?$-?mY8HCJcLOAxs7Xn5&1`B3;2fVWkxffH)@2xb z3JsN80`Frn)TfN_xp7%Bm5OWXj6KnL87+KmQ@_}q*Z{JglJkk4egD+m(zFsLNbUf~ zSYe{sa|7q=+lXCD)A#6~Dd3re=e#f;W6i}5v8mo9NNZH|K z*ZqyPun($U7_~9!Tcx?zNXuibv}zLA5#>z_j?3{xt?#nk=nRBJh+_56Xq|mhL>Cm& z7nsv`zThPk6<%c)*Llaqe}5>rDZr~5Ttm6cg&>@?WoVAtQCVx+yhs#TG4kG?h~KQ&b1 zh3PVT4=mLRE6QxO#32!7je}tl`hw-5`{)h*NdI$K``5Ry!>udYNwC)1y~57irER{8 zm)`DbuzaeW&if^8A(am*enpuHmC&^5R6iU&{7NX3XTXvKljuc6u11JJtOj?Xh54xB zXpe;}42N%Mi?oz~B4`#h$0B(Qyv^E8$MAB~NB1L(zeP*2)F;NUnLu-fm}GpPqjlQ{7#-D0)%QDRRwOZ9drmdMOv5Z3*n=0nA4u{E)Nx_t?GYgQtEt$v|3BA z=`>YTQbv@(%HFlBi?X9#jV_ocW!=;UF<*7E&Ck|#Hs~0o_G4bG;yg-i zWpT}+Z8A}=#<7j($qs*A{a`Qef&cy~5ce%>uBdF|tSafpVF+li*+p&2z3zy_m@%V! zsvS*vsC@7IK$~Zn!Xi}FH?;6>T86wx$Q57dW7^NJsV`EQxMC8{HB?(mQlKd6q)j%i zP>EZ3uiM3RWfH$+@u<}y+u|-ZJf`vPV4le3%S6u2pLzg9T{XBt?Z^`R74U(r5&g4_h>I$ z;|EvWRL39H4>JYedy5>(F_^=NTF>`{olUU8y7X)Z7tBRAO8J_KVY4yQVRgWy@I zDxs!9Z_`8B6$SOuY0Qsf1o+um&ZHMru$De}!lmQe&~>J7CwAR=O6HW*&uq@C6miZf zx)|?5mft(JXVlB2&+e3T1p4uGB7!pp_TU{Xy^0{d_9H)K_1$p!stJuDx1FRJj=H=D z<*XJ*4tAeb9-G1CpPIXAiKjpcV`$N5-s828385ChO^o;0v-LRG{WQ1Un_;-oMk6!o zVdrXVW4D2AXb)HaV3rMjq9a(dcSG-?PD0jG;b-Ql(ANuUW;+*|Q+cb4thvUFoF6aD zn6GfEi}1gw=BpDQBYMBQIwhs3g*~zzdxmyql1(w8f4zw{@tUE9TXkh^d3?OPa$u5; zy7*!D+N)Wu)S97Pj))mgDvjfbYC*xn#SQpT+X6+;3DWJ9Q3!{^M)7{&#{_4$QO}(l~^-B*=Vs zI`K4)t@jtBW`~_)P-411m_(7L)!kx=9-~i>)WZa#*?Sf(1`AF;0x`3YCcln z8aZ&|^ppAGH^d`L5_*S$Xkwrg+UI2*s$?T^`Br*#UdQN}X7{Rp6)t~Ahw&HT@=46| zL%4iG-tl+BehVmS#8{SFLRI4Qy{C0i7TXj_Vu}9M^6mgJclEHL75sXAkh;f0kna z12}Iuz%K*l0;qG4%%o5t-2H3ifD`;K+>qM<0Hz}hp?Yu-!ayJB_Jedm2u_F|2(GUO z;ra=l{v8eGpD`Ptk>LcOvS1hpV0=XiN&=D%@PEVjiiFi8bPRRDfVd&lP#>fZXl59| zboIG(bPOOmP%vB{_6xheiPOKR?fz4D1HyN3fCdMN5`aMfm^T8c=lL6$Hy1<~V36eo z!9jrd7o1aH&yWjYsAp)XqYH)tijw+2`y07;{tT}E>o$P_Of~>v?N@gLL`yIz{4a@X zKf}Di2yTQPpbrVqUjd|~09_7P4{8W8gz9q}=<9)gR+2nX6#i=V2^Qq!4*iW6|5ttm+?;{_@;5q ze0?s(30hf^-b26Qf*Zt3S|jM%M5Zwt-*{A8TexL@&5by#G#M{ z_0zIKjrFOSj`dObo9CkG1v11|=9xN$*@XE+CYxtyg|PAN#T;kE(B9RRwb*$vToN}R zhV4I2*r9)V#^lt?1C7ESA^FCu3umv=yPa8~DKe&Jl=qx;O>}jKR8uCzZ7m#5UzGV| zPaK?IgkYZYToe$xks8jHX(<=Nq2*nfARBJw^K3xTDK)~AW}@1DAU&F$@y&6UGO5vW zIeUtgfA)rQ@q>AocSc>1T<_a)jd*p9x0*GAkW|4#eVswWR=80{Q(RHRD`)%Dp80Q^ zEd3sH=+s@;nU5GuE9%yHBIm1cncsk){~A52mc1)W%ej$0Rr*!SnqyRWoq}(>|TjDs^36{@gx=1{Oh&MWplWC7aDodcDO}ZRze4$4w^%xHNBH!^Fx|cN8?Ha{_btva4QplrQcHemr zcTa{*3BPZ>M-`I3#@Zn>Z*xKJv7xHDKaM>bY)&-`uG`%etiq)+dd6{CGv~Rymato2 zl&ap#K^N^Z?;GGdUJ22Ua8Y4%aVDSa7yPEp$hRGNLd_>v>Y^u7)Q$Cw24K3|LF7KL zm5*~6V+T@}FRi66n=;Gb<3B%jm*5&cS!I&+aeYn^<&#=o)~+Dcr`8WIFy+t%TzE(3 z+ig$7mQf1UKZimmC$M;$Qc^5Rf-KG`#&^Bx$~uK$j`FK(k>`nK?wl7ti%`y>vR`h` z5w&lfP8PVRqv*jjZU;@Sz#=4YJc>=;qp`tD+$SUL9FE!>7%q9$2upK| zwDcF+c0BE=@zEk^*fVJ)D?NSJ(WfoLNeoSD{ieMp(}_S5;~a*Fz&SDQA@i4YQfFGu zzrA!z6S9t8d4x%ex*cf(NS@cbJN4nwPR9 zlXqYqlaV10SQ1b=vsR;RDCCsRUH}y^dmVC~ww8Z(nu?V13@zE27{5MmXejlxK`be) zF-}ylb#ASW?|pZCFQT&_b%KeyL`eBK<&@xYtacWO0H(w!YF7b05XZ_gPcC^nCl{Z))m66$!x`fz)gi`O$sWK&eR4~ z{3xOKtT)Ze1t? zsnM;aqjFbS<;}hE2T=}Hvn_U*kO}juc4-w^7i)Zo2@2-nN2!SU0IwZX_kI~3HPH_=_-YcP*ar`a9S&S?loHJl8!WauDV7pd5gTZg-R|35cBHUxnQh$0OTDr# zLH0oQy`;P3#r<^iWiOSAdt5*(_IfA1JA?jc6`ud=0Y1!BJh!#~aSe%3zz z9-(ofYyMwnOWz9aeX9ol2Lsyg=h=Qe_4dbR+hE_h?km&-2Bg$~GoYPS zwNM;I;2-tmuY&stEy?Lr!`b>P@Xjmj;izLlYM7~7OQ@#JbTdk?E!gi(SZ(SO5i!cd zZWzo7c)?4HN8F2hKaPwnI`jrOBv|Pzjx-Lg4Ysj`Tp*;?>%11y5;Mz5LC}+{U0ky5 zCX${RKxpWvUJ}57FFrh^5e$FfIE$QPsM^+*+GT(;~~X8 z4Ouch;?3)XZQhJckKWepV* zEG2}Q>1JCH`O=XZZ#`v5r5m|a?XVcy+Qd|sQB6iRwUpwtvy`0e$@lv4Owa3@ql?%N z5HfSo^t51x-j=7u&G(Y+OD;8Nmo00L?MZ0`jYxHY4<5EDq{dIv&5@M{aoJu6Wnyq4 zx-4bfT*I;9xA3Jsu1*pKzLc6`sh%Sehi-q*Iw5B4+|S zdpv9@RC2cUPJFS3AK$cavGzOR+XeQ;XQhk%cJ6ouw6YpPM5K$C( zLD8z!8WCLRR&S2P^?*;Bt8EC6( z)|n}eHtPgn-LlH{JcT{?`Y7#weQg|8nINDldn|hD{fsmzqq{4<IboAOuoTK20yQ12^HGdw;VjuS2S^kwu-DbD0V?^r|zwvqMR*V`qHib*+ zm#?Q~&Jf+nsm`RRh_o>*(VjGNxPp~hPebui{51r+r)Z;Lb?|!GgD*%Ly{IGB_L!WK zrd49Y_({>obTF?u`GNtZAKNbRWgKtw0-XzI?qbUiS5O&+-!hes;|a@u7q_gWdr)Yq zc?pH^rEQZ&Ys0Qf#%TZjf&lfg)_OUKlLdBa*O{h8VxwZ0Fk}?!R$Q(!$Q!`6G7%}bPXW^!zFRkfw z(s#uh#8-3olO%|zs(6sZo)V3nmB}Q;LYOL_OaD0Fc;7h2Lxx5EkQ@ussJG;0@ZLk} z$WwDgQ)YrO^`k)>>b%%FeOI0K#!@YL3}Ch{QR+;Ww?mVU(S6ydiGL9u!M+=0`gJk+ z^LhAvF&PF>CZFIjWDN98bgo)C)1DMTEJjHFO#|?$+=|JE*2!Kcht^-0qxS)nmgg#j3#{%eQ z5ByQH0dZ8I(EKm6pf*jzXb#T5pN^u*13TBtS{b%05mC3F0OxHxBbt} z{&QvWuk15GTnqxD$FF7s`U%{BO+NEq?vX!NB>!SHCm;ok%pty74M2wgDwjX)>~G=I ze`JpUD%|Wa&aYM@e*_oeH=UIKe2aWLHu-0)1|VHX^!yiRb0Q__;Ltzp?2~8WpLvda zZKNQO65T-K2gJ#a0HnZ?jVlD=H=UIK*xe`c^k3~pc2YhcPk$#!kn;56F~} z1GK-$l)rs^{e0EGUJ97^?albhrCflYew8W100z?kYj*u=DN=<22ISK}8Vp?Z+g6-oUn>Lv2iD)M5G<=|*o`2N@(9L;T3yoetZy2(7*2<$#o^-= zkH(ZXF_qFLdN1!Vy!n_vapj^b_#RYC=EYJENaaPLy+cpM_J`@z1!s|$^k&hqgJ&RF2+oMM^3wQ34 zIM|HRo8B!#Fg>E*ZrLlME>0XVFoj;S7BY+L#r-&K$%Z(f6vWRR9rr~L0 zJhxF{;F=C5$X?~_`E(iFXlzrL8%3g8&{Uf3)OuJfA;WNVIO8C8Nh0C|T;BabAF9L)cw1X(anV9rH4} zN{P)ON=#wj!$H%gZA{%^|*H{ZP*mB zwQqr+V=AE>Y0EF3yPQy#aj0SZ$~84DA(zzfK=)ayIxYKCJkm3QA%*2j38W7>h}}Tt zD9?&CX}nOKp)fo=KjN28ujV3}z4{E`dcq`b*{&<&P4#rlj!^2e8A4raOFJ9Ykc3SD zaJ3&PTyjbY{plWi$sL^nV!Ly8N;&NMw6yQ6L2>rHO{6~NkcevX=A)ac8QaexOIxnJ z!Dz2gsj1m|!j2y)4@;@lnTE)1j z&0X9b^lu6((|49fPG9x7zl5#ZAa%WA3zqhhXbMmiy5zU$@P-P*X--F+fL3klSmYo+ zz#}qn1Nx}eHX`%P?mu=r$2z?9nlocY zyVI;GqC-8CXro|ckZfy`o8P#$!{`k~&{{%_F~OWp-&@J^p6NQ8uL=b4<_xeN1oAO| zsx(u2B{kP7RM8tUrJA=ho9&~wsQ1aZQr(XYsQIxUK91P{3*7dby^6Cff$PV{6Naa* zXM=ZZ6!&uEVVf!yv5UkI`>k0PmbhCw`L4SG&9(PAhD_!CouYaUS?($k3sIv)V)~Ej zYbY$O-*d;+zD8RShh83fUzy|}d3pI=gU@&)u5*u5TEy*UDzSXwQO4<87J@R8c{ge_ zdK`?mX&+eSQtbD)-t@_tU?bc$e5HHCkLOd%^nCthoaB8f6kQkgN>w~HI*iF^J@~CYt_q|3gTIZ6_9F`OrC@vLzIHes^j6o-~g}1bQ z*7{f3D)ie!^K(4*V=@2pv-yW?^@Q2`zb@u~1AT&lk*6Rs@BFG74FiAZH2?W3cn!*mOp>ytMD7_tMD7_v&GCRmmN%9}m;RXZw8Wae2fIlXX^1y+4SAbO>0ILBG z2b6|?18>sRMHqr~^mPsNK%9nPC`1=(h=A&I8t6hf0a{6Y!=DZRwj=Y;y!q8|pfLj& z4yFZyJ$7zj&KRhM0Pg)w7NiG(fFNK)P5@V?!^y3$hcGk%RK5|qx_SthfdS_)JpOHf z{D%w&q`46Q!y_lKAHaYHHGm`p_+|fEQv4)WHbfXAxD54y9K-;s17NWX3?TX-7+7DA zQy*dg(&PNu<9{y0|Ch&sX&wZyaXEqiBX3!Nee(CjxNt*#eJ*Z*P!@1DfG0E1(+3i4 zsDVBJm(+*p8R-3N__uk*Kl3;z6d1$?oL;|`cOSUOpX&__!IUJ z;9LTpqkra6_to$d?oi-~%?WTPBYUdInjwViHy~9#9bG^KkJHc)1mflb>vJJ=p>Ta} zF5o{ss6N29`_ooAIY|Dn;mDg4LW?AAMS!>fIZD9c(BIT3fclFLm;c)~x2ZGXmY;E@1qX$b9C!#P1ffgI?Z{Lb*ti01#0m;G~?`DYCO z>Tp00mKz9E{^f9h;W(g)5UT|kHc zpz}BQ+2P+RF8;$2iLVX^?BxdPf?plZ35-z#Pk=w{@ROYG&)k_`cR13~NW(dS(-H>o z&i~Hv6Nhtx5kLyTWnjP!2N^2gZ>%Ax#2+T0f+~o zzzzq#7R(K_B!EW?Cs1k!!nnV5_=(;B@!9j8s^>ooQ@+}be4-%3LZG9360IPgD4f4J z?12~z2GWCqxOEW-LtO)4{K)_e1?lK;g7ml`Ah_<&{{C~x<`=uc$R`RATmc;*pihIG zxB>*S|Gl^W)5)dJ<%mDJOOVpg+{i&OTKlZ z2KosAr9L|pV8I0=H#g`vF*x`?oLqu^r@wO2NIEI7{l4D@z)QdV$v-az91d)2_^)U$ zfMfsvy!Aio27TNAL3S+xMVgZ`8HX4^cJW16;?LnlzvB`9+6@9Cw7=U8N>d&UnMUBd z&tIWmmI@;;qiU<*>r*#;vz)G)tjy3IbmRJ25p?VbsnEHVvUpoG`wW8As4#_R!)+NI zH{0esxRbzoaZZ`fxiZ(Dt*0_%%J3CDn<8q%FP$18(YZ=C^~6q)+gdN$@o0gMm3LcD znJkDv3#(Jb@@$;qG`OimeOh5cMXZ(7PW`eDL54(`5wSCEUQw>8mgxfi63W8{Vq-P!D{Xh zI)<`;QYrCYuH%UAxV?UVwdDHqd+#;WlNlr0r00@}xNGMfAh%NGy0P+ygPcfZhG$+| zrM}EoY)NjkzrP@3#P`s z>jW6Z`U~DNXBK&kNNmv;y(y~2ZK!j$73yA;^v&8*O#7ucrQ%`R_CNGOV~&pqxu5Od z@P=T+GxFGe3k(0~QC5kD=yUcF%3Dn9H(#Z*c@rk>v(55LD3Mg)&ndGQmtnSLsy3#( zaN*kRaO2ytPICvhwqWPP`YLS3xeS#SqT91&Q0FICY@(K;^lyH6vX~q+Cv0-=DjV_Wde)wMaQ;2L{g)pOO08d$z#jT% z;cQQk4zSTt8hsL{sP4pa%DIDUj%{|6y61vTgVPf}AMHB-+;_C+s}SO5{YtvC{)1C6 zE(7nwCvGyHlZyfat-^CI1yQ?iq@D9NbBb9*4DN23QPP?}@7G#SJ*zj+C@B{?gB52x z*3LS7h0Qv;;_i-Aj4#Vn9rRs#?x-AOK*US7Q+heHu*sd=L)oh~w2WX@9kb$yQiR~e z)jTa6H+u1Twl-7UXSrvyaP2#6)Qjqv^>6hCaovh~4FKZvCx=h(NnH-)L?5p-J9CL6 zrI_`tX5xd)TJ_U0B#bw*PBq^Y+oX~fblT%JwL095q+>I*_PxpYcvI56z!|5?Upu`5=97=7Hzh&R}_g9ie$-F*+L?rNRn*XiT}(ev)+5> z-aMY)>-m4b&v%~g%$%9e`?H_(Ip@p$HA=^` z)xIC^IV7MkLHl5$Zo>+(!~u(~8~U!RIMHKBYrx!+^c!`)u}VWso+g*nhX3xA7-QCz zS9ON+O_=sviK{vTzLHj*Y2S)mh|Ms0&Lt!-wcy%YU9)`$c{zb!T+)P3tYN7K1T;!h zq$q%{)kfAp(`QB?v5*wdR6EjCOB3nR6PW{7Qs%)0w=Gx z3Q|Ibrh+tyjdYJR5kE!8ihR655Wy@>ZDdX{Pt_NsOZ=K5-x4Ho`2Qf;Mn|QBCkMqe>qiSFXuq_sqU`6Zth~p+y8tMefh(Cjx&%g z2Kt@-;qY#H=X)McR$2#5(`Jov~s*pm`0|%)%c3)5H%;9xYP@S;Qnu@O zk=wUebt7DAG8=;zv)m)RLe(r9ru=p(X_=o}mR~-oXioK&Pph)W*naK1-L7<1Rdw5s z?b*k*OB9)z6>h|n+J=w3c@aQ~yKgVSUUTKTyNFOP< z?WUL!XmIuFtD#Y+PnpY(^>dqZI=IF&-y&tD>*0&$*-m!3c~dfiet*99=3>^J1c%B7 z@p;Fu#*f{+;Z&E}sD2Yq$#-d*KQr=O`9srjZSO|Svn#9&+1&5wjZwYkPMqwvxo@@R z@bfAU#_n>Ek^eMic(vIhgV;f_ckY&Up6y+D`*DBc%6l5f$1(O~mrt^DsT-g_=aj`^ z$#Bl+S#zGnw)J&zikSRS@4~N!Q=vyo?$oSV@iwPQAy{XTdFA+$&-RnN&fK$DBHf(R zzk2y0*@D7(okGK^e5SZeZR+2mSwH03V6m=2L+($0=Um$N^yDcL5h4eBjJi-aE;?b) z-s{i2A7$KMx-xum9P7-+MH{v>>g#4L&U4zIBbz@d$ZkolvT0nc@9`6}E-sXcH7y&d zAO2`Yv20h7hpYi(JC9ADI-)H~L~k-n?nlBXN4cK%@?#=(#Ao)|G&r+%V{O8TFX388 z+xFg7{=HEB>iC8wzP67Ii@u3%Gv_?YcG#5nc<~L}TJv#g7b-sm%;IF!8MR7$sh-f3 z_fl$8PJpEQuGuH^T%wF+SI1Aa?0tTl!C7m?%hvOIJ9w|o`Yd(7{LX}Il^m6(sCyal z8zas4uHU>)Z`kO;uU4|Jz4iPQ(S4Qw-Uknq?|o@o7L+V?y4>sKWuu(4!P(OT7wN}3 z%dRT!(?5A`t;6iZt=HN*SI>LZGFao*3q5T~js5X!*3aMbL~7h})sNP{&(x$ChUqy+ zUOZ6t{H;^L+_{|nit`;N%)H$#^QFS*epxfVx+i$_e0OC3gDVrdx7dAAPAj-2^qG(?0{58^WI~>7d!|#H%{zgLb?5WgW!O);A|c3vaUm>M@+go zPU8OKiS?XrYxT2YMK_F^aw^?#s?N#Vk8VCytklqAr|yvHBVpBT(CW0doF36n^@rB1 z{&DfBf2Frv&7R~*ElU#KUHTQ%xwE_Z)kWb?MVA^|7)C5!wP8WzWXCdfd8wpM(Msig z7x*XlI&C1m;%L|8^-cSg-!5L(DQW9>c8~7)3a(eycKKd6sYtEwN3)4f%ZvjjY8)84 zVeOAz5zijVjGHW%?RsKs*oKkAyS>QEU1T4yCt->5!km<0yRVIs=x(4Ivg&lG>$J$! zfDD~ptYHzq9F)}_l}2>4cRpt(J#%}%xW{8}{1iQvbymV=(bcFgAHR;dp>eWF>F&-# zUB7UjR*{I)PEEC(CG|CP9$c@B==%19|DrDrw>G}FE?gI*_I&>SOJTp)2)%49ykMSLfMnA?GiAx-Hm#{nqXQzRUbw-2;%hQ}8vWzn)Y^ z?zT|ABG8_Dp)?5|heL?qn$V5RvLc#tkWUFC%OsHDae6|6885?hK0BR2})Ut(G>A?_agc>d#yM zVH!jmTO@sfm6)6U53?XrE{A79XvQUE7S!PQ#25Vp!I$~xrw!dU_*dq~>M>@UePr@V zmBjLm_LSv~I+v&yWg6Y~X+m`JD4pn`6KXFW9cxos`~F#2@Dr1X+vm@>%FiC#EvKJ> zR8q;9MA2avcI**VlJ8!*)>(11>4$6A+;7~TrSr}8L(oJWo%;iKxxQ3B-zUDxF;aA7L6F94a6E+MVC!u5Q|@5s4LD6z+Xob@^I;h2(L$=NI1C^d0l6aYSUNJ~n-+JEzn<(_$OD7d{0lp1sc%Zj4>IFyVr0m*7Po zVq@b(nnrIkIh{XAYGAe4;OBcU2JPIDsrYK|#@|Mp1I4_0#JJSz%#qxr9A)Q8p00jBBnoKjEdw^4Hu_W#n^!PP8KtwJL?Q@PHEY5D!TP<`n{uhkFOiJ zEmTswTec}u{zc5}kZ(Uz_H=(;vhk>%*loilDWgmzB=3IyGNd;A>&G_r_3Z8kyGyyu z?|oE!@7k~k`?Gt}R+=0*H`;1QRKL%G)vo#LCxx5MHqrY~J>tG?gkRfE*PGtooytzc zdT09=yN^(^|Ei#v72YREJ9~u8Q?vDYTg2aOb?mh)^7kpz*ym*%PHw+H?Uj9_+Tkzz zu9Yl%Wv3E$!9c1$J!kINeEXRvYHj+gI5d6y>_gHLXRaL{{-nlJ_UB`t(&o#PJSMJs zJwY=kTg7R+yqR6e@2#@A zyK?Y^!M8TE{Ko#M{bD}VBWZBk?5+u&HIhI4{xtPc8a@f zUpks8e$9*VnsnP-ebw*4hEBEVAw679FC6eSKS#FY`H!%^8}q!yCZ+xQw&+ffPN?el z*|lkHuOxkssMOZ$&dt1WaC)fA#8RVyxizV$XV@z}m%J}kyjo_%EgjKqTV|ioNjRPK zNmfpG=r3MTnDQMPeo+{8fV9Cw?CUy$79wk6yTwy>wWLI0u3-V7NpKWKCjW9pVMUbGPtB(Jcqh8r6FneP3C*pNySgwy+!pT@-+$#uZ<1tgRX?r4L(2*Dn~=LsRaS@wzzUZn6`t66?Rs_&ECTh$mNOkG8U1 z=O+23d!a$->9=cU&B%|NJiBdMpEKTatj8CwZdO07o!^Zk(X-zL=jp!pHx(^z9y$9_ ztmv48;|X5}>RU*=Rfa#kUHYwF{K1UPdRx-|dxd^Y_dhRn;D&9pCgW54#~fQsa(DT=j&T z3t6K>w>~}IE2_A(b5}LjOTLE{E4IEJt!KU9g3kWr9wGPkrd;jTSTo>zXj19DvYo5a zqc;R(1a6Lq>G85UBx>Y^ZjMn(E9!>z84{~)EF!Bg=*^HjE?Ps34eFyxPAHzf*^=bv z6Vbbq_=a4Kao66oD88tDTcFXfpkMk^x!7rik(*pD&VD03$IRBv=AfD6fnO_Ylhys3 zR%b4i`q9^H?wiqjTj~{KhpyJhJ^QitMb{z9a|)}!Q zL8l1OH$sU#OzIo~Kq^lzCjhvGk-976+yX^6;*yg*b=+%y*YL5}QRed+zxNT*2 zXH9O_#E^bZSBg6|{~oPt?N~kY#-#hher$VT8y0f9f7*%$`_}=x24$5z{A`j~(2}hh zEn5>2k=WzO20i!dCvuMZ{>=1lU6zt@MPX~$)IycxK8I{pe*ZLHVsOYV-QtkxrU#|^ z2NXq?iWq&LXCN*rqW3}8L!wWwpw;p}You8beMP+AC?#0RUGlx0^D|>{-+NicmRp{N zx>=vLd~&A5zwVKnd)&RG&n6BN+#mf;x+<@GY2xSob)V{Mf2f*Wof$s*rHy~UuQKml z^NRgao4ryBXV}$;ESGTzn-gPnUG1L|7BZ*i96*c_0m-S79ClC|&0>e&qJ7CCLwqnSI>w{y&r#`xs2y$`I5 zeeHMYLc{HOzkbx*6YCxEVrj7K;8IhkmgbYE>lZ8yyA)%lb1XL2dFX*8*?~SQ?L}Q{ zB9)&!-PM12+$C)-`|?wO;#i?a-y?PnVtRbNO&&z!k^CeN^iu8x#6#uNhRG zaBO!s^wt@$%-l- z${ekIiiZffa@zL(Cb>iBiNF=ocCJv;9xCkLvD6y2JVaiD#-&{7JTv^L@u1|Ix@WK7?O6WhPS)YP= zFa2Ph+iWnPo2brV`$OXQB~Hu@Gqq|;s&n1$aFVklvE)G4QD!LzhA!S4viZ8Fcqh5* zfy!OBPm$`eQtzCJjE#DE<5|O=rq^_W4a?*5&9zdh6Z%xo=(M?UO-6Qc%3}R2!%^J^ zSLg+X?X4Jla*BQNVGT}fTx4O_;jX%@ZTD)rMBUot6`>o`u- z!$h>%?CeJE#1VZ~$$ItZs&qVCd;UHhk6IVkT0T4)ImCUYe9u``>&Hd)_RHl=N*-pCqZK(XI?q;mc%$h#uZ(ZX zZzHQRTZT)GmK@XDQq|3B(h^SAvW1Dq3f?RqrV;eGX}P8I{^&0K+~Y*Q8_BMZOq$Tr zQzm3a@pcKbT|$rp*3*u+)~{-n;rKZLu4NHT0UGc^z)+$!{2X|PIu_J z_gaO-+;0P|#^#01?KAt5MCRxj9d#M{Ctv%XgPf$UHofCbeW^Q_&%g&xHboV>NmvOH-#`9#z%( z%2%@weK+)P*1RUGr228|i?iL5OPVZxhhdeHjaHTKG!zXT9baIGZ>4V_$PwH;g{q*=HIpxLE>!Ioadu!qx zTy!Jin!DRe6j`h>zyHQDkbcFB?;1*TJ$Y%tuR$XC9amJ@UrOC9>LIHGH<-TKDKnWcAsG;L=rx-gb*t z7~He-nXFCQZmbQIRP)+!@ba@AnXz_STkD=*+3uUFpz$m~a*FKhZ*61tt#iNXF{0Bi z#ewSCZ*-i;?hO%tY%XTzpwM4L>q_I3IE#5<+WJMI!2#bsKd;$%vAMgMbJ(Sm6-q3Xd#_EI6z%fi)Ha=o`J7RCA|oCp?YjM0#iR4rlIqL>&xTuQPdN2j zYr2Wko4l_+w;b{(b$@3(rKEGwo$*^k#|8$*&wKIoVUJVdZ3z>0wmzCrTd0_{$awzz zJzv9S+MWJBUu8w{+B*}T1nBkY@;Lll*WN8bAy)kdjp`dEb)~WOV)G`csX0Bv=B;D> z%*reIb>eX9S?wE@ui~oe3W_hrFUTGJ`jVC62X z=G+EWmV@2AWY^)&{W|&0S~&FD{=U0|%EtLM-bxUC5ZPm+gD2;d3%mYSy{73pChY(Z@S)HsmCK<@!*y{p1NueCJojeXU8kCqkNE!Phtl#mo|C@$CY9MO+qAg=x2IqDHf$E|IrJiTh5i83E5Ojlk#;97z`jcMb;1^8?9-=Qfy6R zK|PP0l50`~xjj1fNgdt$jCv6i{jEq-L2uPiXCpZ!&$p73e=fiX z!5m}{D-O>ce<+`P;n@^fvZ;oA(vF*8%Gd9BHbvI#p(8UqnhMejWIX~hFO7R*j}|%u zhFPW9$Ol}|2^>7$$~DqMlPjBpj4yFdlR;j}Yy!wyB6Ph!@-!YVRQyJj7~{ zMFZ`9)PL6N(t@onu>o7G*M%;n5VC-G&tW!Fz>`?@CAVLM{`AQin@48NSR?M|rDYZn z;4RgBOLBwj?Guu-J_MT7^l5uLK|*1ywfjkFvEC~Om?Sh#_!6(T-R!dC>_+|c9F6py z$cFyvQ@v#`Pw(aB;A-1?(AD*Fe#41i|FfeXstE$Jd_6Jas9vAM zIjP$ujYXwB-6j0*`0JI7C~$JzF3zf)X?~=K?~@kRuEwmi-F>HZnLWj&#@#1FwNkmh zdd*u!vtDPDe!LI3Gcvi0>HBcY?A@1)o6ZeP8u@Hunc_>g@}zz4d!_V(`etfos8ov> zyRMIFx!k{{*r=;p#Y|cGE@FnpH+t!ae#_~kcz=mXMf#eAH__wFK6rlk?VpsXv-R21 zEx*ohUC+^-9ADr!H88BVUrLvfGJP|%t~Kx1tq{5T@crS)){CrZ$6ghU+xl+;ZW)5a@=Z1aQw~C=z2$$TK~et_iAP7GHOcJ z-yG7qHB6oNU3=k*XWpw>Y<qz28G&M?}SCl{A^+CK4(+pNH$cF&d#HF~whQhDk-gO`uXY5=}q9vr1-W>l@Y&@2=Nh&z|4;?%B%YtZQ~zTW45x zJH(1R^lDX=ZqL}0F`J48jw?L*W1`h4Bk$7AAM-f&NfuTEOjTbGy=oXa%>U6;6 ztfGXylSGq!T&i*S?#LOv73Npp5i^VRSXSU2ot^%z?ns}uDZ2iScZ@Gy+c|jf!Sj7~ zP3}|h*6wh|%W3yMMb;jh;dS%C~D`o3yte?up4*Duvu|l+}t9PTjt+G$E z(;X#`^=CJPxwiS$_KR96JN}!M#^7W9m+s!PVO7B1lW)65^ax5_lXgJcf6fh$lIB;d zScAN0%(A-V_|f9t>8FYP%A+f0f9NSO*x5{GqPBQ*<&@P07T=pM+4sLGQfe8dt}Uxn zttN5b^6UB(sogVVo&^?09;(!-I^4HD{?6fp-E|{2?+@O*&U%lO{N@2xEtk6t`{I5j zb;kvbPSTropVXxo%B?%~D$_36CrIyh=Vz(!f=`|Op&PVInSJ(Z%9T$Jp~oh4HlFvm zY1wk59Jy|@X4VqU_t%q?LXLbm{@$x8J!REk&F(MP9lEr$r+D2~t9j3Z-b;_XmC$m~ z$YTHY=`rW+e!FmFi-%q}x)eXAb?er)<4vKgqG6v7jJo4LV)Uo^zkGbUIur!2Y8feB zF7{3FcV3wK?#2t!t$N=#-E34%zg~3p?Dy*UL-unu&6NCJo{ZMe3QIYyd}zkW-)lUE zUfs8QU$sU2i~iR?r&z6?#$I0Z?A3#~OZ#`KJ)LE7=E0NIQ=LT1!`%k%iLtnsnbYHK z=-0~+CFZpjNPNromFEPkvFaMzZ|Hr+c_l;d&nbuv|9sJZR{E^Rc5?=Q-KA|_+tyG% zr(f)foxMhUvdfq>aqGIC^OqJX%u`uvBwfK@iCqvJ$G1M`CPqgr|5^F zN4jop>-xNpZW53DUyJg|1m5|7yZ5K&|H!A&kUZ&M^l!H`i(S;z~9Hx9dgBMl~vXPGtQgz_blu?_|odC3u zaybWC6~m*@i)<-Iufo%bW+O{@kQr#a-YiHNksXJS4i-*`sWu@7nk8yzyxFt4EI?`uxOGjWfgawE2!ilbRV`w+cg_=p56T zfAh)7*4j?eYn}6Ar985bWa| z-Y-zCD!ioAhIJz@iy!6ma?0-dQ}mJPz{D}-X?gOS8dmy%yZX(>I*p8gRLp>~ac;Y3eM$dVliTIy zf>GxCZ`isYbnV$Jqt|0YW_IhDl)1t6--8wHMV|f2m=JK_jaT5(WlbxNw_2^%-X8Mi zYKz63xNG?tZw~o-dzfxt?|@7VG&mOfZtkt3JDj8=Ce!D88f>|^xTfKo)yddxMMGm7 zyhAtlT-I#yLE-vh^JQLZ_Pwbc7#cm!r1iCybckEA*oC5o<~^eIBOO(19N*|ny!oL* zzgW5~dVlIoKjqkN*Ndw!?nuqM>OZ=|#{AI8yB;eC56jz-acNAiS&5!vqwkr@x?b2= zD$!ked5`kCW}ALfW^GQ$_pEA+d%2nJB-VxnGL_FhyA_$T z-j7#^o84=)`0qrUfc(VQUpDM^+7f}xv;Vv%v~9Jysa%uCpoB}GBYwKXy>p+I^uhId zpC3lH!!4$MvivbcceqJwUQgLW=4Zksdx=Ruu%20c*h}vBb}55|eol!Qv-Q=QS3av- z(COOZ5&ev&hGx0E-`4Q4m-l3!D*+ka7pj~*m-{B&o0Dp>fU`+dwxN;bzkIm1>Xj8$ zgN#G>U zv&TkM?s)$EwYAsx`%*5?61w*{p2hAKzs_smVZ|3~-THSu_I`tfsq)Tpqh6_I{&SZF znO>RkxvTl$!en0g4rN^&pL_>Ql$P(nBEEl;?{KYG(*l|V=Q~>HcY|rcjnWj&caYsF z5%RfxC$a+&b5nFfOC&(?YRVO2Xm3S6a=<${kyk$c*B$o18||RX^u}B?vW!(&7oA~` zEZWjW7j5;^e{e3k&L+9b$EVt(5ia+&sSXxYBK} z6B)H$CnEM-_t~UV{UX11?yK5Tmon+r)~HMC!=)!}lxF$#OU<|Whjq4M%*;61JKC*=M@O807&PU|!>d;HzD~C-YCcWb+A`DmSF>_l zAJf}f@9TH&-+bZ7$oyqD_WG@O<#IlGsY)lm)bI(78eN7p`gMI)oe_R_VW#okjiSwQ zuO$-qK zQ{N?>zo7R$tM%=Qw_{H`_6<2O&i?1et8MmkeOqtbOfu_tM&E7kJiFKlSL>sljl1RT z@q2wD`(oyT$%We`Jp46nja0TAU6uXd(uX%Ibi!P^PJVQLW_D7-5#LE6!NC?E2PcNH z5>K7VZy5N<-}v#a-S?grho8Q-zHO|@iAv>rTRuA^6!dg(d|O~5x!$cX@pEGLz7I23 z>Ay4W_<@TCvF?Qb)JfV5)%gH9<<7BG**?VnP4{E-D^!Le} zNA}lV{c4SsPH6jBA3y%dqwDq45404{*MF@0c~ee*!#1|q!@A#SQ}djIp{ zdRI>!$r-l%nR|Y>yjK&3xhbS&S5B+Wyt&@~idOIG$DS?LoW9}CvaHrE-Pd$G`F;EQ zS8~7HjVBx|+4AkNf&H>y;$7$4us-Xy88w9b9`at@)bL`gN7``lXzv-RGVfpAn3BAu zS$C$H-;Tn=iotd|6}hWd-YUP98NYX#)Wy+~bMBc{T+~`SW!nZl=Qp}9k6D@a<4k-P ze_KCaOD1|zz>2*|nsb7rmc}XQiJ3k1DN&9wTz0Z+i0GkC10E;Dzt$SDAX&fUW9x$h zs;5Jz>{KsRU1FdzX|QwADfJ81xdm3H>okW2Ov?FrN~cgqK6zvREmw};*qdad>Z|np zfRwK21J!Z)VS{=4eR9Ui&+l_jVA5*e3HcvPVAA56YKv^ChWKP*{65!wS!z=zzt1)4 zmEM%U-{+dSN^h!xjMQ_GPlE7>Hl(h_f8Fs@KM($2*(pbhGScuTB(PY>;wtz@@H*ZM z$J&5Ln&PX!%s=LPVCS*>-?yCb&1!y>u_!66C4c9lHwIf?&YhQEX`h@}w7RWMWb(uK zt6_x?wvX<)R!+3br0}9ur+)NP)_ikezL(^$`rWE0o=loEr$oGRVM1<|f<|A9P4e^H zm!6rP^hq)P&LzWwueCoMPWK-0w)ul%g@3MZMlsu{uy?4h(#7~UryEueUv*}c%-Cs_ zKQGyB&Z)A}7JDe|{QGE3Xzsc1*FQx6>XSR>QWvLZsuNW&Kb~EwHe0nyo;7n?!uiEJ zn*?DA(Yw@0`@=B}b8b^5!H;Vt^T;e<7)sK0Q@7^pbN~z*pdX{)&*3gEKpyCHn>HD(weExQA&X2pR*T3Ai-^gmn%Ak|EeK=}6 z2a1*Mmr2Vz^P^>5;Pjlu<5r4vKGb0MYUAUJRWXTU5@N;Fj$YXPvn|{j5j;pThBACpQc}d`0DOWM-J_+xMiD}G-7wea;0al zGy6Lo3J5j2wEvBbWO4t;`_4D*@*I>A8+W2%Zk>NdOWFCvS<62hb zjy+`VSFx8gf=Fa>9`qK`XYue4OP$;{RuyMb` zTo;Mf#eIEJrtPXptz7k??WpqN1zk=lHJ23~oiO&|BKEtky&|0MSL&qAYU|>4LZR8< zjr7Ib6Hn@2vK6a>Jb(1B`Vh1B#L^e>YvzFpx_PX7mext-P<5IY4fPpVjKEM>kV0C;mqkdQk-4k z_j8ZUps*48Cp9+gWQP|VTJl->R^O`2Q7QZ7d)*9?p1Jezn&yRF-V8gzQ$**Gt}_;_<zWVeZmyb?)TKX-t?0dZX6cbyo>Y)Rs8uamQ zl&E~Wyzr#5$6 zX(2vC!7$5MCi#B)6WcLE%G|b>Ee3&YbS`}N1DW0>nn}%f1rIaz{mz(gP{y70T1rEML1O`l0W+sD)#TZj=sK zvdic|_X%@X3~zikXXK3(q@SFe@!(nDo_*n^W&5*5FJ5~zc;ynI-^V#3yUd$Og@B=35rJjudU zu5gM$_#LpzVn`FVK8!5dyPl$v_FCR@GC3F)c--sASS zyFV;{&A7d#!888I_JUJazsIQ?1LVK7`j< z4T$^Hs?&ArlPg7u2TBTJn{rYmMILWFGQMX{MumBCjiI=Glj?J+^X_eL`ioAP7LuJ? zmG&W7d#ZcT_ikTbN33I8?TQJ}|8(}sEQgZKlMPrqo_&wpo-j3ILeFVcg5hr^cI3WLd;x_&oq#_8Oji`*)L6egyK26!&Myiq4PJ@5D;1yN4j zs#K&XrrXV4XIkh%51mcs7L$e?HJAHPt~y|~v-F%Pacc%$eo(P>>$6$s?`}PAHuY0! zpz7dy8?y)7vslJfv~Z_pF~50}DOWR!zPfI}dO5Eg0KZ_Ep+Q z{p>F_8+(OFcH5*KZQnf3QT~wHb;*V|_3@kR27e7HYn?WC*ANGVy6@Lj=KQ=h?`P_& zM%nkjMPv#htNoiHVx%vgXklL= z(Zaq$q6L$_kk5!{{rS`g<)b0(_hSW%cAEcu0$_@-zni;1d?-|HuIhAE6IDax2eK(N zvWuv-yKA7_Y-G}x7W!2Xqy~fTJdex@VIxU9vW@PauU6VDa|sObb61_Y$Y+VFfu0_0 z-K%Qg8o0>UN7Y8v&e{b1Z`{JbKtEko)!^V@6`$pPDz3iX3dpbq@;`SUHa-c{vLAC+EN`c7*}UGbyY(HIrWLiKbSob zll6#mL;+AvPSwxT!_VK-MQ%3oUEe@trzIabRV1D*a&@4@vDo_zu>Bm0*QLU(0EYazNW}*_vgXZ?{SKu_`Q+@xIW;U5-1Ds}H zEXWKPONfi$I0%3M=tX{z1^OchJrFX6pYSv|7fgao+N+TVWDZry@Qn`t7BYuSDJUn< z4$1@YKqQzPr=oWQOrV_viVOf#d_6rX0M~`ieu#akAa!aQ3T!Bdf&xfWLElKRgpRTk zQ)K?s&{QAab%qZ$EHcZC(H|gvFit`vNH$2mpHTe(^fcU0FqCiyq~;{nlD4LyvNiz8 zFp%hkuol_CoXT8$H9Qj>ZZ_MXu2Tn6-j0bu*00c!}iv9$4!B2u` zA>^k*BO#bd_7tSO0Qs|qE@{jo3SK@Wi>K!%YEHVHHXk=SYC?)GaWj|Cf(Fw;&K7Ur<| z(9R*#4(0%K0u7)o5W6taAYuWrASVC^UWbqc`~{8R0;MIKhDQT*NLS!#a4Su4qz92X zz%PX%ERriA;tK+pc%XtZf)W~y(K9yaVJ0vM`6Lhe|AzP(aNzKB2KfeHk~Mn91U=ZJ z2P5I;s;(rCqt(BV&uz|j4BIa2godxGE7PWu>ns22at(@ z0qOxGs6QM4j5+%BY4D0axDC)BmgoU)z@9)n6O<4;4R-@W39iC>;Egt9E@4K>-&J!| zXoiii&=RN^Y=H2mD?tzi{K9DE%RCC1m_0I)NwZ;mU6w#HL9CcCflMF@SPDGv5Uo1o zP9eF2MpirxYJP<8Q6!L74KhnXx&*-;BNNkgCYEZ_$P|#P5cZ)-CKI&I0X;*}QlTVI zTERt)J7jKZ(a6NSoj`7aYr-VY$C!j6Q=7E-ia(j!6f(8(DeVHu)aK$Q&+yz^0AQ zRuqn6N^}EP44myav7{-f47xy#Z0PsbgmfIB&y?^6iVND&aa|xyL1iG88sD_xyYdX3 zP+%pI@=}7F9je8^UU18x!hgYI!Chx0QK!Z`ZG0Z6a0)>QdO$JZ>N;d^q?uw2Jf=lG ze4>|d#8N^Zr~$ASDDF6WNmIobD5k|eD9Z`26_fx-m>MWE1Z(bI4crCYB?vX}6FNua zC`j4~fL2d z0p$9k*Id!VGW6h$-|B<@6^I_3@xK7ZmFANUIzfe!KFI9}{wqMnun;#>6#q@Dvx@MjQ4AoXAv{%8|W zER0px5gY1R&35Mv0tVC`TT6uTFQ5VRlc0Bi(Q48ub- zrWg|d2c}TaQxNj&qY~bXmTbb;Eg0}ovP&bBvp_1%I{{TZ9TQpXyqqECO8%Ai!z(!a zWsI!9qIS~p?{e_bV&DPDm=f%{#r4--kmUIA3Jntgn&U%ugklV=1OSTT6B+=BoaD60 zaRyAmJ2uCp6)Z8Gt_sLhT0r zBC-X467_glgh@+it_(J&6J+Y3<`h>ZH1&iV{u`%F28XFL6F8b5!}odn}K6B^uq z=C8@%_$3L1i>7s~@m=BgK%?}ejZwt`?+iu@kpe+8qTZ zgs_-^2_ErAro&R4x(>cihCqo?oO(xr5mbW_5<|8`WLuY za4j7Gi>I1Lb^-tk=hQRV=yngq{KuutzM~vfAd?QP=JS^ zCRi%bQN070mZyHE@tV$ zeWamQ9lYel2#S_(;M)@j2a3}nP`qRYULE3?@OFmR$LPq2UJA{f!}>9X-X+C}+oPa} zkOPc`pDySH2)v+pn6Cb#(IFkYh(?D+B)-V9DQbR*FAU-%F962fOYsD`{h44wxH_zl zLK6jcn!)wqMKmVbY5oCUk|mIKiff?IPO}M&Qd;=LPBQ?&3u{aOXdVDxXCx2+#rP=z z2$H}EFiJZv0le5o2LOwnXc;-O_Krsa09+Gp(Gw+6hcF4`2cvog#sPLsu@E=|#suR> z?ROFVJ{`OOM+bmeHN7yT!@)XK6#fHg+$s+oCA}G?6n+9pKn-bmj}Bf)<4+Auo$&=h ze5j!)G0~HRqyl);t^j|k5a3jRDi4&>cJGe=1@QpRK_dth6lTL|c=R%~5eyK))8JNU z4la%UAd)=rvKyTPm^;$oTEwEGf%Tf0c)%?iFan4U;EOOLAkr@f6oe6autpEq4ZtKg zV}hOr$IX2g?KHdx?gy11O^8N6-PmpxbjXAX(p&&+&(0NC2o@IJ2t+4@bp8q=HkXzOA}txlKLRBXBDhH` z>Ep^rTyn|}zYg_@|A{O2J4N;;USFiD3t&Wx`W5-1(5 ze*+BU$}1lM#KZ;iSPNb(WCBJTlfb_eB@h^8bmH&Tj+exQ28;3*IxR#V<4$rdcx91^ z0klzQeBF{j22e(!1u|e7N-J0!*d0%6;{^vj2QeR9D8vhLW{KArnIKY!tdTWO*yjKQ zV&opO?kLcIE?-85AlL-0;zPE_QK7EjK^kEWS~!MKhPwqagz&h$qP;na2h}3WQ0CJ(>nkZC51s6VGdh3P#w!-m_b2@xt%d&6vE^&>XbwVL4kQ^;ZU$q)(IU) zAio5uK&cYU(++(CNB~Bj2+wMJz|`~-;-ojb58I0rX7lT;F~IWi)nRMZh< zLT5GLC_0wf(Bj13Bg5>55g8?|K^C@R&Qmy^h2 zzoei5(xB%?2}2g>>q&3Me*#IsSYjj@c{ZlQ{HdXZ6MWGaA8J_W8!?Xj&yOS{i>WbP zr*i=7&uG#|l94u;BV^(Mw`?GP0|hrgnFNL~9oY3}FcCBiPTS%)V1rx4P%!d-et7_) zL$vUTFFxZ#F`FzkcsXq>*A2Y^)Cb}pCSXu`uwEE}f&r6z7K7!rzII6z}m8Bb{c# zfM{t9zS@ls#gx1S3>^dp(g4$doP&>;{*@KsHAgxu!k5wNl*q;qnEzt}#w`kPRiK?9 z4EPKD21Q2TFaWtFDopqZnhT78loSFnaRF2s`nd20xDbH1C0ia|(qsZl3tsrzIDueU z=sPhlClD++5uh3f1`vSrzW^IE9VXZ`?~i;qn#cGXkwJj+f{fW6g8g4W$1fitYcQ=B zg)hGoC{&7T6)04=0pv-OETND8o&k8hk}h7zZ)tftzATS|9F$SG{FairLp#V!02WxY zE?FPo2GDIk^fdhCiv9)G3}z005$PvpK=3RGPv8}y(L$0x#*3VE)R;e}t$;wbl;Jt5 z0eHBb6@+(!DzHrW3FLx^$w@I@hU8BT&9C5V=O}_8s9}-W2+SbTE1&^50t^LR!}tc= zBGe5#P2Amnjl@a3h)Aa$GaxPQbQWJY$3#6hSItpSAXH!|qg@|G=oqPn%CpKfgvFjim!tZOwa&-VeGa+DFxDiQbL8{9036o32XGP zVme-dA3e-bx-U!AqHRw1m8AeLdt0<&5rA z1APUiw?G<%Hn1jQ@B?B6VMXAM2$zU8w?&^tBm@7I5Q5h-=^P;B&DAZ`!JIQ6c>@o) z<&BAlDLB9y4~QAS6X0C{;bYYCvLq9^RNtbGuly58F2$(|LoPuiI8Kld$QBMDbN~Za zu?NCkfDAN%G&!FPlwV3imJ2nhQRlspBjJk(xv6xH>pTRBq9w!2b~4W0~rHq;udg4 z6c5!dSrj^9mmwtQgjYA21WlPWglsm74TcLuOPMuxN z)BYfm3E`zuIxHf-(~G_8`0_y}U@E9cD3uXI^o#*|utX2G=mBHA3Hk$&gMyL9(ADum zCKH9UR104TD3C%HQ1Pb}#Y7=6988)p0d86a=Lod@lC;(Fm45 zjsq$I3Ii4m$^pm;Era_29cliMI$q3Vk_0US!j}aKM8^>poedQo=n;$!(EKl)15ALE zG)YYzuWvFTp`|_e`apq5H2x9^m<2&FP;G`j3(kSdBBwccfszRX%_re&1O) zI?|i*pFk48B=Zz6O7f?MrU&@?K8juw)KCNuu^(6siV3^{He%!g%YZ5(VFR85x!{c9 zFKEQgY&Z>%2JHvAz|-JXnpY=1h}3<+>z8y6U~WsBtCXwGdxKCK54dFm!312day?iM(6>~Vf_m*bU0&xUNc7z@GN*L_xZllJb@eFF92#o zq7|=SGSNyK`sKX~DKFWwg{74yQ6L?d3*sSFQPlCOB@@B4{t54$MR^g-Ars6;8NovW zUBG=fw3A6z4dGO_1Goj{4yX~*dNaJY9p!~vgNz$U2UP--0G)xDim5%p0m8!D1#Kr&zC5(V;v(xoC~`@f(kpnIS`OxzJPc7s|GEl81T|sSPL#@C1|?7y}d<65L_oRb3{dq784e z@I{gW*~2}&{lCQ{2!w=hcSIc{5d+$zAemc&>Qj&}QYTWecy9~KD^_uL2LHFX1u>4l z4`SiKQr=(nwPS8Z%4~lg(%7C{}zwH zq(F}#`+>i(GIVljsM+z(F!GvXW(byrE*5jP?KmDzW7x*b)jU^B;6(n2(NH6l0nT|S@;rG;mDvQ zuRsPkA4f77!sLhQ)C7@*uU!?63{W5}OC;PMWb*J6IzpJagVewQIH5u$b$?iR?U|8h zw4@DRW-1)9l*|oW58;-e*9OtJUBG_^Yj|@OuO~AROa1B~^36}omkA^m0-hS#0(lV& zF26lCG7~FI2E(FdF!<6@;fMvsVQK(U28y8v0|!tD=s>_#IAB@<85t-Gq-Aixu7Oyl zqpW}@K-U=_4SjgHfZYmyl2Ab+*uY=YNQQwIuo=ZiOIq+XslsujT`+$}wg4`K7Rrbl zoFU=#$6?Yj2IGZ41Yt^g#v!0X0z(h1e0<#@cerX>j1 zAnOizU3e+b3^ok*%177!nLY^~oT1N~XW{EN`REe>cZx`2JaO;C0Nv@z%r#BsO9 zTE_=p1Syb(ls=EpEJXPTNf`hFoq$@rC3*oAn{YM6Pq>dXXPSjqUzwoMI#axNXywIz zNdbw7!F7P7?^|` z3L0brKOw-99!c_!c-52%6Rop`uW}TKiPBFK!XzL`27KVg!KeWwoa2K)aOW5aL)7U@ zEZ$qL@=_~EJJmpm{zQ6av-v9sLPb(q#|~enC{PLjfs#HmLm)x|Xp|oc9xR8@JmLax zq2TpQCbd$hMO}O;qHu^kTqN?uX_eW$-Vm=>2eR5&9R=JA|v`6acSF z(j|{2yE?SR>TG;LBZW@@UIl#_MRP6CGePKqAP#;4U2u*xO^S^d1Nl=!RYErJO->1F zC|cLi)LWRI4jZq~(P_pKRvoU1O>Ew~n9```<|e2T$Q#&42$*oXaA5_?a5zWY0ty3d zv7klMHtaO$8b}77^&h>Ch+J&EG{?jyx^OW^%SY8fxWFcgm?64C0t9A<0RvqG??~t( zUnY@kgpHTYm`JB){cLIZRa3j2mC=Cy;7kj2o1NP&Xix_=(A*1Bm#g4ulTTEQa^Sri8^% z>;#eMRu0c3FE(42TD=qSE`Gb&iG4>KETPw7b^0R8erm9-T%5W0xSQhEU7HQ#*1N07-^b`FJTmj zk)oNxVdSrCd?D~#6+saMc^ISFy(X02?p4F~OsyoA_!*f$-R5-Ru}Vo}rMGv*Fb?CceIyy4=uX0wrTuFyT3?9-q3ufahDVczQ6Q*?c4N=cxiylnSgBf}-LJ!vH0pmGb z1ET_)BLnc}hxD77j6ATZAY;2p@ovwz6mAMNuXs z)Iuto_hzfSkia>tcP$(en)kFoB|%6B6q@+S6CK(D_yO`HutPIaZpFb1olMwiGZi@a zB0qtO3&B|prKV#X;44dDAm9Nu06YK%ewC!39K2}Ch>SXcfx~;RR$gKSWPg!+&}3_i z@&>{I#0kR908j`K01vViKx>b-01kjj!c_`xeuW`Qwna^0IQU9C;UxMOd5kqmHo$}Y z9w38{1yD5H1U$ev1&0i_yM%~29Q-4bj8dkiJ{)|_oN#E_=<7SCzeZ>ikQqQBb=%Mj zCiqnvcu-=HD52eCYsCw$jA*F|5Qq0(u6%Wx({Z#AJ_w2aTgd!sR1|flWh!; zWmIfx{=~so!U>1*FOn#5!tI$4iUMc{8~qQ_@+(VGbeftwad__m%h!zmHh}_LIGWha4;bZ~GIu_e$jt_hU03c#Egm40=pp*?S&@uw0B{BF~J>h_| zJFaxhLCqRhM3PFP=lFI=fK$Sh(>QoFmysc~JO^LuCmcgK|C%A7?BFj6ck?fJAxr|q zq9s81%0l6I@fQgYvvT|`Zd4|OVu42hW`cc@#>zN&shCkiXh{*i+E6&I{6$j4%oRXJ z{s!rl&DRk$B+2twc4j*(K<-%YBv7mi~dh=C03KT(gHh@AC1HQsjI4Il#0TTiG z08jf=&p$=PzlMTWjv1vw%Q<=P*GtoK002^9lXc4o{Q(CsVQcg!*Z|}T|FLNBf-xf= zTFQv8{uE9$l#~%{#Q;TNf*$@63VvffB+o;W3BCf92?IqEwkW+J=mBhiun*8N&^AmQ zV9}s;U@6#X!d16lBl%9etjr(>`s98NzT#6L25xHy?t>gauP_n?IswqVzi|S1L75RD z%?a?{SeIrwASFOZaRPi0(#F(45)|L?_Xx3~G9yB|9%8;xI2i&~Ojvvn3gUQ+>D8x=7=aR1`;)B0?vl^e0U5zn&(SM{s=EQGYFZ!*n@*F7!}9~uvSW5 z2Lu6q1YmHsz#TY8cvXxO038~&10@5%?1~Wp)!k^|YfOa$Kyf$NEGZBgpaCz5od$6L z3;+ZN0yFeoNGX#BUMprKpXy6A@a3k$kx%g@7@Z!dy75e%{!A$aq5%yYKm_1K;eb7c z(BF3LCM2VQ7mMl8NWq#qE~9}j5M=_Ufl`iX1~>;$3Q!Lqm7s}GG3@?-WH%akMVJnb zl(o}WnrPsQNCm>46p;5PbEnQFY+=1pxF(+ic~lN z6uapF07y2?gF?0>qYxtT(4d7a4c?oN@pI5{~>@B zL4rt=76eh+lD%bjFEt?q2tpDzOM)UuNJ0Q1gfx0rM2d(F6$_#i8>pb62!eF!(j!Gs zKv4ndo&UU_yXQS+?wpyqy9xfD=dTYpQ_jr1-|t(_d+NI0m5ag}-R|-nctCcjoZ^=j zk86H902?*GAUx<2(_-d_YK-G&K*3kA9^@pHTi4+lYT(F57u3aL1R#4lNpN!m8ZcdF z`bk{}Wuv(Lj@f$a?MX9L*i}G<)}4S%4csfw0iIaiC#N6-V^~)>cBx~pQBZ#8YF$^E z7E`9zxtgKO(MmojhzB_;bWs$@BVvTCRA7ZYz z-V`;{tQh&saVH|TwG`q3Q4M>e`DHzc8`<9F!ZWFN6kgDmTi3;)#dKqV=YX`ngP(*k z!<9R$zoOaq><>8Cbz!F`uVoIS=4;KcqSkKY1pzW@Fe0=gve-~Bp0)>(&_AVDAaM>Q1zNcb_SiJ_12rN zT5>u>VviOBF|1YBCh=IyJ4XfxfgIo#F0p}Y zR&98bd59OHIf_z>FhVKIrTu1xuJdN~b|&bcKK= z9mEWE>C~rTH)>rMe&#Zfjz4Iakh=?b`n!Wh%E>7C87Dt5N7ZXA6TrQ4Z;bp*l%LV^ z!!=VXxXgDb&s&`>@#{L|83K0OnJr+^(6$;pZ*HECR;+jlhdI${QLwpMy^w^t|wDkk06b}J+U->c= zxLrLuY8%BIRMWc1wHW4VTbOBw;}EuXw7@yy2HbFD=;VX~O}O%T>>~6(CvDNO-H-<- zLPpCLYIr3c!;*Ft%fqW(O7`B&qjuaHJ2v3}b z1k~qDgRkr0Y*g5wMWhQ^iwWy_VXm;K0eiB3m=-&?_ouU?E6jIw)oL-ZtFCe6ioK(h zMr>@%q9FeuCSZ#;ETS<&C91J`inHfjUB^|U`tkFrE?uHp3}~$s(9u#Fi2>yVI=Nv@ z4H_VXu-PhhI-{OlI{q33>gNqzx?;5$Q0h%n&6m|^Ib_1c1o?pmnBoWArum5Vi6tQ1|VqRcg9&k&0!=mNA_S8JY`w3ON+Ih$(rCmBI9x6nW(s0gomoCEf zv|`C4$Z~2VAu>4M7v4BUFeG<|6}ohIJ0eqHDXlk94rKBSp-+UcjbS92BG=OF+v*EZ z4Juojs?BNgbm>5Kh(NR9N@`f4OP9yScm+e6;qf9lriI<`10*aG`!Zq&w&Y}B@(!?K zH1L3F@giRC?2g=}R+z;0X*KaS`0cg26_s_RAXo;ZiFBQT zh9?LyySOo9q?|ycOgubbLMJ!BKlS0Mw2E&mC+tT!Ov{nKs;#+wEVo%fJXH9hS94!bry8N}6_^8Z82t#v*N#ai^#Y@@RvQ*kA z5HM8;beq;XY97^Ekkz%`dO6cRyGm#cz0kjht{?y^xMr;pAcjJl$AT2iL#us#gkq@>qqJ6}NbZ|XNO3>5XdJE}HAM$#db4aoHsE2Ljv)%+q z+m=B0co@&}m@~rLr32?tguz7lE?wzcOz&VHUN%;M$+|sAxJGr(sU^S=7X%EeTOKl{ z?B!J0)S>n`A^yaiuGU*mXX>0A{FJUUEI<%tL`&}B12#^$Lnt~%AEI=U@StkYg}*VK zdldrh4D1nckQ|vXMReEHN`G5zd$ABMQhsx1N>jT|7o*f0{C%xUe#J3ozx_s0GunYsU zBBHY*DCDg{xz>u)Ve2SHKa6#^-n}`~&%J<_fzie-&|+^$4CKQE4;m#DW50cJjnk$z z%4yJ63mC}Lw+?Sdx$qO)?$+BnXL8{swi#Ru+=zvX?c@hvhNRhY3Yojg5d=?_Bd5L7 ztz+O(2K)rJTUQkqvv@Co&0wHSi{DA`SZg3<8!y+anSk4#LWt8&@7D3=C4*?Q0Gcz7+6MFu)0Fvd-N zlEgohNNP;s0Tbk!f=)k(5)}M3i|*FjUuP0j5fts_qVEGio(1Jjy{{yz5-LFo+jSUP{KAwcqUk4Ew+*+0#J?4lp@@9hPb3Gz-|rd|SRRhZ5}I$9Oh3S=oqw^IMc<=PZQ!Yc9G7>|9r}d*Fh4%7zddV2qs;!#vC9;a=gMBX7|K zSD2GDMRkSWb86nn!+eV51_cx3IE5%qd5E`}CwV{h2ai*r`B%5y;h9S3$fn_tgVlTSew+y5d|yuy44Ir|65TqfAtF-{t#$dir(3Hq>xmwH zR(0kw;khMgBD5)GBQyx`O^#3)hR*4c@(|Z-5!(_pZ?jrP>E<#Dwxyj;D0wTEf}kzm z@2FU(LqzBdTt!#5$LNfCg26zFXX%6m^lb{jw?#17l{;(6q+^;vXx5eJF}Z85CLuX( z20<(~(q{VHY*op@E`qG|%0Un`;dQxr41pJNt(j0awi0wV>> zc=^H1&1DMmCf-1e(B;I&WQL|9vYWOVq}{j zC*a0BK{rLOamo!3A}@qCic+c-;ntubrRl^j`rJgVn&jO<+TAd#nX z9+SHvbQ`0=(vc|00MG~2#=>(e^E9s>EPK?65)lnHLVMKp>A6g#?a?SBLzCs~(g_$k z-ysCz1dL!dL65FdkKrIPCMvaRC3c}vbPJN09tTZVSt*kfJCZ+N5Y)4}OuZP$w8lmW z_Fnnn$S9Cn0Rr$eH!SGfg-{p1pg~;F73L)b^@2l&pwLfjN6^+H>*RvC$bS2`N0)_{ z5SiNExN(Nau#L#Gg?Elzf^`Z&Tw1@?+oLPJOGsOD4L?KL%*H!)Kf?3l{9W1}9lVbt z@LRn-y3V@3!Lk$QCHbuNZ9!{bGA3U<6NK@Q91x*7c2&0?%n5t=XV0MC;gOkTdG4>ljul1|w`( zy9r=(Z-Sfv+``2Ixn|XdH<^ccA)2EorP}D8?$Kf35WQp1;jmy&WV7>{fWeBvpSEW_ zDif`NXIdyz<#pIAFwJF7nszG%9Njx+tb@QIG^cyIrn@2qRje-2_Ec=d+d;mH^Pm)* z5>ZWoK~sh>!>}+gCLHYjIjR)x~Fx}H{`)F(^Pe)>!x#=NSo;{qG4k1 zC^<5Qhh9g@mGtFaxlAaVC`Y5^him5H;4*$s`EgRz?Vi>_*$}Ry_Mly*>!D*{>+&I^ zb_68q2GN5s)UuLrAMcYBTg@(%=%jF93=%~5gCs?_z*F<@P;j)+re7d;a;txPt68j~2Tu%+X-Avi~G z;h<-aE_}{~m)2W`U*cUrM+t8Kiw?0yMGTT0UA|mQ#EPqn3=z4ENg-l}uvs~Z$ETmA zG;|?zF=45%Jwr&xxuET9Wv2!vWMFOh1cpYKw1(EQrf%qnYE&0~J=chAAzl;SF%rCi zBd+DZvk?&}vD{x@zW@7KhQUHO>{A})(hAm zH4(z1vp5uLG5CQRAUlga8#+oF1?7jfhOUV&2FeR^8IBczvWAGn_!(%elJb!0=wuX` z-;vhPmC(hId3|UZ$VQ7w0no}!bAZ|^sG(z$Q9ORPT0>Ve7sKQAsAb@36;;SdM+#6I z!vl5USSZsr4twPZGsf+tN;KDN0CGR`%R+^I2P>ebL? z&K@PSJ-|sM=G1%|i1Xyr77M3DOs+W{NDUpf3^k-#Q}~yM8oEk3CR4@L0u};ukUT~1 zVLHeJhukn2rb_`x<{nQ%w=+w-p#znnCua>^l3a`|Pvtm^g%eKK1}AWY zN-*&+CbUO=pU7>S#7IK)ur6mbfdQo>u`S)a=NOU>oJJ4?wCe)d7y^%MYG|azZa6X` zPY`rm#;`zOVF1J_)Jk0ws}I1L6KcFk6YVMExC*#>EeE{ctTuFEG4 z2y!h{jZ7#J!At>^h+3_bHXw#tb6-7Z>Nma^^5CdHm5u14*<2>l>L&-l;&%a0e}_)1 z1kETEti4itjQr4=7%fL!Go^yde1h`hq^Q#g*w9hl5VNEEpc$pBU}K28ZaeR5($ z7D}Ef*Q~lt(ZSNC4)un(99@Q;LygF$+SoE01X)^_gX)}+XS(;C@T_B}Au2~(LDNuI ztH!kDH3%^$JTC~O_^gfFHV9GJXt0&C&Aq|Wu8!A+JUH47Cz3ZJduLni!bDoT#S zt-M$~9WSm%J%o0sW{8y7G}>`GbQ?M-8!E<=J!muNveI1DAbSPx@1OFKD?1`0Y)tH| zX)>PJL29Z?Ig5#1ZBy))leHXQ&_U8D0c{&n%AXErcZqLa{53&Dg)#B03% zK^tfYm*|1$xtRcJbr+BnvFQVkQ8^k5=1T|2J19Cuj^@e_*Z7txN?k-L?#+`@O*tGA zbtp451+7I>8DL}|=Zw6MjN19WNWv+CA(Pv;sN;_jnS$ygvhlK&sUqJs>|kOx-$oMH zgnf+76ouc}N}suGOE>SitmanV$qp}JA7f;9Sg4}39rLz+q3;XrvDk1yI6#;aScO0=s)WQrr#Ls)5sJNBC!yHPMl42g)rB`fPH-yl z;QaW#v9kXorkORB*E3Bo&q{bR^;9w5YVQYc*2yu%W;G##V3PPcaf7=?XN%ZovwEJK znkukZT_74`-m}v&f|=kj%2h*wsx+B9VM0(OZUr+qlZQ+%ey)feB9PZJiqBYALRFli z&7fLMK4ttjDqj#+BimowxC({H2X)3iY+NONAs^A+6o6Lbe1Ez#6Hbh8rZ}0qujA9z zu(oat*TtU26bCVB6=w8qhGy8`%>`e*Vh0qMC`Y5^hij()CAduJr9AdxJ2n#xomn+) z3VmP}x+6A7C(?7i)&z;Efg8>FyZj(;L$`-nMj@sq(jhndKf2H z=E;whm{V+%Yql+xe5ydklPt7xw@uaB5#??ZA}c5$mS5 za<(I)3977g$63|U)d3yJwnP4Q0m;)QM0=bV6jY1WAZa*E73G@(gQZj*m`=H0N~wX3 z>snY3K+xbMn4n5jVUG+BtA&NGRO>f36!Ur1+cW|vzUt_8$V^JT@~5vmy^RtY!*w~W z$Bse0$keL?&moA}DfI?Thsci2HXCTA-l}V+i%`9`6LW@0fVTu)riogN*M)qflq!D| zyE8EP)|)ghdZlJ&GB&$QmXrBak}i1l3^LPQJuUVLp+R~Ezc>x= zO=WAkF17^FbY+uKeSn&Kge&md3YZ(V+Ay_=9Xd&-%x88y1rC|CxO@dhc1&*NkSH)6 zZ!>TWv|7aHQ*#j#xS82g3T|MpkqwXIa6QW%5Zodah6@}+pl%`V@Wa{+u5q`yS8gk! zvO0IXG&soTb;)coQzu#*M;$Ga6Dflh6^4z(;16xu-DMyM3Fg&<6$5lgJTC^M+6TJe zwgfTh7-0N&Kt@D##1!C^K`uKRJ6WS*nlkwygMbj(61kO!p#5|}$N^b6;5nI-j;rTG z3Y=aS*Ot|r=kz&{ip9FJ&Y!1e9ktIVCGc`xf?Jjp&);)MDGPVDgbyCFp@Q1bwQU{p zPhoZw6>oY&W4NxgEe5wv1n!SYmO= z7a%vaPg`8@5HW$}2?tSvlNnc&GlRx~-Q`>QCDHIn7}xA0M{ zw&r?k2C~2|DFuKa=5P%cw~Ia#(TqdotIH2Oox1k7th&4qlmRO4ioNQJU~D?2tx-F5 za6ccEH|wX-sSA6{f+B?&kWY;kP$-F5;;d43krhpU>K)#6tOS{91(!wUP0Y$rS73t^ z1WHib5gSn#wN7@5*Qw**`P%d5>oz)doo`u;-W=WxjB%4B)&mM_M1?dB2F8VsNau6m z?SqqWVOq{*xo8xKIoY-<58Dug4L}H7@Pi@P$pKCR)Tx8p`Dlaw;Z9uvTox`}I!QmV z?JLgEKXt?bQV=T+opfNpjdKx~$ zY^YNg7`J5rl>!D34*>W%rjF6G6@yI*p`}+o!nLMfIB{La#|r@W)22>cpWGJk>VSa< z=3)1sz)f%_(A(e$RYCROyO(aqc&hN0%!z0Cp+%cvGD@Xf_zKY zBew-@;7ON-Mw4cyW4$_1UI0~)a7A`;E)c#3o^|1qxGhx@M~;h%L14WWJ$F-z)gkr* z6a<->u5fNku|?9ec;sriSVVy1%D62Wr|porQajm{#I$k>P!J?;xI> z>glqKR0K+qY;JHEA?t^mR)J$D5yn#L)Phdz)Oi8gry>>-{7z` zR(P=eU?t5+rv?-tD98zQA$D741WP%&@!${?q)=EDe9>0IAKfHE=Nc4XBuEx@33pqJ zR7H`CgprZ*rG3?Ia50a9;(c+IAVt*m-fdAZ@D#CVw9SqlLJN6yuN>{>1QVUL5L$*a z$uUSPb=h}}j%fDSKR7^!WV?0diEKngV=&vx6*NgJ+7_@&4I7<09U(;E4D5!Z0-d_F zI~PNT$lyi3adO3xMNbhD0M7izPMuZ|l`n`xU8Z?oOg=C0z#5gv91)Ep!>CP4p_OPs zmPS!dxsg_bP3S0fu)La5&0wQn;zcmgjNgu}>5PLE6W$Eubb^GatIgnW2&rJzIRN(F(r_0HS|1zo1rAQ2dO zOaZK95R|Gj0YVUd&L$`V8`mY}WtCbHJsKQ~MydEn)1c+!EeGa0b-qAIMM|GcXbfr@ zU8?TUVPGyYed-K>5JXy^fkEn`bq@fc(E|GGqTNgT(h-Buh}BAR6BK2K(0P(5_y9jC|%M1 z{_0al^Ft6`;uAQju6*}o&va7HHTy)H!IU)W6!HK(#~Afs)h;^1pTZcV4B;%*&dC1V zt!x&c>6C#Qlt@JlU~&UO#Q>53+@e2UunEF+xy%=NKQRhwDPq3uHfnU5Kt4Tw@Ox1tjW^5ObsUy1A>9=8V10Tuz=L&XNju&J1^F{62MZjun|b>^N& zQ?YKeda^>%5A>DDhU0OXyg=VBO|;&@j{O^GoY=m0JOTnt0S~)rIOUs&UrSda`;y18 zlhO>-BeD}rH&(bvb?QPsQosJH=rZ!Mz7G!rMM_vBqGhLEE@t#SPp)u8s>VB7sbA+X z5y*?{s2ow*R7Z3$QxV9;E2mawXBN0qH_~clG};B;iSQeE=n&Zv=_5S zi=E+H1Yp~}V?7nkA-in|n_$oF5%Tc`$(*iKFN?22bm{Mo9Vk8=l8Tuh42O^nGyIrO*n8PI zuWf!;bRt7OGeO>`i{HyKQ+=P9DU<)X3>8iJYGKN!%kRrFnb?PYrdg-uAc5tjIiqd47A7-D~0v1Kmg@S(FJQjX$Q8vzx%%)S^E9sxUu zbkrEgPIVlsCkCr7&AGNy;3j&Ga`iJoPHc#`S!*y}3k@g} zMH4w;k!K;0_u7hfFL$_2V)X&)yGAlamARltjV2=p`~c_fCq9YLI^y#xxG$+D1bgl4|U;x zTj&RrBNjy;7!P#~Jm4z?6OW8yiyrWhAg$Du|80>mpxm-38QFEI7$WsM-sQ|et>}D; z&^(gaHFG*TgQQZo3-CyQbvuf}aN!*JB#{h@G?9`@Bb{N6lhV9~BWlF-A6(d6N>RYP zj!zLPQMI{D7(GNvbD64xQsmc|22zo@ASrj7PdK%UPS^;^(FwZ2pIB;CB7dp?*c~F3 zS9Jo&MtTI}6q%sVnbKO(xfKzaf|^cu4e+#PRe?+u5zG*{gPd3wg3pjAw>-QeIUMpN zx^d!gRi{0KlIxtA?$k!iRoxsQSN1fs<3+@@buhkyh=;ovs5hY7i5OL#ybu-IC%hWj zOWzs+gr}(=6rr=SBFAq11fZ(R_+vbK^*m9@4m-g!hJsg-5F2xXY|F2?Y)d!qSr!=BySu7(QHG691`gUnf3|`E214+IJ=$2gFTWwd>RrfJH z!$exoy9f#*%qZ~m4{eN*lX3FHWsb(W1pwSDS13ABjz-H5*NobO%eatzwX-#SRfqT^ z2H@vkRb6u*gWZMD{hh64E3v`Ss!jz6!8zU={Mn^ORaepH!b@w_a-5;QpiaRv2u@Uh z=qXk4>9~CqMNskSg85=7Dz3V6Q8;qSb8%1yND;i*8MCSCV0{RxneF;riB(-F@1cR? z64|cTuLuSt2dR6(QZ`ECG@vn;r^-v9#|~@|=1c)NYGRk7Hi33) zTOBhGsc=?q^)n$Wef++r>i@=m^i>@r4?(zV@|q|@^ETb_W%cP9`k?4DCxrJm0}3fs zhu1?sQc7i^Wsp+of^m-%W0hp=7^1a3Owkm;>j%L}b#OcckydID_H{+M2cT8h$2CzU zM^*6?gJV#X%1f+&+SI6&lj?YRJ{3WSi!LcIOGRzasURK`?`+lpJx=oB#AqEb4>6}~ zm?en*aC=pkj(fO`Y8ROe>&SKpB5lJ#Uy3dp_W%^I;rgH}u2^8Qtu5+dLIQ^G%owWb zXm-d)N~!gvwh8tys_Oc2kCR2O)Z88+scM3lq|3%V*)#R&MWS6rr8o`IA0HyX8t8;v z!m+L7(E@QgOoUtm8LVKfW7DBxLqks`z`At21Th3_xHAmk(Gvt2-Df7ca>YsK`zujU z_e$w8@-tC>M#~S^%)`ND-bMLwQq=7#(;?`5^g;d+*=yc5u`vc)L2*dl?p)RKtVC62#GlbicuDq(GD;vI>EuEL&GUt zrW;qBHmE&y1$i;stO=P02m;w|2fTBt6yOLyHAM%@33cQ*g)wN>q{bz58F>kyX(ya5 z@Mg*namT2J%Ty0s2KpSR>UT$I;odAIh)72%ZrEmwd8<1Br~|tBzytr&1>$9ad;XUJ z91PTfHXZiX;=vX7Y>Eg4UHU%NnB{5N4Y!@ETmAg<@+u zvYZdncimcK+xb?3wI+s#MRSw`()zL0o2RJkR+?+~jyh{P9G#EU&lGEsZRq1j)0tx0 zcMleMNj*>$CW*E%Q=_sr;AZAfsZiyQG1YWgcUkN0g8Ke(rwr@mvejvs=316wb;vuV zShwN{bgIj=i-GhsIa(x{AwP5F2Mt=4p-a>l#?^ji`sAUY-ZJhmNEKC0hrv^v1lkV= zR%?+>>022)#H1@KW49SraJW!FHD!4-j}Wc#laNH4Y}9lhJRhH5AJue4b_vCU&#RA& zuo?KcJSY{igIR~X^DzhYk*?(~i@7R#GPaq6c~I(N7q0nZ5czO}nn{;wmxWs!bhu$P z?BdIso)k9dl6jck+=rSj(=Ln8i()zI#Dw|5MGtZ%FPa$DJA$f3mr<9M+^br0Bqw*U zQJ5q1$YG*k;xeU(F#9{ubg(<$==_fQT4YoBc-&;-j`1;srE0PHI8_Ce4A-c0P>gv9 z1v&MRi>Jun-jQ}$J=mk87TMuFj)%KOG{Zacrjs8uf#hhyRt6+LAhk7td6$I?Tg>_< zqj37cYC4LYuSmaRv!*MU%i3t8MD&0UFk3@)6x-iyqlUD}5(C4|T+*5jbEiPMnNQ$@ zx^TG|KF{-Z5QxZ$(g^vW$VhbtE1l6{<`mE%oe5_Y)pUJw3A7!8((C-1AXmoA&lveJ zmbjywV2+T$J%|a^Ysqp=R0pQ>K?OOCu2e1y%FAIgK+(H{Raz9*F$2gbn7i02k6|BJ zEv$?Zl58}i9OmK-lGbz(JfDjox6#GSWx1%L$^M+YEiSCS!Q(bIbrd@vPmsLmI_9!? zY6b8B(*_jV`dV0OEt=zWg*lF{d@f5veIPW%G+H$2=?aq`UAJ@abUoU?2jZ3kR)>pvYgi2kprjz@FJ-W>I8Fk(&IFybcDHp5`!#7SKqc}xK&Ys zX;NhvZZ-mWn1?V|43~w}=$T|~suby!9~-^gOjSpa3jhz&8C`hW7VsiD%_NZ<|7+HJ zatcz++5(#FYO;7<(iwEVM0O%CV3kF(lT1L_nY3Wet7I~F91r`O5>E`8jJiCwEhQF7 zV=^&^N))6Nw#ufDZD8E!FmwR}4>BZO9orT+-GV2_vvEu;8e_&;Ls}f$){*7{Sb~g6 zSJk$KrAXQo#GyWckM9DFTyVBrqm^i@SKxALv#29n%tZ&g65IAOsJgDlZ3}aeG%COh z7Q!xldi>DEm#x#f4k+jAG?>y=*QL8<@l`|*MN+1C%~MpxR7q%;zJe+8_3V9u>N@&d zfDu2Tsz_tLQ&{DM(B{7JQ>-1dJbzr;z zBYv(|*9FaOF;XPg8yu4vDcmz`fZgC?9tFj-!-}6B)+0Nc7lpH?)g- z<;dA2rLH6A1uVl)AnUr)xh=~ml0Z_)+T>uK2v3|WTK^0}wAEqu0tES4WL;NFw?$Bq zEONjE4J^>=n0x_l{H(ODYp~nmrf^m|5aTHsV|4((02x8Ls%y8~BBOA+IuJ7Q!mCaK z$VXrG|Hg7%mspoYPjy=)nH{j3v=do%?m);$vS=gOekwEpQ$2Yk5Wi>&lN8RB0BEyC z>Nt~bkivSVu)CB7I?5yT6F9x4`II7Ipz&>EEN`DJ@8H`QkNFrc=;Cpl^*!shbc%z%He+_l{X8RXCkL#*%%PF}5(BSMAgO6f+hVM$%r zv3pvxs^}2uyvD^ixe}1fDS|Rd`5iyJ=AQweqU`T>a(u%ig zL{#$zTN)KR-HdgenGhn-<=u_cdf~dR3D3olW;oOAjj$60JK|%vG_o)<41^n*7HQsL zd4Mfm#0Zcn0CnaBT5$ush@Xt-uxDhzl<_B?bk_9Ob^b$?)6gzVcS8J?aAaViZ?hC(Na|SIu_d)@g0ab7NSuoMO;4 zsbG@syPyxxaB44O_`IKoc%>T;bZSS`c7sN!F1Ig6Y^@Zrneku|Fiyop>yIkc&{@bt zby7*lq*Gso25JWGVmy{J%R*JFPW%W(bt-{yiDO-ta8$_&HBFdwkS&Zb*s=XHs4x z8QKl^_^9h@`x11d6*pTzWr8>UVdm-qlSjY>Up#CIKt>a!yqGWraA%%cUFVd9n4RU- z{g7pObQ4I!7eMNl=lb>U~{H zUxFA6+-qp#wG#x{F2L)beZRY$aCxL0^~z75TL9*`JVq{0l%LV^!!=W{1ebXs<;O`; zXOn}vPA$pjA&5%4_P#6+6_LSMLIw{=4AxIkx}P49j+HCW!@wK-al@b=Bog5rTKO2C zwW=8wBw~wc5#>T1pS6b1 zHJJCbZi{jcOE(rlU%CA(M^>}VcRAIy&acVWO3)J2JqyZerRHh{z~Dhhmb}SqaNAFzEutGp+O+qe>Y8pohm;ZQ2`ipM9Bls zHoJ6!O$uWW-NQXIx^!=XVhRLkI=aslc(dhavi!`FpE>elv`Dv)y?1~XfG1Om77}z= zzRW#m@VHCo+vLObgLs#2P*4_b1vLFDS#se*1<+>5b7TbuNMVpg)6ZJa@6{FAVL#J& z(Ww_Ii-APTs0{m0uO$Fmbp%b9(CDWmqIQkU*lP*%&Bw{gx~0x(jH z8Vnp6q|MA)ln+RA*~O=mTk@^gp8?UOI}en_S1)D7XbT`VL=D!?FI_s3B_F*%(V##|^3Nou zLlAi_Ol#5>Kag#OYi-DYhY5#Qn-q8HERcLWL6V^x92E0r6b&9P$uLf6g$5xGimpw8 z_Hrv1X^~w(q_46bW*U*b_2ZiJG7SSH7ipQa%EebSld1<>=XL202W2%`bE}$i_|PpT z+;&FgVlSFRg*%{h>Ba|Tv18B1!3tw9m`pj)bY?}qg9aIdZgo%=nwLQohBhd9lndPS zkLLpq@(kS$p)7DO&nOI>+KA|iQQHcOAcnhR<6bzkA(?nbmE6pxT?&e(TH&56UAhZG zSqi*tq%Z|!R)`N8az|&;YM0JYNa@neBm(=@oezp(@T_(RiA+$BVz5p4&qy5xD?QLT z11X?EdJt|e($#u<{>+|v&{Cax>A~)TdxHFol%HPt>60I$O8U$&KIj-D_n?Schij8(+ohWxl$G79|8r%> z22l;Nqk%MvqFGE=Sj+472W3&zu%G@;pc(Z9YKUMN^utU=Ovf*4yb9 zs2#leJQw$9K}+BPvXhm_jWK$+X}@v`EBv5-o9MXJzs?lM*S9}Iy-PPrD64N8W+pw) z#Z8nV=3u{r3SOrR=@a0co$j<70X2aoL{n)#HI=yGW^awd>Ub718*5U91(EJ3fTX#!n3tG7>43&U|3YkhLhN^gW)#(r3bnEU0 zZJ{ccW5pFl(n;u?BC5;Yx1w9ezY8eQ&#Jn0uY$JVmP@TNl~^394s_=u4fZVQ);$Qy zBCQDfwmZp(?F%saW=q6zU>zDSz=)qgbw_sP zk4Ib>DVISNWrWNKG9V4iV}%FXD}djZFqzEhP^o^nU|x2&Zcfma$-q+Kq`MSB*a((^ z@o?HJEZMY^j#sE655w>r>vJqf$KnfEke_6A>*fS)5mYY8Do+q5Lo>8}Zi@G@Rs1Zi zTQ?_Yi<_EgbI^?^XxTx_;qs+&1j!S-$d7eY%ndtqcE0b{82|-L%TEWpbvuK$=qr~F z7B#Jrf_Y#0!L(+{5tzfM<$71~+_4hmj=HBoTNISb9g9*BSWpOD7@<;wAR-TO-06Mq z*4Y9Dj3P)mbx(t~7%7)>7G(t2!4XK)I3f(s6EZj*SOunY4hrxUB(u7uKwEs3OJ`_F-CqM&DmJ0TcL^(;9uRdhv8JoLM&SlEHL z=?V9Uj^wetlbErYK16nBKW4teyC}*mFT#tn5V2b)JcO{#qU=iY=it4+{L|g~4?kEy z9U_yL?T?cyHoQRurwE2j&L$$=Is+jhli!uut*i7seFQRbYKUQ=$yPYTV#_Pl5jBx1 zPr`!awyHwG&S*uq&N~PtHw)_$Hp7L;-MTJ5SN1fs*fMfLr3HXFU@Y7?QZ!&qgllPx z6yR;NqYQLTY&|ug&pA<9r!7Ru3KebUwG<=EQ+Z2Y5Mm5a^x1R~xolbT=)jtTqM6is z){&Xo^-pENI)WyXF7+=4*lQz^ZDAA0hxKx50B0!NK8KBf)jVQN%n2wiiS`l8WFdNV zu0+%xf>x8R|1U;Q&6PB$M-9RZQeY2AxD`hfoh|R=)VzUUV(S4MhM(r+jt}U}iYVrw zsiW)vi(&TKHdeJ(CqzNlP<7rzRH~r$p$qzpN#!*+#t4Nlgn2;|eDi~R7@^xN(Af*2 zkWS4N_>!)=kBL}yO{7mw=n3chL$&APT-=+>Nj)r5jd|d zrjO~PCh{6lx0e%Zxg42$*0inF*lHd1aKm#|UP#EID5Y#*vnFZ3X=?>%AChjJZ4l9A zxVErc*Wu^lPwR5FFoEV;p*5VCxqCpOAdi^KUF)!dGk>aErxJwF9K{9^N*B|| zfYn{a_Q{ELG<0P14g@op22Ev|v)*lW94^J^*5&cJ$kHk+tpo^4ucPYLf%}L?g6OR4 z%42eSF?qCn9kU3W0yApq4|xh5Yz^v|eaM3oK*QOx-MW@Lmx;6njo-vHNxctm&Yvso z)-m{~s6n#Z`cENLgk~G-Q5vFh!*HAV)5(V9$kT5 zjCQXU_GCgzFNy&J#<@)FpcFq?FIATJ5T$qsQ>K?TtkZgQG(L*duhn{V@pUnzHP>r$ zklLVc{SSQHFb@+g!9poou1m`K zoS4uZbZPXo{yPJ$;NfV|q^dt&j}dOLFU-@)Tzhm3Ju0Ff3wm@hbj+&I03ss0i3Pig zEWPs6CqKqcpd3H29+Va~b41J5lHI|ww;o-)TufNc*)xO<8f#W|tQ|wg6R>+ceUxC$ zNp5;{OgpNJz{6W_u%Brzo`+|^n;^oX3uL!J3l!OHNqLM{whYxhIwBo~=Vxy{x{|q= z_UhPFe|sSV-e@V)GTO=w>nnhVhFNlhAIk-I-AJb6$x(QID%7KElZ(OglA;WFSR<}O zAP#Gkfnm&?o8&rR5U+KuaWRZuj>W^LZ=yK#@kiEgGVvzAs zY_L`BONAGyb#-($3d$dT>S?{#d}gHa#+x!g5m1_$jwlHz_}KvAneE}C@PcXTJyp{N zE2cCmUQ*wjs%>|HM$(5RT73~)`s6O|daxYXcr)HVrARZd+Vr=df-lCKiQZP2yrB7n16;>Kn+|96oVw|viTRPtBN@lhJm4Bvn@5`A~0SPS45Dt)J#+@p@>=m1c3!o znd5af$mAq3F0`yMlIAdM;8eOe*n<>&4N9>L>*z))=+ASr@q!o(W90}{cw?~?ATr<} z=1n}(bQyWhehR}3av1Xvafyc@%v|OZHf9B~d!Hr9+oDtC+hmL8UE9kQWI*P5Ryc=s z9X<^WN?U}TVUtOhD|^&iK0D+?9<$@*it~LvMKB0+MuB^DSTrJ25NdRdaZDyeH0=Cu zDU;f$J!J}+29ymX)(Y)gVVfdO|8-C9j^~xm)W~&@u8Yp)J8cbv$voaQTPOgq;Q?m= zc=MiP@H(m*8khDG2;9KDT5q5pm^`PzO&gk3Ld>Oet)LCKS;?8>-$8w+tEOYR^(r_* zshBor^4OwKK)Y@SquTgc?nE3g$*XqPgOB`snazD5|4^pu*O* z&M`r2uIG=I6C}e%g6!6spA!Sz$6IO8I1}{T;izTzT9F zfj}1_7ZcSB2}MK&Mt02W?@qOVD3AGhhzaqt#G0o#h;@WDs+_>@bdhl}#GVHZ9%8Hw zROHbRfa^J%KlJE8YZPD5zo$!vi{Y!gA!1SB<9q#j2Qgi|&X9DEj>3kponRV()}_HQ z&>qzHYXn1wYC~|2mxklgJ-R$N7hXC-ju*nm$j?~$p%yTD<}x7=19WRN9Y~GJ83aCE z@LNnyFYv|W@vkrz7`7TTb>UIctY2XCX`{V>|lrNYHj?S;{W1s=4-Qw(8(=o=fbX$!{T3^Fuy zJU0s74>yh0`)Frs-U~bn3w)sYV&HSTnmUtRFZ~gghORFzrg?9KWnqCYta&ksk@AC? zICD4}I!YWh1b-x^p(~J!ap8@`EG#Yx8$vc0IvgG4!XKh(=-T6ATzEq?3yX`uE~xYg z22J$~16<>j8&0jz(1GqK4gR1`qxJsWnX$ne)LB?G1SV0I2Gg}1r6CynY3M@YV&*`N zIb0NC+N2AMj5cf{+f#HjJU}2y0Exu!%!mJWc5q=sjiaL<} zTV#ryGKxn{l+!eA=+uI^Jp_rVuK6v2xmXcn=FkMkOWm;&~nVC zp_3Qln1j4i7c7^+jCmB!JKMyJ0oX({20Uw#o|kY3f3~*KdIxr(q0^unlN;r7%r=2% zD_g7phuK4pC^ylL3pI4+Lfim?^io$SmoR{ueE)qmfIKpCC&Wn~UnR&Vb?I^mG79ID zamA7nU^2Fo21n-_q3`pqvJ2&e!EQC)*Eau?3r25x+!zwP9Q=`dsD2=r6sXqx;u+r>6? zc0-(kAaiTIuX{Ww7tY)U$vy>X2_CX~5u0;AgW6bETze*f*VyGUGerWM70r@U6O5sX zA9H2A+$LYzU5?VzK6&2eQk)WVn7?2rF*sR=`^A>2m|K9)w)PX9h7lTx4ta+g%QST1 zw#Sf#O||VMW#dGc!c#OGI#D7bQ>Zl)i(@i*N}0R<07wi@GfkT&YsOyBQVlU^(QO{Wso z`4dsb0&VL8?P831dZ*D#tVG*Jy|4r*l!|&Ou(`m)9PG8Ah ziLh-h_sMs7#D2${aZLdpcEW(mWNx}8wik5s>iY3wOnTXOuSg6ur1H=J8z4a9hoS)Y zm>(yvv6wTsMQuFC$2f9kEH!ijNYsvk#9LRK7eikYNsYcH$qBNsu?gUC51@bo(-|Y> zENaSGPHbV16RI05$v(+LTyqDHbt*`R+lk+v&N~xvI)@D(cF4X5E*FFCsk%=0D2#>*93BYQ$Z zF?B9}W&?vYJg!;wn8yKpxV)O8yaT=<$XcriZ)To`kR7#o#wyR4Ms^IaXQP4Ikk96d z`-!ARb}};{S=R*s4_Pa0NrI=$tZd6sW-t*q!KQ21bFrnBX(`6`%oH<7=StJHQZqhH zL91cQ4O%n>Y`a1vHO>>!oY$n4XH000p{u*<7%eBT4g#wQqCiU}xR19|{Ge-Er(J|h zIGPS76bctbGBvnJYuYHJU5z{Xbxl?$PDFutIfwu;E#q9J0;J;VXP`jL^DRx+g{E^M zqR_$$Lf5|+gXUEgMznTy#B*u4rPd%maSt~jQh8xjr#D35hJm&97U?LAAM|HU(hIRU zaIM4yp$u^OYBWw0#TAB~)_a#{;sT+G5`%)Ppwf&Zh2WgJ&>L7yoKIK1$1K$AHY?lS z8?*{hCl7@1oG=;eCM5uiWH$gzhsp60YMksQZ|fNOTvk5f2^oe!U9z65g>(qa5E9zS zIjmD~4dP_&226;5A0>&XAwL@p;U~hKy*YL+MeJ zVKi&Kb9UhM)^faPRt)7hL1a<3ht1e`6k8b4TJMjYiOq{>#jph$>=US{$Ks_`&JFUg zR;}^GqjUs33NVaokxj5ci62?zc@ZuHV6Q;HL=l%9sDK8sXPanx)vS(XhuE4us-fTM zGH}oDMu}V{x>P-P#DQpn?<%kHx>UJ$m|SiK4ml!K@VZlY&f-JIly9c~?!H4fD!gF? zG%S2ROnx8op*2{#`Ks&CJyK9INYh~*rOgvGXcLJ!MQpCwh3<(3>bP=5sxYGKdhM80 z2x$_9|1nKuon?1!WN#t+K4Op)t&g+QD`qi!fQeA&xPH z9v0cI+8Pr_Mm}r)xCX|AK&F7Li0LOlVua<0=n$arp5$G;8lSNZSl$r?7w1K8<3)#p zLj!h{nRYB)yd6`fWyR|kB1uG})B`37=8BCr<}wuZibIZ(pNaA_T7I}@N(GmB7v(XL zu^!;8z7t3FVRf$yz+-T0B9+k(BBF*sH5fsrk($T*!MkU_ zWG+)Cq`g10_i|$$!;VrH27X;`UW~emYfwhS7Xq!vQkvl%2ITwJ4#*{aCGf^F-w8Qg5)h^ zxLH}6Q=Qa0!X}3u zgdXoE3OWED#T&+WU0GiYuNUKs;l%&|6I6T)jfmWSt7cRoABnNJM+; zHl7%&yV>3jB6QM*Xf?h&N>G$&Z+n3o)Ss3&aKyXD$>~`6NjJYqRA$RP`~Z(==2QI* zYu;cZf(>$HQN)n!bkuQ$P94k+xzWg$$`d3v%Npia9xSgBosf@qv#>|Ar(-kmx) z9g!+<&(6qZ=vFGvDe)?*dqOQz!KoKukqxcaJ9QO&E*EJdFiIr` z*=U3@JJ#Nx76+GM6GP`lXx`A*BBAcovF#A16N|!N9oh7~CLaPCN5(a=@Ao0g>eLbM z5T2vObgM<=^sOc=r`s^qnB<;8(*pww%+U=`ASr=bu6jh*+?coC0F|7CtasvkYt4f^Ub6b9TlL-oP}o0hPT3ljpO!D zb_xYqxs+OODuwRU#pP+`0xs>CsINp#s)N{}VjQW$np9VL$E5OV(y~5PU^Z~VsE$&H zva7=+ODFv4!19!7r{+qZW_OGj1>p-#;}0A)Ene<&I!N<@dDnnG=cWoe<{hOgrED`d zq8MGC+Ixi%{0e@WeRTc)$Q2v^3AmO;<5hvzn#(*#J!1nk%HU(v%%-UAv%$A1?~X1d z6;0msNU@?Ykbjq7#0EepA3cVmabF z_DPEHS$D*wQ^)nAb`w^-y0*I*K{Z!a3)D)8;(WP`BZqQ1p(|9IF1Li|U7@QE6&fIi~bS{bx`Jz|nXLyvg0>o|WuSq7s zRR`EZJdRF6OVOpwF*KfikT_e@;K;CTZ3n+XCqM!rr`*5`%tM2giR)N=h~Lp?I)~GR z&AAMu^@&Y^trcDa(B$hRG$@xx%w-6&?oR@QrQ2Pz66NZcdI-)@ZkWkMHg~U!Z32iR zBWj;qwho7$2!k9UnkhPXYRl>Ya@3a2&U86)Ol=mW=Y}yw5LD2Hr z+HFEMZ}t&E3T%APfk$uYTLl22!34|FSUmn-jR zIicc%)Sw2nfd-eb01Vt#7HjSenu+STdqj(=d5)ctUDE?D(vigkhnP0oGgY?+)B*M= zuFyJl{c+6o&@s3?>&(Gr1FBU#X2A{fFmVXe39KkY9*@BODKbi) zu#u5+0Q3?F9qf$)4D&->^;-;Jtvo<0J+M#@u;75aYNEUq&qLFg%9dKLnq zfDVd0=_@*%8cN^n8V*dWqN`awv9XR4UBhXMLn}l&B=-7;xo0EyRG|WdhshE4$Td&H z*4?H^DTK36k}#O^Eje=eMlLJ@Ddy>C-5%zOj=P3TYRZvH5G%S;)}yl`AAlqL(`&hm zlY5Q?dWvX};f~c-blf!}RZs<2B3qGLsSwgoST+$V)WclSanewB4GvxD9_EU!VU2N$ z^l5g-2%R(J2Ri5Ik*#~U@xR!^T+z|hC|#klb)jo9x;(Yph-2N2y4lUeD>~8{l`BZB zD!Oj9m|Rs+l`%)FMl@*ih*?q!35kwwx?0h(%BYw@dQ{OhsKvyrxndI7EORzc85|h_ zt5;qEC^9`jaXMRW?C(@g6&;@pK{-JwWM0>q#vplo6G3gK5iSB6>ox{b(>xS_xok^= z!OiU|Ivg3nkWx$bxQPv6o-zpXRv8=_=_ZK0n36RhB<32BtP;#aypVc|qLi{J1VM(! z?Kk0#C+uGt>>OUvVaO1_69!Ygnw7{N*8v0RFo+H^@fv`p4M;W25BAD)<{r?Skc7k5 zEt854X@&@sBxLgRS(9h(-%;u6NHBH`PySyCm92|VJ%cr3Qa3>@K0*?Upr*i}DN4sS zLl};;1x=8Ou1)o1uLxOIO?5dn;_fOZ7!BlNcra`VfX9Xhptq{itXjM$xjaCV)jv@k zGU1qPsz=6XSDoq%~)`7nNLuD zoD_9exKwmhGDPj@KTN1~8EgzQmSB_w1{-Wq^i+|>s@xO>;b7=fhZ93&jy}`bZDgxx zYuon7%X8ZcDnf%%oL9N)$YTh=QBBawtmq=wm}L8ATa3Y9gC22WkW*)vXDd zF_Z*;^o(}B5ToOfQAxvOTNlI@(?`_}H!(@k5H$jlX33m2iNmv@FyGRptHorl6_uIF z7fA^M&MGgrNuvXdQJ%v*E3z|jD~s?lu3Vm>m=G2fJa_qaS4WTkXW^3UUl)rOBO5S9 zOgV5^@*3h+s{l-p3j?pK6de(aDkZF8bv0)(Y~4i-X_OR1Ts#0oKpkC-0`&jrnjkcBvKT@#*`&c{8*OFvXoEJXJunZG7v>|( z9Z``e)9L+b3PD(;!z9QHyo5c5kOmc&N(P53aynZec^y_d+!!jTIUW&45M3MTDF**9 zF&@$Fs>;@!R6rOP-eaOFZ?}mZ7&x^N#}wT~UW_g=PtVtM@G(TH>1jF**QJpjT+$aX zbjbHSwTzQ1^W+D@p`KHu;+i*Jpks#-slse4vd^xS3Ly9ShV}w{6X;Cu7+91nm_IQC?iRzeORQ`bds;&`?*#zt(zo&aKVxpd25w6d%ld6syhSWNd zBSoy4XCEWhqq9%GYx|k7W6T0Fh;@b@OklQ@$2QDmTe^AA!(iqjMKA>Ij;by>jA5vW z7)FBs1AV1c9j^?TaH3YKd%voS4s*G{>%BT*KpxR=pI9Y6!rKUh_8P8*0U0mk1n){; zu};TxJBbD{ectMJu~v1oGD=n$B6aCvF|sPI3H@)7R0T!wzHa7#W3s8qwqX5G|q3a!s0rWzK!!%~lZ}08m_Q04{L=;TWb5Yfmi^agy zUE>`uC%9$PmE;spBmpy?$O+uziRi;~Ui_R&GO8=ngowfm20*I11hN>sE*BoUB4!{L z5-t>Exji@C$>?OzHmT|Y$zsU7Mo2Nmff~!4D707-;KW8}qv&FK)Zx^q9)n(vs;+M= zhSuxl$kpRQ0-D>MBWewLJF2>3u^3RVw0G2O94HTD;K)K`8VONE zVLG9!4vQhG!mqmtM_Y)9W#GW-z&1->O+|(}50lfG@?%e%#mq0K1hFvZZNhQ&bF z1`+h(@~);i%28>&1+tKOxKmtJS05I`>qQ!juPr4LFVU(sThzUur@;b=M?D-^sYZ4K zZWU0x#G`#gRMgmHKCA*+M?IBw=z7Cqit@6K_FEib)j9~OxTV5rpyH=gO~P-qlPm_q1k!eTn|Qi%4!(CJ0|%hyqX-kC6w*QJCp zP@WgTE&`E9;Nln!;hJh0Nv-d@>zS%LG8*ANH7Tj8D+6=Ir2h3fPGAr#N2I9|ev#kw zH?@Jttr+%`6TlN3%v;CEWm4c?H|*)?X%v5$f9TS|V)!ereD4!}x^v+f+R4%kH=nI^ zQP&VxxsBa$1e0FssY9_*%EAOk7cv&3tolDd86BVGxx0%rd&rNib>I@7_<<)wD8@#R z8SNUIj`2pB3$r9$Q(26;+W!D^O+OPs;1H9C$Wcm1cU>ne??wZcAYk9k>qsgsrYoAxE+g%Nv5!Lc`RVSggyxpmzU9E1{hwD&Y>)UDtt#9kX zp;otRU79nuyltodw!Gb`Q#f1R9H9-&)?T>(Yysw})4C_4A%x$wIGQ^pCav$X<=XNEQ@&l-mUo!{?eyM{%yDcb92*I0z$R98vxiF|HZ zV;RVw+&AZxOWtLyQ+R>5DO3PmByl|nEo$)!&QdPLMvE_*6D~5D@C&fJgqZH8ja`Fk_^#UO_rz0 z7fkuGo@T67-eLX|IUC73SUjV@A~jwj-XX{G9}y+7Vsp>b1#)i6iRa0&;5W}ntTo4S zUEU*d;JL{TP=c4^q?{a!Jmz1yZun5ylQMW(@(y#){43>+C!1@?mpmiq^6r}OEyu~f zb;eput!GLkd+6JMC$DT?NN~xC;C3S??@ZoXO%fV;1BInvBl!TXpB#%2@=)_%xogBT z|B6U*Ecd#TwGx%2BZ7O0s?0U{wCc*(f=z-NmADi zNs#5YSwcRasHy|YmtTj+<~O?=JsnAHRZk`h5347OZrpGts*gstlJ`G?MZ~Ncjh^PmsvT14^(4RDm1LZ~AA}3pJ9bD*j5iTF{cHHILRIs(X-wu$ zlZNEXvpn(bFOi44Z;#f+PVE=hDVEf+76>r%$`F8A2nH_^{+a-d`hnNrC7hpY>s z%^{6S@nn-|m}3cVq?{7ok}x6PH>s$G!Q`Hn>kpmodz!|Z+%PXSsO8^!GCyBl%5n0q z#9Cp_{OevfY|A}47INemQsm$MXHAGQ_vE{jN#2iElD`}y+c;E{f9v$Uh)(oNNhw4& zkI0SYh2;Hu;;K=se65+%IG`rNn-LY0ja|G2S2XMH~R^ZGL%d1%fA}*`tM6QQHIEfta3d$c7vXr z%XF6Z9HtJmCiruBO7hJ^X5Z7H~y}xUwh=e&;9GIi4W}j*msWD z@73EMyX(>i*Szzb!_IhpmH(VIZ+Lx!A-Ao#>SALS|K{Ae_3MTWTV{hz7aOwd;ysNs zp4em3LysT3*o7M{f5r*xuhjeA3FCLTe%KzrAGg$rH!d;vtYyx9V2Me?_E>$HRlh#u zv`?KdV#InwcY5)VVISUXslF2qzxF>TTsdUKW*ZDSdGVPWufN-4`(OU!l~?`4osXY6 zV#X&|9J=X78y)-1q%FqZv%<+Ik6Le;b32y&*OIfZzhs#&UOwcEua56o{$KB$`Qb+@ zWB1r}=bgvjeeb;!7JKsKl}4Pm_EU#Hx7gNC?D2_n*IRyxQAb_-?-N#Ae~DLy&G^-} zKVEjRo)c~wJM;GEk6-D}i~nock=Gx8_?I?WYq?STys*)VLthaG*$Dg?2GMB%##J4Z_)4Iz)w$$tM?^@~PrA|F@gB!m1 z@$F9-e%P?vKltnhhyVKcUw1F}>L$xvGxKlLUwCQcdb^ES`t8++Z+rO?%fGk83)hX< zYM&9CzPb4AcRjQ167OBM*gnJWUgtwM4*AbMUzxe&Umw5!zcapl{UK`}_wN3euXgv4 zFI>3p>dRdD`rH4yb-BBSAGN_B?|!XG|x9xIIEdK7L-(Kg#aWkfxyhaHtog!wH>@{f=A`GhU1qDf>+bX4%H5-%yz%(g54mWKf6t#cck-(* zEIs4BweP=SyBB7S-@fO`jqX@+)s+_e^4qUo-+AE7&A$me|TrZ)lQuK z#r5|&?5x#azj2k5?izB!yPKRmX^s1qI_`{pUU=l~wNKpVg|A)z?iROvaLtofyMNoa z#*ZFx)~l;MyYh!uSz^1p_dlh3vEyDp{8JzK#}YT)cHcTrzjE56BStR1FO zUtMC0VfP$=+DWUfJY=~o_I_mA>jz)E^s#S^7%}p}(>Cl_>%BczcyqBcZkqqnX&b&i z@!j7Yx9qwfc%8uhTYi4$x2{+)b+tY2Sa8Lz<8FOt`1I$l|H@snRygXH^KaQ^+p89Qcm1pO zdgO*T-aY@n+y8KhZ|%AAH_ljc-0i*Jc<3jm-t*{^hkg3T7cIE;ssF5f)fqh-9rNDL zo_qV-|G0JZr1Lhsc$Gh&_uzuPKmE1yN8R+AjQ){E~teDs{NpSa|aaWj5B z@>BPGYo(taxyJm-uAj-@NeB3G;q-!HqM=4S#LzN0)r~(my}7`LT1qcgen6t+m~<=e+l` zU4FFp#HW6I*OL#wFngIJ7hM0ucelRuuC*&OHk`WNSx?`$@1~P#>#y<1TKkOMY}2Ff zU*ZQ7XUy95SI^CU{;5A6_0~2$XI*;XmcRVv&Yzv|+$y`Bvif)Te`LEmPCsOa>%RWC z&3^UQb3U{Gk-J}3x%1wG4}E<8A3uN3&KEwo!l(Z+>EzEJy7D_$zHq{uht_(RyX6Nj z9rWYPDm^EUJh$=W#D`z*o%H%Tdu}xOds~nE^4^b~_P0kzyg7gCTUH%1`S=~j?DO(} zH+*i+*M~20(b6OCe{!cEJbrb@!CNeN`jZd5b=f&5j-T=3oo}po#nXN7EVkK7-J|dR z>ra0)^PTNi-h8hgj@#t%32#0aO4Cw+7Bqd%E;%u+jlV%uXE9Pr%Dlh(aw zjhC1DuiiWMTmP=l zJ8j~cug<<|_D}A3<@udAy#31n`S^o7{pH$uzufiHpSf$jYkv33v7I;0Tk(i3wt9Z4gGX+5`G`BmJpS`Lmc8NX z71v(;vIEARzQYRZjk{u}b>6(^+&f?2v3soze{sTBzrO66Bf2mB`7(FTIcE9_lP|yY z?wbx=d5H-ZUG&7qZ+PQ^8$NN)bxVD8gQbsH=d}x_Z~VoNZvBIsms#=Vo38x(qrd*j z?>=?Q>t9)O|BszCe)%t)^3W&G>NxoBuibt7_g-Fp?UUxezQY9<-Q2O;v7JBv`Kr(E zxZb6GV}G;v_CtSr@PD87@@jwI@<)5@eZk|4?e~-2 z?znR2P5*ZL^be1}|Eep#^YBNzcU^J6A^-W;ArCCQ(_c>8>W=P?pM38l-@NkDIsYDc z*qT3E`?f8s>)r9CHLp4L;jOMb@dJn5ztrJBzILC39=mkU4?X$Vlu!I_$XD*!YQ{(Z ze8H2;o%N-wk2-GX=4)Q_#NBzjj6G+s?hkG9vpKhZ{N0Ps z{rxxB>=^p}6TY-db;kp4y8f{1wt0TY0pIz@&?8nl^YLdc+Vzcx*7(Cm4?1+%3h%sJ zzvT8$Y&U(**Ejk7)ob5<$PYHV;=a#aHuLBgemP_BvDuPCWCG!%yF5?*neEPV4^r+so~L%BA-`zwCi;p8U@Abw6?BN>}Xk-CJ&Z;0GIz zeteaEK5*5m4_xi3z=Xp@w-pMUPL zRn9wW&uI(per5MH7u#pjbI+Xf!<%kd>ER)Jue#u-?j>%1Y^lY+K7Y5%ezMj-HypL; zSI;~C+2aq|ZHXVf^~c`jA70`1V=AxRx8u>*e(9O<6L!66=Z|&HSo5;yetG>e2c2@v zh!J=Hd-m0Lj9+h)Pp;zH;&!TV3+A4WH?FbJMMd?s34(`@gXD z9&1dS|LVk%Z~kh+cSd~pcXyt@-*sy*b@-drzkl+%i*`Epl=VKa>(DpqzdZlp{pN4= z_sT05owUt&9)4uYKc4@!N4~c7j^{pn((9|QcHI|dUA){qS8sC24xjqUvyUCO!HU0l z@`n#zG5yH*u6T5{m0nuww2^n8G;;cpjr$Kh``P24J?H61|FgxRzkKCOuDzSQ zRz3UpD<@xk^GzS!^q5obsXl*u$G69fyX%c{yPWc||L!tzgUa^bKIWDOPhESD$=|%@ z_kTM5^UHnj{!O0QdB2@|k2_?@#EU+-%Fu6Y@QvrzzUTLsb^hYyPd)j`16LUS#wRb@ zb*I0-dELm{Z#!naGr#%Bn&bcT&q=TBG-}kZPComk!xwZs{PABu`OMWDAM%q2uR8LX z7Z3UA-f!H$!zF({Wz(zwb@{gpxxbvLj_TKxFyLSEaezzX?-hQ?9 z_q_9hiJus8(wCMx_*d&ry7|CiSN`z5yT5(i*qg_VpRv<@Uwrz5*Prl<6P}syPW8|u z@A=^q^S^Wc*S3A+hy(W>b;;~W+gx+;{P#}&!K^oacGh$69`*VIZ~c72tXm(v=%|xd z{NtpHfARLvr{DSO(SP|xb^v*a^Ln}JFIu_Q|>?d z%E?P?bLYA*y|!fU?;kn(rhVspY5R57+GO4BcldSnM?H^i{rrFa^v?ccp6R`J_^Z>_ z+47!mjaloC`I~?K@BcY{^G80i!x8@+_vGpS+~98)?0(KNAH3t`wcog~cf&2t+h~*e z1H1iv`3Hx6^d|?+zh%=ym%8whpDwxM%@3Wp`}cPpbM$YnTWQO`UN`J-Kbtz?`}gj) z+acA1Klj;d|8ZyUvxhzY(0=ar!P3_?T3E*@@235={EuahTEb;92$J{aNAOHQ# zD!osPJ)(BXEkFOkcW?Rb$#>nb)w=5(vFaIDENDFZ;gw(h=N^k~z2n3&H~j9$2mbf; zA71qGWl!Ap*l&M(?$<^<^7C(ebB{TVWuLm~kDuJ}M}MBZ+}hh+cgy8>^`5cw%ST=N zZ+cIk4nyOuijxL+Q-{~sRT>VdJN=6&hledWmYfBc&x@A>WV+h2Xy72iDbs;7@R|AYl+|KZEK{dSW(*FF8U1q(XnZ}F*R zdxt(Y?DEg8yynbf_v^jk)}uDP>7X|by>RaX=5`*s@!l64H|41rwWUwJ<%&(uy?Xki zm#)0#AD7P0!f$>#wbO*Z8$YT)b}ISNHwXXFhcQGs_Eumb*k#g(hF*B~ZFfv~YR*p=yY-d3x<ZW^7x#yBiFZ_R;-D8k!!TTrp zw%vW(wvF4iZ`-zQ+qP}nwr$(Cwf)k?`_o)jVUU!G@ zvq6O5#wRgVOOcHozA+yRT)LW3d)FE+$E?QlHK7EIF&;`s&yk=s6GD}BO`ti_=tXR%(niO$?P%JgQp%8T&^OXT`pS5*;?QM?A-H1~Qw=1b;G zDWv}4f_;n$7>e2mrm`@o1igHfa@XWMroa(FP5F`5hIvJvBlCm_hzm5lvrYf#eF@uZ z-R=k;Xc~ye!Ihyv0W!o}V zQhoIJPR{TO*z`DFm6dm|R&sP*orER-$H5PvIkB=3guaiw<8@^i1uwFSi|1yd^JB1D z_`R68a=gLKeayBLSoFVbu)5c-10FKwqwOhtduP?M$MxPOU%3WrKO~PI;3uY7^YwiM zcK*IhAlJ5T`eA5o472ymFNsfGgQ18*#&XC-lM$dU$?R?A2jpZmCUgRq78{d$b|5_H zri+-DBCqG?$P6J|xuE8g?r552k9HR6CcgFa`nFl4p53LqvqH)ZEJbW>(Fif(> z4=togSV@SAicpe6Ra)N!=y6b7sy%gl5Hu{U_V-DA-h+$`1WszV>BD! z4S@hJjmewnleF&hW9^ZtD9hG2*G~7%vXG-GoXHat6!?-_3<)20{?r?9D>G?~b|iHm6vuU*I)KMFm**#OkU?PK%3_Ni?}Xo18?> zRtT7pBROzNavHI`EE9z{xm)%0h%=rrJg%ops>TqV-xH$YAg0<=wn;5l=J&P6aYCLG z1unn2Fwa^1(N=@9+JvG`v?nXRIdiyQ&aUECNJwsT$^M`{Eb%G1d5#EnySFo6*D~OD z+%QA*7)sVp*%Fbo@xB_Xx1cDYvPMe;yc_Y9R&1-NB+Iu_O4iYu2Vm;NI75G*IxI40an$!CD+6CjdJN?eBB|%dt2aJ zz4lVj)E2Rvf(kF{TBY+uHko|ZeUWnHxny-9sQ(S;H6)?I(#p^@ds zCo+RqJ-ta$842VY!rSf)6(up90mQxAp{(&UR0_}~k!qpVR2nA+Lh5x12r0hP`^>%OpW0z%w zHcEZRryB0wAn#Jh*(c=Qgr@PCVONs8M;;9O3L5 z%I3*)^I*6Hfi&rgr^^9;bFF#aM1^!P$+r3!3oe1}|CAth}df>w8bUq}CA>n^r zTb5H(IbN`)dt%bl=`S+XPEsipJv(dvW!?Nf9;=pjT=F#B2(~1`pj*++t$T%r{0Y&s zYgrIMb6?j?(2=awsWGX!_Fg(Ibefl4j2pR6++aW6v<^zqUPi;3@&xBdhsZwzYh$%k zTJ9biO=a~!N__SgO@Pa;eW)KPX8^M*xleJFUcr{*9V8GAc!x64UR+H|6? z)(GQ^mN55bXfJ8^DeZmaK=46ixN{y*6w=CxBf};)J;M)qR7*2XZT6)PuvL++ObvR6 z)vnxGN^)Zc(m;P^W`_P+Z@8#RI%aTI0c(RJOjF+Yg|I_?{=iQ%dItL3@$x92s2`$< z&O}@3FQT{2+mx7$#J)JsmrnHHh46zR>AeHj#6hrgCJ~v!=xMNTBTJiDU4^7p*Eg5h z;X9-++Kl@Yx9|?sHm2&MlQ`X8FoQh=gCf$tG@AG6Npee^>$6k?N6N_P^(;{qxCt zsOI`72>C7v#?u2ZY7^ExG!2Rj5TMrgxhq$`(?9)c`1#>TdwGLAc~Co?izij5#l$o3 z3(0z$a?C4C2Iq6;VsAJ?M9CP1i|D<^862}OCpm9TiELo|alMZk!f}DRhC5hVb)qMz zTsUCTxf&r3DbJ6gEO>Qre$HrRahH5X*I7TR=`TfAWcQ3~EaJ;jZnN#4AE-VuIh_BJ z%5xHJs#d|sQ(kw?Q3d+k!nT&&XaR1$kjbqirQsW;Y1-^L;fYiXaM518y;|B!-)RWZ z9o?4P9kI?q;QvuAmds4lE0@D-1FF{1+8&L!y|ClsJ9I)T2v+(NT!~W{TW=A%N)$<) zwiKvaqWaSLS_Wt!X^ZIS?sgWL2%3y|^a{x@F7Pqk?8wBr4+e~Z-|AT}e5Uc$aC<$I z#gpfJeUw@E#Ud(11Hh}PIPTQk38hY)Hb$7UWoMtU4tx?r{r=bd-{1sxRp5Q~P>>`B zrvzdnqiv@BR!c`nS*s?7gLhTY>I705jGp(pPadErvYwo}p zx}t^EKM;D^H9@VdF`5mhuGOW-N&Qw~r`2~8;;gi{_8dk7%;9{8#H&G+F5X*P_Ytn=1J~6;0RwCYnG%gk3H` z^B#QeH~3vINCLimV!naf5zJ>&9R7|%eBq9XlwFYWD23!&<-QQ_!Z9b?9@jrLPhM0O zBsbp2X=_X#*=u7^oDtoKJ--t!V1BS~!K)vTfINT^4iR)N0-uPLNysSIr;imdqBijS$bT0Xu=309Ljr`CI`qXN~y$^P~|T!M*X3 z4uJu4J39&b{C><{PoVH1K>iB!k^2G6*0}c)e1NQwy z7Qp*|j~(!Ovg}Di_#Wz<<+WOfj4+F|8;YYlVRA%PA?e?Ah>aiu4hdl?kTMWtXrS&O0rrSJR>Fmq34dnrX z{Bjz|?FtP1o(RTeti^gq<PAl!l=D)QS272;m=lnw}@d=7^{1jp1{^*BB3i!>7we z3={YXuPSzlcKJldchAFz5N!Ls)T{IGMTg5b3yg!Z7utZ5pyuFPQTF^8UgNPf%KPpVW{*EHgRC-FzEG>GzI zh|~~Bui2&ccNtSeX=!Ke?69V}crR=iO5GQiu!C7nY6cWa^H^e#IEg0rKm+BY?WWbS50{hPBrM`#hFIpzcS%7&~&d{&rHw zN>9eSyu#xrO&LW@IeIz&1y24*G-n&V8|z|iDS}2%fri$)JionOILn%1VC!n?V^-ZW zsz~!S4s=;axLyOX(4n@ z#8ItOzUjpGt9tsQAFNjBDhWm-cuQ8keDY%B zRyz;CV}W`g^=%w~q3?!&hgcG&TFlv^-#NZT2s?1oSEH%hz0}d{%2Zv=ZW3`6TJ1LQ z7>m0-dmIc_1WHcpd_!6rM*Q=nPH*J3mCTtk^s3;49(s%7aJ7~)R5r{~eoITVtbcZR zHECg^0aNhTQ+O@`n}I}a{s;;0)js+TOxsG@e!!6IV&E)-(jJRH)9!nAw|DidPcJBc zje1)YrcE|qgH1_yHW1v?)vdtn`9M>bCC^$3WH=9+^Iqd=T8;pq;A$(~nnG#txWuGb zG6PvKjytzpGo!)kjhzQ*G5{G|k%5|fBS?t)sYJU{rbY`idT&~_z%U%K7gh4dcc}MZ zfBFLDJ%W|4E@FCR-Y61({{ge8qrBZ zw+#2=rxgu|O&|lv892b@hV|7Tx}gm)f@`uF8E22C$Q`va1vd9}av&vuQOLN2q|CLo;qj^hK$0T1QQI zwN?a!Yf3sKH1|Va5(}h;udsbw%i*9yA`f!b;=hOuUS@oie>8&StW#JNgnvPg2Fxd< zc&3iQxe3ZkM5MkmDQ5JE+vrg17oEXecOh4Dn-s1K(r^v7FZ&*f(4AAUUA>-qujwnH zL6eg2kbU}VD4H(7P%9ToyCuc;VKpkvG_4tPxO8IHXurXb;^rL**@z(4?kgF(;D2ak zvBWmKf15V8!R2OFgcQ>?5WL5-^4)qFOz8JUI_DTE%4%ee(-il8d=Bm`2P(pIsqddr zM^&rK{0Ujs&)yqi?N%$$GF94~H1*gD@^@nUXS#`^p@$ywK|tmxfsS`Ra>vZ=$5$Rd zku~1I;JKBoZ<FVc^d*#g!WVoaaZ9 zEcPlK!NZGfx9m1QM8RY<*Ax!Cw*wBPjYNVH7D9Gg8oupuiSD2oE;bI;C|8|q@ZneF zOxBxbM45a-k&k2W+-&iw;k|XU2Yz65&=iUsb_htL1AGD>hWp0Fr(vHD;rI5s>L0e< z1qNib&>Gvo##=+T1xcZs3n_r*9PS0UZ2yrMP1$h*MwbVZ=a_+8GYuY}Dq?FFXO^1( z4RjngrV7J!Fw+*p%an+YhNqjD!wJ+BF0pBDKD@8R8@QafJ2wynR9dl=!&J@SG2HcU z8(1j~(Lm8YujsSy8Ye_Q7LgvSLldJQA*U{o5J5fqG+)|=j*Oqp$~Os4Be z{HqCZwdHJ868Bmc*Ls5rpsLO~O5S*Zag#_ z_2lG__8{&$YaBq#Le!k5V$jgV1VEiZmMG88LN-K#B1r`%j(66oOd5u~7=U@z46c(0F2&YpGFH6Kq~Sdegr*O-yxrS{i@+GTwA8 zPMuFIqw76+mu9xP;o>~XV8bLw;@mjxoVp#XXzZta8nD3ohylr(g55zbn{gNTqFr3` zMx7H)x{`(hL2HrP#F=na6aVtA*RbLu1J>M$&A=p(RQOmA3wlRonlV*r0*KyCrjz!` z%oE~F>EGWk*aQ%;wMY@jp$#eiIOvC$Ihs`4ZZ#2z7DXY|RTMJjRWtdQ#L`R$O}yPM zH&e8oeAhF%@3u@0cCa4i9!SmBN zsvgL;AWXc!dq_NEr+B|g$^chnuO0A*K6J&bnq3F)*_Aq?(W2KM|Au!^)gV>y>`{fKpEYU)@$pKdSyz%hC{O>i$*k%U6%cOe5p7L z#+y=~_9gc(pSRo*{y*iH`@Je!@V^8G`nhCH0iY?^7x^GF&jr_eb}bSMK$eNc78DG_2;6I9D+Pi}OM+C3kMRxJsDk-@3e8l_X!R(LctqPr z6E_@`p}uY6mO|xc^`Xuu=*RS{ZjSye=%F6f@1@$T-?7M1^GaJg^hJ}({0=QIgg z5OSoq6gv#(V-ZTz(kTukxkZCJ6_(5_S;sp&4DhzWn7DK6~*|j$~i5jy~ z5$$n&CVzQ7w$xMFegm4EOs#{ne&`Nx{vAYYmCRdC(kr}Z@3)pF$yEq^0k(_tmyO>l zM$T#4%5IRYGHU4c%v$?{_~tSero=(Ux>Go5T0|k{m8yUnZ@9y%lhTb-G??j3R^6Y; zrN-wYrJ&v&I@HRHif{Wu5^s)ou{mh`;AWV9+35Be)4^tzFIBTY(An=OYyVyEG{=Rt z2RE*|MbI3mRSM`XTobI1ZZdJi=XU&bMsv{+5tOe7c4a%+HLCyR2xo^9j{dNz!Of>W z@%BA`=17?EC~0H8oF#Hr@gkC@*2SY$AiAQHB#3$Bfh*(;QCwCRo(8c9J!ZA1n|;^4 z!k8L`ly8KSR+W~#1%4|La! zwhUCvKH&3h;1t$=BJ<;)dfha`suJ))ou)l z4XbpgQvSojL8!kBd%yKN2{EYkF%?HM_NixLZ)aXPcjrCGK>kr3HvJgW1FKgXMeD;F zj#Lv3#hbR`umDtaT-Y{e^QMr9o77t7dD)T9SsExDwiNsT+>TVFX>|k>cB6 zVizOnAG+-`T1itPY^{{In@}M!{X+=*>$wFS6RCo~Q%pD%ByLJWSkaDbcDMG}$izV4 zmeNR@8&DtyrZ;f72`J8;lKIpQg%5dNhJF}P260Z`0c&V`{NBw81MvmxH9Gj!q%pZ^ zmo7_W$(p=nMpGVFnbjydvGfeJr9UKR|J28p@zrW5wGK7lW9GHuhQ(}L!BICRl&1Le zw{nD?-T%hoJ=@%+HLPh@t&4&@NXlbEJg0cgn*|2`8K>*S#oW#+v*{iZs=uiZGeXw6 zwq0~MF)lG^8ManYHGa3}vWP{?FvJ_0)zGqGN!vk?{K$P3P0ALa=#VZq9vp`wDDQOZ z11Dp2pH8oqn$jlUdTJY%9Ge|#4a99%_i(sZB|PM<(MN{-crhLFE+omjy;e^VE-Z(gWoaLfIW z0l^`-Oz;Ia&J1O=*eJ%vYJh4TgV(CKY1M#|k?$6|H{HT`r9Wt6ES~%?kmb%d_`&FF zDAe-k*h6Pa1~dv^wMh|sqbU2d{M$IuCTB}Avs{)dVmVxFbCJB_s^rXOI-8d`2s2X= zyseO1)yOCEuip;jVOtpy{Bfe_OF!HG&8ZjXb^{q!U&XE11e~Q-)gnrP^7MSW+%VE( z6`gHKZsSYo3FYW>sIwi=p7;XAq-!OgL%+F74F?dI=Y;38)TMiTy(6 zoOZ?5Y-|@u?s^LjsfNBVVvdPR@bc5Wr|~(udZ5i4!Y;U`7o)XS8|EMNPrg0d8?KvV zC2ijy!*y&dR*+ZAH7n`Ix`Mp@Ztj&{!JuB0wT*9Mdv4LX411L_Cyj5Y9B}XEv`VeL zuW!jb89{dl>{KV>gPKEpZBT=~TS;r)_A1#w56|?-F*|kW9&HmI4l9@iF4zxD7S5-j zB`+y)_!6jXMsYU+Qelf;Su$29*(S5LGe@`J-HVde6)PD%NTdvvmsW(&9t~X~BiI)7 zzAncVhg?bR+inJq3v#I=@70*eC$^5b_*=`dRcE{=Rqxl&7js*G;cFz=aQsuC{z7#j zM61XMF*fLV5!sO!M9h3$M(%-cZusK{3#L#-)Re241!i>JH7F67<)?IhMLdl{O(08i zaD@jqhu5s(wP5SRYR_ijEMfJHhHHXP15z|P0=Durq7zf;T@YLX9jXH^x1$W*$!V-$ zp(&Pkw0dG8DC}X#tKpb~u(N^syaM0Go{h+tVQ?e}@0}^P>FHi}u$@&7a)TLP$q+tS zcT1OSpUgqOZqou-b31{WEUMaS)^zKrrV`6+?<8#@lTFm-j+t@vcsevrl#oN=&1ot{ z%%DuB^x#I!s1Y>+5`d;Vx;1N~x3B>flPGvcw~S6;GEL2T8E!f2fYNh2bUzJNybq4t z1ytje`y@;R$ru&k$KB2G_IKtT6S)q%0mOSJuF_rPrf201{Fhgfo?(*r2xuo)!B&y$NOx|&xS0+0vsYrY{ z^t@5)n44K0Zc2*b`~Sed<($2IA|O6qD^3BsvyIs2*AzuG7W`g0yZt^Hg+{@= z1u``mXod^BZDc5;WS}a`?K?OjFOnsWL~?}D(OdqNiXJuC@`|!h=O@G-JmhEdIzhUL zrQeys6z9byO6F?6eOCt4@nK-C`9$t-_ycs&ey|1rdJ0?(s!(na(p^I5h=#BX?H-{~ zuAi+GLvQ+IvGalsJM{et0dv#Kg;`5EQHy$4Xf)mi=s6PD3H!=J^gu8fE4@zE6445h zf48b+MC6uuWgF0x_bvP$iXWv`cN09M$X}zQsT^@p=Gj74QswXUj-Unpr48cBGeR$x zAJ=4?|5$%9^&RiFKq3htI4B}T@ijKzthc=ZA~Ofsjjqx84HiOe$WneInuSLZy+Et< z8c2M|G=mlH`Al5auLfnbW2rH2?;G4DhH(X{9UJvW`bAz^B4o5*lqJ$;Ek_luMG_a5 z$Ez{3>#c7+IJ!u^;n|~Z#2!&!-v^E-ynq+AR9-xYzPyqXff47TN+{mp`+ zI#9;>Cb}qYxuX<)YeN02yAa9kUl3?CaG}3WC9$&>Oz2ikQmy%7tgF_ikn7KEC+}nN zetbh9=lN9AJr{4YEpv{dPp%22G8cp*ZaM=mNa1cV+=w|<-fGU@E^pD6OeAzvaw z+-5~p7LzcKM`aC2HD2-~2L@J1`PYsUb(@v5ff$jJu%&#JJR*OyxVHW1l}5XlJVq_y z!rFvlrFzKAGthZu9m*hQ^4)frAXSdv%~hrMVFJqixfbF%j+=9uW(f|XtfVzu0>MpJ zLI7_2Lr>uZHD?a{e*pAJ(%t^ohW%gFtNz2f|6hj9FQOu#{2#+sQ2XB*_HPY88us5@ zECa*uAK9?6vHU-V{R`Uv{|uY?cfbFQVe2{k3bvVpg_WM8DV@HafrW#mp2L6M{j#== ziJ5_(rJl7Ro$c=!8^ix#xskn*A)SMnm6@g9Z=L7=*}VVb+y8I#{;%p!|C4#M(*F-B zUY5Uq+5Stw|Hl+B>u=?d|5Nj>QZ!OcylO@TJQG0#@f1J1j!(QsAr9690}IB`1&ama z7cb&(*WeR3BL+1iE-EbKCn=mcb$Q-Cd7u7vn*P4iUg_9xTj^Tv$_|MVpNUoJgEND6 z3lz$A1APN21i(pcsN$5s3Y*= zW(6;ZaQ~x?;sk;M2?6za)Z*asCOQec|7^mC-QyCBEC^&6BGlUjzzLBUs{qO`qUhESO@s*eG=0|3;$KsKf_U`9}=LhFKjuX2Y*nXJ0R|g)(*G(_h7xnfd z>P@E&-voX0V#n}@dk*CuZNLXSKN?JLIM=s!M2w)9>^22C*d4?Js%{}5uMZ9Y1!QFM zG-d}~58N(b00AK~*=HEy777aDlg9WE0GN}&8(!E zW#v&O8CS%qF)jr=5mKc9f+?9Gni2Qbkq zod#B*a{xCeH9ZY9#JAaJPmhmUvQK~P1fUj$Um?2A0SSuM5t? zE$<~S6`UVekT0YOX`JpE!pMpl#c2z(8aMDbD$bSvD))?5czM*l129#r6=2OkGVz2Z zEoIKb5{$SOi>s7nn`X7SZIzd053tW{GRWFQ-iyKBXRIW{%r^0K*K_1fc_^{b2J^Vd zPs1`{bX%(L*!l{f7jQ*?ga(YDRKNA>2U(^cHEx^VV&w>J;rH%g`RT^gAqyM%&astM z!-!2LHt*^A#nqYhNA!o@6RwLfGhhaD=rFHc1O?!g(SfrnYb(}5*=;xs01g!Q&+;ZOYa$LHRKX|jWI?(-Fx@80*y zmE`>VF;h~CnFQ%qkyweSRhPhTSPb}+&nf7#7)0(@?GPSfYmhQlvDt*XL(2!PsMda1 zvXR0QH?)czkU*_IhRd$@#)oIO;2j9yLyKWEZ^*_7Tcf)hSLlOE=dIDhYp&D{$!~JI zniap1H@)3R6w6VhEk&)k;G;!&UfJOwQN_3%Pr8HCvNJ}Uwu)EgIPDM*3Guzgn}ans z)S*g~5!q!Xd9i{PhdM&}5W7nYckwEG?wk{EWk&LN z)m2WgH>M!3ODnHbMTI==*{QSvhs)Wsl$rPou$ z9KwKoAAi|dacOrcslJi)RIO2$KF&rIgI`;8r=<%V9z(kTc2l)7X=oh{ZB;`4fEb;1 z*VrbRUr~2mrZqa-aQC6W<``U#XBNtK+np9OdFpm8zONZ*FALc~7#(^?8S1gPdA>NN zqy;%w^0g@fZ%KH(9x^@ddtA2Aa1M8#!tn3u$tFgJTulBy1B_!P!T%c6FH6O7E8A9xqyst$~zSHXxsU zm#k5@b~T?5@!Tu~ZsKxx`W&TiGYW2GkZM|*BVk`$aE9J!!)k_KpwV~|Xfa4bQ#Doa zXj{7Zk#AZ7qj&`GOtX8pC!ig1G7R%}Sj!6A#W(>tBfWu^*+>=-gT2oE{U<6mYN z2)L^#C1s_Tx8nZc(G64ZbcnJ9%PPbn&ZaCy0nhrpolXioPNyG+!FenIBqR2v@mg&tMXgVPgRA_MLI+Q^0x z;&;ok((yb)!H^B$rIW@FIdgXKEy?qd%9wQUSLde?Z=4@HknInfYI2)nV6GV+`^(Ln zOLmwg+%$lVOfqLdnKsSPT}z}))3YpiI@&5vaY~@uX71IpTI*eX`GJZN6N<)2WT)CG z(S*mk)mSE(p=H%vr^>M^?5jEG5pWpvc_j=t8~nvhh(VT0Nc|NkHgQhxx>>iNb`RQ= zb?h0%6%rb8!2?r3%S9Qx>F4dwTK3WNaC7JREhWB@V&EZviaAHquu(~m|L$W@mWmNF zyY#_TQd1naQvM3cnrI>(#F}3(Modzq+w8KvL z6)skND)O>dFxQr9QtNeli?-ygcANGz46$qGtaI&!8Vb^Ggh+yqxuGyl*Jvi}He@@e z*MW(W+!gAx3K`#4^V(jNxxz)@4fgO*%O(Y)#2J<`!(Z7dKo^fx)HVS zW(Z1STpd1jim$B~E(SH{3mk>Gm`5we62+c=U%=^sPIVfUZY&6}k~CLSR|%80IPT4- zdR_K|S~h|o>d=gG%~Hzx4ZIdMQbyH6VHZKWesIydy-_rZBCAYav<x+p} z8ZEIzQv7PL&Oic6x7>0{*9I1Z4Jzc*y;OaSgA z%y&)xem(;>=)!~3HRY~A?JV$iEV)JjxPYXTb=vo7-z0L?jb956_9z8wI~~v*b9e>x z1{7wy7F&6PB78EmTy5l@c|L^RW1|rAj07{0>9v|r$`P2+z8h+KaBIVc=@O5oM_tBl zugpSP461^ZXx{6u_S#BL)m{*N&pze%lhVKiLpU6F$(Z5UPIhb89y#E)%d`Cr_Quug zvK{lYUF*jyBm9wU?$-5He|J|K7wUtxjh%R?V7}vJ=@X<0C9%4b&=mLK)>%tXPTA4J zzkf)QbZu7H73n48`w@`Cr#@vBW7wg~Ib#LFR5Flkt~4P` z8+>GMG*^+B6#)gksX;H9INTFY)}i_|Ql(Sb*6BH}+1h-Sw018zHDp?6Sj6bv7wHEt zyxi7x68DtYSI$K@;g9xd_m2`vl#Xn|f&-Ldps5R05lZL-vWI?X%jA>=1wb`SwG>6%pL_l`MS z@3lm0cyyG8<1M0_vp6|1fLgN1-I6Z@ECtLjO;cu=Es}jiRATP!{Y_yduOB5|4 zJWQV)4h7{NKlDLS{5zXUH3Gj&u^gjtslpWYZje@};0@$M%xC__kSn+FE}})R60#B0 z5(eDYWI%Lfe?kq->z0LgP@J z^s0G2Wn$>2R-F)c+d7#-x;D3K2p)B^?d2Cg#a`RqGV?ixBN7EsGBuNtE7e308g(%mBXlOQBKMmm(>^7FhJX*Jq-VQ9^Gk1gynEj z?~q`)odvd0f2afiFC}*lM-JqKtw8KuLD2>9Wi0ajWrp2LBXb|`WXh={Q3Fl%Jlt{g z$AS&$10rnm>efV~4}@a1ln*W^ve8oRhJ_%}M8rnY_C1OYj)i-UF?~|+?uq=MneuSY zG{?Vj%>a|A_d^d2*b-9=GT<crnAj;nlmlSl`P8v?!__u*{@0;euCaxuFq@b)YBskLjFn z(q<(d{H90V`&4npBfX2E6D)Ctk6^ERh%x<7`^R(WB5<0=_z*^JWeexqB!0Djm|NYZ z(IOv;F|iM@I?Dxckb8CB4e9x9I<%Jw0||f_Z!0MMUyV*nz{G!Xytc_Jk4;VGloE$# z=-|Y;rwL-f#%K~`*zx8(EE{B(vkeiYC6k}ym^Lr~+3F62iixGKG}gsOD^hvotaLCtTrPh(Xt7 zRO78HZsa%iME?Q3TXwyBf!0o{)m0`z2$xB{yBqT(-WU1(3y^Pw^?0egx_%8@rJ6l5ud1#_X;7_B%D8@J+wL4!PHRkQc^oQV`yHiYhNEUwIA7-Jxp@v#6%#*< z;dQ>D@a^@fxMVAbV)0~zIqiD2CWJyVz(-QHQd-iMe}QH^?8n4v`)=f>_QzjhW+W}N z-|_9}FVki{a$=Rh#O7qA3&y;7E%*@_Bc@?wuDIjxodqLaLi&T0NRtn87?-QH=Ym*j zDRoh(kku}%k-qIDr#&~BLJ08;6sRZy0$ zzkrgKQC=Zk40jO^W{P5^LeO&4Ql~CzF1BY?fdLp>i!{{%b8QP^D1Kh&5sr6X@9%6%>7{>0a#y&<6t7fe;|{!n{%uI&;xyWn?75Y zAgau+IlZtUlfyiR$^rqO_+n=n?b_eYBABZ64dPRYNYX@fBs0KFm=CRQwDNaH#=)m|g~RVf71l&&%;6q^QdRIV1zWQ6$tSZdRtc z7o+(66lvCIk4T;I&Hh?Qdw(<>VIDU>FI%5IO>{1&&rk3a=dKFRaYeIJO{KuHrr=H^NfX8xNB<9uQfn|KO;qj4cDV3uN#>%dba zM%M!BvyC^bu*T6|7WFna-w+ATF@9@D)-@@ps18Z0lT-~ zduvR+O$mrySGP{7H(G^TS$CW;s`w{nMIXGH8*DrJLR6vq8uU?u#fWqS?gk5W8Ro-o z#{vs;>BDK4fNN1|=W&K81Kc+bS`lZ5XN zoX2zMr@8bGRD#EIV!wnKi;EZGwI=eOY$svLR=>y|EQ<4Wx4mNwm8iXbfu7eDKmY1V z5n7l`VI{ShsslCCQT?*TpJ=g;LJUqa(>6I$jhQaAgko^ITs=VEjy@MD%a`ObXYE$T zt*O7IoRO1DI{iIL#1U6TUFQ$@!e*`i7h~ttBnq%**|Mun*|u%lwr$(iDciPf+qP}n zoO5Ghdb%U#VczlwWJYFuE7#sbi)$*bYqCXz?`vkf&Otz3xE!?@-8+baRsGQEb4<|O zhbAmHr&r1!aWy%H1<1o7j}sQ4>tMlH3fRGn2OL!>szjt^ux}2@Bte zNE~;h1|luMZ<>807DS2QCZqF6s4jn;lZ9^OK)>u@hS@&byg7PQ6FwE>8FMkC#agSF zV~-h(k=Ub`OPY67g)KK`YC#-O{sl0QzRgfPXBmT1Nr3n zdf@n~iKGB}G1=YKUmf?0*7QzKat|Hig)9?iSKS`3CrTcz`jXHnt8r)nTK#r&VaEb4oF<4fTT@G*yoL!S&d-omT1@W{%e?gSzY5Y;?c3FO4EM+G7&qx zRd69*Mf=UYpj@h!kSnkvsT%obfy>;QDt-6fXD$<++E&pcW#b-Jbx`wWfYEG@mmBC9 z1qKvZ^y2t{EMi(KT^$3+V@4Q=tfLxtC%Z`Zg69^6RpH}IZpXVrxu#gP+p89!^6qZI z&{?#gNG(qBqe(lRNcLM zYsGW^G|S+JCnFx&82Z8CDgZ+;eAPu#^d7540gzmT)%r#wXdUL_xUm9F&_!53@uDg% zT-W>^Yn*Dolt5Wh*OljiAj<6u{Wfa4gA^ugk?0SEA?8 z*crywCGh0;nfo=Y-R-c59iU>bs6Fz^fT0p5+x<Z)dcuw~48lVjij^$Gfg^~ExG0OUv0Or-YDmo;Sk*t~*i_jW7Pt$S^O3a>GELZj`MZ^)U%1L6=#D=u~PNLOw zPqZp_imNAh^$Y@jHg`((>I~}!1mUntXzkwK+eVGvJQ`g?t#<^kJ_I{Ez5LXGCX0XF+F8XG`Zq_pi_Te*(Mzz`6ek?3n5Q zPus%(1a=JnrKK|BGq5wU{ujUd@5Jt3{}?^v|EHx|)oSEys#8acxhc!(Zq#VB>B>2R zwb^3hY`w|KDa+YnHl$Vs<(Ii z_=Kyo2TJ3>+~EAZ4rs*b8(3M}7(T)S^1Ci^{Y7kWYx=}8cwBu&lb)X$UmstaAK1aT zW2-DEd-J<)?@3Nd9o`C&#s^3Bl*7kgnnGTv^F=m1hEI};QCFRgn*#0 zw{K`=eR|9Mq)Y2A9PVY-&Z4%S{)q&{=|eC#Ju^3ib$0xKenk7sz4=nh_Wv#R6!P-2 ziuH5b{Kk6b<4|Yk0<4z7*h|y+IbnAF$=sY8K#jT26^L(OX$Gce`u@8;H2lNoQ^P;% zhb8?%{<+KY zp$B*WyZiXHn?I~mRecO=^8?rCr%mtQ%NB*hk9s$YV`Ovo_6x<y_fJ?DqBHTSXEj$>7{qK{8yCXXL(0s zdGLp>{#PL)D|`G``zwfm+WHjkuHe@T=v<@631ID)^vKxs<$c%q6D{<;cf~PuCI)|BJmFDG*u?vm*_!t-`57<+@Cs;*JElyx7UW4%U#%5flvP|k|{ZpM8xOm&7E$J`z7e8 zWyX8IJ2rC@ymNga6#tzaYq%e5&O1^7{F8vgF-F{M+BbSIuCc2UaZ%3*70(MbHM2@R zzsPjne0zEBP#BiZTkds@n4Sd?KC2h(82W3?q~jM{S6qs`Q3f6T^W-F2hJ!fGXvC3q z%@r4|ebc7?S}Hyd;dyG%g4=G?{$RFu@b>%SA!Ufu<3Raam4(o_0Q#Sc=XsDxC|&CQ zo4B|39scpOjR14ZM-siCJrA^7EcxPFjvL~ODOa4rvWE5hE9(BQOuHHqSeUQ`NGe0W z4u2`F<9c7b;vp`gK-R|@DGf@HiG!kayYfF@Y?Mx2Cxs)F$}i-C6o54E*7kfM1q8b| zM#YejU4MU+CoO$R2y{M$b~{ks`w^SpJH8pSVjf=!MoZv{RHE{Egb!VraM{g&lk>>k z1;T7%Ss)Yy{wYQ)im+cF5p;MIsg2^%V*+0DkWWe6&-2UM<0RI!+@bK89N@@busg)V zLTb^XtLgI?da`CwLEKlV#yJoDQ1wd90AQ5>r4_O~-|JX;Mei#*d)SxOiBOFz{B({| zl9od!_v8EApfhHw#+YvED2foTg_Pe0S}|%3=FR4oHd2;<6t8P#94*6n>WY z_0j{q18K3UGLmIj(t@w*M!BGU@3xE3!>wJ;nJ&^`)X&43Kow@k7mzw3d5ko%7ByMA zjj7)FVS+0mB>@GHV5lWjWLb*;K zR#5vj8sccB>58c1g?;4wE=7|7VrJV@yY48B+!CeQVDX<^{n!qdX=92(2cPr~T&{;% zWFh7PvQ!o-+}=QGdwIPK*|qh>ez~G_rtBh+f|=@u6klc?zjOF*rGIPPGH$pfzi!PD zukL)E)iRbfR(I8(qE}u$tGGPHp#kJXjd^F`mA_{-a8DBMsUK9~N?=i+XRhmwm|nRv zG=h3pZK`jLh={D`<=q z3l*=DApCj87b#k}5JHn+K*|~I47l4I9F7W5nc;v;PEibVteF@v;cI9Zcl>$`{0`U% z5yJHuxO-ev^uqQU%qSV)`!$f}3cz2P1#>RMsDDsLxkH}=1E0BGaMl|1uTsF+`PRX1 z^TjYrpt@y)y}iIOV?WiVwVPssci@>qt0)rkj{{x7mt02ZMs#Q2St_E8?4`^h3KJ7V zD=U#-P86fvVvfj)04 zz`l*>y{U_(4op<95+9FcdSM?>KWU6Jq#N_WKX@hYk=nH;x~CjbzyT6mM89iYffr>D zg`v48{!4o}&b#fE;gJp-Zv3bB+3Ne9>Fk$dA@KjqiE1IHX7@}i+ffLz$Jy4~w<_`X zbfWVzeX4Pzmt)%T9q*?=Pz{#^=p-Hm5`DPI!=pl_p3I} z!STNm7^VyqS=^#@K^Rt@I4*)ARlLrn5G;gpopGdI+bVc`PKuOhT4_lq26RrXS_%Yp z8Hlg#iI=0?U@$X7QK=&PVt^EiiS5gAtAwtmV3?3b=-r5vz!3THZ9r9M!@}oDelNdG zO5EfmT{z+)s>5oJ*U|^-A?G*vYow$dcIO!k;rM0Nrho)}EY76~s@}Duaq0lbEgUos z3y#h99w412O3XyF5VnWpqI45h@wC3&C2Iy3Pt;GRpZ1_CTv0QC%2cl8AO zlAl#Cs^#B65m-;8jR{ntn9$-I*RvU8{YtsJG>U`J&d83;U^?Rp+nBh7@;}UYi*=DZ z37Z**p3X8x zAsL;vmT+_#Gk#_}VrA!f*vHdnhW*JL!7LzxUkPW{SJcOHpI=L6E>qGUQ?Dl7m`gF! z{9X@-I-#SmL)WZ;Ay)KMyW^gu5lK}u+~sST><$>uPs`xg0a9er zT8s0{rwFgIt==^@Sgej7cp77|-f8Dh`80dV<~Lqg;fHFi>AhZhA`67Zdbp>0yR4pn zLi#idM45-SJ|>PJaZ1GWxOw_Bai+0MHIb89ykWYLPj2mtkv(T9b4BN`>OF1}k+88d zHSuO^ls(uqQ;enK7us4hLpiYI{XDbJzEE~-)h>8d521lH%BIOgG6Krl=dZ5Rbk3>7 zQPw3#nsO!-XFTjaub>J^79=I)i{#a@Bucw=*keb#G+Spm-!6r>u`HEaN;$m!ZQ|R- zuJu9WWPc&|D9`(;ED>t^aQMON4C^Z)*EkJs9nK-w9kx3{I0|Uj&CqIumTz<~Wse!& zeHd}SV$nqb)X_bjYsUM!yiXXn6it`p=&^G}C%nN5vX9N_Je?FevX85D^KAFZF?9r< zmjf0t2yF$t0H{c!zT%gam?NWhZ8IMUmMM&V?$gq;-Q}{+wjCeHs2~YJy75tEB{9TD zCRTOLN%#yj}{_kx14PeW8V zn9{GWgTV4Mxul2@PJko!)6MZ$S0rCvmAwLk?VFs{fQZ7Lj+#fEt(SCDl{_N=JV8i* z76_SKF6@++BoGBZ-lg!b|D?#Hl~^{ThYH9fjPK_u8IRW%*drIL%!eqp1zNeqm*fVn z^*4kt`nnK{SX(G$nSQ>fV4z>&(cfp4N6o4zeplEZ%sU*VKgH>p31QB{B-4Pu4}gY3 z)`vuyY}WHwIP8f=sWu66_K(s>Z^hcG%qa*dlO9>97lwG$UQi5SgmNyMC5>`LWUHw) zUu#Fj^g>cgXNgCWOJ8P>Ehg|GVO&mUtV>F-gbtRkAKBk zz1DcIHS3uhOS668?c8n1i>8y_!z(x@>nTV+nDM{sxV%mz6;((ArdEV>=^ekj*6ix) zgm6#ss~pc~68?zZGCt1oW^JZ505^Z38By=Dv=C=kY?SnCF&d49E{fEQa6UTE-S>oY zvxa%cZd1MqjqPi^p*KItUtP_rsmyIQdn$?h z{eq6TmrLj4QK8^rsNBT>2n@K$rG!4+Ot~XB<_b%o4rh-daWOh;f0StggXYSZOoYQN zI4A}$74AteD`fzY#s$oq?AFiW;(dP>t&odDb#d30=Y^76vCwzb+zi9fSg4xEL(5pC z0snhPR#8*g!8fzrpeog{SbIawL=^)M2Z}H-_oE3f5vWL>X3c4J#p+4$Di}Ed4Xn5x z^9b&9+yz;h#|(z;3rg{lDw=*i_0E4qRFiyrkmV%3AiQVfEUpCjQwV2b?benoKB5cn zq{hF)nrI4Nohet$7iZbaKQPwX0+wcUi6#JA3mQHHwMr<@jUdQUZ(DK|L7ryzN}vKTiIB$=fhFdf`tra0GW1CAEk-T!?4cGnG+FRQ?G>d=cL(RM)I;J3`M}Puk&3 z+LHG?ik**~B?V(?(vZI0b1nj+efzsy8p2paxzckr&o87tfv$mHpm&M;>t9$s)iEKL z>HSc+uXDyjt^g9SA+J3k7GJ0AA^J}Ec-?OvlM%-|7l)Db2yGgWW$fO}T#{<1hQ#)T6cqT%+G&Os%&e?z8wzRI>?zT>tmkh3k`2`-x@-6nxekmFXkdFHi z$7aMc2?^+q@XkGi(JIPqdjH(mcH>2JXqVZFUb8J!$ki2~is+_;Y;&i3v!90~Vx5o{ zodJId;MG3rC@nnhE5>)7MYHzTQ_%{=x~XU&>7(u!?Z-(RNA#buxa0Y<>I+?J@LC+b z0+EwN9JCcL8C16X6F<#SZpOLg^LNkcq1c2Rs>KyPG^3IY2@%Pm@^uxUySHUFqw1vE zFyeUv^dS1b7k4vB!cBzLx;f|5U9FKV9t=%lShPo_H*qB{@&;SgGQcWedQNXNylK|j zE_H@c;NH(Q$HF_|%WnT5x1cT4syRsoyK{RiqD5@8+T>fyWgS@c#P?h5AlsLOnGfZo zIfnd7pG$0t^-6D=rCqe{FUFNj|0M~ssA(Db=y!=h5Q*7ohKvX}1%m~MG-`RXwa3iO zX(`~zHw;7?6dCJ??=d6-{UlAAHZwr} z>q#cVD<@MUPHg0+55Z+6oPB)JP&qTlss57P9n!RscJ6fker)q(Pz(swk@EML7r|bv zRN8k6agqT_WQ=nEns$6i#d0MaN50hK|2ZhOP97PNxK4B?7Biy%FQ!N)x9K59=173>zs5ML;q_($+h^nuJpd|v)=6I_GQZ8Cga+#hqV#-#` z*o>207SQj(aimQ?npHIX=l$VYcOoW0NOG~ z`QhzYy)CCyjDZCe^->>|F#V;Z=EhNQSf$c49aYZP3v*R0{vfntzZmD4Dx!kM&xMJw zyry3Xd+n)%CFW|BoMtIkExVTJeT>csQK{5GfwrSZD9!CYBMl+z>E>Zpa~q>wt!b+n zT$7Uh>>v{lH=@3__ClB!_K^sqq`y&ME=WvbHfsec^IbnVc1zndXn3F5B#;Q_o1-wB z9>YKkSv`IR1*3OXEGX{fM-}A>S}+6UM#7~&;N*r0{p`fdjdS=5J-%L>7aVf=|1=E1 z!xa{?Lqy@9qoHNFyr5_0O08LfHReC^*wNX<`H0`$7#$0PqXTjQCL)7rl|G_Ft+=n; zu~%!yNXiIwD@67?%AmJmh^oiJ)vgsrTcea?%A6z8`k%?w|E}7hYgfMbAXZ#|{p-&t z=;Mrqsp%fr!lDkpB*yNDvXp=lL-;iwc@=Utm1hxiY_Nkeh6T~dj=Mo*RA*cH=*}!x z#^D@Lw+dUv3Jv=`2!m40@{)vZsM31Tfx#-ZMbjXyDh`gyHx~||<6svP={(aAw_@4l zm!PfUFal9sXP9&$GA!tENQf=;6!4^};mC1)?@CIxJvmkQrYgAUfNkG4!Bi4P?*4O`e4KBu# z!!78r(;y7TtXIZf$~sEqYx=Vu+LP+n(!cC_;}P)dvoQ8cHqp#Um_AV) zxgeuSxscffA}t;T*37^xd-HNDSvZfk6Cu1@JW!uzJ8_$wD~h2HCn=%wEPS}2*Cg&# zHDD+X#hf4;nRtaT3qAW8L){YfBC*Z;r}2=&cAUf=2Jp)`&GVCFhtS+(CC0CaOp-gs zyBY^KjlA>+86Mg7A``Cz>LL#`V58#T{#2RH1E|NBHq|_(nSqJ%Su7Hv{Juc4IIx1v zpAiyEZ+E-=M3+fiVA#wjF)&TnQ2YAxcuBO^7=MFnxF=Hp!VYCCP_UpKT%#ymP^kt{ z_z#s`07sf#Ms=Rx6k!!TRJQ}juVXTBGeAv3lvJ)bf%5m+N#Tr7Rs?)^aJANE-pSR7 zf*6_kO+KTgB|rO*`Q_C+!vUIp7s?z{QxE)bzzQ2(?%7ph4|{8hV6R^eOMkSiG;=L#PK1CQ#T1pW@( zF=8gerUw1tiM+t*(xE+~f?1Hx;h3HXv(X2~e*cYR-Bc2`rZAN8I%1x(;Do|a4MZIV z(rs*FX>~0x&=j~|v*T@Cj6WbW!^ZcXlKng=IOESY3mcB(Ajy(aPJT0)y7UA3o?VdE z=#-kqTt)_yl-tjO`2aMeUWGTPHCJXD3B2QU3NjGub`WApbHFi7%T_LM9I=V>nz&%q zYQ-{0|BN4U&y$U?#^Gb^M^9#}uU6~U!72h)i;mHdvG?8D&!sY=mIy>e0-h&pvI^uK zu*AI5aM47xj%}@?*Ftg>m1@Cxj)SXimogdsEW6INB3&q8T8KF+j!SU(W?lGBiN%QQ z9$y=(-?+qC`B4hEIOf+CiJAU0XsH207ARU*a#|Q4xtu&KU(ndO- zams*Q_ZPm;vLqU$B&wpK{5eSSw2hZ=XCB%rifyz>%W6cVnykwWU>DSdvuVF zSo@{E62OV~=JDF?Ai1X^BP~Dx)39zB9WX(KJfuS?!Z{xbU=iQ8aD#MGXVP|n40L1C zqI7O=MR@j_Qp?I*MO?k9v&TE8@KwIYwyl)xLY`6KM5%3OJ)cBn@--&d#I-jgJ((1{ zxYVMZ;(4&xJ%MvNLIVs7b109b$)rsXS@a5yT{5-u}7Gt4);Y#~fh68oC0=RBV znrVd=5IeZ28P8Vm{{HD$HR1 z2qv>ABqvLEONcL5SMztQK)a-^dg~hsDZRcMmtnHBmw%nZ)oJ`i$=QU6Rv;CE<-j$< zd@;hE4MaF>jxxH`Aq`MEF-y6!@R-F?XO6RWng0s~LTySFRUo8k6R>KuHZox^eb$sb zXigefkB8ZVxa;}E>PzTVf<@pVz;to- z6Q~zE-%7;?SKNbVaZ#Q4rV?UQ?>g!!U$eb>?mXCYTv5!WROenGyMXMn@6Mr`p=&hK z+NUXo4*0>uD+^6ZMRUMFDPA8|3rFyal*;T|eI`5`FB5SyXDt->dB+5|5vMp|G1`jv zinVnUoQuQMls+g)=?R9Pl;_SK`i~e~${w|Fd?@g~`+lQgt-RXrqVFc~IS6@Ru`(mN z-_X@>10Uy~De`^uUCKmm^l4?%5|B~VVu7#>+4hzp-s3-xHR-t}EFtozIrI^_DSc54+gfTH= zrEx*`b#RsrdB-_w7$iNAciD*(0DtUl(4O+xHr(w6U!NOZ?MEkA#P$qhce#+_JIcy`c- zw?nR5yEk&A{c0dk=xFr|PJcCx=%6(CX>Clb^Ko(nZTs9x?~x~UWoA=ONV(R zNNu*~2Onjt_H?WQP)fBT4G6*_EZD0S_KckDX@`I0#cH3Q%63IdZ?iWV=~oOP%)-A3 zG}ax{Gq0xEBP_#$bs9OIYNVQ?J*iIMO6mRPhPP$tzSUy7T9g*wkG=YPB?U?;pi5eY~pF z%jusE?nA-f!;PE{bcc|BVq3N@2vE?Vxc*eN_RQ=I{`k?EZE31*X$& zNWB|f;$hwNEMzr!X$**(kHJ+YF#6MyM|w4s^{?5kRT3w3Ml|st26~4YY(5rA9V`|# zi!0RJvwA1@YU?gK_Vja)>Wb&|3|^CLm0qCax&b1vP%PVK|ZyH*ernx5N;dogP0s?N`T%rP#vRep{C|`L=*sy~Qc$41V8Uz3~LwLX( z*P-CYg}!EmL$+QjZT%N21>xHvoef%nT0i#2+rjEbR_Ek?=>_1%p&JRjJop16>{pe>6&sWnw?L;#Pae!1Zn=IX zIXo8hSBQr9-S6Osjyq47K(6oDr>0V9{q=HhCW=P$ zvUtX##`r?Tn;cyF=r?cJo344RouC7(m>q4)`Y~pga$1*tr>>BzQ33!%pNy4v zx@A>CBal(RWTygW?peZ*q`w5EY5aW`17!9`wYw z>7gSL8{!m%yhYgqJ={qv~RA=M(Leb%!c?6Z1@9Sr|FqL-f4R}ILUWq9X zciXoGvv~g^D#|GOs<1vD9k$&p0tV0lMbeu?F;W8V08K`k1=5mq(7fdeW0O|CR*D;kXv>%F%ERmi=5p+_+ zDT?rusv%|T(1}7$OHnJIF|#kWMYi03Sl-V*2O*%JJz_&V#Oer@W?Km=_u^mZf7VK^ zZOmI0hY#w{=RSwwzq|nal7>t~R>vUP+Yfo>ah3~G+fmF4Yi`)j%QPKKVkl4-5dZ=I z7PqybAdrtG9SITQ+%G{0M9bQ)A)3y;UcSu3BG~%7jGJn0?xVE}@P1$mKcw>CEa4>Y_-jH|q?MgmQ zpzMM$`sE^@O0zY&ra>RTwwpek=z@C$0=Rq4q%tdp@pm-UvP}kB7YADfzXTTlknr-J zxUbp5Uxz59(6Jg?KkVGT<7KP~4g-CZu}W=e;Y63n_vTC zk<&c=L%c8|RD46b)^OaClYuuJfEyx-jV9})nH1Vqt9TCAnIU8N(S-n!FhS!bc>(P6 zRBL3#Yj%o16Si5QvtGG=`fBqGoj?zPUdeeu)^vxekO4w9uID6irm+60L`32{X3Ry5 zz2k8fFr6iR3U2n~YC1VV+3uH+sS(AorIsk?(t5B(4I+Qyrd%IXzaq+%8qboO(4?O7eW%P_##YVk6f}cN0Wu z_u~$T@3e;X9lB6cAN7cm>t+x8TDS6ce$bTmo~x(k&0XDb2f@rU!6VbuZWgOp)%MNj zr}a%O-e~C66&Ul zN0g$WzGs#?i`nUhckxU`>Z+>TN09O5%)`Z%J(D}yT+6CT`YNg&_-6^`FcpJi#{hxf zp9}8eMHbV@fh=Cz&LEq@jR}2d&;y9MW!b~!p1EH9dx=PSmUNs27@SdVNpR!TinT!* z>+F)~ss-^bp{=HToFRtUNaa4c{?f^8Mv~S!B3L0xP_WJ|00wqOyaGBXQ(dp%+$?2e zyUPJxL%RNc?co z6^Tqw?%x?|L@SNV_~3cc>JV-43V$5>U1FYH zSV@6IN)F%Z3->jQ@~{=CAXep@WU+#L)(svd)g}=gIsj<3MUY76dI^abjiX&X)Cy{MO4gGrHgXJj3<5!7V9x! zpWZzcREn$;%0{hJt}-0DCHytjg(x%S_C=z>5m@|-$ zJY6%ZX34sU9k0f6R&bJL(I^BdaXGByiRI3D=n|O<5BQ7pMUQC8ZcwMtnZf_~=O_Aqi zY~`jqe{d)oy4HVYg*uG3ei3#D-XP20RjM94!Tkd}d;u05>5dt5ZH-2#4PG6k-j7s`*L&-U<7#`mq}nD0tm3kT z<7JqBxd)Xw>h@&ziWK)`o1~FFE98ky9lGreY#MKuj`bUe`OWPz5;=QiOfxVAgtlh46RsEs)#A)R_7H76M1JA){5-`=VsRjjs$Dp>E(ia_Owa)?v3@ecfD zKqr@)f%P|nB>B@<(J={r)lov_o$MUEHrJHfYh2$TkDF%)tBAyHxS-qg5oGJ$_r&YH zgt9JDd)Np~X$-{Sg;l-wdmH3P6py!5c!xcnz4a9+`S!uuhQI!@VnG6!NP}=qQ&Ol( zK%&wvHYNlrCb%gf7@~)1u-dG9Lq`+Rqikjj>W?;jbi^!M*dCF*8(-U)(c3j5SR~Yt zZb9l~A+W7O_?}i3q@xcBB9YPF#0i*wPsXms54uDj!#806Mav^}_%IHyQYUxvyAU)t z+A$G_Aw4^@!*+<-9BTUN&9fu=eAhj35aj9+>weU3F@B(*Ovl%ThrsJX0DzYzBPV z$)w^@SCEVaq7R);DnQ|boGxVBo%lF*2c??@op2u`->oXm0;h;TEV7Ou!2CBrbTb|eDq zia~j1O*oI*ka8aNL&2%QD=i1+lVnL>7tc=qw_1{klyL>di@&Bx@zVeigoZWB@7s%p zd*|0oW^wmmE;VFtUe_S#yq$mz-JB57H(HNoP;RY>P*rx=)u`u}ywPp|1b{#n16N6} zOk9VqxPB586YnZl+a=pBypP|z<=GJ8B4{@O)C1m3Z*9ReT^%Y}9+WfqL;6fL3l0O%wqd7_QRhyLn z-u}EWw~xmi-oGGSVAu|SD02i*5biz9|HUNcj$Q{#;Az!o5A6i60HdW?TL@xhuXy8r z5MLu=bUlOPTJr_@8cqo<0$mmI{GPe%IU|+#fhcwY0+mRHP_5royjg^yMX?27hm%E-uXj$ysQ-vaG`Tp>v4~cP_*83w&!)ejue0cbn|cqA?h8ve42irAj`egCl!1#^w5>TU4XN60NH6ZI9^wQT zi1XMjh4X@*9Ydlus%B#ts}3qhu3AKHgQvsB0DVqPBb>S5$au=HGPxan_Q?C8mnX`< zm3#r}H%q1q$lE8YKTp(daCdKp-)q5ZfF6GVR#?B?u+F#tTrl_5CuA zB<1XGEebv`dV0%yzj0(aCiM(v_cu9ua$Zsw|BYlz4e5eqQ;UM_f%v3~^IFEU;s+-Z z-=CKY0+)kxUxh9lOlcE4>w-iO)C+9R`Q8#J+lGAkwH~<{Xdk#~h3r}O`0QXs`^6a~ z2mhtB$o4x+!ZVOa#q4JhTe3r%G|L2?`&mA4KzL;Yb!=vh5&|)7W@SFiU(R!oS}e0q z6$$V%epIA(TisrQc;ah0M}#eb=BUlEb$YOT zDEghy+cHY%?xyPN9KC(IOj!)`N!mmBhzsXFZVI)ZvUDLGJ$6E#ISW#aZNm#f6D31! z_Af)uxo!nsv^-h0|G(P5K-f7he9{JcEP{5`>wDJ|K-#cuAAsWmC~m^V!z3|=c}RJl zA2Z=b4~<>|Bg&`0&i8H}vO3e0sF~iT)d#r_3J>l%gXj zo=6eRR6?QZ@6n5{dLuMukNw_b)!>9c5aviTKEc#`*+ zb%F#0%LYsfV!uEL6AXoHO!2-6?U`#y)YU-m2w7v?cV?RfRP}J;N3Ym!yt1<97EyL~ zG;YXF*bQDhn%(FsC0LbK{|t|L!rGF^tGPhVyFF$q37ySuaoSDb7}C|HL;U?hu`TFk z`N|3dOF@MBieT5Y&yP6olnX3hMJkD08uZTo34uisrJy3nO@#m&yPIj>2#hg->^93k zjEe4s_}S;y=@hZmb^Hf8k^pvlOil&z%*b*ZBufW5j#UED(Z}Ib#ep>xi?f9r{W97n z&sQWca5V`*nB{?bcAav1XQ?;nmK*$jSEBkL;QWUgzQv$%$%zs@Z44vE{PQ}?@`r4+ zqPbWYz25Ah4VgyZ*KhZ?`_Rb_Z9Dv)C$b`7L@Ah>%5q*g517@6p=sNT_zdF z7f(eIPPHCs6=e)M^d#jaUXU&!gGlw-{)vPF*0{5ug<2u}f>b)Ek^lOV?vYH2_WnDK}|NeaP7i*5iUIt2DG%r}C4N-c!+n&Rd8{*Te zbdn*D+k9%DKNF&Z5w7Av;+FmgaM6X|CNrN8FMmf*o^T#DmL?=+`!yNyOC5??qdGZH zl}y`OBGk?GS*_@EdYqT>{Ig6F03wMiPYT6zU`y0CRPNt68%_>}SN6Jm1^jdW*zy&s zY94dI?Rl;sm*{Oi|5Kr_g*p{f0nj(coVC6Bs@As~rDUE){JZ!p5io_q2Yw;2N`F+# zuQG9{{=}XMo0@8}MRvqr7gvJK)s|8*MIaR=Y>taqc#Dlbgsw8JSa`97nOL!ygSwB^ zlxEP$P#m-(i=C$86G7SJ)LmYz+!Gu{82uD|)fj;Dk1ffx z6xo%(xyIZjHwVuDi?Vx+t|W@r2OV^5+vbUF+qP{x9oy>Iww-ir+qRRAHM#fBU$gF- zHS_80Q@d*IU0-U|uKLyUZo72=>B6C_M?9CCZoj6hHdgfO5Q4V5rua)o=k?|KwwFQK z6R(?IO^i%4FntbfZPkd%I<4u*JICm3_sJQSV$5*W+g@lv+Z_lN$0C<9A-JZEgXm$P zJRIU+vYezPsoFyGUMs~-yXeNJwB_F(W-}Ir3@WZnyDvzw&p#xoIh zzH7`F?Rw0$r1~9J;lm|4y=Uc<>@6=B(+1A1CO+rV&k26~l;b>t#Ca5Jg6m3@DEHcU zK4Cl$J&j&wxY_cgJqNK*Ffw~HgxTZH~^sq zLBuT(<_f@Yy9dzG&H6vl1;BaML=Eu z5H=lOMRA)A_cr}@$X1SBObXnlVfk8gJ{P4}X)|MQLc@=mlgbNP1*Jx}tl;vd1c&a} zLA}k>_^QQ}dHHEsl9zBjHnWKh8la{ZUPmfEqKPJ5ajTrx-a?kdn8ZT zQ{OlTRO!#osR{wB>5^NPx77T(8{Eqk?lH+EwPK({=lVSgXJ2 ztq7enjc-jwR-N9mpb%`XHHKHn^~?r$!WHKm z8=b%5bpTZ1>%wRK!qk^84Yjs`WL0Q~`+>n8I5T0!y`^B}J*sAA1G^gh6e(PW-la(pVQ38?; zp^6y{AP~<+sb|I4{=%e^Y!yfjse#gCe9| zs_mgJR5@}FubX2~T7q@)H!O;jz7O3KDJ>C$;lw{0FZ_idqTnn4s;7|#Uxq-yIkjms zPf`44*ch^J*62V?Ay9xeB##?ZK6Qd82CRW9eX~;M)?38*s&Hnt^ZkS5uXovvf^aE$Y2>HS4hr*}G!B?-_{XBn$@EF$vS@q6_@?X6v>IK^ zMwMS2i0*8|TizZ&x$# zyx$3wY5fOCg0J1^16L?4n^U1Ev|AToO2szA3qn~9`=QbVsj5Z_IL*q0d24Owle0pe z;pwfsc6hhHvMj*8DLbYJVbsnH9%@9lyGA*6C}SwiNyN$Lm;x?loJ$7pCh9u>7Hc7E z*YIlo3;gOs^7=b}>1ZGG7@O$8NH20v7{HL zkLR`=&?PkglY4{-U4B><`5{WLf_!`&*OpFHvgY+%-sr;JnhUgs+mYifaF|jh&5CTLcJ8nqC;BA;uHTDs}MHQiI1^ptnTviVHd2zVi$hSIaL{1x;fYE%yCfJ*+{0fPM-Tf>-BYXTi1po~MB=}L z>sqy3iGE!|pF(~_3(zPqFz#4gY~eto_tdXcBIxRV?NL z%UbZdpoFU-3zXrlNLEaLB|!hfbm0iq1-o;1)%07w6~tYggkA!~t9klcr?e7t{oFj- z0NKC@x!7^^pO{nZ2A5jm=KQYy(DvDOYpD4f&*|{ppR(mtHlr%{Kw-s#j()!ee@YGX zAzmD8QnwW!uwZlINVpu-O0ot0o*HksdIBh)G0Z&oRGsTB`YA^WzeTs0-1Tk7lDbP8s_0=C3}~wBJ_r&Gd|ntaZxXb~tZSO7uhspn zj70^SQzYmV-mg2N&^H%Ysjf+1K#YB-G3<*A9NMX~$V0Ro6SOE8p6UB4Om7V#xx7y# zP4?G4dM{-3^l;@q$9mOhI4!D~iEKplTj&%n7dgb^a}%FN&QPf{kkbozZ9~sJcS2%p zo!KP``ppTE#Df^s!m#GcXey=MBAOsb9g4oPOd8km-E1ZkL?XNJMV~U&BUj9Z$@*v$ z_8m*EHdU~%D68K?1FYF90zha*+H!>R2=Ga0<3I4>To>_BoNDVbiKrSeil^YQ3L!us zHroH1F%KoY{iCf)sGQ%$CyTI2%dL3pl3|aFOxjNf2w;_dUy>D@L*h6wQ2x9JDuO4m z_8#Z*2i6r|3xw41E%{fOy^UOh*FvpgmzaW3F{(J(kuXQH3O-NrNg8f8JvhZuA6%mM zEpn+aga_^tFF?G8yYK;zIsf$knA_M(sKYG;_vHwgVsQi}9jOMM41!3Q=GkYx3@5d6$eKVol7H3s zJM@6IJ}S;kPSHhK{V;^f<&=vDz^2(!&JIN`# zZI;c75w-Pez@zDv8=Xx(%RJWj(ueJ`ha`A7w3iFJ!%H4h>l#DlKgubUQmH{{i6)r@ z&r8FmWEd2ReM1r>le*P{4#<1~EE^;7m>IZVcLtdv=bTA`C?omfi#3%g7mD)?(>h>f z;0a2TB|E}AG}o_aAKNR>IRxj8Rt=SSgHRy~(qXCvOdWPR6X=P;1Agx)X}Tw~B*jXn zmV9Vs;%>-;)Jp3M8xjGrP)9frSYw$_f}tVn0_aF#2YMa z@~N=2{mKjtNV;!K)yTnC7Rf+dHVFcMa8ku^mpbR%!Ug%us~u=dN1)5sN_are^HjTm z9&#|$+(S@qfyDHL#JU;M?p5}sp8#DQ5qvr8Ra^+Xa4({Si<GgJ~s;Y(}+!fLbePloQZv<-`_)5ge;FuD}o27104Pyx3GF1Dtcb=O%jJ&3Qn&TRgMq4k6kF&)!xu58?)qIr1nvPoeer5H?bW!T##Ud9cz zP>#_X1JuEdosf-{fsljo z|G>yt|4)qUCyM8PVPq^n|NdKyjOBl~$o_*Y6SgpPQZ{uVqyz{8gaE<-5r8N_3?L4W z07wF)0WtttfE+*`pa4(=C;^lKDgaf08bBSO0nh|!0Sp0#wm$(w&i@fKWaw;cY3X8V zV`BQ#{0STSNhSgq{bw4{e+Xx$|I;`7>G==c?Ei&5Gq$&}w*wg4+u9leOnwkF)Bilc z)X~+@24L!8Y-4B(Fawxbx|ssZ>|LDz<^XdiQ^TJT0TuuYPX`NAyB{{q3Sj+1r`eb~ zI|FQg1T{NLJ5zw2tF6(`WG&6@0QLa;pA8NG2SX=QyZ@Zpf42T>YCmW6A9+dtcXSfK z5#Z=*?_z3VWCL*eq1c>FZ7u(6hR&vLKVvytdI0__dC3LfV&POq_c_E z$x?x}H9ZTts*(lD6?5ZoazYZ%%Wn+Ht}e@Gj>pLZW&sWdi21}X}iw~%~-t97wUm#gi0YJ(y)`S8gf{M~odLRO1 zM77}YKXve_xf()pK*GP1 zcxPAlo&O7ROZ#7tn-7Er2k;~=oNYG0_pS{@T^(zS8{=!#-_otcYkQ%mShrjxw%i_h z22pMba|&Z|7;p2x=4zsfKNQt}I&L&Sj$0{?f%zMjrIGpNy}G3TJD(w6_jD+FiyV-7 zUgv{;d-QK06c`F`YvH(=&0K@0Sakmd2gimw)^`d}uHPiHle2S!*r$j8pdKPV)!n}| zDRz5R?Jex>ukN1hI(rMhqJlyiQD)bZfn(E;em=r~Ft=ld(dHQL1s5G(UWETU`46Ep zBI?usO(!7MpFRA%Ha-7~^#7r_`Ir1s_`FdudwGudu#bP)A-?Su-TuyO`>vSt=N|lC zdHATE-KSq(z7J)~1-*@f0l)Pe-~cuO_TOUs*00Ks327erM*OV(@!R|vK5_+$vf%hP z2u-Y?sK8J_7x<&m<@V991yDp`%#EFYm*+p4$9=U_u4Mq>Rnyr7&oTq7&Jx&qos{-$44Gdo>aswT~3B1;zEk8GdZQg_O(=Bfzq@wl+w7+2yKZhAhO(Ap|SwIXH_Yc)Z$5FLCg3Pj9*c zFSiE@r(#3MP8bK@a(slc4lfONJ+#d~j>W!{xM>(YvR2#7AtU$BFtB_uum*b&a|i=o z+x4&sF3f@*55}K46NER7EmNTav3b*805O7i11^sPzje37*wGBLA|r%a(v(PspMd1{ zqr*r8yqJYEd6JsSOSM)4mB`D^-%CyKJ zHx_>3%TSM)mi={*((P*#c~$&^i%cE#AFrq^QGhZU+JKKd`!ZZL>L)qBHPq9vKGJRL zH?f$1nZ@q=bu!1Aqeuv-m+vH<7fnVqdZWKNEI@8DCVc$D^m)7_{kbb0X=sy2Q1rdhmJE9cF~PCx!P9Bct>f$(dbki`uvoI#>5txT>t90&lpR3Spv^Oz;GB_klt=5P6e-f`y@9AUEgI_@ za9W`QHy~sLkAlP5okC?y+g~+$88!;?3Sti1a}xsm+hyqGV?Chx49zOvWUhde-jN&? zgeBCejgW-F`@|pUe)JBpwUOcotd!?~vM%ub7CQd7r}t$;x@ZJ#Bo_P?Em9XDio8-H zLTfdw7Pw@>$M$PyM>^`Sa3CCk8;6OefWRbXV4-f-Zs@+`)%{vsw?au&zG4j&G+T3U z7EgZ-5pk&*yxK>Rw~KX$B$3vNG!hI|CB{$#l1bZXzk6m>7F0)j>1xD`Z7KMLL(9lH zZd^+);k+N4$^MgB%a)p9_eChBptUkuce6>NM-Oa%d@dwuIb%q2*g92hoyNS;g2jO3 zc#?P`sWt@0g$VJ?p(%xmyGFmZ7aO%t@=E~EUVIXXx^qI&7p4+Rk zE-HvcvkB0}^MND&(OSJ>IJ;O{P}y8u8RxG?tlDGi!+$w*5?K2p_AG0J4t3cDg}q%z zum@Wn6ibrEM~~%9k*2tgjx`6jFNeObTZNDcjr(M9`kX&pCHU@{uW}mL--c>j&M^ut#t%KP&RKrx< z{366ioFn?77S1Tn*jJumI@#qF`p^7UdAh-fY3v>bu4?Dn@9(b z$3I@lF%%FKj~A5T_&J@7fPSN#`d19+Y3L2Q4)ZlQyYg7 zujyfZ`#3AS4;)?ULGkV*Zj*;04Tv|2;&aX&!{v!X- zat1G=z~bhT3`N~ynrGY1^Ec#$l^&+y&)+N@Wk<r}?k8B}K2 zWN=&41;|F>qqrRmj!#L>gl|!A@mgcrA&uGPuEb28IvWD^3v6&F!!GrkrI;$kr3=wi zX5f+&U29I858v3H5D^{=%UdXBGguXX%cbbZyb#4sQ8V5sV=m-M28;1~bs6B?pjBNw zpPReX(JBh2Ndi6b)U|k{h0H-HCy|tw9-m9x879D|U{qy&&UxOFw&n;Y$!|NHdyxBq zD#vp2_&fBRX-s_MWN0402DhL2W^rmsW~|Z<<3`I~pYg-D7}Gxc+FigmEyQU#6aSgU zIb{WBREjgM*wA2NjdB6|XU~9XyLfX5%%VXo#~)j*3Sjr?-nW{>f62%;)smx-^UVhQ z4SAtXSrVfxWMpv;GTzmBo2D#sJW#F9hxTdO?5pHsd&{T#gK5j^769+UG}ycD=`MO8Iqa^cpEN5oz_J*W~0 zZ#mdi%rqQ!DcY%mxR>P7ZXu6NH86VN#uPC9CMu|$qqhFSP6OB_YQBsRuuUS;;CRU( zv@Jo^Zs%3Me)0xs_6ag^4m{J|KaxibvyF5VV2v}4m`=?yBSBW+my2u)NJ=*&`RIP` z7n$@bu6UBUp76*!qw%1S_p|Dy_%(JdJ$R&TGHombxsV7#zE!b^`emPSW9d6}IyPMv z&HuU9h=w!Ho`51h1n%yJ=63StB5Reh%0)fguBALvELCg*%6>?e?Nwh{PazL*cWuyp_Wm|qC; z4UQBfo66N=f9k&!Mr6k>0uMFWmcS!j9U?A2f4k8O*O05yj5?yKCRqJ2*hBi1D_cRt z3l@X&_BS(TNHRS$C6vF20<+jIWV7OuUJsp}Sfr`*vh$yVbg4zlLH(0B$*?ir-oF)y z(7$2^#@xlV)U_s=mga#XswSOSh!Bfv^5?_jit>F(gkIKndNo8IpC3}%*#nA|rlImI>EjA{nF|E*}#?TVoT z$NMP?NKT@w7tDtZuq}~{c0QEnNxTv9Se2Yj*0KoXITiJ}O6B@n<9>|JJP?Yo&#m<% zR2p#mTCtmE-lDIJ=Fbl)dYLP^^}O0IXAsdG4`ki7T(+EJ4ZjAFg#8t@D}$kG#p9g~ zl&y1F?S#S^(m!GK+Y3eE8#+|?;YZa@=>Iox25X>NXU-*>+!*%SB=#qeNzXW<=6)XW zZd*7yVtvno@kBlhtn)4zdbp^*3etUdS7_mQkn_>J#A{h{qHZL8S6t&lUR&4=vxtb9 zsY*TC*!K91a8WyuOv-bk%>-0-hBi)dheb5<6K=vu$K;6Q9g-f@eUBrhj;QVV;euopvj}Y3lm3E1PyaKJGDl$gECrejHWwCBtg zU@h=uK}WibTyqRfB5SihiNg@{UD^$>cCEe@Ew?(8*&;EEZB)g_%v2$a3Lk=S(iFgR-MANEhWwr{~?8U&9LF|@>cGu=v zcz!0#Lxyt=KMWVF8R9$@FRVXRwP8N$O!dl4cTgtf2V+CgaFe8t z{pxpd6$XH_z1C_;GS7?oD55XL&r#_uPrA2(ofYx7x@z!Tk$m`XmQ7T;+<*;&eRPoL zHhN4psdn(T{9-0n318Lxdw(soJ=7|hisr~)5l5Z5efX%q_JgW z@MTFGigd$(b~VfuQyowcPo~H*LwQ`WxCxNR*6)5(t-k191F_c-QX1iUZN<+-^%#>0pax3k{CgA#V}AehqadlPHSaXS&N{UO@W z&9SJOE*SR|6v0MIY0C0q}5)Hi*3r{E)`cyVy|45Ldk% z@aWlqvP^o(8$mN}#ZrFFu#Tj1V~OoiC5S~CeF}C!O-*39J*>vK*{iC543o7DS!z-F(<15U~Jhfj~OL5Q7{jQVO1y}`c2z!?m<6aGR ztXv<+?i{Zp`o#T2nmAz@dG3ThKe%x>c0!umOKgb)0$v zU=)hABe9A6x;e3~D**eML8e?oNNG}`+Q8x|)FMu_pjLBV@%Nu~E;RxeOPz-jUaxk~ z7d*38jMVBMqLGA=EL_2=ahylPYEedFb6dsfus8hZEqnQzMV`dX9%ZPh3KEnga~n(wzmpiz5rW(4ou1;6bcc zhcXs^iu~KUKcQN82{Y}Tcs^$2l10i-pD1Y;>%dZ|%@iPT)y(gYeJ?d4kk8hZG}!~T zrxU!dDJ$cbs)#}aeN7XaMbTOcT=^&%wI{}o6G}HtRG3NIx2{%)=rL_9I1jWJ-INhK zig>l3bn13wC%NrRoNs=OBSRMf>bwLrN7hDsC82-u{uVZ(IjaTql=3KWmX0~{itbvn z$z)J#l>qBJRDwr5=+sfsCcZ4skdYhf52}L~wzuXSKD)%FS$$6vo;D8ewFVV|c-q#$ zR53IPqYI&%)PUV}qnRpgSWr*68+W|48%0PKjp%jt6I?e+Uqk~)MhW)DgU5I#_!hp_ z(BBdm;|Q{Ns%5??G;4DZndq6~&h;Z9H6AZ4X8jmqBWpe)=&1(v8@clEY<4%-xdt}e?eA+>T!CTo8Gla}pqz^+$U#4}O-PjxZ7!koXVN4Q=g z<(iuA^aNrDVy@@?6x<<)-a(h^FoOVHrfqRW$D!dt5M4 zEE3p)+FPmYmll(TqqrqxOT3H5nOKjf4AE59C~eA=e#;NE*Z{xH?lk%Aj!6c#rK}rR(ebb0!0}}s`fw(!Gh|4;e!alnT6(Z+0scD z+%VFMroeKPLk3dG%JVVEmDBUHDjj>@-qkWY+G0)tFJE=AGpYl~V`68+MPCS zA~HMiC=H?b{v^V3fRRndo9l73a`LBQ!L46&OJP2k-nC^(9S5p==SFiBX!=0__YlfFW?b7hjbH zB{rfHi)ZW?Z9tVo1<}*I%5f{Hk6!7b;*JFg;j){!d|sf1wEC@5UE8xFUrt>K<@pc_ z1q-BRQK!JKr$ZEUGG%&5(qlpYywu%f7* zL9oVfD_Q|7Xo0ROGBJ@Ov#H9JRimfF5h>Z#=FKoRY><6kMKv|EtX{SB>R;MFj1uXK z@vXo~>XX{*dz;`iiicKzVdug{`pu>-)w>~m*?dNsB9Z`#jjd@ELF6Og@P*i_J6r;A zvXV!_B-jdr$5e9YtOCnrFzc|PJ2vly=ST48G9sx2Xw+Cq?xlz?W{H z-4aeO7Z{6PauGME+&-j)n{{lY>HTXmnO1uz*UJ-93e#fUOR-c=EM#LB#rE3cQfE)L zWOFtmrT&|h!^5@8Dq#>qo3z9;yXAu7br!lp9Qsb2h<qH)V*htMn~b_ zDt-X@ogHyR4FY+^M;cJO1jyzK*LJ?2XBZtuozL*Yee@8pl^bc)bmndpSTP(Lcls7l zr%KYnkhU59z#Cac4TJb1K8c?G?bDMdNg9F0V|FQig3-14T=kA8g-k>{TukPLDRY0m zk%x+aIR|RLd;_=Ebq8WeoUL~s@p3(f(IeX%cqC8UrauKp_UH5-@GD~R;)WU8`kP+8 zVp)XH+GgSdJdSqoGUgqnT}JU?eC&uWK7&TNsV@9BLUwvwfA(|h(cnJgfC-a>p@5ie zzn^MndyG@YZ=Y2>BJ+mV6W?#Tbkk|oblp9K`D%@SRay1E&GY@dpJ=00?RY^)eaXVq zcl?$T$dCGY&1r~zp#CQqsBB9~*Ree5z(t&HuMA@mX1gpi$T?gb}MKcRf)2pGxVd7udD=}q}_f>O+sENzY z35kH8!nlc)@hjC5$Fz-{!uzLZ8xGHjWa(?H6hangjV(dYOmUQ$593Ie5!XYMpsvjR zS=^y7m=NnINr`76ruL^0W?*Xj3?rq=>i;hT*j~Ym(QW7J+d*yW8QKa)kMNCr8g1 z^lz`CvS<_Kv*iG*Kwh;uyNaJUCE1?>?iLv=!P>%4;b)QXu<5VwRgesGZ8xd_!>F7l z=J>Z3@kw2^GvdH_GaU?uGT8FP%`{6X8GtXm;ktq5Q4Vh_mi27jLO!l zU5S-GGDAmMwmFS>^Di7=VfQj}Z@2!Rt1gdPP@WO%uzaF!JX7cpZJE&TYaDnr95=@y zlb6=IVrYt!?%jj8+?~&JJRvQ(0)N-MXl=bwSa+U#TNc>hlVNr?$IrKJidU(bbor`t zN$E-V$41}T-r3L0%_pU4v{b+B)8N^jB#M9-LG+i{G>yY)Z)t!2agAfL8L?bk%ctoX z)U;r4tADLQjUF|`_-#3K#U=P| zwxuG8p2wB&Knahz;WB);nWMPEo(C_yKGztu=5hPwb@%spR!9h6 z($S(a&27m1$5>hKr{+Et3Lq5%F%!vDHcQBCp?mAtMdA)aMgMf?LMC#Gn{M>s{9E=(4S%Ud9Gdjx@O#rBkwe;_BA$tXF%1ueaoRPP!ogE%AhW zO^{h#L;M?$Z9gtx`$BaANGX^65;=87GcBX@i)tuy3QD{zmy&rizwq<*6 z6-kOW8^OIdTx0p&>=KivZ=ffZ??2g?yiE+#3YJT~_ixy)wdpIXtp@m)`>~@pYoJ}9 zsET-+<#^SY1MS4mr-js8t=7XH5;;1qoc(Q&3ed+NE!u3O)-nh=wO4Y5oIS(Ht7f6V z(Si2Mot~E9o4}(rl7!stE7?;!lvB?j81SzPecKBA)xYL?WxIKnEi*WrPIWhilll*W zSMSK{=uiCer5Bg7=~N!8F0DhG{x)f|2pU^VcKFu!RtT|&v}}GT4IY^qg_jHDKUpId z<(M8Fhp9bu+KGJTl#?w8$iLds(}-oP#Rm+Sm;q1fN%0X2^g!uVpPv=dqyGCkDB)N!LQG%Z_CmGbHg1JgOh2e8(flSf zp0kY?bE9s*LufWH@#*VP?>Cf07>!9zEpgdcx5xHrd*|X)9pKns!@GoW7pbTz9}RhB z>sqY6FJnUox>M#*<&rHOTm&PTjZd3Fy2maQJ?DFmP@{M`h$<)^S482`d}X7T*=ZLQC~Nk`bP z51Dq^^g_8VE~De>2J(HIHxi?oUu1ZeCLuB?<}+h0L?*SEEqLr|Wkw0Sq}Si3C;j)a z!I|GxnS(c&y{mJ)7!miH-f5*v-YOO~7?1upZsg$UWJ8uV3e_v~h(VWYCgPZnST+!7 z5vB{0ZUJm}ZOtpI4wrE=;7rf1!_VPVg%ZP$%6ocSHLjbtb6P9edX~OSJa>Us$iUL^ zFYRDxx-S_}M14O4SsSz$BM7EPG2i}462m!iW>R9PM$nfVQi#}>$x!FynFU9f&-kqF zeF*)VEh#!LEnP|)M|6z%=MoBI-@DM+CppjJ+KgESq~)`l(sg-#0hK1=!1hBK{Wz?x z451b}Je>;)jTpYWeM;;6f|;y}bbO3MsP*DV6ZZO?m|}S=t`EO0nb@`6oaX6fuN;5< zpZChN7irr~nhLG`&uDtNSkMhbNMM}>Qz>ObU|ub_d030J6!7PZ_LuB19_?+68@NjQ z6;+1Z+(}ATr_q?M?2N14TuyP!<#qjCP+;n{V|hhRZ?LQ(SG1kP>BX77Tt-g?%4Ry$ z-`l@AG=ZX6#>cF6F|tLWM09nelN9Pf1?%(SU@e>Z>lSQqK`~N5Px@I2a$>fBlh&SS zf7T|hx`-h60t6l<$MlPAxvqd5G5FHR=2)d?|p_JhZZi3(+f37^0x$=ioHtY>BZ!RT^_Gan)2-?>WenRUbe=={+oDG*k{hY)u&8fPmnqAt zXOKakXCp516;2LF@~lYuML9HBU>Ir1)_AudyONEk@k(oIL!M)w7(v~#ee)KT0r9{0 z#CpMVP}pJqWr_a;g#Wp^3?<(nAM?gAt6|%S*}mY^>yfBNB%F}6b~mo6y1{U<-N%B& zK!!dE7^*158=-LiLfi9G9BBOV`@Q5&LW%mFztNVJQu^{1xagi*dM~SAXEuX=dA)92 zYwP&In@oq?%1<`O`i-BCFmnUy&gK(T=xb4kA3Pu!`&+;N3KZy4pm@7E3H$8rVv*T9>UY zw9PPQiV7QdR9G?n5Pz{ir>b5C)ciX` z9pv`-aNC;>u+*_GsnGql(Q9XxZ99s24>&hb*0Sm1Biw_cKi`U8*`yg@!J=BC0mDN| zdw8Y}#+Mmwf_Jw`t$;QY$vyMzeR5F0(9upDBP<)Ud+!lRMZsD$Vv?=Dj?iQd+hDaY zbKr+i9_dy$xK>F<`T~N1Sa^G1xQnfPU8&~n#bI>qCOWeNAG4v1HMhH`$o2 zt&88s8*^+>ykxLZ{ph3QfCrq8tyd}o{8C;u9kIGN*yrrSFlH6fWA@QFL6*gZbKwq- z2ck9y^lv2?U|YyQQy1912S`KXm0hDkIeONvoL20_Vw9;m%1hWIh!a>bY6@FY85a3+ zWb8p)P&4{oae1B)?|kizSwtM>Ju<=sABg~@;#t!nV?rod2dRPN`x*Y35X;kwySjwyo|jhB-qdOF=T5TXI&0z)la(TFE4{k9~(8Vm~NH z0-6fgKrWzptKYPT7+yGd%8sAcJT@#&S|j#jE(Ud`-D9VNnZ!sZhLsOlpSGj*LvNSr zumGxh&qpZpi!g(#9dW$b^M|(4ROrWKc+VMMh&$QCmV|LNoptv=7RcTbbhG1*^aqe= zcnAiY z#R%(v(}GeZv0FEPs-jea`Iu3Ys;xp)G(0(UYdM~S=P*yF*fDNZ9FJQ@xpZHs7qMHV zkx}$i{^4xQ$r`}R-mjM=8%Lw>%nYWRsn9EMULN4|w5VP&+-MpeVUGW5BvFbE4dw+) zBo`IhAe{f;yusHuZrv)^+)-BN;QU}_X==PvqKxeSNXom{<(T$BW!<5rZT}51wVkHjrK&0V!v3k`8>Mz)OgjMnFzKsRyzA%{_6SqRq2v&288=H1P??5A zEP8Q;eF*td3s$m!tRJQ<3Wo#$MUdJNSOBu39(UYO?b4(IZM!046GQCMV;xz|@Sk+V zitv6th)6`qV1%H`@a*0k>KlE-qamHm7mZ+wFNwc-=2@29mOBt{hO9tlZ+M^1)%zDt zj%00;Z{S#}843=iLOg@M7*%M_;nM9cJhC}3)O#em`r0NX*AhOyy?UXn-mf9_2M7x^ zPZVNzkVB8KD%#M7)b*sw@AWZki$9m@dV27r2A$DnI#8`!2@_5Ibg68xwK+6`O6>vj ze7FUNaAc(^uCza=sP(Mq=xdnD!lRBR9>U`EX2&B{!5rE`JPrY3yNu*5NPs)yB`aE| zXCtCpvBLAw_+=<_MrDd9hW8(CytyLIAt!d{`d{-rH6e>2;lNaDPl=iU!wqZj`RvBg zuAKN^R^Bp>s45)J#@+4oPSjf7it(%mXAq^#gb3UA-{%Q6=p9(Au>nyBpIJVaFMze-M>h}IdHrhAkm6){bN46U^h(^pWGm)SEDv{!t z$e13-XYgEu*e7r4N0nL>>e*TRQzJ739c3o{D3HvLv#xI;pS0f~7M~ryIGX`R;>ub% zoZ9AcCr6n;)ObhAbG)mEXlEK6fWSfLIg_b`I)sjr3Li(RJ+Y1afdP=WbsB>A-BKt=#2 zCj?n&R?LWUsQ85>^`i{&n?>u}t7*tu}U3V#+Qw_=7A)bI&x{ z!}E1~-I4WIKxJjjkwY^Idc_o)rMdB+(`a?_kT~=O8I{dw(2Zdn7mxYi`{#=?MT}6B z`H#I=yi&U~6M}N48{SfQ8PVx}OMk9T?s9XfG}nBjHDoR$mp6C4D{tikKLnKI&Yk05 zfAem!oxF3&b4#KGS<>+v1*1G8%bXoBNn;5frSg5P`N=PeN_nE^pHD$ZW66^qluhp6kg!|1Z|wDaf|AL9nrn<_kRY1Y$z>SraoJ+-Fdkh%!L6dc$o;NAoL`I~ zawYjp&=@_O7w-Z<0{SDH)R9wNO73mkXabGQECJgHTs+k|^o^;-KWr=}t{Q2wTQ>m7 z;EIkbW_4VI)S@1X6hZT&y{#DD7NIvAxtL~?U%@Z9 zq^^uTkUuP$;rAI<^1SLBxD6=PoEroO?X?+u35h{Yv=*}r(pBCt9kIo&Z`Ng2bUO@ZB7s>{Q!Ev)W_gDgb z+-e>D{KjjB@Q}yiOvW|W4ELALV-LRKkOd5~z@GJN4;)=%s=3Y&$*eR#RpFcTnB-Oq zh2?WC78WC8JQ#HbEoyIzB194s$;4M<@C`>JWU8YCk}mUaP#*(*r4$O=p*q&ANYXq^ z6`>2@`EnqUUeqe?Yo_OtpPzSVFrCap@J;opi19=g8+@Pn3&Ck*TiFSks9*k{-RwOR z_4>)CEYk11V6}ci4#_Ic+7!iv)`9d;NXsR_606i!;t&gAKe3(Ps0r8m!I2eIa1=4` z$3dAnDdh68q;RocYoECBq+adq$Iuo}8f}yHs*j%9(7O12Vy0 zH<|C*CV+}ssIS>EkUogs41x1%n=daA7MuZ;`n>F381YRgmUh*LkMSV^mV~;1Y$8q2 z)M2AD@7HrQlxDCxoU)F=)VAOYskSl8_~kzA8$ie$OLHOgRPiRGIjlT(K2lP>k;otw zWQ5YceC}mTvs&2eZqTjj$kC77J%OSh2qysTH_%l|hf|UuyxKt$;yRk@q-lz0Xh<9i zIoHHWK+Bx$)4Zl994c=Wce3lAMd@ON3v3IV(5QUSQVU#^j(83J7HwqBF$S*JXmK0M z;E9;Y9MAYGZaRU(tqepYC{Ui6jJ?U!{!Zg@Yt2gCu{Cwvi^%W@{1Nvvv^NNfs6R?> zs{@Xk!RnXQf0Q%35b+_GhhjDXTN&qt$0d7PNZQxF~Rfu4HjNvQV0@stCfUZzs{Ef}X!67^hD96m(NVpqyO1*wjt#l#V-% ze#>v^fAvj}Y9Z%W3p$%4F@DF9_-)4f2WDH7=Do`htAlKgDVTtTdOguZ0~X3erv*az z`t5PEMK11|`g;b@PX@z;AhX{)nz?zYmgI&vTTF8r9n zJa#$aqR6JNNJHqC`%>7T_FxjS9U9l`Cn^Zg0)vDS9>X6U#(9!Q3Uekqd5%+C_ zM0|cIOn03%)s{f3h)?hXaVgy>;*eBR2i%5zJ<(@259ccS3Il`c-8Gce2zbqWv(8#1 zQqoKRU(+_7@uCtElMT>r=;uyrYHF9VK=k99bK!$`^dVqTy2k`2R@G! zD%c!4qR)dste>gZX4mJ@MMGeiFK#3>u3uN_{?j9Dqq_hzoLYq!PMqesCu7B*9s zgf~>2qD@?KGQ5mOajnuNO18}7Z;hawJUdDsAhUk$us#awBOwYv)5_0KgJjZR}UCWY+eAFxDVON*` zt&wMqPcqy}=rSy&Rq=>FMzpGUiT~D>r%f{tyk3fyMNYu)-j?0zMQBuDkQ`4%av!To z6Dx*n`}X(wJrPDTv$Bzc12%6HPch3jW5$-a;H>^<(eSxhBIg3Uy=J+>vT*m+Hz(Hd z?7x;Lng2z1Q*yU6CZH3wwQ>5#W^^#ObFy{#t497k%jjGG{YFtrLrhrtf5?-H`ZiKD zg0@yh|BXD!#za8FM9)URKu^y^z`@L+4Miv5_>bI2z{be%wQ(}n!s97tM`K4P^S?^wzw3|xPo&3x1jqj@J+l1EQ}Dl}N5;RJ>%X7= zN{{r+^ndTne<3|GFfz0JA4`wMN?O(pwAcMKW(NqH*}K;^{TX0bsUv}&1Z|z2psoRy ze!E!A;)(orp_4Du*-WO>JiRm7_@1?2D~eA^J&)O1 z)UgDx2lB)9f4)xf1-+$eb$I6@FjXy8g$-4`OqSXjhusHr0_73{sMT36HvT~*9~!~A zPqk&crG~L<@K|ng0_yllUf5Zso~DLk0O#reBp{$0)9v}i4}Xv3_P-{SyHQV-LKh zS)Xt3(ESb~sN<~}hgM|hvMokVtKFW8Pay|1i#LZvhiyn4Yo38HxJFvMhKEI8v z2iYKKsOlWh)d52PebX`ZoNIaSn>YLu5B4Lr{_*o?)AwfHw{z&H_vLM;+<5EiN_iSr z2YeS_6706acoSg4ulE^dw^jrg?3<757*5ag=BNL32la{n2jY>g#&`5vfM(C^YaLNV zhL$zPH>}^LL60u3m=go22~aJ4qZjSMwmqhhbZi;#62im!eCNrFW&iM#O=<%SrpfWM z^4%-ISBOAt(l_?2S=Lu(|f4EqZKG zQ6hR@YI0&Oe&572A;j+C;VwADy~D>BJns+L{^uX{VVr%aXCMswI(s`iAf25buW#q~ zTU%zv7=H4}-Vjk}gKM)lPSYRKS7@+~&W@gzmz&C;jAy=CUs-O5Lr|cC>R+6I!9v!H zjf@0O!tR5K3!-1T9DAXIS(8}Jk@nrz6!;yT%DUvbrrkn>?e6&0*>+H}}g)!z1-M84|*4*kviG9ygY=7i}D|R_yF)}i#pcLnsh36VC?i|~G-jlNU^EnD*NIxUvtMqlzL&X(nvOqKb;QC_$ zD16RGBd-(_C_$~l+w2n+6|2nHV!dyNcpZPZ(+bmgSLO}l{AbI;FTu!B1FzDFDl-b{ z>pa&1!r{nbtqhK8BRPPptxI2tdYz=P3KfhuzY|(OYTRCbkyHg5}3A&lVbLFX4G z3yGCUXC#gUExI7&>fP$~%_5eF+MuK}isqlSr~2S(p|v)7V&d2uJoEye448G}!20KS zuilJLpe3Z`4c08+Pz~-d21HLZ(n%${qod$GuqPG_k;E$Gk~=UTUb+i0WVU46+6d*a zxFkyC$5hQnZ!tbt_BvJi6C69Ax$^|cSr~8Iq4)ms$R&?(TY8`LFuv(u72n1*C^Zia zMH~7$@H2v`zt!y>Zxvd7HLMiA-1sEy(FWICH-u_VXdAY3prc5+ncw#4D`w50x{Wl* zL#@N7srdmXPW5ErZ4?MX93=x4?%rF}z2DkyriTJG+=d$+jUorGg1;UTF=Ej^wCcv+ z)b7mzamdf|>g`^FoXb{*@&@cn1&J^-nU_v4Vxa43OfJTylf1!&^OTB}h+pFBpE>lq znNWt>;~oh!qs~P=sQAY^uqeUF3X2-gqP$7J;YFMHWq#zsKNw4{=RcOvZ>qFEjzIGg zJi+ikJXVywuKe*9mKw2)k&aj6YWc$^tfdPze>=XU1_MB{0HR!m+3vE@E@V&_m!=Qq z5-USiL~>fqt|u98NLC>mZVwT5k7^LMT$dQQfY)TL+Z|#2Ip*!CMOLCH6HO!L&S9)( zxsaTpu3QC&!K9(D#)-nAD@v6aJwKZh!K}KS@3AtSGLA?|Un1v@uySVFhgKi3!H%Ab zpTsH%bGB^x!hDTsr6k5TWN@shtzC4F`=yNI%+!lbH#X0A1 zrW-Ok$5rKUMn+C+{LVHVG9K6fMrRFR)h~8goz2s}^F;7|gt3Z)c8_p2d>oxJ;C-D# zQ=#n}65nUReg>m~Z#89iu!8{338!MO7&K&)tBj!tOj*`EQ zgwFPM;-ocGW4FBT)nl4Yg+~YcD@%H|kd2bKBAX!tPsjmT!4nJO9*gVIoz6`G1x+2T zp;AKF;23f3{!cWOxUOAT&uYw>k=wd359|$nts+T90tbW5CiY;wblHpgPtNmpTxHqE z{!sX(^GIHS!KvADy|wCb{yoPpH%By4e&;a`Nk@6&1^c8OM{UZriC+{g0N*ePGa4T$ zrnBC8Eo;0_o*J7F6pasZB@mbm&RJMDA{)ZEBIst2CcMR;yRQa33Xed{=s1opV@ETD z{D>^qC&gIr?4>0ZVg{Ql@)(D|WSJQVOaP0=l<`D`uF5Hty^+_I;R+uXV^4I*PjR`= zl_OeKK9G1KKi%}T=dc-PHd-CpwTRgAXo`grA1GD8T4&(wZOchlsU)bg_3zt_+C`_7 zn9I3k4&E8^KwG);jZ~zDOl}5P1TzKA@WnS{CmySpAKyXrQ{ow zcNyOl%Pb~Ngijw0>lXQCz75!cVoYgZ)XfDi;frUjyl=Y4+@>=(jm(n*{kD|g3>2%o z@CN4LF>J1j+nf6g8+U+26`Z(cr_|p!kECz@G<6_3u~TT}V%?9|@c0rqg}};w$x|Df zrT?hI5`14%J`Yc8t^s9-9E_V46~CzSkbHbIU;0&{`H(OY;qxLW_vVaG2deZmGB`Y? zIXjrwDLZ&0m8*WdWpC-jxJyX7E2BIq?k!@q@<1hrhx-9rI$OFQ#4vouLs0q)Cm>d0 zLQbktC*MOHKRPu$;^ZLHVK1KlX+7}7_!5jns0ofDdojca@LJ5wm@9*>rDSsd3YZl@ z>wdW6b_DLqea2aRwUGyPnfP3ykqr|tbW2`e#?Zau8@D9ej6}W?diGPZ!$NE3YjAC| z?+eBjNQG4WCF^@jF_~cT#^I!rj3F*2?LBFP9UU8&dQmXOvL0O!bmEv~6ro5r`}qX9 zfU!1AzwnFqP>QS|-A5bblh%|HY9EW07*-h1tE@B)izpLV(pBi}vB2kU-<^V-wSq^j zExV%s-JJ#@-KWbF{T6G^LMi2WVJF(r;~=Z!{^R zx>dW>pNMmna->}_%E;QiDK{#2UWxH|w&Qdi9vpisejnP&V&tLj=t%_*Yf6@*H?C{g zLNug?Yretzd9SHH1-!m-wU@@{Yn~gQVE?sQ_x9zai3HlRY!%JK?73|NXFsIzox52j zZZp@#@QcoxZ*Vy56oIZ!c6{M|@&$g?0432jzra%CE&7YaHu@1I0p)O%BW841uc(wk z#4ldIBRjzDD_t#=CSL$?i+@NcStlcQ49TxBA!!G4y=Vc6`@#fa`mO?{v;Yy>^MtM? zjGNN*@T(bO$7#PeykEQ^K~?G;`&(TS7WEZRNZzDKiUYyyu0|aZ&XF92>GWmR@^Q@Q zIovE{z6YMW`Z{O$^A%i{;mwXN4+jsJ3zitzPlKEik=E?@Mj z$B6QGbb?(I?6u#C;q%(NGHr!%c~cqr^oK}1ZG&mf)K1;XEDe}JZwI4Rf(IC1<}9Cw zShia?GxPh5Y#1!~rowCHmR=W+9)9&SB*Xf2JK(ZX%3M?z(}C#gV<-06cqg!iEw?pF zQvy$E!0cv?lTbGdgc^*Dbw!2h>r-Uf{m`lRAAmK#7QF6+`n)M1rXu{Z!_xA$;Rj3h z1NMZx^YKCfM;6kAGXtaegi7TTDW>PS{opcjIBb!jaTfQeeyupXsm&XH-UC4D_Ex>F z+87g+b}z*sqTmNa&JG4!uS4ZXTl7M-eznOa34p=J0ATVk1aAsiX1R1^LOP#~!tDhw zm4S8*kLW_2I+qtCQ+qW8=VVfgTYZ@s>VVz9CAN50a}=b$m4zzmZo;|uQ>ilFhevt& z?*#}h{n_5MM*b93IK zv)K1*=(87ipLYZS9W*ai2A))6JNNk7 z*8l7k{A~dgeyKVd4BkjhrMOLSMH!+fazT6H1qCg#5L=t~avqqgbdoQf)-A9IQv%+N zm>0R>U*p8)Wo1IBU%Oh$eQ4e0&EQq@to^Ie!@!UEMn4CqdkPadLdvEnAC}~DMw1w} zSv~C3GZ>M{z0Bw1H6lf-^nJ(eyQkyxzSJqP^&)$-D0J7810A8FPW3z1C9CS)5=hFM zp~-}CnM=!Wvbm>4A=(ndee+(Wm|GB{<*FTPMN8>daUPwW^vuY zCQxAzluqIsmTvMRxi$lk63_5}>fo}Pc$7!L7%WZ{6X)1Y$OCjG% zfkhMxv!Bo<8xz0ObetQ3_y(sH+t)u4u^avN%Byeai%d4$l%$21;cKhg)I-9s$R#gd zf9=zUE~z@CAbMY|(#2rHD>k&+kN)nSNK^*oB#}*qQjVm>m7Oa{>bO|5sTHxf@mDbD zn2=vo>XL!(Fly&gYUBVtCmU7ixE%)u?{Z`%XRj){_K$Zz@f=SIcy!%gagVa>N<()1$yi`$9y z`P<=DWT6pNd5M0I&;y_mu-aEoHfU^zmhI;|OmS|ICT?8EhC0BF@a+-wg>5CB2oNWwJ#fw27I?ofF?t&OGd0v+@b=);rMe;FYywX6W`rdQfNf0q$`_pVkTO5-NI#ivWq-!&mZe~R#=&=0&#pa8HDn_#=i69MdnjDR@|bJ?I@0ybR)A|4-VLB=~lB@|Stq91A< znmb4^_hqOf*xuA0(H~v*krZMR`;QJbXNmrOANq+c9bPdMPBCNkvKAZ$I#M_b*kqwC z1#B#~fwI+4JhF65wCk*Ld~KqPa@<@e2W03Lw`wGA&Fb2eydD|MRhKK`=NWKhrs?xA z{zFjLBt+7a-f?S+worJz8=JX}4Fqi5eKf(Gl1mODr1!6+=KxR`tST`{t*59SmDei)8jC#{$yv6mQel z@`c>EYkc3~;)fK_>kF9b^I8Tu_6zt<4rC(q(a9%7<4IDWR6L*RN}fApX+-%M#1M(6 zN-_I}v;<(CwJ9?d8fI#G{1_YK12^*pQGKp1xb)6!jjkn9h- zyREOg`khxb(+N!19*`}>~L$LPA(NQ?Gm$heYf?y_2|#mL!wN7 zkfX<1PMChDOI~%AFjx*gcT1L4l{eiY8Xvd*g+VTF4CnwFy z98Y96TioyB+=#NEy+(bBofkzSTyY2GAxZLBd978&)Q6NV=!r%f1e%>?>*mee>2bnT z_}2pJN0nkQF!B=Xqm<+Jswd&rotwqKZ+M2NY_SDERdu^+I7Ej~MJs2Gi!m1T8h?|% zl%MK*vmLc$u_y`aPqKBeHPdXOWCELQ*+?X9D`RSWY(e}1 zs_DPXHb1+BH^B<7yQY?J%b(`_Tp<2CA_bb&je9t^QeIeN|JNx@&J@$~mlTQ|(okpQ=D+rxf9k4{yIlM``ZjRN zV+zi&ur5e>#^i(Pl`%g*gk4K9W(&6r-%|!gzS524%x0^ayu5tycQ^g|4I5TO%m8pQ zP&f<^hfm1h5{HpD>WyRelihE1QBt0v>r@kPXzZpAi&L4Wb*EEsm^IO=`u$QPdt1wu z8l-@(N@WvyYxt}*7R9&pe6ZgpTh5QH?`91Z-K?Gk@OSZ$2{?~vTlJm^LzM;jMHrv1 zp&|Kjo?bizRr7b0*(tGmk93;?Q|ypSG-!MXzaEYgxu{msm9&~;?-+Iw%10}tOA>n9s*~Q&TbQt} z?M=jPV5fj(;-gkT%Fj~YMLiO%i{J((Y}|3+(T}!aLQLC!>~07UbJFsgIVTwCTNnp zw+(v{`7VogXujImyJubI;ohG^C~dW}(~~lwnPhdovS)F*T(IwiHbTwrI$=xpslYu zF#2+0Q)L^rpm75#uEqT-HorIc5Mp>$lSB!k1am*TypWS`NQvGZ1&#zET5~0X%M-cX z$F0ZkW}R1{nD!fVLX^UQk(<-|?~G5I)RWO04WZ;I$4L(N$Y26qiS>gK+5P9r8A|R1 z3{E#c-g<_1t-KAg5Z0M(J2Nx4Y@zkjek;TtQwmKw2S(3_7D?F zC9s#_U@~+HIt-Klvgiu7e&@a|-#z{y<68Hwghw*6yK5a#y4j135xb{mAecfpgwOwqc>k- zM)d&y#9F?wR$8l=Qft z0HMM|@>3z;F(8CFOzU{1Uc+v}sAteEp6FU@X3N;K~o^G(v0w z@^ht)g95CB3K3R<11n+#7!a)OI5RLqKHq`O` z*RQN~krO*%9)gz})M+IS+%0%Jtb!fC4qVgtyDTyw(smaQV4ro}$`^Js2sQjjp1JO1 ztsfz+o83%PK|N9~P7K^nhXNc8dB0}t;KvXD!jLSI_|W^H4`_&zyzrlKBX#w&OQ?{E zT(_P*H)2Fe)|pk~P3p&LJv6DbTVY|Q0?lQdUzY`IE}Q+$M{?qhsfWU@qS1ZeuH-7R z!}=jz4M=caaF`lASJwhuaHD_s=u1jcQGYK(Kzyn`gNF#H8Bls_>2gU2g9FilTj`f< zK??SNY3jekx(g@p`go5S=-40t3oEEn+0^p@wmgIto^XE79r7G|9rCx%Na_zBuqh=| zUIBf&%K{#}aKQGs8!H3(_&k3;WYZx)?;+m(on~5e`4uK^X$MB_E0yDBbQutb zYCI}7?2OHoR_LBs-xo^AHman?eNqfU5m3P_D2Ygnmg9@$3GIpvEZvhBD;(G8aj;+S zF2a#%vxaqUstFAqjiG=ud0p-c7ANI$ArXW~|EtlwJMC1Q!Ux##EVWBkENF<)5Zu2w zW1r;j2#zyUn#+DYCN&uB#O|rlr+8eMXRBNVbaSYS+traEcf!I(h|Jfyf`sTW*I{bf zEaDXV(gFNo^&;woFMa`<^<~|xT@!RG*D6sdD3o2Y*ccD3*T^wv$FN(xW}#YgeODCS z55x^h+2}LNaNJo>^>)AG%k(W4i?;JZq5i_FA5)RnReB`8Syk@2S2yQynX@I<=D-2C z!aa=q814w(yBcqr?WqKw)RFyGPRtgBP?{3f$pyPoFh^G5ku$$NabrU&DM|V{aeLJY zZgI7cZND{Cuc*Ruwf$Z755Y}UAp~llL^4&q`M>RM+wS4=0~geZM=FId5!_5k6EWS)K_+l$pI>9rVP z<14Qw4dGfX%`)r!x~%97cwZ0_((GU}tu#L(wA6y7I_hqr)~k}sf1cM2yt5q)4`QOx z2J~ukq#e});?=QvF~8}BY+{-HE>X8Ga-!EFMLV!e$LG`COGl@qZ+BTX3Sig2TQo^v zA0|z68OBQDj)!eaI;hX8>YjbQ?*0Qxafoc^5_Ngz zH2+NY5ZbKcP!}dKlYcz~B5@nMr;kY5rK%7M^));n@&ToJppEVXI|YIhjINM*A0HvD zC+IK6o|&Od-llt5nAVDwBSo&7tS+2P0O_-hnC8~011Dx;#>)0fJ^VO}uVu_197Eo! zsG7}ffB){WEwkUHcE7%bP2-Yfy9fVO?N2ZQI`sf^;#o_zO3Q`zDP|Gt*Y%&dnErLn z?lKv7;*CFgBD~jFk5*Y7sUIGqz@iblNImQFuP?OWN3F1b|IgW1^cW0YWL{5L>YBC* znC$MB**>78`NL_KCe=7s(y$k39;%PluD;4fZaz}obVJ)!($gw(IVa)^BGV8DCgOrDooOJU8fEZV{tx1uRKyifQl~Fx0goFD2rr!#q_P@>&R; ztRl?mtfDayYs~Gn#~H|yS#R~M5qmpN)eAr?<3VtIhQI@;Fo0nWuyl}M#me0R8*(&E z&dVAVQ46-a?3lBwGP7ypPA=M?sv&KMQ)3`t3*io=qYhI7fQA}BQxy^wQO`*hQun7j z%}A4!Z6q|T$-6_8OcfQI3Dbguzf4DJe#&l{3zGrykRz&BH157ef}|p&reX zgC4=qhi3PI`yUm^o=@HarK+;*;rLkq!>-q+ScX@zWr71%gXkgLixE;ol;GED4Sd<_Py@~vqIH_4#R{S%DAX>S$U0-n0K|ec;-Eq1=??7`?77@QS6ino$1|L z0Re7Be^n3YjHJGBu=P-`!r4%-0wpGK6#v-^6WIb;hS8Yc%L9p+NKC-`Bjd5U>hIVp zUTgXsrI5=;N;QQB9G^tt`Xi*pd{2!ltL|vJXuekYe;|kmeu>%MVbBEBaHi;*<~|&6 zc3{N&x*#RAvxz*Q488loGU}j@x-zv?vRerW6AlyF>u{dz@WpLJ;f)yj-^hhJ-iV9( zrjE?-kr~2_&Q^)g%PDJLK_O5=ajKkj$g5~nPF3?dco>5O1YnOP-tyNCz70q{M z&cS+%tK&=EDHnf{$*<<{1$c<$JcWyT-%9>*Sr8 zANPCsnRccWAJVhm^cl2iEU*$4<_f9H!JAQqG~lAUnvroz!CCg5(g7?rJAirrx^Tai zMv_Msk~v!^MwM=VXHos8IuLT_Xaq}YB&4kq@z>oENdkRBD|kFQwg6tk!_HS)D+x^` z^sjfJ0y9kpdF!JAzmO4dqFGZ)2c?ByQPt zemxxDcCufv+2T%O@0maap~(RqGupFyPg%+j5NzY(Jo><$agf=J>f%^=^mu z;l`A=%aN!xn-w#G;gTn)h-rw@eNeY{dG8;!q!}&fzCM}zcrK>3u+MT6G%wI9m&vB< zb}P9l7%Wm4(a`Xru|ca~Ep2)XTXYpGn)ev`r=hy0A!dUQw>xsb32IoA!YRTqf69#4 zb1G{MHll53E0Am=o(Q!^HR6}2)2LU#02$cafhI4QP<7i2wdjNVCK*!{*WqPWC2~xZQcoU(g8h_g*>Ie^(tNv zpOwdOu{l;S?hPs&aQT~-b&H`yw-tuO1?tS|RieN^f8%%(C)*^C0l%S3@OXCge1$B6 zVBg~1#0o8vZMf?0m!$IF%g$e)YoBW zAyIyFGD5_u(IL_^(0Amnr_ekn3ksiBKrUozY=p+ljPPmjIyTIBu+rl-6GwTP(`q3v z(rMand=jC;HHamdo`TH8sA|WgO8sP5Ly#kjE3Rf+#4Y@2RN&9$K~*a+Izt94*`)c4 z`%vrD8zwa!bN&$#rIqfZ1bK}@*QDTlu`mQ!bo$4<-S#WaH{R|mDJ!!WCYZipaQqUn z=M8WIx>62a$08<9t8giWRiki2-&>JRG$Gh6#z^fPUs4d&rQjwQEb1VW=l-mL?P*YYv*Sx#Rc8wgSgE+DXn%Z#{Qz6V>c|2 zn) z%V6>jXF;kzzio?d1=Y+*q{MP<6=Z1x&@G&9cvp(&A3dIPZb}C;IHBY5b)wPCWvaYj zpRvh0G#E3zA7Nt|L~zeu)9T=d_1J^!oL^S@d>YJV#|26X>BLjLdYxYgf$j@f_oa{fu>*#48n`5Wo^ z%YWPd8}a=&YxCa*ZT@r1<{yOnKV#i&|8lwi1M6mBX8xZXHzNT%3;lnb{xxO8!T6Wo z|39R{0;)0z1JWuKRiT;|ThN~{5ukLG|j zJOFh0eV}2$z;MGliC=q9(FIpft@hyTLnhSQdT%*h@c3DvRSDqP5Qb<5Xh;U&J=7f^ zzM1CMltIeQpzIxdz?VQe1GRAp=g=i))+Poa{}PkWe*HLvdX_YQnds0;)KzH^vj>GW zUsKQt&Ot9N!WNfneiLmPZ!}icXJ29heSQ7B;#oe-Je*Zn187jjo?qPkF*9|&jNRfC ze))QTQVaeP(#uWtZq~5&Kb6`9joF=CQbCc>CWe4M{p?#-?D=}Gv?-~Ax$=u0oXde{(4?>8w`JbGFka$%9+YQL|4z@-db9?6mv!~(rum*N(5`C`Z1Wh{$l2H+#=idC z=vKDUp<7(RI6uC*wsiy}V~iEsnB`R&3_a&||4>Vaj=YH^0F#V?AMPUV``S-L7SQwE z_)=MD@PFHiZ2s_8fi&BLLH!ioriOnty2;j6ZbU-+Px)e}fWGo2FJ*5Ls^}3s^hz5H zOwWGp$EJdx`m)Ua?Ea`if0@F$!;6jfewcoX9rUHnZ2496^?JBA*?ra8L6e6S&i**; z@&P~f|J=U)h>4AF4Imnj!Ztg9{z)USxks?AYbG1onz+Uvc?GY5ZvOs=2Daqv6!`Wq z^~WLbne(;dbU~m7r}zun4}1G6>XkkD7J0!oJG6xGTl=qH(|tGEHGiYuqFW#Boxd~Y z^wOOkUGlxK#-#%F>)Ftb2oa-8QUEUlm@6a2H9X^KeJ z0na-x=k(}H9Kgu6NjYkbkCOu?cWsf;W2nFi#EiSOtLnU)K0Ey~sdooH6+)@k_9s_8 z0XqdU!YRh}Zga!AOx$_EwGmz9i1A9tAsR4eB~;y%L0&uonNpR${Iz$mZRV((sISv6_6@D|o@hu+ z5;NQD-pRR4S0bHscdm{D%8iZkdrL={nwcP|YgiFKM`?$;(l*D%eos>C#+(2rz~EOE zUQhiq!TLooM=x=HQ)fXJB&;U^xTEW$=kl{)N@B)Nli?em9ekrqcx9l0nTp`6$|?8R z2q?a#{0G?NinzbeCMKLkvl&$`n%HDL4*Xor^Okuig5ux8(wq*=sjVTDM{JM6NTMO~ z9|wkmJ+A5n1W`-Y^>+IRWoAtKiW8S>IhrgOF)A|ei?LO~p(8Dk;IH=R(+$4(^-9EO zKu%Ie>XyE~%`^z%DK9eTwt);Iq@Jx(i|}m#z)`5LSeQG+FF$zy!~M~!`1!yDXUrg~ zKRIsAUB?ruHxe{_;gzxF#ODmlq&zjw9$xMC2@s+6wR+E%-~z=GYSzAm%P)Bf>&ejU z5{%zu^0+LPedz+~=~A%LxG&la>64jbtXE{x!uXnw*hWB0FNx*P3j5|$jcPt zk&VegnS7bIl9F3g1+$%CwXOr?zn^Voa5Z}(ZbTiAgV;*0LN0mAf2xjbUj(4uZE8=c z0-BcvA2wa4Ot>&*<^I-p9HE;;DN}ZmkR%KJ`if}i=zLQRWNhn|w(C?DN7)8tO4(TN z_B)@Rgo#AXxQ0BD{W!8suM8DONV7xm1z|1#N?;8^oJ{N`6Tvud;40Brif|~h6lQjk zNWH_>f^)0)kc>wnJtGGu+4E|jFHR#KmbkviV$3643p zpEcK~u}OsDhkK|XTWf+u*O7@D6IzpQ#LfpB_EP$hjkvVe`3|y$*-)-VRU2+J5{)JW z_5d9SK11+{zu}4R4JaT~H;Ytdi!s;GPW}k8au@@@<8K7;1v>4=G+rB28*_xr z<1F*e2wVXT2VH<}Q>win)u1H;m5m*#XyVN2>{Kq0c)^368dGHG*-nx|>S%I1Y<=2}N^rTLH+?#M|_ z!rEh;2Dm3TLnBFxfnU4c0fVWq-1X~%3~+7wtm{4~RJ;){BU4~}AU%f^+)}XsmP&^L z%(g4H(8b_q_&Z089|yo@gqaR>mNQmj1r1S4mlX3Dtkv9zjT1hb%3z#O@|r8W{es%j z9xbM+!u7MC_D#7X*f{4wCjOe&x}(GF^b_RY+T7aWYG#~CvsygJsOM$tA1IXK2OI-@ zG8*eA&#tdZKi_G4DAm=9;XZyBw%)csZIBq=km}ys4%HB+EtUl%vx!T7Epgowu>NS4O9p~?INSF(zgQpBc&7TRCNsTmZ`%-&g)Y-* zA`V^@WQU=)u1{2XAoGb~r{Tb;n%ee_Y%2!s_p9Ok19OMh+V~42a`)XYK&jy5HPM3ulfaMQ7 zaLWSSMX(u97LifKx&JMZbD32f>u$n43E}~f^{24lhs>np`}Kyb!=yT+xeXDya%?1o z%))vcuV@|e2$WtY=N;bjz56be@8*qMDyw#`bE*ncT{;iPJQ}tj&zce1FHtlz&Z&qW zZQK$mV8eO=WU52mGXk=t;m#Q`69W$ZloWPyEEmjwMmrysneK6V1qGxLnH3_#E5j zz%^f+-*AEn=`$iXW`ncjNZ1UM4hYN(A0H*oOqjg52N+;a>fNhwjQ+MQekA$`)Q(X< zTG8I@sP-Re$|KD@ci2v{GBMVVMjBD4xHwAVZ$XqVqw0ZWb+&{v>HjccgH&Uf$j_Fk zQup6V0o#HP!NJOD@xKYB%DI?2Q`J0t*N^ZNzuc(T_uXR^9l;fbhWg`B=`Ie{e8>dS zrq~YF350r-tq*rer&Nyl1DW82d(^Q%97w<8|8^f}M(ZK!hho_rthvRQ-{yT<3bwFn zhHU~0vpa!-hLy<0Aic?fY5-n|evQp;dEsJGx^2TcQs`&?TsXb9P9ezMzXD70v`)Ii zc#aM~Trs2SDxmnKbtj7BV0c9xC7BfF7y8Gm&q@~utcU?0*MUdKv2A&;k_ox}YLs25UkzU~4HjNn4v{mry10 zy(N{H)06qP zSF&<`oSmHPtn=*Wr8+@$liI<`tfp5#3lg=8mb@Tj@DG2mao=GHI1DKXOV>s7JON*@ zbh9*CZc$$vYAY^1#aS5`T^6tvUB@&M=Zky{nZnqw!S4t2^O;Alexx=W%Co!Qh<>WEc^&LC7QlqoD zEcA+wQ?p@n!sWS-1Cn`ek&gCXBGat95zpv_U2k(+(xeK?Nb7IX#s~&V!iwEYTX4xlgHlFB~mRXL>sI;!t*sEaqTR17kYbC$stnBJYF5x|= ziZk-9;)g9SQmdBZ%=oS#y3ri(rTNii2-MOpkZaBrP;Z+oh{>`W19H zMo}7DRL2}1wH)Iemi!Vd5uzt6WT`1of_orW#GesUq}3Hrdyh_(*%WPi(H z=;9@xfP0DGZ_7u%{8!%`Vg5zrQ+`H1^U49y zLiLPjm94+_3A{Dv3eycAr5^qZIyRI>!eFKr{mQ#rLgd2KBw6 zvessldRAp>rz7>~*SC!Q*8vuT_y#qy50RrA?8akn+ndG4$eo$Qyat|y8A7uGoMjh= z!^FA=a&V&8UhGuSt6Ob6ao@4;2zCi(q*pPYL&Hr`ia$e<)lqyu#X;NM58OOqfsPat zqLK2cS5V0paEPn@jdWn>IN88LR=~{Z1=nJsW4;Ry!M*I(-nvVv(k#iXRTit|}C zP3VplQ-^$;8EkhYu%?DAS`(&@SYOnMo)lm*S_hl3m6$eiGQ={gFZc$Xh*qh((_u0N z9>y~7*drMI4CLA!O#dXy=+%Q$T*>IPS*?Cg$PvR{qhps~c=iacG39O%k2hx1c7p`b zpY`L>{sI_Ymgz!d{inq|EG%Mt;RA9jPzpqgoq!S7_DzyfAF?$-#=c2}n^R@_@IWV3 zey;`F}ZW31`2y0pnt^O0ih@!>y-5 zzV_5UHn15qK?9!^YN3@t)j#C2FjNPc+1_|FgzzW(7f1!41LvRJAI0 zS&oR@k>6_jE=JoBG0BZqx)X~d@DWe`6>A&lR!vA!rER(Ra6zShs0tYij^dTrrW?{Gq#nqF_m7C4h8GR2iwzj>)Jq6nJqjWx45?ARP5$ zA{$^W%|UC@7_@G>_g*pMT4Up!EVydg}#+_xup7 zvLSXtc4q9@j4rsEUt{83DCj+pSs74cE00;1TylQ2s3OqFEb{&(1ebeJ&MGu9SQS_w z;OSM&zfY*W{De$~BT0|`ZITXB?w9ck)N|?kwC^JhsWnS2Apb;&N#YyD^2D~dbh7(* z-~L&q^@rWIzIJ7OP2KBO46(+rd=_dcipFSiUshjn+BC${Q${&V4x8Uif3&AYfr4-~ zo)JWA7AJmPl+NMub>w0^0LY2)qj@zw(Y;IKNb^#snh|#SAjFL@e`u!WuMz!8aCSU% zqe)e*;MBOp9Wgi}?`JHd1`iya+l+S{(ure>Q=+;I#^tQbS=g0}QEpz);epnvWvP0@ zXsAA8o3B9(QDgZli_oXxziZ$jxLrj&J2soY-*snhAt2b~V8)2QX|fgk6ev!t z)x|OS%p$|uU6+Lv6jd%`gSOgs0;V=+7N>;M@e%GT5_3eZ%r&DK>Mh#XcF!7)1oKWd z8_=XKlH%?;;R3$rdW&%w6;&iKYCrghWug&GxVKV`p`UO~kV099+_!C{<0wH3Nf;Od zr?WBL-)6(gX8ut>esHTrHn8B64(O`5$X2eXg{XPbk>;Knd0`!6^b@BS%Rlgf&a0@& z?6eV!*O2kkON^k_-~9S%jp;?aQqOrPyXYjx)+mDw-o+N-9YS`+s_ubwKZip87 zUu28!ll&#woesxX>$p7OmAG16Y&C;37`QZCh`~0hRah`EXg;yJoHl_Nl|`lwN5DQgb5l*ImiC69sImUIBnOn>dJ%du$O;CJBYIKToe4WXVJELFCH=P zAcS83uw3(UrF#$mT}Zm6XG1_7^Tm<}3FpCj?+SND5eVrm(^Mvh=zhZxY#>T3!}Uoc zq7ZRgd{I%L=zNQv<6*57)W)w7`rE88q%oh8=37vAKcE8eVKir7NaaTxHwQJ^%bVA- zchNlGVWmYXYuS;af+Webz4;9qiayYpTaMh+LnL7p0MsRh>-IKbd;mhbf@GuPHGa>0+?3 z(#GXHg-4qm;zg?R0gBsfKP{KsFMjBMP?hQh0&e!ab%54y;+?Vt=HR!jZkPr-*$tFg z1i~fj5w5-yX~PNJsK;OIX~-M^BMG-qq=#+-3!Jr#VAcCx%Wknl*)>Ukh0>c?RM#YJ zp6?4#F_F^$Uajf2GVZLp($-Y1;7Gb47LrGA$2=vMSL4sd!_9Ee@>2Lx(Wr~W83`7W z1kzt2G1XM%IffaVSIFzSoPf!-f}T!imSh17J=TA1V4^fenA>T>PrMzd7!Z%VBTnUd zk9pn-jPSj)5WZR;m#+?q{9ITVNV0K1tS(AO!XkYJ3_V2;rR zsu&Mq_7L0F5CVUy{<$BGu)7uK*Nn($Enh#{LwPgGj5LyH)DG5_|ChrCg(be3o&JIL zp>FErdQBJ0wIS-M;E>>v68Ij1vUNeSTR+O;Ttxe;Klg76eqgEO_E(XxYoI~V0|_sw zU|k(~nKW;HvVz{^if|U0gu_qnbkWStGv3|`KlJ8wby&11kWf5Urj8Tt>}!z>Iue6@ z&qO#WVfi}i-+=xSoujOH16@R}q2|fOEf@+%)+hN|MfqnOL!=A4d9B%g2@ai)`K4g6XR!S z(dr@`EotRgG&O7H{w8MPP69mIY$Vwy#LWQt{0lqm#`!92wv<^XMwH=xkj~dUtEuhK zt@Y|O|2Ue1law^seChUi8`%}amJz1bntK3n!kI&CTm)8jNinJ7sd^2zee}$;*4#ZI z%S`;qlV8@A zt7VU)ySjkr3m-Pgb`$R}8O%}=Qj5%nopcCCjFl{sII<9)rO@%@A?8STwlfmB7urL* zGJPj}OUZ%4A~+2=6Q9OPdgcHJwTh`Vs_DK(oU~i-9SCbBPXyUYNTDB`bkmI^KfU?f zi+Y@;pd=u2mJXkm0aSpJaD~_&&LfoBVRqBAg$u!FUc%b8p$pluo46UNxj36-&>1Cn zmHPJ&P>vgRBo;Zlr2RU)4yIy4UU_aR^an*_=2x>>;U^O$h%}0<`#J-XTLt`~khC8D zc1MubE|Pj>7)yGA;cKQLFv52UU;iLGOsa9=?lru)EDYrR+CF`W)rkRNuG}aSZ&iW% z)3#RK&8Y{w$?Hz;&chy!rMG&4Ew^I~WXzZPWDOnG169*jbXe<|R1Je9Cq-+`?5IN* zd=^pB0PUs(Gv8 zpX*jIK(Z!0$}e_s@w^JQzRCWTUc-ZWEc8E^37lhOlkCAm~;AKL9niYwvW1tV)S8Ii*A|5d-1O(vU0A-{T zl-vk|HW13S4&HmJW`+D3-Q9ytb}0=#_?}_xrWOQ6;Sp9lcB4n9NutT63!hD;s>aTW z;i%t4kr>s9Jx{X&o0pGL?7Us;Jq4~q4O+BzD}Q5n8QG(7K#P@9@5Ktbm(s%!k?-|K zzKKBN%b(b#gYwe!P*9SI_gqn>w!_d3^hfT)zJy1Ekc6?TEqAFtp5l`m<6W6CpUAr@ zA81HFm0*a6Iy4&-Q$IVUhQHSEwXf1_{c$@at0sq3(@HcaXpq=oG$n24nNGy*Y6X8{ zirE6sFlnI=!yfT1A_Yi%f};Ogf>myj-`1*kk(5q;OpoMi70|SI3EGOt24ZHN-q2GJ zDzZAF9&{Pe)%eUmaPpW-4DUbj@sqtT2Fg@`7(3R#;%*aw{_{Gz89niX z=0QExF_fCFJ?6k*H$hzD&M(#0qhMNYU2nv7P zcBzBcd|nD3kI@PYj*Y}cAi%k}uJ&)in9ll4s?!@8^G+Bye5vlPt<=T`ZM(}`y|S=8 zBCUL0*c!!v(;g}(hqDO-i~cyFqLIda*&2%nMT#PpdO82_U+<={DLbvhH2SfZ@dSqK zhOx!axV=Ahe(*?_c9A6G)mNB{DXQ&N?miWZ6qu^-mjxS)p7j0#BI<-}Uvfb{4dnRRCmZSxCenF zNuVdsCw^Y8l|E5v%}6Ocq=-EwzG1fJL_VXlHBqietpXmRTERRv_$ao5B{S#BI`D6Y z$h_W?TtGAYRu;10;9RPljBn$J{n4rgF zGjwAHFU&ifSOre6VK^54rW&#v1nyQYzY7>0+)g?5%r5dSVc@2;hyWdvDin1iBsGPnU(VIOXDB&GiiF3XCV0_A){O%efK^ov8+dfYe{F!)gl$qio*lx#qMG6$)w(fKGz9l z+e$q=v%*T+>(_mG#}76K!&hjJo!6g%FWXj1cKabWlf7~P3YNr=VB<26Pqf=Yyv~nI z0?*#>TF3DiS4;3ax~m)FbR-`IXnhq8w{fdAa-!f|R|V6~NId8RaXm2JGb_J0E?GLw zn!rJ@cdxn|%atnIRltJLY*@w>8lwKPy&?W@u52swUEq!-Aau^5F{R&_v{X>ySS>OoR;}BS zyq=z?h;zy}i41{4%rr)>^bZp&{^0^h5(#HmjS>U7)!$0{Gn3qsJ>K$BQK+Le91YhJ zi>&)W!9)6XKO91WZB184V1^;-QBj+ohK7b5T=o8CxT&z7@M5tZ*Tj>aD;K3Ds*$}{ zrJht~IFP&e^7D%aP$)thJ>fKzOso>I9M~nor=v6l=NrkkzE$MaUXH)|G0vMW?lLMi zr1cc%ak24eRgA{=jF=4q$wHz_g0^a5k)JvEf$aM1$af(0j#2^CLgQ{VAVos_aZStQ zqK@FN&SR@ZHJ>H%4qMF0WqI`?k)R;d5ymAO5DsSS7JWI^f|?_H=l*+Go3AFOQWHbm z#NJ?Y+z#^K^-@79cIk`RwV{SD*UIU$^dr-?xa&JQg%!CZ7U*sYzV%fv_j_1V6Q)V4 z(C|bq7w)#oGVgXT_-Pa=MnrP#+_kxrFAch2&OR#BF(sGhc8`yQn%DzRa%tEpgB{Zh z?PP;=$hmz;9u4B+y*wiB{j!RHfP(1NZeNop^&(5-d%~@gy z2HFFTArOiUs;E0g23;5T*9J`N#=l_ZH4|k2J0ZsQ-;kyMKSE4KT}?t#aN${vV>u-r3g4*vP=@KO6o}@n1dvS^d}YKTV@n z`i^F_|A#(vp>?HoqjjhCp!G0zu>GIh*?%x*|C2jo|8JZ4|8Qq43@raIcSg_5PXF&s z{x3RF7FL%3BG3Nqqq&unvBN(jt(Cr$v5>K$t&uS#4-cfHlY_CoHKf}{RjZ+s$@K~e z#->ZoT2-4tCNie1)g}u?i*kLo(o}@?=1IBh?ahzJ)#9ma?(Has;dbI`)@s+OT4aEb zd@%pm& z3?Ggk#~d&oBok;|1E5-4d;2~a0D;R3P7I34$qaynvavG;2gk>6!j~Z+-NRdMMsJP` zib)H=L@#X(pCzX!W?uE$7{-*Im7r7?j_D{R1O?%NJenvp+F>jDn(wmU)SbyL7&W zzl+(w6^M7e%C=^AEqirR?^o1 zR8zkxnQd#ove}e#_qxypKc*&TKLK=1^^MIh0BHcy`)NnlS-&N~a=*LvQ*XPX557Rd zztq6Lt#hBhv0J}KXa8Xo^}T)c4MB0OZ%JWodglcA-J$pEl^mHvxpSQbF#7XLg@Ky+ zn;MxP9o#(no4V+$Q3>=Lt+F<=am4GaFcaddNnm98l8Ry?a^m}!I%|k}%bz3yWoG0A zKvD3r_xmGNYk39u%*^7|9Uqi}GUvRzOR!B3;huphgg=gA3 zsfP>5WTmNk%!lt zZ;+ws{;Pl1`uAEss$b_6MkkjhJ211~>df?G-R0ld(_Wd5UwDzJm9?3p+h|sq*>F<(Sh>s(H^Gjelgkh)CZrOC;2PHZ=jg@@_ltzfeP?%bdb7|*yF5JFGFVi@u7Xy(M~Vr#GdQ3z zuh1j8akVvBFL)J&G%p$plmt-_B+}RD4kgN%O+M*T-%iRHQJjC7mt$R79L6pDJLU&i zVu=eRXqZPEoe^N*sDXg~2H`>9qZ1v+)mGeh%hF5F!08u;#@a1rO zMd4xcSEE=e-3@HPjfOG1P_^$#DZB?4AK#6g*w=a}=-S4hL6`cU=JP}B_{xeEp)ZG3 zZ?GKnR307}s5 zauG_p@_&)XPytpMK`;60`5+z=R@DhAHQ<&WvuGNEnK}075udY8dXX6<5npH$3#_ib`(7o?jKpoEn2U=)PVe`G2NO$9J}uc{$0HTa0N z`>$Ge^c&OVc`drOcx;OQM&9jxieu~nNrBt0AsXd!(G#?j3AR!qZk`VAXTSLdQdu z+0SV1==GbJthmN4Tmv*&L%uWz`Il+xd4yb9zm}DIbL3d9x@J)|ip5|qcgJ7dbCW--H*wqYq-L=C#v+u!5ueV|*vvNwig?!Z4qQeiCeu!7 zPu?fc*7tCDqH%tbMOdW2+AF)5T@H{hs3V6_BW#pEjH~gwY(8ZwG7J;Yvl-!^Wi+{y zl2}FYw>@F%^2Y-t*Ut0J4u*8t5kPc88}G$vs(JL;ZIx*^gz z5-{#wOwnjRju7paobL*oAqUG~Wp1>rN%IlzX?8Y5ZiBrS>ONrlFsK&w9Jk09nOK z14T|P$BswgRCqo&Lm!<1D6R6%Zl72YF2YG~V87mtNz!7tq&+54gzwgn={Son9#d@>+X+8QaUOFvS(6Y1PZp@-drTwXQj_-FEO6 z1NHdun~K#!IM??K4C)<2!!qAQe~D+B8)ww;tqR-<(8oZyv^}7PR~nO*h-aU!i?Lv5 zA1t<3V`?d+2roiV!{2pDV{B$YEW$oC0F3dZlwoQg58-^`3%_YJ#JUOggYI;!JyS$) zF!(Ch#;>09Mv%&kken5qoL|MEV*4`E@xPaepX=xh4mM4buma{K8;15Xdzg2eK|yS@ zeP6s2UL>rt`&VD#wT#tmfzyT1=IHy3Fd>e+4WwI^6OV2zaMw}+{_YJ_PDo<2E9~~P z|*~M!j0`N~M(fx=M@MK#o z$0(fcZPMMYI0ADV5-Fnt6*Fw%sPpZU3q9d4b8oUC-4Ebh*(N~GX&`2{Vhit@vYe=r zpB#S^FZu3{mh3H^Io`I$1j6O9^e9$NdLX$6pmw01b&I2iGlusN5{SKE!e_gnSn8JX zdfs}A$8#O|rEnkn+gKw8N!>$g3vc4TKPa>~ZUu1emtHXYdS0B|plCcSS-1IPs$R3l zX*X@>eyuM|hJoSW)5mBQR4C7UEPpfh*Gf9h>Q4w0ds#qeSW=1UG5g@RDU)55lj9-8 z^;kZ5#+Uyi5a9^$(wsFnZY3)NODK|b$5Z0TALWJiEgqX9y7VpwDhvVO_~S7t6EwkUqk^--$o`*iqE-Se@pI)vfQG28L3bFqx!^vL?AWy%Iu&)92|UCz*KuVmrD&Fgm=p41t&VYbzZ3| zZK&r7Mf$?lr6JlzAMBr>dmaW2)kpOY`Pd)jK`U5kqDN`-?#gb8B<+0u=&BZFUl=sA zMtCFNm`zN;3>+zN7JFXZj2#k895$TUmFuS9xd2;vK7`B%A@P-0pFInCv`(W@u|QvC zi!5+lM(ZE4Y7%LLG(##PYO&R3FR%4rgIrNF3|6_hkXBX64NGoK`RWW(?X{!!ExMz} z-F#qTqVF2hL$JR&y+ZHROV&2?g=psHO;TuCV;=1_pKdf|Hrs;Hw^9yqH93V}Pa<_n zS9)`n(2O3M5`O?XV4(=6xW?|YGscV1&{V4|ql!?x-RjU**Im@pSI_%IC>_ejxV4$a zP+!y(^e?;Yk~zOw6569x)f~6zbkKRmc7!Kx2Eo>;Y-1Dacp_OoNG@uWzUFO#H|~@K z!zW?|HY0$XZwr;u=y!KG(^~C|ujJ}<2-a*2M#s_oQ}b#{qMU;DXQaUg`TcQUJir3s zOiY5W67e4R*hYCoC@R!jpOVF(-!W^M4bPRDbGy3r*zhM=_ruDYE-M@KV$jiuo76-W z(6|fkCVno)KPjbtIZIsiTWF)$Y(S;mT4$L{jzgB>T76l37qqHz8hxsntMqcZRW=?X zh-HV#D;tBFvNEd+m@WVw;jTlR_l+FNLnJGFJG7y4*&bq%zB1WInQI*x#cW}+dD1}W zeOnhQ{xGyF+cDVSj|HeHZw+vf*y$j13B7Xi!(2M$n*?Q{c|?w#IS+|=9)9~%c5xq= z9b-&I>~ubX@YC!?>AxBA?aGX4!vhhkNizp z`wiZMzbDB*-O&h;H?mf-7UTZ`dqTEnWDGp|;ZIaHSEvG*BWP;xwj`Xfw`rg@`6$bB zpHy+r_HKlan7j)D&_&!*mhaMVA?PPdj}p_~lKDzXmAf1|nti9S@b*d6gzqttl@lRv zV@(I>`Us0;Zpc9?X}j!e{4Edg6A<&qVUbjdj8thun|Q z7NrbCX*bSUM+5gw8|?a^|Fh(LdMS>9D7qC@*7YFv2Jxu~b7Wq~knJ8xsAUX53Ch zJ4Q8+FxmD2R3Zt>Z!w@RG!%BU0BnhaA!(sBE#6Qq*lVS-#4+1(d?8>(l>xt`coMGR z*)I{T5!Z6BlGQeACmJ3ju7IMZBeN8lLKdVXIuZ*?8@^^$SZrxNZvEyI)E|lNo#!#m zx}YuRjd%}O0gfeAtY+CzKSP0O-8yr*hgahgp0c*2_Q>75TBvD*G zhAJS+-oJM}hT=Ti03!$*4-qa{ipSnVS9J>65e7|1@bAl84Q?Bm-Ghj_nVgkRq=uZ6 z*|L=%F$Bo0rASW0qChbrA$&`{H>o-=C~b8Fj*tDR#o2T{fLE-+`y{~j4)Yi+@SR$> z{Gv^|y6|7*yq4s2W130=PE@Nm4MkDMn zUfWO0ha&9$aiu;owlKdk&EMT#L77BaS)-8|iV3L>@WJ!jX3KnlVccc3^JA*Lc?|)C zxQy0(p?nE&aebdp2y-Q>u;Had@n_hTTI?EFD+7)ug69wy=|lVd;KLqo1a|-`T-sl2 z*ye|n=m^#AQM#R{MTwh3mZ&SJD0%+lh=a7^cxT?D;_S zmEPg+yyUFM4oYSApLsxHsz({b)*N+G-?rF-ElAe@{Mx_wf75HrajShX3I;9`NMd)W z3c06iyyH%HC8+;LRu{jd>s2acP?27O%8q}6K z14fXp*9rzy;W6U|a>^dE3v?WRcqB&Ga4j~!DEr_mZ(_WA?vU}^&{{!Ohr)tO-qgEi z2p~G;cah(>J+9hyMa5gBrbP9OdU3ot%~F_sCGxL%FD^KaHTZ1x#HvBQ&kz zwhs|Hqg(yV81RzF`{S~!f*AJ2^t~4d_{v`yEvrj@ik~`NV!S@&jRlv4`Jp}$Ecd>q zC3|AX+$QBxL{*&2ivU~NVG&uyG8>{imT*53b~$^9U=G$LW2qE>)XJFRz;e}cBbp3| zI_x(fq!On#gIR`R^3dzH0RJXcuZ9tW6>J%dG&;=d=Z+~A+4gQ}6SC0&_PV9uQ)b~R zODAf7Ll+|UsHqN!twYHkAr7)K9WQqp>ioy@oO?GV_5Ufo ze3CAmYrJY2(57J0Hsd9^fjmKv8U6^F_l73g7c7oZc5Qml9#zpC&ws0C_=)gNW%s$V z+d^SjVgqw?^`~fz&~V~rRkoj%5u?{{{ds;%F=axLJHkNT2r*K7HDsJbv(la?6gaIM zk5ZFNF}E#hHHNQmvaAI?PUH}b=eoVNW*O8eT}+z-X$d-xAVkyBWD0qP-Inqo7=gq_ zIyMdC@h0qU;vU~6;xMaYNF#UTAhYF{Y&Ko5rZbAH$eYV!bX`s|vIgwHJZ8PcqpY{m z;3+UPiwP&93j(HbRhPakvr9@XJ-IaJNmwrV#_1;GGPZJpJnNrcvLpUl1>Opud@?V= zh6B>~x)O5CkPIk{6zRnejU)t>0#?fI=R^dbVN*@xL!qKx-=BWN7HUejzG|Ni7Q(E} zV~ZQ#PyPYuK{r}-qip4h8b*6;>I+Tgqt^WzLqM*byOs*@5F|wZzS+JBTlJ^3Ma~43 z&@K&g%qdY7!hK^d;$mfPM`ajr7w?B8yK7sqGlphG|CYuHQsUHcpBprrezEj+Jip`_ zTfJXkywvJd(KIftwp?p2-E22>BeWMb8(a#~Vej-)p+vozmq?QKzoYjf3VwLq%ku(m z`&H7pelarIqtM3xR;(lqRfsQ24m9r;&UV~1HTgH`osl{$YdhL3V=yWkYs@XS2J31x zL2;P9MvkVv(bfp$4Vg6NaYMl9Ik8Qiy-v=Cn`ki}5T%_((||wD%RG~%Q&DLx5U8M; z5tH*VctXE`fF@=XwzSBV*;N=4?}5dko2yo1pFq(ErOXe0A{UQP^l?LNkHm6go{bdc z26&H<1=iPwN1ip|TC1VWCi-KG)0!vidW$#WY4xx;tdOeuB^ZSbcGJZ-)V@P#iGtHK zg@6^FXrUyh*QKb9fXt$D#20&VD1hsx7JHiC)nw{>0jZlH5t}#TpQ%~cd$b`N6*+>Y z*{{fc8#;e=1(|sds3tA6a*yG@`t?4;#iP0_ni_i>Ak5C`HbiGdR81@mv@BcrhiQ!w z9?-RjZ-L@jlBKOAzmc(7yJLppl*+Q7qX)K}9^ke4wkxQtgM#yzq@p77AxZcsdpR21 zS7*cx&l0E#y!;8ZFiUsxEv8?@2TV>U2!Nzd17XN%v_|t+<)S72TDx~W5uH=iYUZrr zh3G5VGO@z#7WJXn;I0l~^}K8IBDqC#Ps;trilN-4_ZY6vD2= z5AsiaVd-8dW8>{FOs;#?SIHe+r?$cE`vR`EE^>Kb1|Kya0@MhfO-aS@4R3}lHan~t zrh~J)W_ug<0|TSjGo!=%QWYvN8ik+fiP{eQ zxOfAmhjY07&Oftw3@C5_I2?3}n5q>-^v>2I*J zpUmrPz&%>r%dd|IU1B{mvZ~nWDzOU>!lrqb6h`d`Y=}?<$`BOew_dKyT zt1?o?uC&x6o3~F6CVJP{sL?*>OGa`xJ>xw2WJZ16T)qhiArkK^psobFU4yZ$B z@U}9fWF;ZRkWg>{_B$!FCL5m|0LMp+&~XY8#U8b{-w80%-E0nrnw2v`qpG3vzMrj7+#?brfjnh|#M5urQH>7Gs;#5Su|8i{UImC}VZfAc{R;%?x-O}91iJ*@mi%%F!EV}? zw(UA4w|%~h1w3+4q!)T%xCOCQt4zhvaKS|qqtm(IQ5bLI1qB@%>Hnz@Q_XrjcVx&| zR}Z{3;pWHu@BBY2gnK=E=HJb~wcD4LPj!x3!{iQmb1YT<=gosrB7|?vwm7n$;mk&S z#oIdvN2~zOYb+HDn^hc1njq;_e7LLYEz7FCho|pHYZ6B7S(4`rc`$-RIqtbYO}x%N zXd#Nvh5Co3O`HwkIV>74P`&InLJ!0rd!R#S;DAjCUkcrpu0}}a@w{ZL9GY{3X7lIz zkjn$mVNDNaUlaaVK0nZTLHskbc7zblwNid+Sem_vS9ZJBK!{E7iHti?NwWq1kNb7$ zPF`X!M%rb6uAroeyd3=tp96%NluC$s8{taU^Y=BEwL%iyHrk~q5&-RMHN>8c`^xYl z$R2N3HWaQ{?{Al5YCcK1tli1{pDGQ|w%!sG@%(U^WPB;j{F9!n9i57_3wH{Q`VV8! z#vtVdcgfuC2x^y$H?S}lhp8J}L)!??-7vO;lt!v+7`li^{WR)$7|@%y>q1rBJ_Hpv%FrPOayJ{DC}1h9zx z3&M&hfLW2*`5Bb9FxPZ5T6Y=xNUEC*SrNJfMv}LKj~Jb=??R(_q)U1@4V=A zDJ~M(89)S&Bxj$hQc!ouKPlJO)K){yZL&%~zRS?WC8kIqQEPU37Vck;EgfcPs-BiBs z{s?zWEx;zV+VE(K?as#ssll`|5)qYI5s<(Bew5o&OX9gjnp{f-JuI33d2(BHgi@;yN@UtgYrC5$G_ImEw7dU!qJCysp2Chg zX^$p1sib_q4&mbKHMM~+yLWLX>*9*?X~RB+W?)YSAU>nS)oc|r6QcTdm@8W&6%QQ$ z)wYp9*`C*nm2dL&DQ`4~@R$qch>N1V3zA@9=Rh7Fl__rc7&lU|>-a7ONy?nCTgRG> z`!nUk&wmuydcf9p6=^;EFJa4E$~^RK>#S77@;C(EW;adu*X!8z~(` z;w9_iEjl>F@h|@-?jxOL*n|}YqE$L9;5`5y7FRihCEk|Dx2SEk(3~p~y(5=ThnI4N zFDXm|_h42Thz1qML+W(u>q5Q^JJJ$o@?`bU?U;p5fBNxRzsd?Y5^L(u#XVj)O~5T; zU6$W8%J^h~bnh*zI4=wxVS6I{+CcUPKjqLEm5-TJ3yBmquTmOc8`ku5RqBOw0>Vc9 zFXGL@BwjAb{hA(;w^jSaf|oN+L}Y*)s?6!~&-ZC5_)CrHR5@*PbN7khLrHj`A%M3_ z&ZrKK>&e%EyZyO7E%X}!uhH$?IiUPXbPm33&;xvgtCD63)GfL@)*w4v%BhOsCD()! zTuVamoK0Cf2D~2*2QzszNrM{1K2SL43b!)1+V?^*ap@VSN_NayOckCd@X324FCPRn zxS-9c?9+f`bkiKufsk_R~=ml ziE{rJ0xLcJ)vNPV`#MbSq3G#X9&=Bv*S$3~*i6U2B(xN+KNbD# zDopQjxzMX2qP)Olft4SvYpIGLCFzIf1#CK#epb`Ex7(RACmxF74_v7NW>dfl~uNAAqr`jyTsg=%o}V$QlumzeW5)YIVt`z|G5rfd^p ztI=C0Pqzc~Od+Ia#y3f=0oD72k1HgyJsJP~fT4TSwEasUbL~DZ{hs0z=t%5{D)6vs z-kk$^v#&rf5+%6j0b)D%bVh6Scu@XEvvS%qmEiFc*9Q8D4yD`zt8A8JeNaV4(!wA6 z3w(EqT9kS;`9N)PSCeh)Z>AQzE*Wk+ku4L!O`K}WTWRon>@PT&Lf5!cu(5`a!jKTC zOf#PWRX-1cSx_eKDQeOd?9f6)Z#%V?-D(P;>3?aNy^u`iZ;n-rpK5=)mAO`#K39(O!^bfrL*^}_qCuIv%u0%x$*$1)wiTlZj%_*i-A2p z=fwGe_t5@++n`R*qqlBWE+9k+g|vUxcxwlfCD0tnz0aQtbufe&)tn5!(_AHNn^@!X zQPBoG;SHBn34xOn==V6&#Gb@3VlbRE_)&YytRRbXR?a2i$iuRaSYsK$ZHEEODY&Uh ziF98MT@T~WD_{#24R+2g?#Xq_Q4IHIpcL701btRcU6qS4xHEP`DsQ>9kxGi%4C~X0 zgf-J%$NzvZAU2*()AVGT?T@MhHb3;>OjRm?L4N!#(HC~MSPLKZJ!sHhs45%YpFBc` z*>b{tv4(SSBcGp4=kb~{E-p+p!Hn^zTG*Xqx&W=yumgL*78Eu;QuQP6^_Rppbm`&j zB$`|(4Deq9d)7l{@x5JM#X?==i+OjI%uns81+@t(^XE+u!kKli17x=ROeWZEd>2cC zXNkN5MwrF3$9wnG6#AcKPZ|PH8eSV4jPkAHUA8Ju8j8I?A-+pEw0jqT?vVV^zh|5%x?1%CUuO)f{pYq>_E-!l~BSVBeWo+3v$Q z+OU)LS9D6&e+cT>u=|{ZqxYT{QdS8?t~}2lf}3cGa6_u7^@oFk;U7yL1pB zD*L^!b-Oh0-k&&2T#VzTBXdb}1>BthA^^slmT5)CQJ~%h?4BF~4bF!p9ce`vej>_; z-P)q-gDa?_AH|vDYyPfBjdZ%9{0T$zsFY0H?!;pn{%2we3525VH*SgdI1!Hp*&qGx z50@p{&>~`By4)o6(H7+{Z0=rK;u_PQl&|Zj)^)?KB89JzA^z7BDF}_nGwv#m zK}lzz;PipDvp!UQVv!zv-M00gAl_)DYy#WkOFl_b&fHMP)BN#Z<*UY@F?GV!38y(y zg~baed;p^4^M}Kj{)B{v>H(c*a-?HzsZN3@SXvym1{%?Kq(5&BoiB2j)K8_;A0QA( zT8xv>M+vVeGX28!y!-fiMAMJ@RsqQG8hobNwd&D>>Cf{%r%O`a5%FVa3wir9$m+dv zo(s>E&vP!oi6l%x$$fe;X0e?t*clHj!Ji=Qa5_l|Nn<{2X%~R5}u$h(c<7$_$ z#R!T{#i3$Y&Z$_)4Ib?$)xs7ACsU4fqKm@kh2OYho-6Ek?u+7%x6ffXM3x#;;3KE;!Z`#O~ z1GhtqW2dP=b?%^NJznu>;$Dbt)w5F~(aSY|p*>+x1R1+`^WHB=aXIYkHXfOK1xB33 zcC(SfE%fgDN3;wSbF6yzG=dJ_J7-;iK00M}z5BXDrQsf4M-5XT8oY;U@$UbfIbeaz4&JPAD+X*;;a_l6t*$5Q8m z8$FK6_S%HM990%t=8Ntn-QHgAT^eX1sEzkpGP_E{PU!|W_wi+zA2vRsuaYESu{;ye zV6momzn0d$I_Bt47tzM8oNZK>#1bXeDXiKzH2PQ9?o7`R?fV%@dQ|v%arqgW;>4)1 zeXlfiktdbTsxR7lJ#-2gUjpLJ z8AbOlGp46dOWD;J#);k{F#i2sdl%}j9zw1kap1vZ+jlk`QiVpXAD%sQ&5XDpi*Cj4 zUja$xc_x2Ie-lfAJOhW`bk$0UlzMqlCDb|p}+4M<%pwu!xCeb#(sFY)3LgSx3s`9_<_Ge_`n%-B*V#LL?Y3= zUvtzoSWf9RF%M@R>+HjrMY_5s?Y}b1GVk5joipV9EgqA=UoiWu{ z9X`V-vn(WJpvYLjAg(~Ys5*Nh!l`1$x$bvU6amuIT+NZwv8=`E!Y$mZv%_D6|a7k*(if zk^d7?3qR4#62fBE%|$p=`Q0y&Fa>sy z0SiN>38$Ph_(`4;vEn>o%rnDfRSU<1iPKTwq2%D$tl4z?dM9?%R!QLOI7~cB2v(?Z zp4=%`GWkXCT3)lKY7J5kDN3UB>#2wKW)sf5%uE2-1MMVPh zec=W3j|jInJC@hNs6ijxsea$a=UaHMc6}#&EFzpebCFOHei|ki)T>6gabJ(7VANsJ z2WAv831RmcjoNa*a34TmI|a!{nchBGprmmMN`xU5=OIHwCjH>EGr-5IDE|!Ruzo(; zmyN#@U&zy>M~j))NM0yTpZPCCHMCUHZBz)!_idH=?+2WT9_R?g(6$J+lQ|DZK62Bl zcCFs^+4|yS;dUnDg)a`9@9)Ewq!Bx`S@&dQF!33R7t78AUUgkCrS&_nKlfEV21Dkc zAF28lBSB*h*7FBjNvGa`)ax5VLPeatq0*Rx7^=fciZRCz94iKF5JTbdMLHR+YG za^|_!Hwn#FRQJ@ji~J;a&*9dNYEzVsSGQd~9&|X~TRS*ftFl$fVlN;JKt~N;v1dbP z>BVO9*+#7v-87uH^Po3H_5S3(Uk-Jv{7(5dnHx%NdXc__H1lrsJB_(i>3Z&P zCS0v(do+6us(=Z>o~UtPGhR?ss(}4@c^A&!{jt2Yd}MG}smp=fH=XqAcu-72h1}mv z?^p-r0^OHlAxFZr!0jN5fyfT4PI;oU^_J`Y?oRWJr?&fYekj<;k@0(qPogN0&&&|C z=SPe6jrG^zMS6F)>7})^7g~w^AVjne|5T|1L`uCVo@o$Y&#T-l{_ByE-mkyu5OY|y z6&K8YB`^;)l?DQo_Mhv!q&EP+y@warvG^6p_BshGd7kqpakZz;O*5lsbcnb758FLd zHbAuJ!12rSi?#UOA@JNHulN(`7oY`@k~R?o339$=!arJw#ERQ7%*O`9CYKez=nDEr z?kI#96g6;B6zq#f`)r)kD1>5Hpifn-Ca01HYCoKU`ZEH=MTje$f=_ci3m*{1WASk` zUh`w?v3=V=dK>zUg-XefaiG4)DR0p1ee@=vo&Z3o(T%pq1o8d#>UrKNe(N=9kLK@Yv zSH+Q6xJN4dy(bi+fT$izpCC)@8n@_0KhQ#NHBsaA&az+c0L<3-<_^eNo5=fVwnxZvCF-G<)ylr_ViYnzgL zSi>g?@FyRK*YC&8%wrh95%ygbo1?DT`W=zYXp3GC=FKmyD2F?QkvQum)6^J4qYISW zd%x6Jqs9uS^XnG4;$6PKU_IU#$OpDEY>N$d)E3>ReNu8a@Qf?`CNZ-z9KnXaEfz912X@$b!}u6WoJrb<<5NQfXhrCnFv;6%Agw0-ifS8mzBHZB%QW3c>pX*y z53QAZmgO6xrRx3jRxGK{ZcE<_OMcnYmA;u-@5AZ>yhJ>K-^KWrhn#$yG~aA)Y`jw& zagQOc8M2!9{ZFWVBpKR>W9VJvah}JWkcISGO^t9vVSNKYf416iQ=QG9NI#S{gdzw{ z@7P{42Wd)BJF8Y667*?iPLg!Fzklb)ysT5kX?{f}$NJB_z}Ba2{=xi$_Z>qb#=xD$ zzZ0(GA~L$4+7?8C!y+v5J(bdi*eVg}IqwHYTG*Ysh@&h5QJo92PMRu2zL#h^!>KxQ zH}rX+FLbv%F-Qwc38w!v&{q_0{n=K3pyck%;gN>No8t3K)x6bElxl^PHhY`Pd5jAC zr4k+hEt?>Gl1zVi@P>^~Z?p}~vz%;U70cF~`~kNPE8qxrRO&BBs4YPhsHAH1e5jf~ zy3PY7>t^w@#BCHZJ+GC+-_K<=8RYQs|~ z9W4}pw$FOnM6u0J7%35Up1K!ms0!~Hz*Ub5*eBjIcSKEut8bNB`Q=WmJ2D8B(RPmj z130=kC{e{%3sh2TE7Puqi^j-k$Az+ELE}}^c!D|%PxKOimO?+9qubFuiu<^`ePf?d zqSjd0xMS;PDZ(8-h>}~d7JM1v`#YU4-oxGNE-8s?c!=mpm@^6xLO+p6gJVShE09-Y}M@YgVCA0P5Xsa^%vj zI{VF%KN+cwSxrKeq%Ez+`Y~}PfckpGjA81xoZ>_X`$~@Bn{w15Vz0A_Muk?fhOJdM z4Hnz@k!1>fEik4a=>BCijKF(*x{J0;Py5?+bHilkS^+%ChpNELV==V9w2&G`rEjWz z>eUm)*TVIXPgyjsNDzI8-dR2oKba4Lg6cP-<8a$ye0x;+3o7V2E28ZcnrxPB^_~BK z)mqR;X&l9G-k?v3FN>j z8@O7jdVYe)J6v4IljX#4DqVItN?NRb@T!g&WFxKNyv9_w=pw6KNb}kTPk*AK$E?<< zsXjTae7c_gxqcH1H$3_p;$kwhX3rUO{Z3F$-c|s}a^eC^-6+EKe|9*iPx^Yg-AGx? zgccbWb&f@Y?9qO%Xsk6K<>47v0HgI*sM30G1MY~ZYOCPTAN~e?AlpLHZS1&M6kO-( z61oBHuMNeT`c#)^(dY-TaWS-evQdw{0xF+4%}F2;sH)`#X@8vf8cdMn@6mS2E#NtX+a!_cv>toYVF@d<#E2#%QA= zB(F|Rj_4Kky#xnakX?gfv>loPh;0~}pWj~r<)(r2kgC-q5JVX{Y-uItUGWq?g8)Q&9FB1@3}b?n}+$ryMZd(p<5i(FfQ9r zYIH>NviuSRQMD%ngb0hq^qQ_vDb^4khsRLlD)0yi1?~GPwpaoWsVBeGS1vz5`^v%* zozi+56bSLPBQOI!SM{~^^+>KxX@BMPyt@&ww_Y@4$<;N^(#zNl4eoWT4L>fyadExp z{JHtZU{VD5<)lZHque2Y>4yi8Fvn%_Z$vZPcrK4#(lsL`5TxU6t5B5)?POqNFr zH#99=uTbgn&^Gfp67HVxu4rhtesa@8hu~T2y9wA3#v{avdNR)Hp>f)-`hpH9ugkPh z*Vly8g)nezeYmR%cRl<;@U<~_V+Y|P&q`#TX_=*wLM-dl)~M;gs~b1848lT082yc5 z5J$l88bp86{ZnWa4Yl%$A}XV+j)&bM7-G=)vxQ~5A$YH zX6evz41vuoXNTdbRgN}Xf)R&oYpzqVHlff}QT;~*-`&IWNX3hgJt+@R6yRkDaVQN$ z*fkBe*A>aP`#-`N&3AQjNt3y}l-o>l7r&!7itB3_gcf3V4V|WO#rNb* zyZBIT<3l0!eRd3uh1{NsEs>_qXtv(9yb1;%bCoD#qBOlM5s1~HS$}uWKnQ?FMFe9U>I%jTFER1uN5|^^}E5?&V69 zC>$7D*XvF5)(F?X#-9h)A5*K401OLzGbgasRhfm9wr_!R6KML&n=?|I!fx0d1%O2* zV35ZrP9CrN2i8!@dg2Q}i%gf2gFPvFSKgMwuw=nPU9MZVvI7dABv@fX;2PRfS- zdKLYqk9Q}^ZlZ~}LS9F?$JI9^V#?22Q-wg)Bqo8MP=wFPEs^_$=mF)U@|vW1fL#h2 ziO`;IGg+$4piT_<0X2FV3}re7_xpB8*C?4NP(|>mHt$`1H}N41X^`Kb5Bx}%EujI^ z3ZyWHRSvS{9*R$7N-VK>RbOk>(Ai*4kIx~*A3wagRRS$C^>`()D1XO8XSQGpzCUG3 zbnwLWGXyrE99hN9tCn9Mj%k=c!g102)wLFMv7OCJg?G-ehn8LNpu*&Ow-B>Gj!V%|7j zGlg^U1T}LjkPI@92V%5elDQv8yqzy#oi2%tnLeWdnKSbAx_31{!F5ucv{I)jPmCN< znV#w!G8782Qw4l~d*0C*(Ce647Z($f^1MB17sLmvyN~&6h%KdWP}=Grty%$fa7R5) z3NwEc-L{w3FIO}`ujA{VG`pnN z#bLVcu+UxLdGQv}$c*)XS^+jNiZT!38FJml0co6F*>P}77kSzSmHwSHKS05<7LLi1 zrk#v;dsvGx-S=fxh#@g!L6e@ekWB4}nkA1o3K$B5Vx9AzLF=88gndSM6_`XaUw(OH zB@#3gBP&?TAW|;45|)1V4X zI+u?EV>obn^=>l;v-QIxj+uTxJpCaUCRdj_e10KzNEg#2XRbEjA_h>)utx7ELW&Lh zI7wg7{D$*9=*vygfN;nYZ1J`d01w_8a=Snvb(p#h`|ErCD9X$Dc>xt^wQ^JUX`3cl z%GJMfHKRd!*T^5gRcnCk1%*DDWQsC6U&66+qC9J!9B*}nOpsj+(ONvVQCHqa;}74J zsX_gvRQT{2ZYHDLOa|s49^qkhR6jq;@W??J0$5TCU}ZAE)4PcaZ5dj(P{@euXCnz( zVhN*LbA1_mGTw_mdY2oSjUkC?iS4bt3C&$O^v7;0MqjaK*KOwA3qjvXQ~n5R!AR`# zVLj16{)!ZgoVjLusD~{OTgI-beI%H)bu>T9K&*1)oA6MApWWe}i8>Ok)+e`y-!1vf zuN3pA;xbiD84APcVK!F?b|kEjBrr_WzG+jug;(vn8#>utlP?M_b?XM|s-{g0bG|k+ z$zGqr#CT9pIo%@5LZ`s;xGoU1lp1$}>r$>CX%Y=dRfZq17+t&Yjgxbg@{i}*?F{Ya zDbAp-Ap!*OW%Qo#Pu7!~({8DP+RH88YQZsfPAX8)esM8Z>YYr8NmmA10Y^11}9dJdGGZH0Bav81v)!Mi-C+TIu)lyN5;RAo}y=C{f-Md zFf|j*Ue2Ui0;Sv9t~!(-hQHbCRk%Q07^d>hV$@hh&-o5USn0Ym1>V5zWBdFea|a0d zp$QYz5WxWi+g`nP%}P(Vv`Jx;8mwV;X1&v3bWOlRrS+;{z9%l~zSM+*Rc6z()XY)3 zH4M3Tapfu;un}v7|I(ooN;%SjG?K0uG7yk|IYPqNxVL*{nx*9YQzj`&yXDgrl*QUs zKLy2lQKXBRwAg!BdgEVJ)mdZ32>gGgI~eHyO?~+PpgYu5<^GfIP_VU@vE`7Wkv29m z{~z=YR`$PbCuW9!=pFO~9IW*JYCExV{B%4zXKlfbpI+m{e$pO|7YdNK;O{P@tEIkVeIl( z2yrxbqx+Ai^jGpQb1*jkf7GP@ue8TM7?1x(doVEk+l%}!+JpHonerba#=p%hHrD?w zef)bDgh4T z4U@prG$gpN*Wx~h*mub(hHwByrc?O2?1@bQ=)%EzlZ0^ee)kWH^p6h=0E>zffi=C@ zH8Ho^k><-QF6R}On!eIa@X`Jn#{2RAjCN{ZZY9ot{}@2k18!;sUFG;Ge&vHx0t67t zq5=42lEMmrRF5B_F%PvPZq`jz7VibV3W)Q=nty6wWoZ7J$kZID&Jk!fAq@~$-0It# zfB|qlUE_Np19?H07Lg2z7>Uf&FZ^hS-me3)_ZvUVe-Lli%<}B;@r5nC7&-h;VSM(( z4woHRg4%leYFpa3Vy|uo=B+O18W+%y$LjaccLD`ac2>3&4$W1z__v8NO6sR;_B5Kv z^v|J7!zXkiz|^-#29UJYxA~dws%}P4Sz~;0HgY&q@77zc)-V8)q9mCoHgRmvpPz&^ zE{*R>7<4j8u7{rrKmS2QJ)1)_3t&5kcgcs;kKB#7T3+$*)a&TWD{Jm=RM^kd72jpO zvrCXV2EzbD6}y(@!=M$f=+7aj73iq91s%Pd=jCpRmp!*ZptT=LH{5EoK?~SR#H} zfAUTLWcUK~;_3mlwu3P5LAOXui8%^8s^H zcfA4rl>+rb0p&fj>=p1JZB}*}^tp|VSKNw4vi)vBKv-V+!l`1je_*9IIxP2#Th*f( z*jBf0RSH;>4>IT~cd8r*c^)EOq)kLj`vlAx+aB|>TyaR6*sn$7Bn`8I2XFppdXF-n z`|ebG)_FJES9t;joBQ%;M6{`-*Kx(nBgaQ<7`B=rhc?73P6OJw6L~n)xqlW^*nzryr5;bev90 zacysHXIA!RL?bEhS%CS?Bdf^jJ~ebRL27s3Of*I%>ICxw5&|uyC`YC&gAT>F*%I<1 zh?D&6h72mfqyXx`l4NRIBA4u&vVB0MHmh?(O!}tU@N_muGsqD)qz6RIK#4Lyn>;9a ziY)|>@I8tewk=BJL=JGuuN-d@8KszpcTTp%J;ZDw1HA97bSU z{jkLPr5I=B;d$%K%5gwuhOqZp>dYL7){uSyDwLEKcM-52*Dxyr5yaI}rQuH@H2&4cA8^+!@+Z?+glv@6TWs0vg?U zb7nQ(N%&dZZV&5UV6LZ$jV$mUJJg=p!!JD$lur_TWrCxQ*SbB}$q8x8kW7tKp#Uy7 zSHY*2W6~PF3(hbGG^{+Qb#STQYHHODW=6HLWW>^i70cP9+v)D47UQfDRQX?8Y0-J? z^(ynTp;3}U{*l^sCyEI1qvhI?J(WS0F82X-Z>atguYq}CJa;|>RQ6*Nv-e2UD zrN9@n{(g{hp4};VLAN7cleSyt_bUE4g9c82>x0D+9q}~>Pu1-VWR7FBq6!LKqo=*J zq$!^uqJJ z#l>Tds?gJA`vb5R?EnKw?%25t`X)T;&`T~ClAWA*1XOHQU4`FHtkBuaNnYd-Rj{CP zFsaS==XdkBXKV@v)4kFOm;p1i^ zv{o21?^1dpxM&T&KFc3(QSOq*gbT24NG}gU!1XFoj?UK$)=}OMJ%u}Z8bSXBIm<{} z`om_gd%1j49w;ClKvzh!|)S^zERu9A_o$oFe7^wH>~8VR_S6EV^=)50)~ zfYP38Sti>jx##v2vRUq;n%)Nz?6P97Q?Hx@?1nD70+39F*08X$hTu<3#KCT4mlM>4 zf_6X>d!#awa(0K7KWc=HaXK=i*e6Y%Jnip&c-`;36Ipf!SbW6`iO3s8Ic8%UDSEa1x^(rA zB{JWgBUqM$0&AYUWlkM%HzP~cEx!mcei4iAPn58C4Dc&pmkRj2O{&TT)V}^kdDG5F zFbS48VqXvT8q|M(n>nlbV0L>aEnX7aVn@>|o|BF8@Jj+8zo@RJlczj-3I_&n;ri8Y zp8*3308SUpydzjBMIEt=oU$lbbS;j8Xt$KC$eeT)Zjq}}s~|ZbLP-^3*yPph8ts>W zkL7xl_XVM7@i6Q7H#6q2xOt*4-4Nx6w*>V8r9YjcZ^i~gUQvb54GCB916apqL!$9@ zI_TmE=1s|!-Eb&EBsH0LSIE0m#mmgkYJoFzG(ZHCN=#YhhtL;_K4>ibfazSk2%s3(T|b(mtH#$gia|Pr>oo}1%sao1%3$CWxk6$QrDkui6se)}}7VNOcsIy_|#mB-<(Wq5sBD&XH;z!M*7udt)>LY!(Dz zl<-^?nCfcvg6KujQl@F3#&!ut-l@>$^u3C#0Fc)6$tq3C(@_574C$RCMB-zR=B+;H ziv$9wSbVmB_N}&G zyWg9VC#-{1x}+?7#|ZGHxVh;P?d;x6+tn%EJf0;*R4 z5qEXi6>U-u@}Zm*Vn-H*%%rtPv(4tpnk3ofA<2=QU0VgRtZuQMYSz6?7sA?lC`MN% zCWiw2BdL#KhEWpM0hwaYqsvWaQmQHNa4>SVSU9kWQ2wH*KMrO|Pygx(1Kb$ZyVjjL zCBY{*MNEeigUUChD%1|u3A051@;20^(_PnEIg*7Q$Nh2Y1&?I_inGsPvlK=K4K(l< z+xj=TlcZ6{-!uK~DbW%bk;>)`RcDIjw^AeG%BM3AUTd0q?epl`Ie{r{Vf23QeEX8R8MbSERl`5Rgjs!EUZWyS&|&A;%YAP;otcPAN~_&~n^3z|{O3nT&%z zB!p-2z})*>ulbt*XNa8KsQ4a0k&zBqYrawA*pgpb3mTNWTm)E7>RV2> zs7fubUQ>#2aqyOs1C-%3EI653HGicdovz`L<;PI!bf?_=!M~*zX_#K>yiLJ`JOT#Q zsu#~ai)Ui~q_M?cWP{Kdi(xKC)>h=%SCaW?fDRG8qUg3l#!f84oFtPZKBqc0>V%87 zCmN9D(_(I9oa#hhs&BWU{^r~+_KrU=pW4v{%c(5618*&=T8X`pMd2f3?<_n#eRTZ% z47`;aD#dZF3bv0OaXxHA9rH@FR^Go9@dp_L4w#;zQJ;DCulWwbOb0!3LN+Ef5p88e z*#RcTRKZoKEX&f_x^IA6eN*Bit(lZmX0@6#9)x-P%+=wf)c@wP?0E9=-%IPKLTYMF_eM zhyd1BAQ~h)%&tL&9#{lU`P!Ggq}HS)#?z1vhJ=RD`1G9)EpxXma!vy(&<l};rbvKFHF~aU zHx?UYU%#ZC}SWOs4zKk^X)paV6x>BOA9 zV<`&(Cr-Wea8-M8hm6eS@z?jluw>XRF~7ZFPDpPll}`AR-ZnS&+0gdX7JM~WkHpSKa6;6V?;lX zaD83FV^xIdOam=`aO=jdh}*=m)5T!dsK$J5!^!IK1&d*S*yYVj9P)%&k*#$7S?pMy zdWOpgw2Q=;FrZX)mlonE1ZZM-yLftOuT6!ddN9a0I=Zt-uS`B8MUS4b7+!HYZ!EBcSlz zHD=^vFh;jEq##frTEbM!Is2xu=E(+|L6zrzbnOIj05#n_Ba?nz*;E^%&+8=-(F1U- zm>U?&)g`4RRAqBwZ!PNF`M5GM1Ob-$oj*sbGMWu9FaSW7epOtnDLx=W3iQowLm4 zpoU-ge39uE!<-LZuVc5mLH2VwW@-VTnJW&61~xZY-O{#hWUhALE<6E?^Q2RWEMopr zOi&@jwk(1;B}b8L6w&gYGv`xFvZAzI=9FH4@W2(jcG%vaEuT}h(U2DT2>zR32S*GG zYwZxZL_Y>_;zVFV59O9m$05evK0#3&ylN4C{577+rRi>8!N62R+Lm|VWXRATxT%hz zu*BvuhPj3x^sS0CZv~^RHe4Ag2MQ@D+^Te{3KNR?op7|s+xwoa?tA!U4uSlqWr#^; zMv@vz_f)VWODB?;IxYBPs|#{rD{-|N$6NDJ`RXBq_cTD5^cM3)V^#Fg)iH6 zOuf_2T7IT{F;`WBBYWBo%7k>20MQV_gUb&81UTpx$YU&ysBP^MnvMFJcQx5g3xEN= z&oDI6X!E9i>L8czUSL00u84S0LDYv&m@fO&yf$Bf6;B90yEQ>iuOh@60Ezw)6tF<-4wt##76X^k=~3Da8)e+W;Nd4q!dtJC1Wh1NkCZsK)U7 zkh_r>P)L?GSxUw=V?{tLUzclxsnHm+fS{;BuG1$j@l?y%)#_lU!I+f_Gs0+eE1Pqq z`&nxr6K3#Pd6IC>hV?+X&sChvGvrHjma_XNw5_-n+tuI*ON_uJ%xjEvhuV>Iwn=vF zp8f8t7(`6JCo;-h;hqr3Cj+AN?Z-zqBT{)>bSs{o-AUtS7|hM{tB6g=sbrbO2#6XN z_0a0Sk=4Q0z)R3Nt7dU%s-8IA?!)!O6(!l`t8;NAoBQqp(4_G`%+B5Os;+lyL9Za% zpW88}oH&_jRV#ZANN{61XO#MBJ9VYgDh3-E49YB_Y^q3Win@U=69z!_9)vqVw zu%`XV;5*qcBy7v6m$I!~X09xuqaiZ%I2U5pYk-wY_O?5CY52QNbp#Zupm;BkC^YJ# zJYS(c$(Ll{;A8G}o@UfnIT)bLXqDCL;N0jalmd=e4CS>-ijd#N(-GS4_ExKe|M{i4 zzA7=UL{pUB_1j`S3H~@XwxB#DfU8bY(_rq^O_x$t(3aOD_&THix4htqii8Yini*m1 zaYs*~M1cj96m4%+8>@6Ps~Ug4*~b9?@9u*Z64tL+a*9p^yI@ybio!XJ@G5Iw%!mT- z339H{zq3?Bnq+|1(Y4kgze~AvzsYw2z8ZSR_u96zyG83*lx9Mmi4PXl>Uj$a2tQU)C`^ICOB^UVs z2$Obf9rWzG=MqIxLD)AasSZQmbX-OsBoglhNT4T@2q!aJsV=|NtZv=ST8PbO`=5xU z_2|K|1btGqy(xZ)K(}GLBDKIjlVZ_| z9!RpB@srPE=qb-FSmP!d5i1qzHMz<%&Q2G{MG459E_erMd%-hCW=k!}=9#(!8fq)s zD+Jy{(~>Ic0@4gt?e`-lL=%U03Fj^}+I6|lQnZzZX6A%OKZ>dIJ|!jlDwd?yNLTro zIU-fm46>%!guRj!_N`jvHP8Im8Hbq0oyx@DtykC-G8R(LpMVc+c~gwcus>#;F6Li% z>#q|o96G<9qor;&o&&0#LB}Q0%^V)hnTNt=pK@=8AezT3;n^j6x1$vgUe1o<&I_W` zW3fcma^yTscvwPB+obQm1nE^Q+1C7FwrWgrt1}Yrmsb}MzNzY=ajZ$Gm{8r5Z+vYC zc;9s57u$&$8E?j;hXF&5F5cE_kcf*dtICm?@wd`J=alQ4nEDSNqzPymL|M&J?FM%E zVK%K7)+dPbz(LsAV)7`Xoj_zBDA|=oSb|&L8|FWy74fodJCO018RwFIRsl6sxfRa_ zB+ql@Rwp`iJTTE_Wn>h6v>ZlTkHq^5I9)&x%iAIp7STkU2NXeHvV^E}M_R{>{K24d zRv)?Czi~2$rER-}8l%4_KR^c#Y(wSKOOJ$QX@S(_YTCI2advC7+Uav}?E738*XUt( ztNkI}U7|HJN=5dPjG7S+l|)yk9SIO-eZQtAf*IzZ9k)Y&x$t@hTr?^4I42UU6z*kF zqL8@isN@oa?G@?Tr`!rYIMWzbz04d);H);iL^RsjV#Tt!LL_lRx;vw5|i-KwEYA~15_JMPVt(-*=n>iPO=lqAts3pFoJh<=+-6-{p6GH<%i)v;u*`*I;oRze) z67l9eDkMgP78~2)dw8%8$+hi|oUA5?9xWvi0f3zyf1Xz0nwxkS8}fVx>K3~3s0LJJ z&wMh5J8nw0w=1n%^~9Gmo!F^2OoMsjD`b?9jA}qazI4m#0S}b8UCXV9p5`{yo!F4Y z&A7Ibcjpx!ee5jraH>^Zu+Iufkij)Udm1FGlRtp;HyH)Jl11|vwqa$-{El(LNTCpv#`HOJo-&UyAs&jiCXqT9u}#i z#Y`lJU}AaD&<}|BetK8EEs@oN?)(dM{39Xz)2*9S=jaO9rt3T5!UgKrUeAnI=PC{4 z@sV|qf*m@;pa-t<<7EmLMN76MSE6+tGx5B$(5hCPWt4;gLGS7 z^X&kBA~i1Yy)U(On5Vj`P!kV~_5kwRj^gTWOqGougDmt;wf~ToaJMXm_ieE{NTu$Z z_Xtg?yFjlMGT8L1WhMxYao;wbd)T+`SDUw9D${KxuZ0+QDU|dfh&uyVJUylySd@DO z@3Tp}yFHq}OIT?gl7kd3yL2%YLC&fiWoR!violbnKs03Y2BO*)qPCR`?l{60jhwQY z(LLPE|6+|}N~i%oMXqzdhZyk@I|ZSQxXZrVAjK_5Dovrz(x6}qUV_1q-Z)*lfju?tvvq;VX~~yXm#X(E zb!}rMpEwEraB54X4|S^g4Fi-fVycs`=w#Gz5zVPs4xuL#=Y)?J@w5ix0dbkHso@45 zp8gc4z5KlVP6^rh5=(`-de%NOy9~w^^Zm6(_wH5J=s?Vfq%YQWSyqh3<>wp;9Y;%% z{DybuV);#gvCDB^L&`RjWJ4oBOs>489goKi$8j8jDH;n!O~UtxAfs`GiBL3EMoIkn zLb_XvBm;~#E{ppaOA~bw>gpU*chgIU4f5usxcK=H&K2*3sknJY=(>T3u8AnE%M2Bk zWcbv{V(89s&y93Z@Ox3EWC-_7Kvgi-D64^?NamF;+=Yqw0cQtY>%qc6bNJHd)OHth z$Uy`~W0pwUo#sO*kk90u65uS9N)`$ySARA0C`(_PHmt)aXzy} zXI5@g5Qa#Jz_UEn(x_k_`l#J;kUWNuozQwm0sJ?0$VA7!j1Io7V!AOYz436 zEjI$)k4$D3QE=JwFNJg|m&+jjCv3py=wnI9UDP)!#}NIK{PxYz+GFDZ&)mF(`|%)t ziyj%6AMcb?1+QyfYw`{VQ?+<#&f=xztR(A%Ju3fSvKZcSZooNxu$P=U&9g{eoozgE zacROuDd@sC?tT~tO3mS-WS5FFEiUxgX~+{=P2s#@Jhg?vqQsKPxlO15*SlP2Yfp{I_Ia7UPD?Kgnhf#@>)@s?;Zk#Gm zHwo&CDK%}3%dtD&)1><*+2!x9E}F;{1ObM82FI3#Q)3TRZHAicY!@k_heX|;$$n5n zBs*h>+0al;E-P-3sVy=HtI2$+PI2-1a_q$le)$wi=MmN_{DDoLDXl!zhIz!baLX5% zSsr)o3nkmC?-Qn3tvwqJf2KQ+R*WE@O6b@jXhY7mGp}t>FOTrRIl4jye_O#h^8pf=9I}+vVA)-pUa!T2^uz1BTi|RZR09 zU>u%+3#HPQ%#T*IEH8;4rvovv&%H7`OBCM9rrcE&ZOhi6hSD|o^hk{-anOwgmn~PJ zZ!{;?6(zfJqrJIs1ud7Zd690_o5~BJt)(JI5b|!7v)whtLkHK1SQ@VLeVpLYkv+*e zy9G?3rfQ6lY!;p-#uhgVYq9Cr%21n>pr>0OoeHgP-UgnTtlKbq)yX}#>?6OcGMyN6 zyKGZMNusB@5wTrd3Ye1drHGSyQs+9#v68NB)@l9G`E2SL^CY$uB!iX2NJUe2&aST{ z(OK@(H9cmxojWiK{}*HT7$jN{tp|I~*tTukwr$(CZQHhO+c;y}wr6sZ%Kg5Z)J-Kn z`(N+fwX3?IiIq2QqbeEAWJ3Tly`bcj(;OrX1WXon-%xSqKbnP;-g7O&QZ`w^R zGbvc|;4#elD+#Bkg+lp-{g<4MpEW{i!azBNBeU1>m*d)mA*L zR`FntH~ltVOzg-+VY`kt0SQ};M3qqu1m%#a`5U_f}e-(|B&Af)0jFvdmx<435?g z8_aMxOqh%$=~c|O)C>}5DdSkal+*_Mr%l?Z?A;WCMn@$q;i7Ai(kfoB@hs}WjZiRa z@gsYR8{(D>Y?%!+2#LI@-{%4^SWY{k(U~iB$01Z zp3PCxt|y`67LSbAdOk8ML_+h66frC>vWLhooP>xzhPXZ83C8o6(Or=sOBvI5{bk+U zzMvVIs|2?@gHO{666w}3!Bi#%Qfq(ujtS0Jx(m*mh2oXqH23=MG$nsK^X=wguod+k zDX--YYlD1p{#A2@CK>zx1<|eSv#hIOPTQptRTMekRKyY1(5P@)H;}1EsSC=?Ig)~t z8l8JyxKP1`{m^O`?7Nvo_a(?8;iS@|_j(S=MaH^{q@e+Eya#IsgCZW4(Drc4x#WQ; zLdmp6=xR(8m#S@YO1Jzy2;B0Mjj*{;GFv4f3K=kgC{cNToW&=|55iL200#*YN4iZY zo6`50VtHo0XxEE)`CH`=C&vO-Kd3@v`s1(eQq8q89f#*(cAW`Q5O(H166TkP{D zXEzce*Yf_C>gZ4WIjx9PZ=7=tev$GL$zp3Q6Qj)U5$M{{jk$D~FrBZI?x7UoZp>;G z6O?q@YV+qSw8!PPMX8o#jcwEy7Pcnc2u(2Y{K=$}?q?n`d#GJl*{bAvA4Ewj`@Pes zjmsDdt7Z!HBVE14%zDjhu3-czzPbk#xBe7=AL0&4Z%l(tt4SRSI@>Yg8YZUEx$$kY zhVSOyV`m|?7aL<6n(`qw5rBay&OCFXLIgfVjH+9|&|9#zrLljrw6$SF{PjJD^R^^J zExEgG2sGaY@PP&tS<;bN?{3o#Kx}6xu4Oc;Ki*>v? z=8}*R8w0mz^}4)(Ousm=5o_+gJL63Ve+>TM-J&{ zU{JhMF&q~E6Cl!#v?=^;-t=Rboo;WyC;sRk@ad5*N`sZj$5@~yQE&5F#1~|Kko^Eb z!uvAW^}`KT74RG~d`F8M&WNXevd0R8gV_sLxF#ZnN!rKhPGD3ZuLtACMj+BHx4`5Y zLI90V#gtaFItifOnq3x)Pd7MWxP2okRG)7EY(eTq;(Vm?kxMfCbf9I+;BA`OKJ$F% zRbF~pwDrOUl|(BV?uK`KGkggN`!3;$h)jdMsE&Piri_5<4-3#tU9Nl_F9Z0}I!Nqb zL7Y~Ao*iDg*cBl7dHw8}4fPRj-4lGoH4zX~3N;xT6-JmCrV5lzjhM^B2WpPp40R_p zH==I!x=R^4S7zd zf8&X#SG0%OC0b?UUN)G`;OX|eviVM@17?dLDmZ3`*R@f z<5!n7TL|J0_n*;aJ&F{%#3tB0@b|uSN%sgg#3|CwhF(xyi?jNJ)2x!bHJeL|;*87u z<%La#Ezja@R)sOY8w@jPpFkk5$X=pUr@)OL`j?{OVJ7%A_GlVr<7@bQyxJdnx^8N= z!g!_)6R62@?fh^XIV0mGp9QYU&|d=d+}3Exsy7quL^MqSp67L29#6B(s&HBa5&yJ| z7Ix4RDX6Tx?>VIxhc!Z6h~m*$FHq9B+6(DVQKoW0Hf!H*&`1 z|2`g0y$vSwOQMinhfDKbjCr2)1s zJpwe|IqqT4)46FR8^T3lFE)W|?L}OT)GhrAAKpQ2goYrj@#bnP{q)zSI;5$r2q`!B zFe-E~$O)#G)*kM`!I1b`?^VOe+dLKwpF~1o=|)}9i<8+?`Ju^X4MqI>=t7D3TXsJ3 zUZ}jilCa9rBMo!MV|!{3>f1EAW(iB{4cXOQEPcIqwfG)7QpjXcD&d|#UL-{SN7F#+ zlRwZ|eQHI`!L0-_2FFC(IaNG~Ci)~i$8OrU!&IHo1b`CK>NZH0tYK}C&0acJ>p961 z;n=EX_nmpJY2sTlwBJDzfWbh=gHPV>2`afejGZNf`AP@}IxE=G>VZNp_-s8XZ0@Ox zT?dFzR%%f2FaJQop?!8|EJshA4xwAPnaWI}VnBe4J;fS&#c%-UAEp+c_A!RtJiNY1 zO+QZ@iz>6pMMxr%703-7*ma9lC1*a{1F5lvG2c&<>jYcm6BE{mw`2;2+39W|9}^u; zLFGUrd}N$r0?7y0h-mjW<@vfXb%CBG%R73g zozH1VF^Ztgpq0ljp+nk*DqVNhA+9B!|Fy@bsZbKy}hl zd-mkh1b;{r4NVIY;$osZ;FU7j@6GB*Tdd?AWkyKmK5yQDZ8%b6cB9 zG$^NS6K1ooan`lw$KCBc4!xb&sTS#Yyejw!ZNLj>QwHq(*=StCaMNT`3KJ8THfAsZ z4v0pyk_8sc#%B~tw|%r1Vot12Z(2s4*rcV)26W_Q)J;iDRd9Yw)S5jDx(#sPS}J`` zqt1tGAJ?j9s8&=+hPd`Jl@b^+`@2%KFWsi6B@k>iXdY-au^b-t%`P!8GLV$z=wBeD zjCN&kH-W4=v>>0p1N>?Q@5op-Tns(IL0U#u+z!tvu$L_6k3^WU_Ai_VJ!QkWa__=aH^!HajkuDT2F_C&~E9Rn{~hMr|Ba8 zn!bYww%BL{LcQAdKDFk2bdk4-psi07@h*a!1F_#m?BGtpx>E_WFT+MslFx1_ElkY! z+>+ZN`jwl`PG62!Cr#1m0=t|j9*sF!LebYqIyUP?w26BJ8xw_z=HWX$Ep#ll-_bOW z)t?2@rOh7Cr%WToS7gL<*aR@ze<13M-c1M6t^V|U9!`WI@fWiA+dWv-2AQs%!98sa z*&bz}*lE<;Ei6SEDcN$DbiQ)t76!DgZ@2){b!w}b1mp!v9^H?h%x|Dm z8c-c%5ZJZt@a!Nd;_E_Y% z6rC#n?)_=DU4)Pvb5k=n`EpaiMUBn%47O}Sf_$&is&@nwThHuVn&+#BZ-_^J#Inb) z8P{3gBUZwRwEU!u-Y3X#nncL+bm)wZ>xyy2XEdMheQ7a)KM+$oF!MVX7C0|qRW7j0 z;$3#xdeTl&G`RLuN9oft^?2b4F%_a!xnD29nGX$fHrkF zV~Z%L0YiJ{-p~@662IJ#BX3{24Sq0VH6Vk*pma(n;+^%*$-MwJCl;3Hg3WXAM9eK4 z@+Fe5MmtTuIw;LiB@KR6zI|nVRW6NHZd<5LBB&L#hoWuUC=z9s<-gYqV~(lht&>2z z%yQW&_6)N9z?nYu#5PHY_GxqM>>v4L>QdUB@Rs<;j{A)?zk;rQ;*?%+ju_<%vu{FN zPl8P8VKQuKmOq8Fav*Al0KBs%Cmyy@+_VRN8i7S0C*i^U?Je9km z+^w{G1JcB4J57~b9)1#ces>1xP6OYVyD0oq>9wLbt*Z?#SFM_QTdB(? zN327%ojpjK%o8`;DT$v(0XtCwQh)n`n&&=3veMachHxK4*nn7V0XI`+LuB_QoAv$i zcW4fb;;XDcB9kDm_^rKAh&@Pama^jC71!*ig03KG707)_=)dRXj5opt2%}YIroQ1vmAke#gDt?+;5+H)vIcH)C0hrxO!iu*ju?)V2_!_qhmC#IZjiOfVzX`=0V2?GEfdi0eqhbjqC0IQ}CiJ_% zG|zFL%%pHmE-|>Sz_I>qLs?Ob#`a!}T$NezE60fGglTamAv+?4Rf3$}F9Hk=5os`o zTEQlySzpmw$7@ZXM`Qhln_1mqey4*plHAgMY98qSfiHeta7OJJSMqOPQ=A-X(}B)kcdZE%q=OG z(I#E@t9atG1YwlGNe>_DHMe?(IpWDQS|r#@p~DJ05NGc2L?UbP5GvfT(D^CBed^oo}LwSxY01Njp0BYJ^9YW+H%|7~; zIcM;6!UNgx7NoNV+J@f!WhK&5Tf|KXf8xD1P!WKesva*@cE4^ zKqaWj;C!vC?0O|cl*}aFmrmS@xTT6U@>duJDKZ!+7#|fg?TxOainqKaO?-4Y$UfSH zOK`(86A*I;oRO0bWh9GYE%{(lOuu{sskyN{1$WYAqI5A#(#qB@<_SaykJzK{_EiVLA~yQM&&ZXs`J{sJ#*0|F7u( z6QBPNJ8wbvU!r*jI!8JuI;a0n#{b_Yr4HwC44aBvt%{3CpY!<|DtL!%9lY&nUk%*@>UOYuGitbg^6m)Vy)n|k63 z@X*I+%a7#+rj?&Fr5FBdC5D0zzi0RdI9+1{;13~Wq~^bfNB~I)4;FvmdDt^!V<35F zmevO5KjWI20N2?8$^cAWWd1XKZ~#bNTuM`Zl1`<+Q6xvu^nmPK05a6Soqu?w{b7I0 z&HupilW9qro%a0#NSXO}Bqiib?c%nt0a0H|TWibwO6=8bP5f61n{$1J`e$Gq$DfxtYRJ$}Zwm5~h z|Kr*Ig*1f@PT%6}TF>zCh4o379+Y(4_t)N~wVCO64%+;WEWZ;GnG#lh)1zK`-`cP9 zDc>dV7u_-z2m6})&(!9Rp6V|Xm=u~>ehdZ=HPYj5Iw`H6QI^)m0OJ=Em7=TZ3jpb; z-;|6F&X3S3sPl(f5czKgMrEG_dd9})x;vnBfN4GS!wapS5Fq*Qt$OM2Ezx^lz`-wS z;IG!%w;$1KpW{=X_QCJ!=a*i=h>nf*QH=F3Y=55{^xkbELnF}FHWPqGKVQ`7==k~X zsgbdj#o@c(!$;i;X1`zAryoF)Bg4lgDgoDH-xB>_rXMZyb86f3Kg=r4&I}Cz=@{!@ z*Xw;pmae7zWLQ#MdwRCN%c=l4NJNKz3;$EV3juESsqk|x{%Q{Bb^fIA1;w}D?M~#s z?^3V%VNm|y0HdkMh4poO$5|d-$^4$~WcIFuDX_~t`LTZ0=5%^vPcG%fydJ7AIFkXD5i%elMMwE%$9^tM~`tKVT#HwkHmNeZGZJ_zzUk{Y5{{{Ljo1SKz~T zLbiY(dTP(EvboQX&Jzsnt&QEwUqK6h;nPUjU_+zFz!&L`qsiAt7U$1<7mkn)mScuG zEGfEFia<#uX11YTZPrubp9oyhQYa1+L;Am@z@PjT?AO_4N53hlk!e#q*c0+n?X`_X zRW$p0tu!vYQ+&P)1M;}GIjvHtRuFI8l$IKWIVBi2U&takOY)EQ3{zdr11pL-iO3v7 z6H`5rccHzB!=8kv<64Ae9(ewb#dvW6}m!I^WIZh*;hrCnwoEfD1 zwux?WJTO2&TB-#r=8EtNbW^C*jX+*J9Qqa8GUdA|-?vK6+FmZ4UyGv}zWLSR>9aX-%@ZlMgI=t$R}!x}fGN@f z_Ty6KUX5fHcz^k|nQL(@Een?;I2X2ShG%ZzWoIs8jCbWu2Ic^{b(;*YlDZ(uQHo=U zf<1*biD-vmmwnQ3fqdxo>CKusQfe21EdcJEEyWDZ(^=ATrh z(i4>}Vc(@#lgCfORh!lcH$g*Fv4Y)~xT=WwIz50n@)>xLDI389$N@FmZ&(sU5^jQN zqgZmQA`}P+HK+w-QW~HGonBLb2?nGek5|8YTb6ZsNh#-#)%wD5bsIJs*s<0;)D7!z zM4&u&Ms3m`U$qwc@ASw~un3rZuW4S;!q@NBg!TesCoYe3CqvJ6_FHixeqg({>&CTU zmUWZu$`A##$FHPT(^ig)$KE8&UZcXMewLCWoRt>+uuKh>zn(m`Eb+~yo`OnbOW&+7 z80+ud_bcecbT*&7P!7GU_2t+VK-g0YMN!wD$`Wj=;TjY&@*aW6dQ4$J!^J0FyfT0d zDP+EAIsVRtLDG>ecLG~d*I86jR^=R6>v^?SOcq<3vXH{M<__{@;ot?=Co@&gz zDdj7-`^-|GNn>T1^};k}?CBdJsJb^dt+7`rP&c_)Gh4GtocmgdV*6uc26-;-9}v2> zdv$Y1d(`{L54Pq&5e!gzT-6|>TK?2+5u;di#k+se41ST81e2^ngr)}V#g{+Qu|3&EItzu{)TlZkiM#}=wEwop~eRiV@22jb^9n+2ot12SJ*{SnGhtlWD*gKk5 zkg1s>NpX5dd{e@saO#6!DCS4%2ha9zl1jF(hT4~A=O)h*DVuDZy224l>;W?Q z4K=uT`UX!+8l-?Q$lE&E5R_buY1Lf2AYb6DRLX%oLP?9l#>hOz^?%|*R@jyZ=Tp&U zkhFT}1uNJuhEF2STAVKPBjc2AXaA~JkCObs@OqTD7;}SWEt}&iq$*8zCiJYz%qy0# z5lz*@=38s~vT_rGWL&dk4^`O?wy$hj46ry)9jQ|s2mn`G{zaf>gPzVUld-Tp{@3$$ z?tGF8iC6O1+9eG+?2*|*eCuDHTxB9Itx$1)+FdiQHOaBJDh169?a=tzC%TD{Ge`44 zTy?iLyN9d%!1v{Nk$*lCXHfHxqcA{Ot~7k+OVofzpFvKz2}a>*c2{ftAt=MZ5mko7 zivT? zA40J2^U+GQ5`uJ|G3!GZL3PQ)BJU64L<`Fnqp=PRI*sUqVK-F$#~U_uYU;Y4mUpn$ zcom5$@l@_l(4lQ}pTg67a^~qnym;WdD&_zd7liMX&!ibSs$OWz3~;&ZXn-#fMyp!%0>nS zPAg9zh0_`A2qWgNZzwMA-;2iw*LWSltIisxaPRh4aX)*J{-Q9avgr=U6zWfUM1!+5 zs-v^&hwQ+t{K5vJZxv%`D)l8ZlucGP`B9*|Lb#ilu7qXsu{zDf!r7#o1DTsYLLAWH zUn$af!N5KF#Sk)-q59IqD80{xvR4^!rlt9)!AHCA2uaq`ZOs!=qfh~bX@_ND9;_H}kA}uJQKvSDUsWV)O9c+47##2ewTikdl&cCz=0$TuOb0Er4hhYfJ&Y%6S zAT4I+jci#I5I_hYBhW@|k(mVvG_$QpsC2QQm(oj*H9TLXIFzi>CSu#6oJB2Mo*I8% zfl3{nlnETR`oV4_^;BPy{LnB&N2Gp|C6cC+j~sprir{fo4rNRg+r(L~q}&chSpXM5 zFnkIros5NpGP+PnX(J`k*vwPRx9X;!9ECSSq~FOT0$onk3f>Z&??YwL2I~Hlxw{CM zfbF=a(Kts{B8gfeL+;wSbrC_2-eO?|7k++IsmfT+|b46yF zb1(Xvwa!v7N3&U@=DT!cn&y+?&vA2LS>0`SV!gXB2KzokL*Gi7k-{_`E^ahU(E|2| zT1vAzZh&Il4LvQ{KTQv8UB=mglwdlI2xmjj{woCHgs?eVXyj3e-`~{WAjGD)(<_S=7#H}HK~x%C3I*C*?*2Iqi|5k4%f`V>nROf! z?n>@FoLI-vyvsj?3`LHs&(~2T3L%5Wi_ zdaB}GrO%c9oF6K~AU+MDi(K~#wv-UDvRX)DA|;*%0%$G;6}L~H2KFK=UOB$)6u|#U zVg=bShQX>#gz;m>`x=Xn=Q_(&8VixkjBnz0r8Y!Rm44YKWF>Zb#fYhRjs!>zrG8ap zA0`FY-?oH&=n653R2MW#Dw8GWW#3T4^*wK-`m2f5(3r&usbeQL z8z_VUbHnm+b(IkPXZ_7GhG}+gk0z?ICi5MIHD3%uEMUA;ysE<hN2cPd#LS``LXNPxxHvwIvs1gn6m0MZSomsM-PWR=wTydqF z9P^WET1VocEzefRoX#~-cHp$8qLN+uDoggcAsntAI5FpHJ&Qp)d0>GuXgGtCTWwj> z{+iS^>eSJ=A>^6JKv4bX^W|-6d~RYS3G;o*SL3ms0)@bbfN@)k@Q+pcV)0txDUzp= z!TEam-WYYhFx9rZF=W2uU+V(QxYuRDHl*nKxPjsYf{lhW2ogo5S}tjcd+tSAjJtb) z%dXEMqcICoQ%0cG^2(BpLw+E7Z10<43zr?6;OZF?75JI28sqbsY-{kpt;ZIO2i2-d zU`aT^r2;*sx1_p3K5LnsL=D50a?ej?+~69PTaKPdK3HRIP`n@*d(~yMn9XbKp+5*D zsD@ttd#g>Z@Y|;%J(h&Rk0N)uh@Qs|(Am6zxW-&Txvir8pC9o26|a!>5^X7p0O5kZ zGu-d~zco4(AW}Hu(4>aEvr(eZGd@3E6#@P-zD<|oeH<*RD1xJHO$qk9$(UI+t5SJW zu8F)>kH&SO7qE^8#5!ZI@;JG#F~G5ZXTF$z?n}p`nXI1eDVa%l zho2BA)ZjW<*_G#FfyOE8)O6R?&ayVC1Y2y-Q;T(iQnyHEHq+3zX4CUdq!&Dn0Fg0y}<9LbVenc9c zGy(thK^vl2dv9CydUjwwf6>t-lq)n{C?I3j5rlfk*D)gOZcKjjbi$5=WDH2Pu z3_zg@D-g9wd@Dk+OtPitVPyL|N_8y{!i66_I0;TZWgY2IxL0e5PwTf3)Yx29E!b!g zM2Pd00I4_1eIF%?cbB}AyC8BFMZP!3%1c1-m5T!$)hq8iVT`O(d3Xm&%I!B%;f1tt z>vNP&K-AfLSPFk&Flv`W;uw!c z`Sc@euR)jaDhV<(Gmbu~iju{!=*&gY?P|1RAf`xwbw)huG@7a~baN|XvwhC0{8Ybf z?66tXR4_BCCr@r9G4gr0qbntJOpfKr7PP5Rlk<6P!7?f@};*AYPcNxJbmNyW}|VneV{t;IoA|kR13K=7b!)Rf!mh6)4?)0Z2G`EcWc`L~{wyB={QtBzC&ZeQLsUS#LFr z1lf6ki;ZU%iMqXUiAKoQ#>~8pDGev0MXF>nH521kpS!i85ox*h=kh?2!y_qWOFmQx zEUWD3l&D_ikC$Jy3GF?U;6f;Sh-m!GYC(R-X-+05iebx=uO{&&IBuHB$qL%Z&HFN8 zZT`1IGK(f)kVt($)JU9kH0lD9&FpyUhWy%s4ailg^FA;1t7#f*f!;+oZHVRttQBgn z*nD7dKZ+)aOY=3#VAcCWqR;C+Zr$xBx|)d{xkq|GSi}BvCNoW3bO%k5h|S=(P}~@v z zT8;il~^5cB52@XYiSMQ*VGcoqCC?CcQ_qp#}fN zJp!x1!}QbBJQpW#t~<1?Va$pg^y>v82?f$aVpd;Q65^xDaACAufJ_{;Lvc2#lL#$T zQ69CI(K}B%U5pWeW-=WFR@xj#4l*jN8%JBksGwI`msFk{u z_G0Ah?!IN~jNTf%ONL$w4m4QY$%=1lFBp>~+p5&`%b*(WQm3nBrWM~3$zkp6;iC8# zi`s+WArRr9U9ol){PEg0k&Exf#YqemJa>d5KjD?~gCp6_QJ+ z!ZPLx4(zCGew){b-Qrce2sb*hq6}EX1q=P$76|*))@W39{0pks*NpX!?cr)zHwDA{BYI8e_zWdnr-~j{N=v+2pTXtEzin^}Prs=Y z#ywQA_0sM}=tF@?5q}r9b|wm-W0>&d=5)@7I;rQV;oiG5Bg)BWR<|H+6upQnGe{p# z&0i{tmtrKY-w-xIMo9rqZC9-RPy|)rW6`l)LWzLeJ*#FvVd z@VH1?q!!>4bffa{XhPV1{JLs?t7T&3EJ~l4aVtJ69N|-kvt{pID>4>OT7$}>Qo!95fK#S}V-$ey)v@k*%o6SAbN);?d4M^ZKM^V4Pmbs>= zfk7u-&v(80)&oliLl{)F=FdV0)3960VLjKEcbP-u3v&aU;W&L2?F>5i zE5M*#RzI-uk@=ype2TC#J(ZS<@Q~MA~qnPcdT!S+7!ngZ})>7h8 zn>yDucmas!f@B3lN8o#+oHguK;*OOs0?TU?Utbki)6NsfMf33Z{*HgL+TfYbOj1n?Xzo9)ndX~t!Cj#opPx(GUquWgo$Wvh& z&R_8B)LG4*8w`5JN=dGJ*?S)`VnbjEW<6?o=MR~FlTkL8?=jVFi*9Eq6$OTX>oZ^p zJ%DHhU8bkClcgy{lgrj)#$GHbVMVLc!2>Vp^~{(~@VKR0_fJ?utOpFL2*&L?9lpy{ zx0sLxllfYtRWz+7W8HE{22%tB?oqSELjK2D{lkk&bjN*&8;nSe)E2DOxyFl#voa6G zzr1tc8bUD|!)IcynrSe0wr<@%e$N4V!@Dm1RKlSVR!`)f6rD+;f3_870EABCXnHSk?vW%8B8^Ir;~dxnX=o zRy#%El>DGM(H!V16>~tuTnJ4!f`AF-_Bg3Y0>25PDdF#UCf_{4qU3N0G?`tsJd=SI zs*eUQ_+?>KlMKrJC5gg_MPMf}zEdmG3HTwI3ie5ZvM$}-DJ-h5pmx9UFA-e{2V5h& z&_p4frhJd=?rDCS+V%e?QX$9gI^|O@h;gIxbCD;jB+)iVEiPd6kM`i78EMO^Z>};O z!}vul>WxGUv+jGLdN(^MRI9lZZv5*AqCbz9z|Fn$3#&s zpP9B-gBm(`F1cn`rX*$%p*?XTCw*uYsNiA)=nCHDTwb0*Kxb1o1ByVE5raK4X<$IR z#{;uW$fNXo&K^Aq{8<`2z*jS%m%%icxelRn(ecW2*zSJqu2Mp4r^Qhd#>vgyyV8?i z`25a8eQ5?WJYc=MSQ*b@bd70akZlV(mRwiqjy_$OxTOn{Y-Xs_-qo%D^b&HIcV2>r zbA$lv#FxW;D9+I#7=1QzP`Hv4ejNokUpo^6pXY#5SxmrJlPgOTy!V*ouBi>q)*49% zikAMu>bz?_a;G7<_GV3t8DI3eN2cq51L^XE9Upk_8*MZ20U0Apc{6lbO|uIhARED~ zkI~v_E86kz=(z=LFCF)Q#lSd=ctRBTrq$Q8`wo$Dsas%_12KGVYC`mRsP^wLwDTq? zm!;g>4AIJ?#+_aPL#3Qrvy4Dw1$pM;ip=Rk^rkUs zaW6eg8w^EZP@4amecQ&k6V5*0YV<{mAI;M2RvJOy*Iw1qR+gBYd%~Z<{6wTp5mObG zP?^!dNR-fDOQ6$UobpHZ&{}N~00F(J&(z7ipTB1#Ly3eX9NTo5@DD%2n~=~YH33MF zSF~5T+LmZup>p0cld$jRC95I7sYR*^65g|F-`dm1L=J;eaGbz}t9XO2l_bY6=whxmi@|#J9GVk`OCgBT|Wg z_zKE|XGksOh#eGp(-{X+a!xeyjOT?EQOt7|@x{z*rph)17H$ABE#!CxThbai@w$K9 zmIrz7!~G0_#MHQqqmX4>)F$xg)eY!8>N&)o`M(A=WDXMMia(TMImj?UK>BncKe6w@ zkIYB^_^!UvcINtqLGHa#Aq=n2;`EBLC*cHidzL{%sC206v{^B5McT zLu@w$Y>O&-!5|)p(ATK8s9@xZ3NMqnpoXI@ZKoq3h&dobFQ;gM2Gq!sfAoqP?YGAT z3hUvTmeh(3#DyiKG#agySR|q3M4^=}Z~w`J`Y~(e%Ne{#$F$zFqTj2X#ymW2s4ooH zk4w&dI^VLv&NNy&-7ss>0+vK7`Ed2Jk)V|SH+|bo$-I7cx%0PKL5<#mOc%V3XpcYlq~%7(MZw0$x$Y4hshGa)0rUG`(hj_!Mp2sD zCtIQ=Ja8z;dszP`fIbNzv5YK50Z#Xbq~F{N!X3L;l#$FN#pq4aKi@AaYtWy6k!T?x zu5{Hu6|^Io+1q18UMrU?#Jre$h;v08TcQ+5wvl!k@biP$S(a?q9}32_^r%%Zc)Z-r z^%zlZ4p4}w3QYV$jo!@Pp2lW6iB7Y!Kll+KrG@Zyfw}DhDcpoXxUiO%*|taVc6_qB zGz1Q#R0;<#3GZ&>Co(qo6T&uisHa7B=}I`jL!9pn!ot`dp(E}iob$9IR#vOw)y({8 z{rI_HwGN7V(%Vsa#DzQO?q$CUN16{m>VJd3l+u*xx^OSHdp8byGi zOMg{562{bKlNej|ecCn5deR0at~~8x!ZV`BRP}lD@t!Y7g4C-k8=wZQe^rTOoz;aE zmAXwp8eku7yvnbiuKyqi9+3x8wOA*)6el7NiW8M;AAHdWUQSTnE7Uvgc)GYtFz(F> zaC;t0sXZoNmYnT$fl;~Ip5J0DdNJ2=zL8dAqg~I07;?nH5XfaA1@AgdS}y67MpbIK zPfyeS@#22wj1{=t4bq&pOZM7wa}++|JRAw#>SgWyjbB;=?b<~vb;K&IS%$(oGmJr` zr9ok6X68zo9ibU**+{bmC}M~^RnNP^PlEgt&a8IVKc)?xQG=O88z+z-8v zaVGA7Yv7lt?VoGHjjmo}c;FpVzgQz8=?2EA;KKQ4V!ys+grFSITAqZgbUppk?W>#3 zh_Nz5LQFW#mOlTOlh=CcqwXs`dBxV$m8Bt33;5}TB?v z2^h2Hr)euWWZ7WKkOVF$Ff$(bx1(gIN8)-E^6BDnvPZ9-XpBsC zP2v|9!WM*5*#$)_Oz*?j&;HDa&<$3NyM$8}#a^&uQ!4t$18jF&4?q1iOrHAPB_$T8 zP3V?YB5vW&R{z#0xib*DhX~}AU(^{IRD_bY=G19}Mg1UDg%_4NKVXk*>6;@}(y+?ZumY&E%-u24HE1X&7^ zGZoMhL$fDRGk+n@*J~i%e0OE4-et}H=AGgV$9dZv92dE0dk5*oa>p4C zQ3gh@x}vJVF3w4N&%W@?-qgEYM%I&|7PlX+1MEL}$!5L_B?LQ3({7b2#2pXZT=-3b z_KE`crBwor4FkAm0fBDP6J%T)ncYzhu~}&u|5^uVvHtm*B{=T*sM9xl14K1YVx0Sg z(@JiJBo5qg`YHh=an<9L(w^;>NOsOnO@cxfYYcQ!#?xV>2-;GgAi>^^jtBp`DhdW+ zMy*`<$YcDw)HYVQEQk9*vgD*aQU;36*>V+PUMMr2np+^~sy_`)^Raf5wL)UCY!oAN zV{99J03LsCVAe=6u3&pXyC2Gaq0B|T`Q>o8hfysorhX%zs!pMd8B2k{|Eeom>6##T zO3dNo2)AT?uSYvcSZ*IZ&;?OHx(lN`T8k4x*MZqv@1hSk>77X_!{YbG%kRPWXvH$H zpOB|~n>pSqr{KD&H+Adn)}zbl-<3EC(hA*0~NJ2ENxdubw4CsCRu3klW)^$W72 zOdbB;7`w+HU4k`F;BDKsZQHhO+qP}nwyo2)PTTfryZ79CXLj#SOziBJswcCe-pY)q z4;7L5{{BTAam3ak1TuEsaH<)I5Zv|l**#zkUv2B~vz|Ta$zzY%s)v6pg1*?q&$&C+ z8jU$X!uxRHTAqDs^nv{Fn!G0(LF?xt&n(D z>n+Z%Bm8xhyBRITqU6(59>-GMO#TRl;7lJTqr!d)CX$-MkJrg)e>Y!t!zD6Ds%2nogJxH7bB{V0$soRbkAmK z@oB!3%zb7}nM8FoMA7vm36xT}3~I2+s}^soo3Mo@9X-KTim$?ll;;qzr=M%Dn?z zgJTG|hBs|pm zXTG>XoDT4l@QpTKO8x%!^0>!^Gx)RY)jZ9O7(^7=JpKhd{j#8(RVa=&(OxSvAlOTt z8#7;g6q2GOrBI-8{)>iun?@c|p1kFHNKsK=ooENl0g5I?egP=ka4RsNT55>35&62m zic6Pk8f7l!I(;05o2!4ZD!glrbbwKTu;ocmx8lk?L7C-w#X*%*a}Zzw5jBbe7$s79 zX*Kr953N-X-d+6x;Zv^t$um|K_ylv{SHi_k9c7_8qzf5A(KgFEge$PM)nuk&hw}Q; zq)OeptSrDNJJmtuz}XZm|7)JqOL zQnqPNWQ074)+_iBakbKI=lwNn32iCZUE`o5HiIZbA-?w&6@|$BtbJI;@HbhjcTw6$ zyA2jDZ5vZhFubFqgvrT@m-2v2t?$?+u!B2-fW6IdLfPq(3o};cn6);sA8m@R68XNd zXfvzNmUhl}QqDjR5{>QmtsAP^5WZ))&<=E52bc53Tx!XyqWg1Kr~yBRs%H@a+!_}h z>`mf|;(%Ywn|kwlpe)rl#%1rLEOsE@Jrpe_?WLq1&qk!UimEN)RPc=dbdAT*Bn|SbMQ%^HOp@KZV*B0o@qs&N)LoX= zqU#QlU>C`Kk@(!5?7NGy&{$H_M{#FDYs!cEEENkZJ;+s90Lth(b$daIs{eJ0RTt_a znMPKHmsn3c!dP*(mm@FSnjsOWP=@-|fOJ=_SR7QccbZmCc7jBDxR>w9*y|Cs@%R;g^;IE%@YvDa%1rKw+ibSGiCl`>Cs~bzfC@o zb_z}z*a0kj)7#aWKc25od+~tNs3TgL85^_|48WilU@EKEFQB905HNpWzfkn2pC~!o zb_XeGpLOJ5XsaJPLcPV(R+L|eBygT#bObug7{b$A+8ITl5fZAvwVyjME6z^ z2l_90w=sr5R+5wKKfye2vB9X}=x5C8=e)0355WX?#hTQQpYK_X?VI5`=5bpE+fgqq z<)UBxCey=q{S@TD?_FtWmSgIxUa~g+<1sJ#l2c}UE+rUYv7g;Y>Wmr0&olZ`9V9+w zo)@+6^?X8#$Q}*E-L(_s(3Y+Lr@>wKPonwq1IL{o$qDu?n^01}qeZ$VVPYBy=!1Y? zWm39!!8>(1u;YR-`eT6!S2!zo6bh~l@Eb19{u8`Jux<3K2klB4T6lN(rE9(qB=@Q6 z6km!NSp;kYxG`YE7b14$n8#CEosk>-U6xt84OIrmw_nv*=}jy z_H%!-Z#q4(!%T7q5Hm(}=$Fj4Ku2lO=rpRk0}3R{>bj|`KXScqNl7SSC+0UE0g8wc z_b|AB2!k{@=e2ruPQ;GK$!>jZDAid_XBbH$LQ$ZL0pvXXxL!~g<>@(Z?N}|DnYCD< zeIWsct6-t9jL%otg;cP%4EpyMZRwANpEREb@`+=zJwAyrFkN=`An zlOs_rKz|>)8y>^}YVUqOhP&2LS%oPNJ#k;RhB4HrFA&eb+VJ2hhhwqI5Nm8Vl*}X` z;}UP^9%)tT4tPF_moAq`=1zJ>yTgU+#>&xOh@aPgT;hhx&EPjCj;eZ?y2sM?l=BIK zCp?f$w(8XdA5S}y7nv?t{ZX@m2y{|};*0kQE3nWe_2zQf5Jn zkSjkk>`?Rz1WRf_;Kc%M__>ye0{|;dw@4FLMNq@sAmaV{IBgZq1fr=&RZraHgwE^F z!Uz>*mmrpecgWQtY$WWl+8CV)wv4Q9y*T@`)Gn{wg#Q8e_ZLpS0ac)Gq=gL2PQICe z7@XA>TBRv$memcnh-uqv&;jxU$7T5W7tT=hvW;A{pE`{uXb?Dg+t0<8s3hVwT;`g9 zFx&_$<>mn#KuE)>d;1wW6TVB_|y{zsR zK2=1TgGVpC)l#ZTY{WQ7_QA<^WTIj}KM_}7%?Ulvk**Cd-Z7?M6=uJIr!_SL_Y$zb z(B35~Nny+o;vJw*j+R<9N>R{%n~h1Yx^+>m6zhq(J-jCtCswr2-l+LtZK8V`4{9t* z#YY0gHI@)wdyO|jz0E~ibJrf{Ie8mwaxa_`EJ_ufS3>caU93MqD7Zk-I^Vi_GRK=o zjy`=ExLB^HP&ygny{AEr?2IDSFjXu~(%0QMz3aJSZ=k4x3ydMGBx#&RHG0CO*U-`7 zG*8o9BSw{oZI&j}cBC4Ryf@yTc+G9c>#+5U+WAbh5wNL;FpbkG^gAtt-$5keu_3Rg z+M&s?xq26+e14qs(Ob4@@{og()E+~Di(r#T$z@&koPvAcV`GI{Gf=lQPP~36R3Fi) zEo}^%Jg%U}+MPxfV71p-%S`*(WiezGgVSi%0baEFbNdNib~>7{ElzIoS& z4aoarh)YbI+!InLG^hJ~$5h^q7;d*CzM^kVTsk@YYUgLg{#>j8f^6TzwQYY9Iri6V zUUFPKUAnP7(Y~E&J#yYqCTLJ7-!OjjymXrgZdpZ*AB#OZ{~H5)FNSgqvll3Ww%XXp z@`n+nGXEXLv&rJ@)1vHYAq#!PcKTwf1@4nBaAWrxYf=f$MFI6JDa2i*%2*@%)624>7nwZxVV{b} zoKoF)oZud6Omipo4dfOiM7`}|wAhxgO64JEIDFU7pt{DO9Da8w5eb?GecZVm?gbSW zn@MIcUm%u{r&o@FzDQ!DS<<#n7DAF1w25uIEYZ5mMI7#wz!CzJ$ILteQ)H{ZmduG}a;J>nQV7%+6Nlg$-raN#K6W-+T4fV2( zKhk=dq#eO!rc0<~hz_0sUlJ|C=yuu}aqf8V!6r>F=@i>;lRPGNFAhG1s9#WS{x!$b zaj0L5_!(8pq@Xjsva-X)#Kc}(Qq<|m`JslZe-=K3kM^5kg&AWm52i8D8BcI?WU*3m zw!}=Z?U~HTkT=0{%h0!aCvLgjIN^l{qLvJ#Z-`u2b*zc?-XOkvF1-_>ZnqSLVCMWY z;nud4yXKX0Hhc~$GHe?gujM9D9vokkg4FP0cci{{?yc4ITR6Ch^FDYDczzeaFR$Wp z)Llp#(7RueaSWeU$5vakCd>Dd46WXEgb8)NvhBi^k|_yfRa*RE`BhJYX`Nijb;jM< zF)|Orbp$K^JCrA<6Qqs-ZD`;ZKb7nsXH#t0lI$5@tZVZ-U}udqWcAKVQvwU`d>Z2z z`&y^0s`ddWN;MiL?|IIM3R}iTH-W|2^%4ga;LVlq9sEKl$di!2p)f|^T=_#djWQN= zrR&vm@nPRmTMjuj4kfaT#)JGHT@6uAo(ffYfUr~Du(ihibsP>XVZh;OX|HhJ&5E!d z89gh9A5;+iW!6rPi_<}M5EqQ6e}Tq2TusXXHH_1WHz|TXzf}z^T>H&RF3{q9BEL#&yded{=64O9#HHP>j9-$iI$1zqizj2|$6z_r@L6=V zJKRFXPJk~l3&HI7;xTjskj~=h)FPY%5Hnu}?6Jjgad&(18kX4Zu!!G(Duq{EIzqoB zTfk!ToK@A`pq~y&Jc7nf&}M_KRsQ_uTC?-3CG=|9S8sU1U%ja%taE)#W%4v4xmRDx z1e~-$U@s;KrhN2(hZxAMEpJLKZi-wWr4>I3cs%sxHY>!#y<=1+MfmW*jM2`F$~dY} zNM_%VhN}sJD-U%!$IRX8HZsAAC)|Z2^Tmnq^WfVmD{S9U%P#SxvQiSLg+evqRB!ie z2hq#U%cIfqj-d?YdUotoi)wV^8s?Nd2-U?$HWE{6yW1M+7q-{`=z#FTmX~=0p0fpq z8(A^O>!I0SYY7U`obPH_nMDdsBcCf5!P0cdk~Q_?sqyQRt$$M<7H(l?l&KY?j`pov zWF7lp{Jku;k*1H&^)}{oOc7KTwSk5XOn501;6I5wHEDi-3C}v#ho4Whfl`SHQXVTV zbc7=SAmq~DztTK4Aq?@!mi)mAdzs)onYr1*(R8d5x{kV1FfWUK%IdBT9{X+N%77t=}J(CO>KZPPY zgZGQ10qbS6&)~uXCJ`nFELF_M)E3;|xhmXgkk*Edi&kD#3cT7Nwqt1Ey;F*CAH)01 zuM?Rj6!U6W$k`~CjYWB=;oYa>_$`U2_dfaBf_MgZ)Db9#g7IvP+g>5O`Pmlvo)mGS zm_;zi?NamizPog%fb7M89)j?t2B$CwL{~t@ByD#}z?s3iBKZ`joxoo`TI75ux=nYV zBA6O#An&S4i!*LzyuyEmmki!$ufOVf@X~=@%9j*j^dag z0`s2C^*5}$;3P-Ek(2WA3y`jtlmKSi^_1xz&V{`#_%Mmtxer9BgVfkXHsXWWT&Z4@Gx^qHn- zw3L`~;JpBAq6eQ}K+M+m;dpHo3;a3wtd&{~_d7`uaeJ9qrDpPJM zv;obS^{YHtQjBXYJJ(fx9PAg&?+hljtq0G>sBB!o9|j(4d0J8W{CO&_IeUqjMqU3sk!k`OF}kYKE43^= z^D-|soZ)OflTJwvPId+fPohY$AuX(?4Meln)e@QLFB$G zNa521mr$wuaQysEdP8f>*^@M0x=&*@mak(~2OFB)4J<9=S?l-(mW8tnL%ax@vJAi1 zbtvIRvTL)f&rK-Go03Yac%)2t!Dmm?K5u*BQd~yIO-RI}H=t(&egT~ETyFy58$6vz z3?*1WMve%GOZ|x;gw`q@wE1Q_nkWc##Gcc9l@xVH*ojh>mWU%#{SFYuU-vR#Gv)?L z{Um_o`?ew-3^Oz;Jc`_n$t;zCnM%l-l7$*+!q7APi)Jjg{FsUyW4+J=N5h?IXP`pi}0%uo;LM;Qshb3-;IK6m?HqS7sbDb!Ap@H z#vbYPpdYi}OGU=Yg{aF=BFP8z?GDLAEks)6w3P{d1xXC7#KWA2`xiCwD~lXC0|;az zN_Vo>$c8-sSxvrRM9&dpwm%AL51jAJzz+a;*OcA@AlVf9uFvX9>ivYV{1L_2AVAFf76v>Rj>Icmi7AgU}DSUy}(KxjB7eJn9Bk0$*6| zH8`Xu<(ai%@Qy;2@Gq~q3+SRKUqzwJKhs1nr6c6a_k@Zu)ErasbgoK~?Sw=)=Lj^r ze`_HOoqL??ia+_0VEm=-ANo^$hb^`yoc<);s6c|~hVDesG9N2Bd<)`yB8ahECgrSIpFNNtvuQZ)X~7^%*I8#W3dz2c7j`s!-h$lZaC8-xDwayVTuOMQr^ zp0oa`BAQ;{OsBRu$H6U`bJnM%rH6woLmY~FT8Bue+7xcsS&$`R(5K8#^3P_*yvF$8 zFqZF##L`Mds{w{NxPI(l9em53Fp5m3`L%9#0j~B(?$dC9pa)%Wwu*ekwIh31CeS+B zj_-m4p$356b*}7QVn5fm#XK(;su0j-YmAM~{1vv$1B^Z9*4*n?7V&Z12(V~Iw?talIzFhPODD| z#(NzefTh+Hq@?U(*a&uItG(}`BZco9cELJU87s~HKqKO2fPds)4#-r!@m!Eq{*235 zNTfV)c!o8Ki`l}(3TT0Qn#2k1t|j`2OwIZ2b&QyGLnzTs!bSSpwbnSHi@LfW<9rC0 zGsUZ26zT$@gC9{`!N!j*(2D+JDGi`r2}Oz5xg6BD+H6Y*Yyh&d??4%R^UGrS;xY9z zigereow&`QAF-cFt+c37k*jK}-3%Z&50(NLqI#E*Tg=mMRZlOITI6KSIEc;!&_F5k>#7*OH$p?(o?z4=>K>c&jFca!6gj zQwFpkV&d=q#req5M^f^^ppvtY>qw;HICP#8OO_#o1pfl>48__YN269uNMgYEAy`X= zwr%DnZ_wlYu5eSni~VhA8Iv?*waY577c*ADKe9SMM;k*ZL>#c-EPPu&KZ5a~c|tYM z8RI&~A}=L3ryKn%nhAzT@$Edw-e!uV5#d?Z6L}ahcsA@w*rJtDkpcccmz|{}hzSzS z+iFJ=jdrXHXhD|q5PI(sxVi=yTRRlcik7n2o-783O<@4Dba?MN8}gXt_f6dM#BbTH zcNH;pv>n2FS*>Ffu?9!E3{TIej#(n)``IIUnl52PKRjd2T5b4!#IX`oB?7`f#`S>y--6TySW8h1Q4gm8)+2t)PcY*~UqNO9p; zg<_cx$b=!6JO;{qh`Agd;1ymkmv$yW^v$?<++%ak<8Gr;*abgBsiUEbbKc19_VK7> zN}+Zp`Gs*|OG9#pSqgN;$(9n{M(-o)2>EB1GJx>E3i4@V0CBs*OyGV0+${)*CU1Vq zu(D4uM&XrpUa23>AJCRKb599aw8dEIpdQ1M$AcmAeo2{><*Xv*6k2k&T?anyeX;nU zE<>UKUh&6n2ZQAhOjcdemXNi32YPM(IW`i?xo_(-s?G2H>n%dgvQN~B${$oa>Tyo& z;NR^ulwtYX4ibGwX)q=v9QZOovGNTNB_Ma|OTKMZHIc z!x-iw4x;QcDjRrqBxLHo3ybC?aoFsTAGe9>&^i^vRVPioYVx{&Rv?(~T1G~pnO0_O zclUr`uNV%KsnSMI$8<&EE;869`KPGT`XmJSIa^&REEAqt<8uGn-MDSNIpB_SkVl^T zca%qTp~X`>yG0n~rghDO$=n{)RDgtYp^Db+Or`%rAX38mpX~VKY722|rgOVo%)vhf0yj&>;m|0j0E4m@1TBYiwsqzPse9)}pCou!eAAjA-U`m`;$V8BoX}7}50yY<80NRzh|n!M%3o zPoY%|JkMn3(!PWzmNvGHhMumZ26X6ouP<7ynsNu2zr>uBQ>vnR@i+JV7_eKxS_E6* zP_=EnV3Wjk!lA$`o*2GNWt*J=4IN3F6;E`noOH_&H*;?W|29dXC*FhAh$xKV^4x%|7Ab zRU~Q?K{mP&FIQ*dfqR>Aw~O*AwNw(+A%)#|KxVmEvc>w@$Hqr}ywfR?qXFb(vqU~Q z(K94>z^@_FYXi9#Rl=(BC*M4UCUW)ytX__|40d(x#M3cE;@~aOg5t)l2|caZMMg)U ztZpgEYF{dTwhp&clX=fZRNL8937WoS)(FyjIV=1jgGkLG-a!5QpCgP4c@zOb};InJ6{D!p=O;%1D zx*B-$r2{ms#Dlj(Hb?r!k&=V{DTid3Mq__H7=1*%BqCngXHtV3Yoad!bztDa`aS$~ zhe;&&htix*D4a`7k(&WI3L|y8Xs;+M1`5YfdJg;?(fD!Sc&>zK(#?$ z*tLt~Shd3-?{-Y0o|IeR2@}9XpZy(N2)Zi#_nB=B3#L)@_&22L_-N}_#>pO4tA=n= zh9$?x16skVm55l+yoi`YPSq|v%u4?TmnQdx@r4S$rP&Ok3Sp#%XkBafKQv%Otw6*$_dE2KOE z8!^d!6)l7>qV9TEJIiS#(h^vj=_3IZw^NqYL_;!$u+7Sw`-fA&X-1}o%4>V_o&o}g z7I+NuLN8bM{!3h&$E=LFcKtI-1^h2}`cRc!Sa#QYbt~)L3`bz(;rmR|#UCg|^FVL|Z zcg@whE+m@{fo47%HxL``0~Bd2LD>a!ZOZt)fyA-jJaDj*^kZxNHeQZFY0oAFJ-s)X z>^1kL(2umg5gl#{K?(^-1AczxXUz7;|9WX|3sUCP3SROwAO^K-WbA0ltX)9JFg`HZ zg-Q@#*e0dcvr2NM5chn^>z7tAAN-ObnK9bPB*lx3`$H;I;{{)kW=!6T<V2@8lRPW z_9&>&a9~O=rC&_yx8-(yXVuILX=mCB8a2jxuxpjzkDy&qmQ`jsR*LtSdIenlWl3RH zmk>?CeZ>ZlKzxqh;~3A2yPF)e(fd4V-I#2Toi zJXg@cvSdCdmse#{=}m}o$fM#MSGHN#B4PgE#cst#)Fb>n8Ts^HqBIV)COxP86Akw! zU)09)>LanyP9Dd)AHSYH=R={9xjSeMy7_}kk1*$==<}5pFp7zTWGagmHcV=Yoo5qxRJ~^3YMpU(X{j0Q%i>D5O?JKSBnYn)N{fNI3+o~VT7F;X5UO$^dL)3D{PEB z+!!)jhkS%=nFnt*%{L22kxHN>xn@<4M5&Rf;7b7I90L*FNpRIZrQlS zN+g8$M91C6cL9XT3T}x`j7xcOhCGzM)5 z_Sqf+M0*7btq!wor%&k1-^30juCFa{UtRd{gs~c%xlH`4_w!6Rdn2jlE z=en;zAn@*fk_;KGXb+QzgVkj3QS$4v!!+@LeV4-I3>%6+u=i$tS~R|$C28>i22b8O zs~)qn*+t9Noou3UmK@fls&FmVS3V=Hm&$`W*)s3pdP$F2@I5doi8p4b5{P&_P z%fIoZ|C1;yC?=#JBKBWIStV0**Z*FVWn|+Zpk?Ct%b_y-b!9o3{+*L$|Gzm|R>ptl zWEt6@=>LJ#S{e)5ng69%|5~d5!elvEq3AUvOfAhVTnJcL|91bsELkafX?huYS$a8o zd3ptU#lP$I%JeGqs`P5~>hv1)n)LtBWDRW{Or4w!?M=#88VjZJM#&0PLfIsM}) z|17OdUH*6X-}*l;xZ2p5y3iZb8{6C1+x+qv2r{T-;K zxgEVdz5U+~2YLrXCsVtBj_aS*zaIN{HpZrQF7$uTmi8upx8l1x(>u~Tx|%w>SpFTQ zqpQ7(sfm%z|1SP{|9|%W>nHx%`d8^h?__Fj>Fi?aWNPwHJlEFpU$gx`jIOiIKW6nm zW4iQS{|%@64?6e1a=NVl_CEd#(ESIe%fkMjel8OMBRk{&(RKfw(`97mUNxRnJ0Yu486Ku5EJ9GBGnc0Ph8`jm^bPxv@RD=`_7eoAGCrReSg6KZ~^&oV61a}@dA@`1I(|EY^*=#gM4qy zTz{t~^agM5;%LpXaZ|4fHb%%zoa=DgR}p z{H5N}pVLq1FHAsMTtPT8J2}6Qr`BK$pj=wo*_?j?%G}!4?g5pVoH)fjxl6kV z098NSshHm%BH#82ul=HXKWLsmyCYxqSYP((uRl+GKk8PF=GNAp#M%9@cek9tuiO?f zHh})-umWgza}AAbP5__G4gq98`0B*i1+5=H6L0-Ds|+9Rt3SyP{X;)+geD({^+J!+ zHZV3nY{0m`0`1Joq6Em1p#wS-pLT~o(sgH6m!}7@EUcf^kNk6Q^~uS~eu65s0Ay=y zeiVbRcM~#e&;4un>+OE30u*JHl~whEpMMx^e_A#F*sF6?c5P+@ew&lPa{e`VTVKPa zG}dNe_ax`0XF&_h_YK~?F@N;L>C$d@e)tW4L`OdJtvQLw!3(ej!XpDCBQft@zWDWi zIu}3aS&Z7q+{%6)6Qhz7YtMIAKlH==4Uo;j!~3Ittg$|8KlxXGxxNY}Y8gA;KhTXCb!o>P_@cVbu=WO_2 zNiX5VJQ`>!yOn3?o*a57E4`o<*eSF)&*OnGT%;3~dj2q30gEWSK?pEP*7f` z_Xs0?TAI5Cbb1v%6T4F04HI~`h~G0x*2e$360igjtfuAREtcT%^D4o?z{SJ5>I=Nu z9xI-S3?)5f9D0`IBb9SECI6qp5m%z+2`s5mY9&=d&1I) z!oQ0u@-kl)f#nX5uF?27!_nP+a02R`T-*>Qw%Fxcvu7MSQbYBw3 z&B*0EXQ@7198kH}OpV~^WB0V17QY4|L&RhrU0o;OXeNOK*msm`+}`z`)w%p9xPhN5 zC8+xyMS?Bv(Y4@0yY0Cx1&LLljr}xcrs0~` z-UdGOweKGxh`T-YbJmGDp*xKle}c8iyYRhA9~!{_uBL1uOFed{of!vlYK{uhdwIX& z_m7%5P6Oc}_Lu%Z+14OFRus!WL0-UHQ(;|=a_L57HS|j+j=rVKI1Mt4@S0q@hB!E& zGbahCB?Q?405sEniX4`{wlW#0qr!xFq2f6OKE~3d@q%NxU134?ExH{2ZmVG}OzsOo zR#3zq^wFqb1OZ&qagQFYUnfLme)U2lX;t4E!O(;|-Av%IMOm)=_}b@AjcXfU40s1n zgUz`e{q2C8o}5XZh!}N7Z#gyN@f+S2?Sc66g#R_}R%h^Y0II^k!#&RjlbQy1@?j|w;;E|NxKF>uJTkKa**`ILRrin`S#H&nCO?64+v9# zLg(>QDTo$}vkh+!hj`63ml{K7-n{p%OL?+ketulMQnZIeN!R4xKQp~!g4ymI;iaPb zFbO!znCFp}>?@hN_!Ub&YUfD->niHokR3rjlU%J#&c}6Tv7OF5wTCKOfv@sBmd>zl zDhsRp;jrh2Mc%qtBL%C9Sk*a~qv%!#5h6FZ%Tv0HPtm1yZN=2i&dQK2R7*hiNaWYsMqz2zE~fB5q_OqpL0K3y#d$1+}9 zC(TVoRmyc_9{S2k>ffMne) z^vA>VUPheTJ!gi0t`y%IDX-5BdqkI!1>42Wk!d`w@MDDXKHFg6O9p3u6Bth97{o8m zMS%}9aqzaAhIl$fn~C|>qWe=RE#^+GYVf;O&M^L6YCYJzUVa^FL+TAVYAa|DhK?SS zypblMyW--wyfPsh5W>rNNarP3Fd`d&HBerpZFtPh&$L(I`FT)U-7XBMM9L`1xRa#+ zo=Ver$N-%m+e4~}zq#yc5;lpmn(4{j){)-Ub&C4H5zf7DXt$1*=7R|5m(b^gQ=UZ0 z=nXticqfmo4}@nU>r4$9qy)*a1atyi?0MBx$WT#lvTzQJ+>mu16V2*$vK{3jFD;`P z-6yMM!h(?s8)c5%<}3Wku9u)p?Q-+`+7S<~%Uu3q_xKsw$C`YWv@FfOc0}P1Nkv3g zQJM7UW@E!=(y3Qx=4<1ULYhQ406bIfZ5VsF)N`+?1bax8F9m4l@;uLafzS_KxlAuk zFD=wfI0sl$QwzhEhn0=vqnZkp>BpwT$*ch#4=FdtmlgNo_ep$wdYch)+tVZ*;GYx7 z8OpOsiN-#r=dUrE(z&~R@__)@n{gJ%VgPsBB+F_cLi1BB&m9@X*L80bcTa-fK`V&$ zS(R}uFw>)o&dX$e6f>N{;YyTUp~qBgf^9G%qZ7j{%*K5LPC=a$w0X&D&42ch%*_zU z2nD0C_bJ&6^ybB-$J~swL27W17UfTS5MQVvr!q2u~Bd#29UYLGo>UM2_LR z=fxH8MM7q*ScNuck>V=WEBfThy1L{{ zHwc}uJA+{-#^%OUt6L-ri*N>7?Az>NA__ax@jG;%m73PZezQ3L$rQER;$*D5u5cypqYP68E0H$)_p@ zj@}U+1**OLTD3L5O)aVv{NVYFSU#?AG1;f;i`2S5fLCb3a(NRs9TZwo^){(da3r7E zzF4o1wnes7F&JaRSCn!PGLw#K7iUPNR*hA30=_vq+IGKTkJ*uoIr*GeVSHMZIG>G% z-`D8XcG{{@W5h<MyKTULCemLU zVJu$zsZNE(HEg(&0V(kuzGdD?C#<<8!`8W8*D52;FzV$dmCo@C3A!CIQ22Z_?&PBh zi3t8(P`4uU1Xh!@wH9C;#0y6eBKIi_RWO0C7(pzr)CKR#>U&8LLEUdRKGx3b z{taZxz^K{>v?Q5i&^hDyyQ37>nr>n9oZr{=LtA{jg{IfIc(PES8~d3LQADXIQq|`) z?&<*))$A5Sjl`%59v^;B2-1#QHj4i#+qz0P61(UjVMzLwZ*y48c9M(wYSk4YVlA7Z zc2&O9uW+=6tQhCoF_TfBG9hZrih^vC`Kleo3YQ>d8HvFXPiXx2v^J0-D}E<8Y2xas z$QAU$DP=!n*-oj!*zbLejIoCKZUe*2n|lBp6|4|K*E_e_X{n7Yf)xxsD7ldZ~lE}v5nqea6Hz;uB?0sV?P>XRNA)I6kzX|D5GF|VcCI>i*AgLvs?5(a^HA_wJP*BbU|y8Qjn+Ie30s|?RcZ--L^ zQwfC&FA8w8eg}1xNZMcRw-Hv1TwXimO;w+rA7Q!8IJJBs$Qlm-rchHf zQQ+>9Zbc9PnC0mFW)0G}5i5z)){&!s)9sUbo3cY6OuG7{AS(a{-Y7Ts8}yJ>vl5HB z?82ZBj!l62I<6Tbx=tB_V!+&Uli`5rv?=oh)A=HTJQx~9Q$G_}}l(FlUxD3*TA2b}z z8G*Xdx{M!kUe_b>^e37)&{H-(JvC7RH6*Oh?(ukv>7&G9qE;ja|FVVl8;dZBx!b;B z%@b4dON8Kfir0B=p1H*9P4l}f?eGsrf{f!23I9X(4&1Iv&bEnaH23ICU6nvIUfQzD zxftwbHby$m^K1!>YOY%;3jHh(#Ven=)>yyK1t2qyv}U29>>M>)_Y?*x5>p z!3Lr;%<0s!uj9K^S7*zkB^`b8VglnvF(Z}`zUd|%%7)8bm=K*ysTlAW9-*e(+Evc( zJb}*YG9|L^)=j_Asy0V^sg0%!Rrn0P3-G(EPE?ogNdEy#Pw6R((`T5{qHvSnw^Q)3 z+!P|SU8AdcN-w`fy{`1zqE^tfHX6iD)`u7F*Ghts562SFs<{kumodhgi_q%C>fV$a z(k|!2w6^)0wLC6!I<&k#VkNF4wAN?{l_aivv#`LDBo#;M*+%$Mi@WIEUXgRqmhfZX zplhmw7zB9hVF#P)#}TIddtM811)by-iVh;z0x~tlGhe+M4w);*{1s-Mx8Us_BT!rb z!)~)MIpw@0kxfDUo_*mL6_{}Poywq6Y#+j!kj>rxTa3?x_c&K_KrUs;qAd`cCEZ}R zCN4QuP4fJpV|hekuers?%z@~$4PejEA!#Ut+R+pM%(B@Zt=w#)*Ugfn0ETaB*`0`Bqg=^E_6(hUu&RFrYdK#09;#j-pMg90-`}eS$sz+g~eel7-A*q z6FyQbNACF4H&#ndl*~gYUV6%z&J>{ z;AS_li7z=eCax$+8gYxL@!>p4g@)j3a}|J2OrW|o@%Q+HMDcB`p5%ISMEm)Ot@-ko zD~oY+%A^)6D*&N_ffJ|5C|-Q}@j;J4`;9uU7+M}O+#X%@FnE@w@_i7qYyU6S?m4`& zE!`Jz>`GE`DzYN+p5^M?TT&Nww=1E-rZ;K?$hU>SJrxFvR39Azwa9( zbIy07q?Br;(E<3D@G)7fz&GVuYkuc8CfGkS4E4u?CFv0~a~10A?4$u&hUis#|Hi&W zqNAeflI|PcyN6hP&U2Dq$i+s$E+3w*#b7|{v6ei2&yk`te=ps}vY2g6+VcJC>_@j; zn;ovJqEb}1qu7PVO{I1FR*mDHYcNh(be5{bbW*M`btx>Z&0&K#6Bjj`N2Fz_t9?S> zadLoOxC#e;gg&K;5H{zXug$FN7uW!^EJ*Kqse6+^4yiN#T!(FS`+Df{DGEH9*$Z_T zk@2d#!5MXh#d!%tOpx+*kROO$TWV)^ZH48w6DX4xHa)jb5{JNgUFZFIqDudfK{Hr%wv##X*Kl zdRfBH81H~c)dY~gRuMzivEu_tOcnXkgvF#;iD449NyLo3*_Ut6fpNkYBhQXbp`hhF zsLA-z${6aGnw^vj?AyLCtfJe4E}-D_I;r>n-{CS=FAPBdtsjdtyI33|jT7+6fS;MlIgaJq1JJ=qn}#PDXv zDFzMWJe@*}00(HUQ(e))jf(zGo!CqQ32AEn5Fg5ft-KyuzEMfx+7FkSoev`D(gBs! z42}=2BJ(D%xsHSB<=uM`FQ1kgrha@jghJkKSsOf=?4u5ZG7oKD#Ys$bq&e}3Z5aGy z%*77)9zki!Z3ochzE*K#i1akHf9i9z)9~|RapJfq>L7~=un?&*BChAaK8B3fAhO6h zwR1KuSd3^Ja4&|?V+t})`16jz-$8pe&9RRb6a(*>%+sKns_AoQHZ!}WrR?PeNqM}% z_!~YCUF57UX1)4fOT-^$$ohT3TQkC$ZY`+yic9-A(|xi>J9e)rU(MM-W#yiWNKD_* zuy(kH?SE#arXN^-8*%%*+~fckb(TCZo~tWBKQIJhIwUkt&Ez$-Pp0;DyE-x}YOr%9 zWFOR6;#NvgrQcM6wLEHJt?Zp27C}9CuHO{*qD1$(NYI{eqvRwE+s-eiptnt+@)sQM z;E|XuBdTTs=QQvAj4yU?ehgr@*6ib`;lUTz^*i?-`N86d!g1t%14}}$ z_XcWWS&}5L2>>Ax-HYmao+xmaC5Rw(lDb&5G||2(!z%`2Bsz?fAw`X(c8u$T$u%DBhwgx1Fd{duyei5oXpWv+u{`$*|+ zK@bvfsGQvq{qY*~CKC~_1E!zz%T-4_N#$s{B_^>$!DW_?Br9Tm-<{VO5R!?XDVp^d z>&V}OUXQiH;nX=t4eEr)aa-u!xb+sK>-MUD@itV&u&{p5ung>K z4&t+-y}Ogs%Ps&)KNz(JdawLEp$N!))RY*%RKWO+_~^AFJ*i*So>tP543e#PBW@Q3 z)O6o@xKVLEyV}%n(1VexMoq|**#-G%%WrW>hHMbt!$~eyx&U&7;;wV?9+kMRQ%IhKDcH;$B0irjP5x8M^^}v?Vv` z<*0aR8TJQEoFc%EIOQ(sq5E>8GJ{0Dc13zxEk^oI2>WDVUg3}=0rcqC0Ti=am+>Pe z1f_hA`KVL~y*U|h#^>I#6-;_F_P6v5NbfN^2rqy>3*@|*tFhXhwP`w1xpw^3s1AaD zy=6LtUHd(<;zPNJ1fp6J7>O%Tp)Eb5-lp|p*|84*G8{0HsmvtaCAqy*l21PjxYSQI>_px{I)Sm6t+vmmo7(i(cUO{l1n@qT8=FWIq~J& zHb!LBV2(aQh@&d0*@+`)OeI`3GRD-slC;?4%!O0+uSOK`E!^+8KAsa=n+dej zUZ?P)PD>9zCU`7v!DVD8gf~{Uz10++U=Bz?6e25+m%&y zHBY^b9p$F;ZR>W;aD#K~L&TfKW_-QPPy7jxByZw&lDoh=Igs8uDDKlwVy2RsGGGMZ3=-C4YS}lh zXp6c=tMW`hy}(|1XYrKqOm-qqz6qEIj8X+h>&28K)$IVn;i$rXwuRgQuq8SsCDzRX zX=(c&FFYQEiNnHE=74nft*ql3XYb44pR(Y^nXeY5A3|L%G1bVl33t%S!WGdIvK#}tX$7dNlbjTnkbRwgvMl1OUY z>(BKi=Wz~pG9OHZxGfn1bky85&r!3DN=f>V1>r}Q^nXhG_%(-T^Ac*iyU(T*_D3(Q?>84Lx9WafTAVc z{y0=2tATN7G}?R%&^sEhc#oo6X;;=AVmTtO1lTuso1PZ?o&yXvRW*$BM`T6=HjBaS zULdO=+{r}NJ>;dvJH-M6@QT3z1sE0EqeSc+T&P62^8Ps5Wo<2A$kt0M&K*l)A*)te ztsa%f9igAc_FYqidMX2!GmtWdEgNPaWSbFIiCGaKKOQj*^Rj%C3t;h~{4%GIUCnSp zRlbvOl*jCsCC1Br?vq>|NO*T=brZt028n&4PW(5-?Yh;Ub^$b5L<^^gpg zRi{n*i@8VWGl1>b;BPu{fd?e;VYdpbJ+ppb#hzB-LJO^mhk|lMA1urn95rO7@vm1`WghS`h~Dd-m*sdUiNhsAu$OyK@|jVe*ECiPLmHvOheq2ifa zqXPOxEz~b30)I~OMHK#iwZpu0`@kn(hf2k0Ckl^f;m=$4HLaD?%_lUZwP)%=6Ivvj z{oqTg|a`h^x`C#^6BXu8%dAPd^0?C{ZOYX(kq1 zH^m_7aq&MXi0>5aG(|@68r>kJjhf$`)R_n$8&~c-zS*R&Ip_!rg1zABIv=(r!jQzA zSA_@=fIZ;^D@o0p?$4Ync*Y0uQ-_q_BBQomdM4_SyBM+zWXrPG9T^DwY35G`_4TD_ zXQT5c?dh)?2)^7cAMTyubQ!riiB~ZR$Wx*o{JgSt=kPIBv+5a4N2#Cc6&rfz)UpW! zURl_lClz>Bt3&NyoU}T>!k=er;7?QCB-i`y?OM3a)_5y$8@&x_IdP=+Y3;*v$owiC z>ByKYa*SePv0Bl?Q!DD~Mnm}VjV$hm&lBJ)qSZ8=V7{+@m&mmZyhnsm)(~QA!H5jB z{_;hI8vi^}o~AI_+)!d62-XvYZ?G`mBZVhijo~JS)kY#x+t8T~Sf%Aa-X!L=+<1^{DlMticI%FWENuw9Xl0 zKLkDcjv|_)^$N1x7s6r81XGTK1!_S)_VZMpR-nG|j(gEmn?S2@URdZnB6tWE51Y%$ z%(g?AEtMd*3?Vkx!rIX9Y2b@eH^2xg6r8^YrfeG}DkN3;^a#`<*SRGIN){u~Frczc%#QSdzj zN*(S+dHEz5X?=|*DlYYBRYCqP=T;aeswgrlv@-4fncMwDaw4|_j%QoAaA%$oXIuy?*)T zV9tx5-DUGve+VF$e(#wPcXDyvBsNi<04u@mQNdyQ5!*ilq4gLrEw^d;^Ku}riyvAo z$syCY^Fa83Hrf&EVSuDhmVWBox`>)}@~YoxnAjC z&ZY>IW4VA}`tk%~#o65N4eN|xXacnNjtd@1SP|1on?SD*^w~%iT3nghu4K{7Zt3k* zx3S;SEn-9hKGxk~()t50gka(kwMBQ3fHvwb9Zr?DsV1k&{RDc{A*-hqdI#>pv4--wnwGzbFI z@-y=D@O#W(QI)n63$Yd&EyeL#s)&4#=zQ8xgK~y%R zs!zw>5C3Mdf1}wjtK-b$_X8#Exf)9{Zqxf1#4=Gi*cP3hHW(53P#zjAn96kz{w+3# zd(#v}9XDZbMYM@;O3&k0)JFDvoEEaHhjVs@Q|A7|LxVZ(&eJhhY zGudD#DPI*R+#g1x+uWDg{KEfQJr$d`?s_w`}I+3DQmNrNUq=D5%vGOWf|AkJ=KkytC2~@xOCMwT`_f=v= zrxj_uc6_e!fOL9>A;)t~tc;J4IWyR2XEFCIJ;reMgcgtOae>*0!bjS^vXh~e;^>5c z(@R!SW@4k7w#8_Lm`9NZFy+0g!`ZC6A<1ktPQRTLfFf7$RFD*>!ch zKTrBi)(@j9_GQY5>2(mLWJkF9z$#$;DXibPL&(55L}c2-b4rJntxHXFIo%9l>29}K z*+4?gJwUNcb4Fl4d1;s%LnhnD$3rXAHto4 z*0Rflcf?6%N_Hp#&h#=nM`$Z>m95%ecjC?*UH2_M%*^g9i02&M&b1sEKiro>Jbhfv z?|JDKKtZh`s=Nkj#3#`A4h;Kx$$2#*r<4Q@hz-~3u&1Xp6KCH?Fu(^xna|;Al%ch{ z;*MI{lCdF$A{Y+0Ly%Vn#AO}{K#bWjhx}HNa>(!=v^!p_%&22|SEA9lgcmcHbrL4n z3q{1gY$mR1z0IT~_H-sqINyYhEvAVycwjPlO$O^$Jy}gyR(8WFB!=~NP)R~><9Lyv z07oMf$Fe~q@6flMH!PgEwwU-^XWcfogJDgs$rO&gGYnG@IYhFc zbNV})4kkl|@9tiB7H^58eYjT))Dq~1_xk<}!|ix=k97>GC-p9>u!>WlVV%^Bj6-AE z#%?Tu>&_+Vr%T03hEtQY<{`}G>y8v_X#U%J!ojP+r4Ym6Q=X@G;+F8+Owey!1MOU*)_ zIY-*Of{dDomrtB2sKHJ;)9mKuVK8&RQVtd~>oIg9+V221(Vb0-#xCKKbTAXmU$U+5 zONjb0G#w2)n#Xq6D-dvWVCOOAdXNys+$Hu21jUdz4YRjoeZI&euBqQu0!3$xLhg&X zx94MI1#Buih;#D(kn2#N{lv`bM;&cK5oRU{Rj}Iak1;H+*r*$O%6UO+jVy2AdA#A^ zL*ib0r1QBpxwz$MBeg3IVk`mk9L;AFD#HqiR*0WBgAJ8GXMU9A_6J~7naY^wKnpA= zWo5v(%uiziYt>R$Atw4t!1HT!Tymown82C^v`{@OPnJ`(l+g%^1`q(ry-f5>>)RLI z#mq~j>{J0ZmOnVZ(WxoWe>L!ntD|QlCm@Z>Z0KMT4%=Ehjfy?u-9cy!&yT@a56}$e z5LignZqq!Da=1UC_3^?1ySt0rR`VxQYTvHn>mFNzJgNmBw5%+EWwdIF+=0Fo%_Fx{ zU|~p<*ZN4K$RhSJayN=++cx*6Wg+VGC2MSk-M;KD_OB7cZ?d)(u>B^ZXk&mgczcM9 z^G<9czv2DG9!yR}Im`OM`JabZeN;8VMz2IOGZ) zTTOL%b3(x=b`@pjYBZ*y0mH?0+Q>gljcjs@l?zeDu`aW}?Jx}Uryz8H4f1|9U-9fded&iPGQ z&jMZK55{nuEH+>N>+Q47+GmLMOh6XP?w2BFQv{u78j5HmkYnzsUlm z*{HmY9+4uGnw%Gd_YJvo?FW1g<9M3rojiIUEl|wL#~yqxc9D$E6zqW-pGG2ReaJ*C zcU_59`(b&a6t<&3PtvVfbGf{%&(K0l8XiKXXkip)u%4{exGtrX9<3Amw7QA%W=fD-8v|8q2wpHA*+IE(KF6qtKu#D*%&q-G- z-bbt<#dL0q^s!6AyU&z>OD?OR6GN!LYYdGAhRumnhaqP;iSUSYNQDE}nW=*nBwd#Z z*Ers1CCBibZG>Ym9hdolIOm9`QL)aQb=0@0)YB-Y1+Tq!cKuLQCK%!2;Z|>I9|~9i z&4(;znUs{cdl55+hpcPL0}k0x_%yjctgU}kD{}mjr=sdjBBfGnp{tb&8{e1+d%jHS zMvzb=Qc=>s)1-JniWKR&kZd_w)jYxTKKX&+gYP?8Pv!++1_+9S0m1uYy4>APgf`5 z4SP~12XUCft-O$wv zgImiN^RyL};0R3ofF!!<0@5Rfq&<_DLgh@ed0(7x{^@#BvzGM0J(WP$gsnh}dJN)L zg|neZ5c7q+2I}*w9WCn-CJ>c%Xjv*9v)l^<(B_kr_KBa9%hjUg@w}fRhAVcdbmADy z#)kfOLymx5Y6FYgg%n816!t^qwKjs+?VW9U-RZQpq8w0T?$^4jiW5nf5}3{Vh;CJJ zav}X~3QN59V)ahBI!=dhRJ2=5tp`0Fgv#;m7?6N>_g>8N6*uujd zsLZ@aMqIk4%zt5p+Z>;Z@Zi5Ib+|)G_%Nw(fE5XVByLG(1vfUBUxho+kNFurwDzF< z?57yHC|u;qVw~{Ar0x4m_y)_DhAglm0J_^jf83c8wsr!HgH`e%ET6S6n8d|E;HKHk z#1gl9<`vGyG3eo6biz(CfMg#Ie`;x-@eDlg>&aN^PpG*(PE^BOy(yagmN1X{MV!bT zlZ1~bu(tcFp0HG8Iiy6vT@K_-iE^lPqTN{RJ^-ix!QRVP664*ZrTXF?Zt6sf>FBW* zObPBDyr-ZswO(j>Er+4Y7sBga5O1ZkJC=3A6>#u}4oJqs*8{w< zHYb`+KQT>(xa_quQLxyk!HJYdYh4kW6W4~boa5?&=vxwfR~hG}4s?*6I&$nxQ@lc5 zlqfuZE6sN^=}KrS`hA0ep?$}!ajSjS^a0!osgo+{xGJiOv?kqTow}qL*yz3&Qv`Z)KN})tCLnb$rLT5q^34-YiJfi>TRJ z{Q~2=v?n3~=6Y*2q}WDixe>-%!51HP$NVOGKymD1`7nv8jW8I?lUW`ZGt_VWLS)8B z;IHWC@4IP@;L?x$y!2*gX&7!r&yj(lzCDR_R>+$D>Vq@Jtc+&H{HDykOMlcsq{M1-3Eg8BvmUo+dJuV~axHnz&-@r=d zvVqopu3Hj+_+CG4Z;!ByadQ$lnXQeQC+X(Pjx>hFy^C<4CmYv)WXUy_$W{!}fvEo| zuHSr^lK1u@$&#ebm`iJ(Fk+1v5=HX(V5UgnE;C(SgGF816PX|xb0fk$jBF;lgm4hTFge~aaXA8Jbd$eN4Mn=J$n

+&Ra`FS4LjfzQc}>R401-;;<=mDANA_JLn5WpM9Am*8AUPpe8>_o&=w zY=%W8!LFz#S%k*gEVDfUJ`Szc>YnDww_Kt1_IW-vOgV&hX)T}t>PeO_J1WH0ajW$t zf=6k`(AL^IcL4ec&Dq*spBBaU%{6W}?>nkxhv{id;d&?aWoz8V>54v@FG4rBF{QHy zUT^?m%{=u*!DGYl4a{8NtSNZ#W`@y2*>Yw0FNT1ORIJt{z`XBl5!$YM z+G#Tgjs2U*40wm1aW z81;JoPD+e{vrU@b`~sCtDP##gA>9{3_U_AlsB)DsJ}xlsWEzz^lx7qBsLn#A@78ar zAoU<Cv7QWV=9l$0XEH52~ zQ9I7Yf*>C^wswU3)@@AHW!F=flr$%#s-s8DFBlq~KF=qSDV)fV6a(ypvuOBab2U=L z#y-W}kb|K6dPwy&V$`Wbng*$G3S?WNkLQG#!`SS)U-wP zJUx$$^Hq@n6En-J^k`c|s_0qzIU`ARu>^`FF)Xe{DWXNlI%A|cLsN@a_GGUP{86`m zEE7f7eaWGJUD>qZ2L0`p8h_BLDcdPx!|Z|$#_-8ieR~;66)bKueTQ9IEM?pJC{JgT zoT7XrznT+z+?`xX>N3b~;aWHmcP!*5Nc(K)OQEY&OwN*0UN5_vh+mzYX&<{9& zEw17?1`>EE6EADq4V`aN1)*W%&l5(ME9;nq;*58zXd)rA?<&<1(2 zs)Cn^Y6|t)UhnDbyB9ajTSoCDNbH8*Yl4gjiCmos{4Wx^@Hxbn+sQ1ig6+ z++ILY-j3aJ{f>Ice9ZL=$=gYhn}`FFZ5h|s5lcEd`}0*C>}yfvfW}cm3Qc&XJ9)jJ-sOo%ESXN3NC4RbV>{?B;`2P z{^}vA&tv4ehNw-0ArqQ;My-H`8|G4LXiEXe4xUY>eB?v8-|aUW2`{kI?NZ8tzNwGy z>`%)_&BxIIE!H*qa6$^~k>~g7o1O(_q6SKHfxDBguus0+>%+I$EzpD0@j{GZazNdG z{SY=%I49Kxi)*0owKBYI+|Ch$p9BOo4@GjCG+Vo3B4drQEFK2T2YfD552fDDdB~t% zXh8?cpfx0qiB$)a3f_WLcaEOeCOz$cW*EW4g!r0|@p)7ME<9;_S#47W28wG+--c#+ zcY+X2ZTq;N2%E&px>@4a1?;*IOKxYz{&tkQn;u^!V8GX9Q?%;zIZ!PC(>{O-K5U+w zVmjqU^#ClhG?daIE?PmG<8O~>`|oihq8PFWu9>DPxc79sDNP>ks82N@OcaP!DnLQu z)<9;Wv)uTLH{bdPSI39U&@8|8Hn!aO9H|oc%;tXHh;w(b%(|AViCj%SUX%Q-j%SvN zsjpZ@l3u{d(AbH~6js!xZ0Ea0Mg<5rg{+|>Is}-b`}k1y_PeAncT~e@`VM9~gqs>u z-G~Y`@Ty9C5}&4W-jD3aRR_h!jn7L5eu7ubd^yiWm*>c0zqwU}Si(aSD!TCV`#6Zb z_0jb*t52l@iheu;K!qzAte@%oi75$ET74VB&+RUZ#zS#8SiXl@PiYcU%PxUp)&O6=Sls{+%(3XO_BP67w2|>cK}h+$m6Pp$B?#95!a{ySd!wYp1opu z)$)KQ;bC`e1B`tG-T{U(*h)j*(np^+kzw?~-LshMDOMQeZEso*KYw-(#;DS!U%r{A zZerWmDaxu6V#+77J#)2fw@d|NJXXnm*3ott|5E++dmodIF$v7BI9S5CgHF$rh5Y9! zJ?##CpuNIZQL9RGkgpw2cE)Q#d3>f-7s!FVJ+hJr^{q8*%FWYfleEMTVs855?nuC= zULpDz-6_Xx1Yy}v3@##PyccBJU#X$qCu}uTj@Qc*!5$h>D!*fI@^OR*YXM`cR3BBKh4XNr$t8S&ZwF7~c@r_f+0=7n z6~(niSO9=rmgqD{!ptZcf`UcKqgT>M+o;n6nHUb%W;Tk zroR`Rf~H3Eg!ffs@tI+rAuPo~DApMv<~4q!E9HtU5(68TRgf0=Ch2AIh1*qOP=?(I58f_mm|2Uz8wv zOf9xka{U@fdAVR{1;7mqJ^bP9ecJ;VS1EsHAW$WX=Q!^1@-Bx*BGXEQ{<@I1R;}Yp zVODAh;5A#}8k1D2MlW`>gUgH%C!xwkX6#4apmTCZjL3F-|j}dA#^} z3QT|f`KIBB{Zyb*_ptvYH8N>gnx>qMZVz|S59wppk92}EH%8V($Qdc<2U-b!W&cnBL5#eT9JplWL0(aci1FSE;q%bLh~d}Si7*xH+)3paBf`N zMEaGIw6k37?Vl_7@8F05NeCGXZ+uyBvzit>|GZvpx*KpbyPyC zvAp7sil&`pO|RpZWoek}yuPaQWBK|45x~A6fa1c=ApX%l95x2kTy*;>D+r@doM!ip){n?m&M^`5d24Les#;hg+9(_$j8hx)lR?`OLxn+V~MX?A*B-uL~V?udu?`YWn1x9k$Re~`^8sn5A-E>$)L@P&v^|lrV zffWNGf*2+sKgx<8n5zU}uq~dH=V_?h|I9y*;mhaVgcy#aG_wD#PZLW8CTFDbRKyzo)dEa66*9}b(k{)=tuUW+r z6$NqtebcHPE*VlAN3mLzAH&xkF=#%<1&3YT8?vJwLCJb+g=ooJ!+F0SS?bnoJQJXK z5@-DYJ)QhUi*q*$-(;EnDcUYV<;V# zNeE-A9LnqI`;R(9?X_IrQQc;Aw%gkT!NwJW542v|)uxMdTNWP(VMX&t!{w+WlkI!Sp8z-4k4EEHwEJCi4X1Z(KCsgjFUtm~C<5rBV6)g;N$_A8!8;T3WYh9GvhGUcJ;MKfTGJ#nh;)3CO_5Exd zTd={gGoq%OOKcaUCerY1BTo$;lZPnR@`;NYa6=O31HW-zxAwL<7~JIk|&sC z5t&TR=A8*d0B|eS$dpBiyfzIIHOoYQfrp|lm8VnNV)eZh0R>=)Lq`Xvm& z06O+^;kBRCm3&UTW9@Vv1Jlani8iYeBZz~BIlbd}MoowYQ&RTBev7TMQSX*Lf0K*3 zf(Ro12ZEMe#tA!R#kDT_MS70?4UlIFkeP9N=O4=P5C;KM?I=RF4aV78dSNY&YL7ZIt1gW&7NnnV~N)BW$XQD8=xlefs zi)@pt0)oqZ%6(tIB;9Sab>wL$==7pP^@iA=&0WqxrPD9o89Nqoy=FTFA<<;GjwL9N zFG8+6>CpDL8A9Mx^(FPLQI;j$IgRxQnfkqZ$(H42>VZYUCLB0`iV;33yAfgEumS}l zMOnu}JXt}R4ycaZ@NwUL9_YOoFN|GxwH@req`DhG(JkZ!$?Bo@lD#bY7KdLqu5v}0 zu9Utx=EqXpv^gE03Gl7z>jSnU`ks*~N24c9$Ftha8C|vJ+1w5Fnsia+7I(`|@pwKa z{-EqzB~1Z!c03`NB_MsurZ8Npf;Fy9HN;gWWk{jD`pg0?<+aD z;WZ2j?-jv-g@heKEX6GtXcIm|d$R*7jJDroPV%<9hHLGekHP_bu~zt&KLio5N#=&D z*=*~F10I|Um{-hv2yy$tdzT;#a2%I5gCX3YqquJ=7F~B{vV_9r4XRRuw54NI!q)JB z@RVTByq>a7C8b|z_49i7a>N*UIjdU%L<#h$L%sc?g`eH1#Sp|qgAt9Ua58Fz#&I-1 ztHFk3Vt}vMnQJa(SytW{h6@fgXX&TijPlkBRe(Mh_GZ%emFBMtSInAFU=u;qp)K>^ zoFWMUxpTmyLW$&xTRNJfLwY)|aLW3wYE}?L^Dg_ZnW`=1VA0d1^}h*!=cy^Y=1MLlx*HDG+y1QcF~c zRO>I9cstI94!`TUnRk2KkSa`x){T2$-T6KfO$(%<;?EA42Z0Kda3pWxn4|WhlSTKT zhcRrXHPAyms!(0SQTaOBAvWKF>q{Ke>0e>8f@cWxM#K5Y@!n+G!Pvn_grW~6 z+!13{+?I&y*H5n%;9raNQ}anz$(oPL59MIHnHcE>Bd3x92PsrrKKWh!O`42V8>nof zC@l6?I>a2rbYs5UG-@3E>OA=-E{4xvyAzGZ#!rdq|E4Pa-%X|eE-L+7Jn5g+B!fSZr2j-mGN-YivHXOQ{x=Be zH-PlpKKd(p^bgm_p2ptr|1)Ov8!q~1%!q;YH*jRFX9mT|2}SeAU=Xy@w>B`fGXDH% zOpS~_VI?blLwj6xdKx2B8Y2r_1_l~K7kvv|%Rk@uU+Aa5lT!acp8mwE{$e)$TNX<9 zx8Y=JZ~g}e^@mmUcWvtLQq&)g({H5dZ@iR)vo(!_iJhV0A3Bwhsgog%y{XIRUUT{s zs{RnI{svS1!-M+A&a^PJxBokGWo&1t`}wk;f5{*E6fQFp4KEEJ4L^+ljUbH>jWCS} zjVR6ku5|r&x&CVueSZ7LTmAd9fBQgj*_db?tqeYaEPZP`LtHi%8r@INOP}WVz%rsS zrZM@8sr7r@*nEx)yHC){fyR->iN=}6g~pY}?XUjVr`z>64eXEMqHC{jYU*HWVPJ?$ z$H?+upUl6pv-JKz!TzwmKG`a^KSi)lwut{*viRJy0*0SM$?*3tuy^>})s|2$E0zk9);qND z9f!&;a0x5G{WyfaP+AcPuBH|ooa*Y!W}I9+HH)1TO-?$G%fy@Y_g7m( zX^os(8IXCLby89yZDp}Ci}Pj+RR5MgiJxiGPg2kj5OKOh_YxEp*p zY&FkHcG*kk&{!{)PhM+ze=cE{Rm&5xHfQ^c30JV201UIk z1SrJ%Jv%joD+b_u*cBNucr`#a+oC~g_k3g#iycs=!NKz0$Y0i|A zZ+8n2Ex((D<`YbhUbVhMtSAr`BwC3vp^k~ebU1DLfQC@Ob_5VDTrYY)24ax?31&?2 zHjJ48CrpwkzzK7D+x@kh@$Gf%sCV1P35+syzU@##Q7SK;0pgo1gAF2^EW%jFuP6bpomP6NMcl-& zW+O{64SSC1zE$n$2rmiObZbf}j|n?#yP!J_gQ3!ng!5Up-pWT9@-#$r?ix>ME_|4H zK1Fllq$VOINeWYm2bunDVkNMXzLQl>K#Oaq-CX8h-)88a3KXxzC;hb9VZDwCp9*HT zk`}sYGQhhcV7yWUuP6{%x{gM3X&`@DoaL;}kkWWwK0s1Ys3EGrJDOX+p3P;%2=b@} z>>l*5ihq3vw>|go`!|i0k%{#$N%*smezq(}JqK4CL)^dB)6ZVTr)zI0Wc}IVXyjFe zWcZXQ_$4Jp={{+|-%tK-e|Dxe4%T*`{gUA`NWV+;XNv6X9e(#XT;|X1BtDDd9}noE zXp~J298BzgZ_N5Tik}-RSSwn6s&~J$|Mz_UbCGAH`|CUXduG`_y~97g{)5aaN?Nb7 z!?&L*R|f+ecQf%2%2C4r=NkaC-2qEpT~$W)?LmeZ@;AagKStM?r&-4GN6g!_aByG- zIcIrsPk3~BM={(AhG}0n^GIu^Gi^2o?_5-_J0EIdN^k0)>3eH(c_H%@c|M{^?{vDF z;0$cvu@Uh^tgp62FP2Bg^Lbzmr2BF2e2{%`oH`9U6B$n)Zl5zoFof^y2( zCE}`nzJ7NJYYVj8KB}zrbl?fNz1o4dzr7YoS zSG+X&;8*9mAl)yU0riR5S3D@m%`jKTS8i;$Rcfi#YbF$NGG)D%*56{wuAOj2Gz~2 zidrjB10ZjE$12i)MfJ;r(d_UT$KAKVzr$XEUbjc#ROI~AuOC`$YtyZ1&am$%V z1)GE5Hvc9=P+nIEc>gVh$&yw%&pNfRw=>hMqOxf1y(xn_Xi6<7N`X)XfTo1ZitK1Gah zkQo&W69zTJ0n(*3Lm5nm>6h}Wq4Pg;=7F&EGKh^B-@1)iJ$;Cv4OO2bZ;z?^dJm_f z?J1;J=>~>fRt-=hYqxz%r^-qKmOf<#V;S|tI$0IRnyX(+lCWs87Qartp%^i)5F6W} z?gRoCR&xcuZ4FoYJVm#Hk+nJQ5~O`^N!j69bDUkqODB3e0`s~i(M~POmfC8ZPIK_f z;FkQa19X}a*iD&)_e;lzE|%qAons%5iVs~)%fB`Zf4#E5@(i8*%C7z8Kk)%a{L1rV z`4^tSuVCWWE;`r5!uD~IrgST&kCt7s)((ty*VLJKzDwi|Bo~4px{b{G-VYzmJ7MeX z`|JM2Xvu4yN|h7r|6YHX{;E*^XVr&SNSRORclD81{U55&?>g~M)#tN%{a5j!`~5?I zRv$LHe^-6}_znL&V_^H-@E=pmf6N$|8UOR@^Di?7C%$Tm03?K%KW7YbqPg&}?~feT zXH!-U2m;mv47VE*JXT(um&B^`*lUEHNjv=+tK!RM8j=-3%$8cda$ zIDPh;i5x5;s~+kWX>QGVTbA;j+T<)k?>r{^8z%=0Ae=i7^Y57shTgRA0bU5URrgm? zr<+M2%R6S!u zi6q|u;+$~~yE7WcYa&sg={$4#Z>PMgYuij-=9DX|(pYTW6$Lle3r;t%kRZ^hl5*{Y z$%Q(&FQla;x>y`Fz21+~rz|L{3N0v{FCGd`AIH;_d7Z^rpI*it%w?fkAz2tqD8?rk>>9mtcPpWL=nASyh*V3;NIk1Lf!+r zFyA6}Qor5Ot_2>&_K|FcYr2}#Pz%Kv?REop5fLB(fnVeoIJ28^Hm`d`Ay_Rs136p8;#C+lxC z`7_G@Sj_!xzVHWT`!Dl_-|769`2zj#m-_#hSgAh~i(J1#At}KkCyI~wKP1+5y<U_m2tatrJ>kz~xvGy!g`)Su7k=37`M1FCnVqISNUmuq#yUG6 zzdA#M@(GO=6rab2$yP-N$0N^PN@^xO;&dR>t&WtHw60{n@*A$_c-BqxjTER@Fpmy3 z-ZwEJ%V|aHTL{(IMMaCv$6=apec?DDV*5c{4&%AQDn9%*&tuFrj+PCcZq+52Shx^k zvL$9rMu9-hpXh;%7|%k^sTc@W01YPx!EUcO7FB~lIMU<1O>ZzY4WmtDd`a>CaH|-6 zZ={*=h~$Bu{-rL%V+A)+OCW4wZ|pF~vV==!lLakSg1n&@s$#>adZ#-r+-sX}9~rs<-GI!d%#(KtxPqjY=K zXf0PBMw1OErrp#jX@Y~bhRax2mI(PHMqlV_;xh~!@?HC7oMu`a#Aca65bAU``Ii>o zQQF1wtz;Xlp9x7`R)(y;9y>PES7;hl){eGOR?J;!9_F^%X$x9737x00{oY=Y1COu^ zv&1jZISZeQ&(B5d-^DKPUE&ApY-({aZT!HL-uKJpa{Wnf_mTtoiQes{B>i1TOF)MyM7`m>h3w339L6 z(sZPDu}?{jUms&t^{8vpm4NL$D6p>`eSFNF;idWcx;-u5(7rnUNGl9=mF2LqI{xgi z^NW?$O(AQR1sA89`ih;#`Dc$^MB?E1KkU7E{H{~EKYqS->QpC{R4Btvj*{sfp8FZl zpsk4v88T$(84KF?mC>%HFBTGzGKd#&rfpHJMn&#C)8@IkZ2?t1uXn{Q;+*zxV( zTC$F=vCn+vqKA%p$)%^>u+62fA;8qz3Ry`o*a!2x$@C-*4cN5oA$f&?`JN!?1%GjJ#xo4ysX%9{kQM+ zneC1{?1;sufBU4}ItT4^aB9kEyoP*US;)Hp8FrYn}7V8gRh%^z#F#Mapfh)^k4q@*KI0~hOH^0ZLo0vmu&Ir zO_%@5{MVlR)o;GzqUUe^r)}P|=`BC|^VTb`@`-Hyx4r$3?|Sd+e({5MEO_;OzdPtE zd4{%g@baf#aPFN)-2UE|{`ZOfc{gnJr_q{^?y=F5?`^W~mp`%6mw$fp|IEF0n~g4e zPWvTi|9G8aZ#?_DL*MwupPjVklNa`~N6vl0_g?wPvCBXCqy1n1-MjW%xajQ3KbL&< zz5g+9$w{Z3veEU`hpyeR_~*4xoc!zi&fIm))6UxM3;Umc`^&FB@<(4?=TTW?*I4x( zNB!=OSG@Y7J5PD-J!i~a@#qil`ImREyyp!^&OKv`UoM_^)>aQrPR{T7{F<-Z^MhU9$J0*F7?CrE6~3YvUE~JpT61o433A?(f|`zyIc| z=b!QEzwOeUzt$o1pZlf$`8!>mKeOR~uJeoEFFxR?=REPVJI=f#|G_S|-?{jp1225y zsz1E{Q%~-E)88Nd=0#h4YTaiZ*;~HH>$_iRU%C6g{zreaM99YHl}1I+D7xRX^E)@) z9s#>;f2JiCi{?~)7jC?EWxbuQusy7%v#zj(-6(r|;=-hcXoY5c3 z_dWl}jsLRNUcX)C&udelmD~S* z$ekDLy+BsjgBJec;)ANiTmN<8`nO&Gb-TiDu>BD){OG5DaOA=tz5c-mPM>?$4`2B7 zn$J1?+&hkc&J~xhu<0lM{k7eHeCfd@-#X`^V=g^$o0ng3{{MWtcjaNLzvuGHPWtr6 zKfV2~2j6yd_s8%3>f7G?zN`MQ!&B>S*?R7p58d|Um0wx-*aa^%o8mLS^z;pvUwHAU zA6Rn3i3`uYf5rWexZv%N{$=sP{a*E|V_y8l&ENaG*KL2+kq`;sMx{`0BZ zc3%GBoqKP2`?kNk;`b{qymiqA?H%8B$tynfi^8|#Uw(M)WA9q&)UCgL+FfT1 zzQ5*Rxy$;4udHxJt3UqJ@*jWqdp7;V!>_&f-9OpX1ne{I>*{Ko-@I$l`RlB8^Ww#~ynUam&tI_Uhb0Z|NghD zA2Lhq0VhAc^GToi+s@w{UiPZ%Py6}r7k_oUb7V_IPY`bVYd)V65+Yehe`}{8~v!7eRTV{XbFSB2q zF0)Ji_Jh;EHh$#Jwf=VAE4Dg+zw39sW3Au*a_(z(-SzG*_J8vwhyCD?^AEiH2fw@h zlh>TT_TT5dY|(Gmzx}40J~a2rA6|OsTfQ{!xFtv3@Vm?3_t+jUIP_?NN^(|E;y{ltp@{mC!9YP|i6ue@`={m=YAb3Xjf8(;dDi_ZPb78mWZ;Jy{s`}^F- zue^5NnfJ}z;nMTJwfj|%z5SIRe*E5rM;x;CoY6%)fAUwWp0m_j43wGJ{$oFsi+Pm-WUcJ^U)>-i% z-#mHe6Nb;dY<$fcuRpdp=ESdm=H{Otx5}&Ut|V&z_|~5t{=ljqU*(f)T=s{z6`Q>G z=slnNst32-=RLPvf6Ey~_htPfj#=>Y6V80cDf=J)it1O#KeS-g*UX#u=fUKf^A}t^ z*M#l;i_cvB!LeCppSft0bua(K${T)u{^`dq{QBPxU2dN@ZTZnftDUvg$$#7K3s;+E z_Ia|*t|ZIs11HJOc!gUo+~D9F&b~*N*&}6{-TBb#m(ykTcTb-D>j!Mup0@wITV8&3 z|3_cl-0X}`ke%^e`@d+pckI8#@gH94!J!$m)ySZqkBEIZh7_L zpBue+xwY=z<>ukdx4m?^k6*m&FD_Z@(O-X}cgS5I8Qr$tT`M%>)B8TKL{`~5cYDB7vX9++>AtHS zbLp41`P}gL+kN;WAN$Mv)^dvn8-A;I^AdbHbk0{yowt|w~Xx~$Z zuYr<1AzV9!KAKZM=L#K9DT<>eUEVycN;c>fcdxVMC53MrXcEO4B58v+0FaB}I z=k9k)zQKQ=@#6mYzOxQG<+F3oy6m2f-+j_5pEzmX%YORV*KKcB*>AkzgD>0n$7dd~ z;P@Bbdd#YCJodTE-~7&Rp0vu!9~|`-{rA?dd;gQ?-*Uk{_guaH%QrfB`)7Xp_-~$j zz}MGbcgc;ry<@YZ7T&ehYku~tPrd7glTUkMx*I&}#e5GTb{@D7f-t&@Ywma;&XO6pO<||nu?B6cDZemF+_(+Yd#JiT6C=dxord+?)!jb3}pE8q5_7rtwigL%Dd z4~w7ta_8X94*B8BH~r-XW@G%|;=@1kyDh%=tvCJP-G^^*)rA{f{G}@n{QOf-Uh%1U z^A;?;?6h6Vr#^K40XO~Q?@!J7%94ePFWF@AJ+}^S{moBTecpbjKK$S|m*n^VbCY!* z-?_8sWqad+xp_WS_J9{bpl)nQV;Lk&W@$5B&0=TQ`2eK^uI1&4GsO zV-m6t4>o-Dh9+cRdgs5q(}wJ~|FP3o_I&=kZoB;Se>>!N?Q7aQi;_KgH@RE>^5wsO z`>(IL;(#N5f7yat&t31#L%wwWN9KQ5me^euT)XNyuYT;w;ZX++mVEs?pLu+PhrYbR zDT}Usa_w8M{qhfwxNpf3kKBLee|=%|3vSuxizn>;t)p(69v81B$Hi-ilwIqd=PiHj z%ij0J)pkDnh0EI|)@+RbcFb$nn1<|E5wb5l@#wc6^VsrlTx*TF$FF@6$o8W#+Axci|awlXX1Ph^GN_iK;dd(h##&fW2_6<)aE=S#c7K5w5lUH_jum=*RB zU11-2VwIB~+y2G3E_m#q>z;c3gRgktkH6mVAKPB|qu!z0opbt|*E;*2-R3WMTK>gv z|LEb3_daa*i#~PCzyIb_^RHj-{XgmcdBwx;%MSU|*}ob-y2r-X|KZ9voPSRK(zA|u z@jd+?yncMgmB%diU!C%iA0Gea<4+%7f6`W;JMNAvN2mSIk=I@O$X`Ej=&d&$xA#xp za{Nj27hUnrn}45e`G;RWf4!gG`rZ8w|K_)UIezn={WrJwJmb^L?cANe@UQca{^Iz& zovzRC*zm3Etn<|31s}3HcK%=YKk9!rzx~%Y-Lv2GZ{K+u z5x8+7QLAvP%Id)VUs-DFGvgU~#H{9Wp5AO1&w|wH>E7m(? z-pek2V&N_A$3FDVD{uYA;Xm3=x5n3Ae$y|^*7(8O!2W|V9Jv9EmyyaPK zOMA<6+ffH@x#z+Yci48}i5neq?5`d>_MTt7{omLA(%^#MuKT)0+ueTicJKP|oBrRt z%fTt$$7&x0O53mo92&`cBG7%;wndHbiW228DHbb}?&JufNo$D!JOv z=FHeXgK8gh%l5DMqa z*lO-SufNTx+1)R>V%~07J$BWrZv5f=MVI$>w7cV%YiCbR+<*EZZ~WS2t6Y7{7v$9Vr6+## zKbDt^o)sSX*bBxlSZDK>p7-lxUU2-wr^uo48s?toCogj@X1B)0>@nAFGUq2tUhv8l zPB`NoUz~ru+|GXgp#`5bXT~Soa>2O||MH5nSNrTb2la3K%-`GFEO}(ZE57~Obua(q zzsi~MFX!I+t3xk)&cfC9`0+ZQku&2Dzi~6U=6T}qmt42xjUV6lswEpvp85R23l4gC zuT`Hq=eIk&WVP+@Kl?L3Kj)G~yF7P~3 z^LF3xx>c8)^XN)Xul$+v&}_lpAH43Td#--;hgbT`yLUP?>(9O87uP){N5*&UadIg~ z#=}FimE{QP!iP8B*lv#P5!6HG2x^6YT(n8OIX?NNas;)U96=o|M^JJv`_=JI_Fnb| z=U!HipdMcQ_<=lvnzvYvp!VL#If8n0#s7TkaA6#>xqJ`nvtDXvD}0Uv7Y9oXfg7Ac zK?2VnxVFa23EX(Azjc3)@q8(X-tQo(F_Sx`t*GMXOb=96z>6Tzl zZvSmgktxEQLMwr{nNzk^N4r&@t(Lnfw>fWVFn|6!m$|2H&knYi>7l#S*@A7R9JZO0=jcdYWSVKk5gi>l7dkIn@#bu4Da#+LL8-*e zZ7*``K|ya-)*X4vx>-JHN5u11qAGi-(OX?z6vVRK&&dz=s$x!FY4NUfqq5}zQvPda zgU7w|f8qDMW6Knzl(-G<@{PAfJW4gOy$F#XN;sjb*RR`n=v{RT&unHLbzGX-37&T= z{aqkr9kZbF90Gp8aCbZGkDc*8dN0ltGk88gw*{GV@*e%%V}E*GfcAO_x6IB^7rn@` zXEp0QbopaTxybCMUm`oV^H63w|4A!Uwvm65Zy^@9_~=@Ex@?{=GR(0e&w(Yy4lvrBsrOxOVZD8ko(doe99n7(!V^3fNln(DA zDvPd7dLH+#&X;9G@9LBlhj;D9)%*Z&bdhOgWwA+Eeuy${(M^<5H+w$d-sRNlk|`su z%rFnj4C7vAHuPNXWv;`rY~1CKt>?=e!!1A9$yUnOf9vFzA8ZuJ4^hOGlKiFBvZ7 zS5MZ*g}+*E?Q>m#^LVR%aA!`C&9h@tk!qyX=WQqbwKahGvPU9 zmrm$%@^?8Cx_0t=^etw}V;N zr8jyyqA~u~wO{*#N|vMcjaqifcr=cWGB@)9dHy5$Gk4JkCU8>&{;VjH{a`RKYm zSypuH7+csm%xQ7OZ;8&(Y}1ncE`F$@c$eqKp4GVf+~qMfSVriHIlIcpvn}ABW1lHO z8AY6&PMWSQBliMRt5qQMTHN8c@W9TTR?DV1`N2*<&+{$Kk;{nAZM@Vf+RP?umOo(K zk@N#^RPhSisuD$xGpw+_=PRr-tqLiwRbgx0syG%Ew46K%zDnZ z$%}Ha)lqZX%xQJ(iZ(~&4!mJAiWhOCKCa3zK8vMt7j*_i(X~kV_(k>UH;exb(Pt2(>d~k zjX{}xHpx^XYc(pX+aBE5*^19bE+0HZPh}qtL*8HH|~{Pb(ayn z)dsrN;oHoqDrl>!!j?~>0o*IQKziJ5&@45&TH?4@2w?%mF+wFDSwB~p09C~vR`I^B zvf;R_=$Pta1;gFOQA*XeYJE=C;Sk{P#^Y|c1IvisrF*;BURFKM!ydMCRS%M?O3ZmV zU3yk|-t)W=wSI1A*j~yH)(Pzl*{_|!^X<%LKbLzuQw6qc+~too^U4FC*v`ohcCXdW zd1xk&VChU~=T_Q#=(z^yGNSWXteum4UfQJB&auU6%c+V*FKnvv&?$(x2rn z$u2^#o?V{zxmVb{$x$2}E?Ey)b~Tr`5+K*f4?1N%?vj+G`7(OG(u2-z1OiD$ez4iE zU19l?A21KG;(O@Na((x?%XnL+ZBDHYv_rd#!>cyW^rVUYv4`G$cDqU+y^CFCyNjJn zyNk`F{D86OK~Bmm4kFJ>9yFgVwdXZ@IP2t(bsEp<9@wPeggH5@e z7A8QaWj8_|y1iCdM)VfqtJA``cVz!$nO4|ubSliuPGU#hu~E2;=oPkeVg-Jd1s>=) zx9LL8py)mmlI;XHPVjn!9$tp7U*N zTsv)SGdkSZcREP0oeuWB@`KJykGo6;@y874QqVHJ{wjU+j$P4QgS;b~!D8v%s96&v zL?w=Rr5M2Vk-6_dLXmqB^>T=9{JX5!_NK&KtYE`{`vY^l&*M;gs&3B1tx^c^HQ6pB zeqm3o_2}2GS2)X+QxJQsYJR{RFLS$|hxoIsTr>Gz&i*tb%kd&lVeGk@z}h1&^8<3L zyelJ0-!k@^2$qpQPIE;1W!R$Nj6*)*5vXW(>l%n~FOUtsnH0;4Z&zMHvm)1MP@KR) z`iXF{DolPz977uXS=O(>|C$Xr_eG!B!~ww}AUq1hHe7ZUc1J8f*v)Cg-z2`J=kMXm z-ofI_hL9U4@RvP|&7W=hNS1gVh;aUy1PlO=9`idIMYP;JZrDVGNhPpBMYOnC+~L>Ft{*j5J} zxX)hmOvQzkdd%s_aEq_Ev5yLhpRlE3MMlx_-k@bOacy$11NSlS?U7@2Yhx4rMa7Tf zn9{Dq^2q_?gG~#&e)@*>v&gXBIlgEEHEg#NGa6Pmzi5Lk$A_8{6092mkQcUOVCN%& z;F5YVjv0V3fs%|&o0ySKGy>^NOfjkrTSiU^O=de28;0A}RaTNZhU;PF7}yEJXXAZIsXP9JdJC7)m(*gK%Wu<=i97$aiIp{LW~A(`4R zd_gbT3xxp4w26T*A_`>n^Gpn^+?-Ch6eDdD0of~lxJv6XB?g-r*;9N6$TIZig|>-- z5EGUWX5S1zZ~$EghCYyK69ZvbED%PZ8OUzfr;NbR1M&-*7_0Uu53aKkcP7`_{jCFJ z8TvrUq+s`d1d5VEIP2_oZ3+a2K2S0#*v$xmFaojbI3{4^8P?83W5Oj#$AsJe+HjN< ztbCm^VB)rGg(L}5WRgH&F-i3N5Yx3T>Jx>H?Gt8a-6yGZkjZ6Y0Z9Tk3qILolCaAy zyl9tpcV0w>gCt>B=72#mN!Sepfb0%qCLpWEr(R7l(l(K+tcWBK#Bj5#?6JNBlt>cV zCI)ho1Rh3ovQg+dt8%A6VCapJf0)hKwfF606eWoe$d=@Slh%5Fbo=y zR*pEXijxH9A%U0%>m$xaLWBTtNkSep7fs;z)j16X4 z(jNo)sia6T@{1slAEa>9+E!QDiw0-7Vj%2$IWM3qeMYJLP}rk4xQ-gBc}#41!PIro zl|E1^Ur3Ry!-%t|8HiG=W@H(9K-wl6BWgo+9XD_@0AWe1ffD({ze?g5Q2<&XjKB;) zZ~%e8&}U@Y#EjgeV9N|>j3F^S9 z8v*LE(a$0_6o1NwI&W$yVguaTZJ0MTJ1R@E<0i zB}WZ$lhsU)>WAc_p`*yG$zdv_$*D?<98L*o`xNap&Y_ZARDQ^6rme_-jr z-on;4Oe2Z9wjMc(N|NL64djw(gp$#c!(5p<9AeBPr=LaSC<)aN)t_Ss+^3xf+q(1k zJ>7ZrMU3h09Vi5+n2|#da_Uay`fLWT-+x&*-$e!_lbLc_6dXTIoyBb zfe8g~mDFS6f|}6*!e)V44U)|_Z2T87pm5ZYq(+>B0F~x4ksXW7lC*%P)|U(dnLlC_`3hMm-cYFTGwW5a%GL1}xyAeArVJCtnJ zw+t{i%?v2n;MF7}Z4)!Xh$#>UV+J5Npa!xGJ=gj5Oh`;Qg`@?-Y@7ke&mU485s#4; zWkigq7A+7)UtC|spMS*xd*9=6RM%USr5+6w0M2x5&Ef7W^2C5BP zM&6jHc8d)=Ndb?Rxzm}kip4^nGPaYFv6_<-VwLloTi_s8Ro2CQzzA7#Vg9Hw>poZ| z?U7R%7a68-RKwJWQ?HQ>y#~?g8#aVv!)_9=rCu|6jRK|yf`+NRNEJ#iQvM?275)_Q zU&G0{h}$+@_H&4<=_WE~ALvD>H;=4-7L#@J1Lfk>i!@Af+B^TH))qW6OwFjnLoGEm z9L_ykyj*X4>v>Uok%E+7L{B&u121ZoY}_XdjqOvEI?xB-YsNpZAvZNpIyIA{P@)&< z)hRh-Lz1&azN}1G&sSse&0(oNQC%uIN}_3>&yIQp^%!A!A#)DQIw`5 zS_NO+2Sk$X1LqD8k8)u8WDNhXb-I+|l`$q?yXTxDQSi$)LEV`{In4?1QbY_r2!7QU(!>r~EMP zwkY29T4O~5!deTP>cmY@g+4%E;j8kGDX9I$#B4=cT;2{tg z`ar4tklA`~xQ=2cW>g!FHz1aE%)reLR8>>M(S*aILLi<{)Dw_*oX8J$ib*{d@=9!eio6V(A0TAaWgd(lB2vLIZnu$9Irb`j=v`}eWq85ai5Uf zwNDgaPM_>yvo|HQUy&gSOKWJ>R1uVbT93)VOvzy;)a3Acnq0D4G@~Z9eF`@qb^4-% z;c%a<@lQ+^kz);`XSLV-WlY{P2I)c^?j&ojbOyO{8mDJzvW_&PMAa@)f7M;e>;nUm z4X}zOsxIxj$s(@QnbvT$KZy(nNhMJ?Di1QGoXRUb3lwcSLLkaIdNsvJ+r*6AB*RO< zW>>M|s|Kxi;s*{D3j;W&{RYs!t7>;rBKJ*OqhL^Enh zbsBe;GZ=;|=)^>sXzKsM$)M%pGCEeb{j;#Rns5w8Gi zAj{APN^UeLC@oNw6F4R`3`V};WDao4LNkie#`#9+L>#7wdj63i=O51!(up-$P?}M1 zDp!iBsZ-GhkDGoLjSfYkHH2MlGdIi=a-&acZWN&8#^2Kmq$;|&ORhqpvUIrFKKR_s zXR)C=PxRzJ8?b3=@~#k2Co_)Xlo|41%?!UMmXQYs(TIYWN-_aak#%^33UVR+#q zpQC(;Zf`P2enyXKisVF_Zmy8#;Vw!(GA$wqWNwA<^F-8bN;CDM*o#?cix7g>j0S?+ zjEDtohWP-Uh-}ufLmK$WAXp>h-$Ah+e9*LmFaM5_)3L8T$Njpi=V2@A42DJtVi;uZMa0B1LoR$@&QG~?Q^JCu;x zogj~NIl!2SP--4U_W!bt%ti<>CWIyBIx122DQ2ClK!MW;;bsN-Q#*_vglQ46aAKWd)&xO)1Qw8n zE`+v-AmD%FDDGYxA;6drmXrsP3TKKng_tFrsgVEyQXWJqx_L395VJ%#uigk@NqG>d z=w=o)5R_(3j-oCigdIi~LR*AmL8+z?@O2}EAKg)*s&vw{h#;Kkrg&+D@S_`~JQk_w z=AD?bm?gTYec&j_JQqS+ge)l33%3!ib4p=FvEg!q!9x73=kmYu}DQXudfth zmguIMAw(SA+9F~B{~HJjjV2blvWBcOLViskPvnlT}Nq)2*QbOUU4pUkOAvsEm~DGsTzPj8e1^!jf`WbhSkU zF^z7#U$wqRh#|n(LXeaPVOm5GnCr>{zK+I%Hto2%HkD^&a~jTgZJg6>?#u1QxXW7$ zY|Fd6pM&t=D>SexFWiU0#RbMcAv)!hVN~lud)qBiixlm+M3sZ`CyCJRQckJOFtkIP zU=OF+_xL#%90FllMBPTu3lL^h|f+~uQiwas8UQ5MiM2t&>;K6qzmmz_W9Yp7oEZG?J= zC?CS0aUsP~-4}qng!H435G9cs2^Z~v5V!C28De6`x0gYjvv55*YjB_{HdFaO==|Yi_SJWtQ)i#^~F|A5M#<&jyVg0 z?q#cBCC=@-R9M;>&H<)Smi55dQMIGkH2w((kbPF0=wLm7p-s$k_z=p>^`Xj~2*ODe zluu0%6fuObL+V0kix7g6%CMk(YJ|YNBZMX8L6{a11RSmqVRi@{MG*rCkn$jmPa+5> z=!3e&UN?ZCh#`a}@a!|#wQ^JC6#d$< zq*4g@x{-w+-5}*bm=+NWC%P%0njk1*zyhRP2yGEUP*NEdlur=^ZN}lOkrUZ;!kK!B zHtR%gb7_a~o?s#;4J2}&P;qtlj^Vp{Zxo?pER+%aUZWF^=+W}tQH>MXoQ5{O%5J*7 zuPM+b*u!bK+j0iNej`@^(V?D_Zg;4i8oLdO7Q%Mf+o^W+nka&phBm$)EWv^{qgb{) zBb)HB>2|FEv2KQAO-Vt|_?r~lXW5!!=y@rKq4!3kO^=tmKuX@GZX z3D+>?xW@z`4j2is;1PuQYjT8C2St5x5(AY;O^D!dE;NwKPd^H6Qe+t?QQS4!^fLjp zng?N8L=a9U;GIt+1SrCVDHf(h1mPqG%8w=pDjh;tQZ5T^5kgRC8AnlLH9~+fAuK5m zB9#rOyA%Sxjv!zYEIgaW2~oG5sHSwPZ3Y2JSpo>gC+9C^8RjpgI_9riAH%wX%?ft!X`oT=bC^e0|ea%TcrQSuTf_( zXi5-Mk_7R^x3UPT*zZJDZ}J^WW`hvfbb_9us?nym8^CLtz098|;1k@seouY|=|%`p z#4x2;m=+<6Y2}_kU`jSZ_&Fe|;u8z096+IEoKI2J1VL#-7M7Gd*|bH-fuuZ-OL56y3H(1Tl^7c34~&B#<_rcCrv8P63vG%l z!-9&U$x*y80t868j?xw(3ts9d#LUs%=KT>NqUg3QA_(~3KyY8##Da>25OH*Cix7f> zNg-y5Za%?8h&Z}aHFNkDBRgrsnz?Yec^3o-kaEW&RWsM7eo_{*L^l-+A>!!P7NMi4 zp9}=GQqx#au@EATZfy}k!2b$iyTwNx1=9~_uy8$-cuS)EnG?>n7KTuludlR;&hEY2 z++<;WNlo7Sl2nTdLY2-4}k3yT2NXARGQUjx! z0&Rjl1|lw)Yimhe1OewOL>Ss(w?UB*acJwEPz2$GHg9*DJVPNuh&Z&hMF>GzWLQ8g zOs7-8f|1hz0zwEW*$ZSWt)%A`WeB5kgQF z83;Gn>-8bHf`r_mO z-uu*j;r0#@6IY$J^`pq96WLTljW*#6xDZ0i9r;x4T$>8X3=1!M8X^2ZPq8p9LKaj= z3K0Z)JuLK$ALw`p%41<#L=aA(Qw=q-peBK%AhcWvZ4p9HAsH4_LyZtfZG^C-JP6Yw zf^c@|R6~srUEtJUCYd=ur~`-nn2%DCbCs z+6#pED}vFx1glixgoLQ3Okb#+rhSQYto`QY$jEe!moH@xvI~cV3=o}UK-~of{58!W zv8Y#6Qpz9<{a}JqyD)~ZSk`^<_WAg#+j@iHzNV#Dsj0{jPL80ws=dj+033dC16W&x zHmR`;KMIlBW_=v5mj?JIU%oy9osw;jz^^r%& z_#?83K{7UZ+1Y*OREXAp5NgtiDFD6td*ld};56bWI6(1S27A_ylF@NuLF0ye?I zvuT_V*_^KY_=0sOy97f`QNwP7C8shUbwXHC{bJ<3`h`kOg?*tGGcKg=s`;@m$_ zN(ns{sT@G{Wmxbss0o7dhY*&O3!yDS78GL&0be&l_&ES?`ST!BIe=nJA>ivq2yZ%9 zAmu@%QUc$1pb+qN69nZC92HmHRoWtS6vdcP2a$;G78RI6%pBb zxe(eSf^ednDx`@8pCBSc9Nnp6x)ueNVL@Tn2;oOJNO>$$(M|cK5b$*)gdg2;Fnd^X9TQ%2NIBOK7<>atd< zMy^GPq&DH`Mw@-y+R7dnux)CA{?Np6ii?T=|;Oj;RKeR#0W04AN$|8jbLpvA?3K6itC727L zEkZ|878wXipe7a+B80G{JP0!^=?isIJxT<(^S6Xrarvbx=Sp7UsLfzGFGHIk1Q*7Yb7iV>uH+q_LLk3J-KI@D zgl@OB6Ok)06vif%P;JxSF_hdCapchj4huz>9Tw~PXUuj zGF3HK@~TFSgh5UQ7+dNFGT^lr81Pq2EbrE*Oud7y z26)K8Tgk0Q#OF03j4lb`*Ce4-Xm&qE z)A3}$+bl5PuSo`KD)Oe26eysk-sCjUtFe+Y27O_stNQ|Ha|Ys%(HHNX4c1iH7pgDg z2uhTwF93&MT<2;Ei)><4)Ml6?>N^X|j{<~*$k!6850gO1yZS3rg?S}!;tV)1gK9!? z(ORh=g$yXK)JT{kLI!@0U{bFM`8k3jE;(CLHF_m4t+X$k95}uWZksF_@2bSMi1=Yt z)TW;lq8qJ(TMIi2>Zi>}kopc&ko-Z=UzbwldnFZ{=?v9bJz`WWSW=*o+$pFZg#;a%3ODUbm?WTp+#yN5*si2f zGe)>irB^~iR6rmUjt~VP3Hh1S-<+takPwxc_9e&}L0<}r4EDwP+NGO%1768@tSCf~ z3Tm5}fsjg`&D8#YuLw|^ek$nIHqlSqfjKs9i@+5HrJ2|)D8m|trQmfDAyDPVc}B&D zVc{)YDsZf+s4o}_?Msje>S3|qr0elZWP-ve+beh&0DfWmlsD?3h7kn0dVpZfpP2!n z0D|6vSE#9oAO_74bRY_5iOQWWu9qMJ`c85UG*O%PN$a8z86S7?in1;v>{ z%o5$aV< zR4f>m1dCLeUBT~)Fc4G#jSzll$7Oa!s?4sSeo_de(Fg+F1`F3Ssk*v?k3XqRIF~kq z>6CM4#2E`ma&}RcVRlhwW3%HOR}_h_*cG?Ipy>-WN8J~KV{*l{YK49jh70AC+6Zn1W_JAfFdALEKG|C!U=j_AT@c0QiTxl8m=uu2r4YYf@-P} z0*nb^Nx5?^RdHAF@h61{0zGsTl?xz1%7aJ+x>Ipie+zU2i=0A+5YZZLTSSgR(iIjt zrCuY1ALtjnay zrxyrx?+!HA_X$S)rh%SQLDepd>(p}nC^SMjWC-yBsWw6eHnMY;!qp5ghL(Ztq_YsZ zCpNiO>P6twn9q5&RD%P}9TNR0GBSob|}`vch(<9+LW342gHZk*7-X za!M%UP0FR3P+YE-r;6@!Uizq!Fu(&s)C`!$;RsQCVTAZ=nouGWn2B0*bpL)Zfy}lP*)iU-WWAP_|XkgE(@)O ziy+{Cg_tF}S(XSEAmu?ApF|Lt>k2VTbW_+6B93lt5kbKJ27;2R$x#$GgovX%RkoK? zW+?=G-3Z}FH%PgTN|o)&g@t%eHYEx%OLX(S4qy?N?d94cbQCXf1R|rPYG9F3*bpL$ zZrdV&$SAWEV&>@1sB;JrMYnAcK}@4NtB)Ge(Vg*D$U=~mGZwZ*1Tl^7tbWaU0|dnl zA)@Hcv_%L(F=ZUZOv}xnU?RKg-FQc|nn_)O}UxsZO_siIK+D=Yo3D2gnO)I>O zXO^nl%P6iCJ&bh15j}cYeU_@*%c!-CO$v+JOQB6nH#iNKS+3Jk<#-t-ltP4|9YT1a zZM*E1d1R?#y^J?b3K51jA<%6IGq2kwFv9uc)Zk^*47F#1vE~k%o&ie^J@uE}q1(Zg znUInkS*nyTquw(7YVF8yAvi`JH$pDIR7qaul;mZUOf|pICT1J>#U*)}3YgG^PDx%? zi?p!Yv>AuBb|SP%b!1$@7^=G+3=6l69C<8b92SZ$3=1_ThQ%xB$yCooeVMNA86R`1 z`w~~^WvL3i%&E}Jc-2!IAp?vp#R3^nWq|>I1q1J>BTH52WqhT$@g_A=4GuJSCh13^ z8>o!bNEqND1B?NNgc^>7D7Zj~zorS9ToLtUy2xjIUSUcoUI0_2br~hJAw)q`8zCVu z2#17Xiwuy^k_^;T$bj-m!NUbUoZw(fZ~89;%24D6coZzXFyo@?a`vN9YNBBtr zesO1u_BP_@Ed9JFg3aitgXjB}UwsF|*+RVremtrGKkwutQ&kl9g$m5@quQ$Z#U*%I zssu0NU5^?GHw9#X01k?=Y_IA~LH%oTwrDk9!~mnB;NhNtXatY<1S)|dn}|_klhUg8 z3T=P;WMwqFiTbBWllw2M$t9p=O-x8 z_4t_<5kL6e@T1B~&uaN%R1g9`#x!TOU!Z5_+;q3#FH5Ncy^In~`{HMiDLCdtT@6Fe?%kDlAEqn<1uzt;+{OrGG zPd@O6C8{e#i^yiZ36KVU_y_q+{v8xC@|^c}2ao=Dh&W&9d;hC*(WInn^* zRnHObXmuLOzguT`1kFd0&3elqe}fJ-+H|u6`smNQ#EbQ$U7a1|tB^4Vn;$=gb>tnh6T6$5d>{o7M@Mxgvh3o1A>aYuG}HYUq}MSw4{JxOpu1gL_MV_ z4&869k^UO@MBq-)Q_|FgqB=JDCT%kTBO^|f*W%AgwU_|_;UtD#O~?&$^m)2|xuQh+ zk_vLlIx|9)G*dzr+#M2CP$41eF*OoKbuc6pFd!6;5Ff=^2`vT=%|f^g#75E#N-H3^^p+9K1nE1%qRFxCZOP{`vUdkj!>d{ zPmDORPNh;C2?$XXVT3qfB;*zIiDl%sBq22wI+rTXIF|yisV}_nU|-@KktppGvrdkn zaH%0^6FDNPf|EZ_h5odbPa0s%n`~0+pxaR`pM14^Dlt%^8PD)$sSyGc31J7=od}6q zJ~4{~sSx3!PS3z5Sa>!~MhFL#7h7s`mhflM4!iBCXz3nUAzG-3F}eI*S)!It3^?IW z@lv~x6P^6x^rkIBe$-`#A0-)VM!T~53%P;8lW|55`GX+yIS9IMj20{tT2W`5bU^h& zg5COf-#iB?2I6qhk0P5+cHlm_$tDF4_(9*fb3|K&5Y%bLhumv7LVzM6Vit)qKQZeB zJ@20yA;1_AAmy`^0|MvEre6%mT1*H_%7L&gB8chcKsG4WL<0+1?|leM z%7ZX10tngd**_B&vWU(C0mhaENqG>7lpxE30|8%0ENIiR@N6blcA3son+&VkCbu9q zsdxFBJA|ENZ2PweJDy6U!mgXB`s>Pn4mjLig9FWFkf`1h8`IeB@`9;05-^~~pp!5y zNQmOh60&`6*!Xo5MS#*5jEb2AyqK!{0&tg*eiY6m>MLV}TBTvK+eiq5 zo!VA(6Gec6k5SRSVEWa4K~bDXC=uXY-X5uuFu=)xW5C)-beX>2bw zCpJ@AfU3*bqAp|lqea4$O_>7l*@R@tKDNqTS(4~0OzJy7EeId9VKX(Rd zi*Qa*gsDwrE%1vr8y!wpi&3jz5+r{RgbbDEN*L;H4A$(ygzB?3y3F>Q4SV74KAD$}fy} z+61Af)K6?0%AwDurGDKGWfl7w_^d;fK!{+?=Y1M{M$MS=Sx0qJ(3cK1negjSf7N|~ z>~SVRM?Z>;Ooc#)(qw7`GY!LRyCHjf+vWP#RA^ZC5gLX;yBx%N=sxNkx)06kzF=d` zzTnqnUlNsq-42DD=?gVX&79jA8>St~CEFK{tm|BD5z?I&4hlpd1R}a{4IseYgD@>3 z2&8Le5hR8Xf*Oes(dyqx6bnkv;dukW&3==kD18WFNx3YvMaY7RO(BBSzh(iOVBy(J zgnwsR9Vp#~bJ6W+`&xgQpT($;&N$gf3$e;2gOkmDyRm>J5=UoRS19rjnSf4g*GC_k z;5t#Eo%~5+)8C;`GhU-)XtasxMrM_5d{3NEM|#rN*hAN(VZyg>vkx#3brS^D4k6;`))o^mgD@>32q(HJHX0%P=msedA{E_yM}@MOIl9}FD{xd)f+(pu zq3qjKSpw1Kby38EHluL1i8Am^n`%jIhKn_)u-*E%xp%-;u%-ds!Ez6x<9c8vtMR;v$B-3r`p+*QVv~8CI zh(u_&sgV>S4DFDG7uvSV0YoCS+q~Q{5Y$6WET~HC>}tm~d2MYGjs-Q6LWB!C)Z~EAiTKC7%5#GU7ydSc%sT7_j^aLqZWpLR4HJ#9xzyQk8gZYAEAP z>ZN)};-a;-eiRbo)sq?tmvs^%14JqrP;G$$e@!zmj*Gw%h6*00fnb7jug?jNKdt+M z(WN(WHjciykAigDsp`G9Q@z)wsH(k5aLi3e8+X2^%Jte*R)!x{RAdu`;&Q#VqJ}=B zlv10sBn6hM0fNIxpHZQ~XVir7S>YvxRJmT8_dKRA)K@h>jvx8i0dsw(Ekb@2S!&bI z5z!H3#SpL<^{Hn~(9ao6^C0Nmptn^bMV)bS2CtJyu;S(plOitHYp2Tf+PwEsg6LqA zO)4Jv5Him7p|%LSP5oscsI?j)K#>sfCLvX>*QWkbh#+r-o}uCa1W0)-QrUs}OCf^n z5I|H^JcO{M90=PYauob85EZpngQF@6AVS#K^B~N04InD&FNJ`w8zH=H2}yYnrbPq+ z|0_h05+X-|v1LJ09)xKTLBRh8f|o!M3)+k#-F70hNzJ7;{YdZDk!^#k;^rNZU9lJj zsf*_uh-~i$y-F4HRa9dN4!75Yhz4-zAJ<8#qP)r}%By$*)MS%_2R?&}b0M@v=rhVM z(``zv2!b}FP_}N2Y{K_yGYn;BSh#}ngAiC|IV@ylhsAn+TG!~U!j+1ps4o}_?MoQ* z0C%0FA4Nu-sHV)SofHt_9vg%>@--oZ69`e@VV-$HpwjE4urJhTh7d1?>b^h*d4y5{ zP8Fs`!T=`&j1ZLv8Ss(|4ESrBK`Qi}lD&$uqGkXzmw|p1x`BesFrfID8o{K)7*HJ6 z3^1HzfM1ggQbl={QwInj7_SH$R-Fu$GHQRD#ELHQ>8X%NeX;Ci4bVc@uTX4&!{AmK1;ln zPdGVAfwHjX$MFL=TJkH9XcNwW51|P?ADR}S5BVIGfuMM($0dNE6e5Hj zQWrv7gb-9>3K8xRLI^*NK+t&*rbPq+hbshTS|bZANnim|9)xKTLBRhC5e9w0g8GLL zmXr&TD(0&wzYGMGR}%|fG7%!)Cuob1g;S$fal_RJ0mgs;DUXF|5kWYS&I_d`2#Op+ z#9RJU1z*J{q>Q5|uObNAv@G0i>u81Drp8j6LHyRq!^Urm&(<(`kOs0N&ttf{d1W$H zs%EcpYW6BhEi$U%kUdbs=P^o!wo|j$qPA+V*`mUM(;(X%2-_lXT8ny1oYtbYiXdpy z290Mk5z8$qe6<;h)!njb3VqCnfY)h*aBYxAMZ&9w){0NRo5m&CY z^rO%wrI+EyWv0<4CZgqM`{>jqHz0@Y$Uh@lW!WZC&LOc&55%Vpl+^$8P*91XXLkJsdE`+uSSx}7` z7E~e;1Z~DKuRJ50@UYsPCFZGR2;uMUTV#ciX9Xi--XD2274^l5`LHgp&OZRhpt(NN zc^cZJ<}&;!Kj5=yr&=(PT8#P>g;SgSnbeT;K+wB~Z>egEIx{^hXw||KFTbe2iy2cJ zQpY2hN(Yo=N-#(Vp-oC1_z=p@h0qpJx1H=jIl_4tL4YD5?2vj8rbP%r;bmCVIra?XQDEsSyH<0Rd7TglQ47prld=__`6okM6ieua&CNYf(}e2!!P77>KA;B#Bv$O1DC5Fq8TFfAeo_+MGT*9{OQbqpb* z=(a5ah?1&GAWABzMhHK;8YBLDuddqBgttB^E*fQHr*3a+RUGelvtMK0WQ>IGxN@^*C zPK8l3A{@|hMdUI{)##NJPiiv^?a(Hs8=Mx`=#{A&y^_~33K1^c0R%M)A)-8zp+Kqf&)6e5I(Lt9&f5R^p<5iZqNsScQ1R<0vx;|6X&}S4%#%B~ov>E!0w+e*76s>)RA%)LaESdC~s+_1V zPM!$M>`H>eXK|TbnJTj@oie+UcRu77+N5X!KPWNRh1w$QHYJpCA>~pd1Sk^1Mw16& zT0{^|HlSRJAYc1|wfkrLfz6y-&&4PGICIVKMUFfvGhW^<|n3 z3JR&HFXU%4xWmu(Hn3SxP06qnybyxT=)g4RhRkBrXSA5wHGdG~ssw`GD~AhxMk(qH za(wra@ouMyQbm7y|;NTt}q}@(SMMC=1NACJ0I!LfCP0A+$xvfKM}+<2Nb*pYJ|WP0}GJySR_(H!B=-Ei(sJ-hnsSS5SEk+kt)h7_|Tt$ z;5ASa3#uDJ#DT9ZLPs%{6#~9)gg_Dm1W0)-Op6G@31>bf)ChqYM+iHN9z-g-c}HVd zP*+6|v}swmHZ}gkZc|*T%^;lX6o@QDA;1(!k!DjMGYGFkb8j12r0VYqr~a}TX9KhT(U=`;#9IE@l9wIwQ2 z^>>9+e^*d_u`dM*?mR-Nu;x9J5(>gPAXIqzdi|J}qVX zLSaHeH8>_gT!2=j>gEb+F0~m1d}PxPct|(bg{d;Sf)Y$2Fy@Wj_5(h}A{Fqwfie)3 zA59QcIvAz67_iV5;moGcQiw4Anf&2=3K&9IQm&)4MFau=D+GMq$iiRFLCS+LEg}df z=J{w-69nZASb&rZp)EoPsw=~y7Hc?>3TG7Wfe2wqc@V}Y5rngxbHm)o!p|}wjr}Isfh(E90_4bc@V}YAp|9rLd+7~yaxgVNO=&c z<(!gAA>ivq7JhVtln0TDZYnH+$f>3pAaW`fvWQl9+ahpOPT`~wGe>vM@1>N|xOoF*ASjHQSnwW*5K(mJ z+9HIYSW<|l=!Q+Oa6O}0EV4P>o#(vssk=>eV3)a^dc;C-OSJ*^JGRgDwU6D>OwXGO zZ`W&WMV-OW7=qMHQD;anj&$vAXp_Q8ZTgWO9c^UPCt%3Zu$m!aj9XOyiD|DEEKe2Q z<$Rn;I}@(>+;PC$5H;=yrV8kC_E~L)Ng%LEQ3D^w^>lfvo-U`RG7#Lh*W(gG_(>qa zB2^`q^9d(~z?^J^@RI;YxsK90x^N~?M=3;@1OgV+HE>j1G?#0O2m<~$5R?N=EGSn9 z5hnp{5kgR9DMXkALKc`}fB-4iQ7U&L2q!1-L8V3(U`z-bVIG8O5kWYS&MToN2uc=0 z#DT9ZLI^4*<0w8N&gs`Ms7OA4SocC1R5A8q8R0=k?RR*D`(dJTsh7<~b( zbl5l~Vg%=_%^3? zN-1JNo0f%V(>NiriA|K+gmY_~+>9W5P(1*G%M+4G%?inc?|b11nfD-9mU_uuMq#C$ z2?HPKE+hRYVl)lyj54W-5pNSLqk5QXMo8C|k?pgS9^LwZELB&RQDYfGkRBV4sUyIo_GVm{mgz?!A!;dOq}Fszgg_pI=LkjF&%#AoW%~V%)tW z_~K%=ELD=1QFN(I3{ay@%rW>dF3HPO2!(Tm_bmz$=8Ul0n23akHvL)Zg?Jfncnkyu zR>Xofqpd)uod~;4m8CYrM8Tnkw^_}_q4vM2){f>hS$m}zS*lnsg|e$2A%MHNTR#d3QEaJ^Fv0^u zlp-XA>_S4+a3I8A(}Yr)0k=IHXkWtp0l-~C`cXs(qhgFOAJkq631JR`5C^O#gux~u z{F)?`s@uz`*R(HTCSYFxp5kX_X~>V)S85YI0>3bQ%D21t>jO7@BuI6~DLBIsS(Yl? z%bdc!j8YAqk*}ZOS6b*dSY!}P?f|9=_cH1=Ly+36(Wbw-z@?c7VOoSf00skw6AM^o)e7O>46d~;FoWC1QlnbFPLI|oc!-B%35dw?}VM%!qrbPq+|0@K1-3Z}ExAZ7{eoj7$qgy_N{}lqh zjv&x&JQ?M7TbGci+i=ae?QjyL)Mk(wBAb|q^qCz(H=!l!@dVddbj)mSsMG(jh3K4{I2tn0C2s?}}gtmwvoXDnLYH}2BnFwJ?c@U|{riL;ssFxZc zz!(r9gpnSy@FHEl@l<={PT<7X#|i}eZy>mz zjvNK&Lx;IGwG-h4rk+xpm_M``PGIg(Ileeq;RI#@qMg8YicYum?%j-ZKa~S`xubo- zD6lW`N=%QM4JY;dXe!$wf(JY*Ie3+ri}OFhuDY zL@Xy4l^P}&1vIjwTihv7##>=uD5S{L8Ss0CRk{T5Q4hNc!uJl5dw?}VM)0x5~X-z)>+-T?lnSyF(5$7 zgD@>37MS+RA_(+we5qClVM)0V+9HIYSTYd2>1kp?wL%C>%7ZXIi6ERn=fzJWgdgZ2 zR4ZT+RpWK!5s(xM_}@TKCpAKVF(E7|mqnr)Ps}5-3S53U=da0Nrz2~2m<~$5Y$Oc5L7FKu%uiT+9HIYc2WrVx)H*U z?x-41I%!%&5KeUSxur%3Fa`^d@>rM_5d{3NEM|^wIiME@;JDM088cPa&v{A_#aUDjo#S&@&WBrrQ)lwN38f?3SZLNn#=D9W=I763n)H z*i!MV7+b2sJ4v**qRvbMzy7Marp|De489mdcbF0tcES-uqkPffDFi|me4~Oi@layJ z-l29fHfv=DHP~T4Y151J4kVb{?L-}&m~|qZd)3BngCabWVqsc@&Yzam30xTIWI>y8 zXlskeCOm9BLp3z*HUbTvp(f#gBAz(AD7@_KqT~3M1@APYlc=f_{OJncp=^r!0&viE zhecx{k2|NLtB9%7-5n`jPgPAC>x% zhU%_zMZDL(dmR`+1G8LvSLXuHblF`}*k#+lmKxQ`OPoqS(xs9gwdJFZJ-6mZ9sS7r z!>)eh{b5f((!}TXxyDA1dr^x%cmDo9#aI;qB+D4W0Zh zJNDFeaB9~6Nf@W@`p;28ug(8@;`kD;ORhVi{~-RCe?aK*FIq(Z(8;gb81Z9NnehOcBjny`FJ$!_4`lI0>8_9Q`RQ+7+cgyj3GO30l zD|OD4TtgtGm~Mv7>~N`cE23KN=1?19_#* zXwq-X^cf8EQMa6oi()*G-i?OxM|cBwVvv@`j~u`~q!Y711taL~QG!s}qu&}A@;$lz zQbr{o4&?2y?N)DGX8B;;nM@{K)A5!)NOah?2i2fxk6MFjoMmM@mv3zEk4NK9KFC_# z$z-4|!itO#+oLbKq}?7(@?k#6CzD=lD3vio`Ad1WXV8~hRCN(ntLYy}jgy4os6Uo@ zHO{k6zu(G7MLsCX{z$^d{E@x$bGj$T>cg@cbh@MQK%%rI@2F}?fQ<%S8J=!mBhwy; zI)Bt_k7VA;0FTR|{5j-Z*MjWO7tv~uZe ztCJ10o;X!n;YlhIh7 zz{{&it5=L9^oJU_T;S_rmuBOBF&R{ytY3|K@*=+0a6HN+Z&s4?Dx1T@z?JEm4SPeA zS_bm8u%wjHpem|?r1nW?(6=chGgYG0B$+zmYWGj$$vXS;Sm}`>F zW^=+Q%d2s_Kk5y#-e6pGWL+4`WAp;i9>{lUs1xkberh%@#o*08C+hk7ztP=rMyG_* zbdJmxO#Px7kE8&!ErrFhWsq&nP#&Tzt4ZFkdVR?)>h)kX*rPwI*+E;jet9v>WQ)}9 zbvuJjK9Lv4jQkXT%+)(-_6I<4lez!Z3ccK?XK9)U+><)D5QGYxO zCyXUJ2DyZBzcUskAzLY_8XmRUgOpd(w^iLAA<&`&B+D6-u5P5Q^h*_2l~E=PrI zk;d&xvT)gNi?%5{@-U>>=#>+b+wH9n_rAT|`|_>fWvAa77V_Qao$;_LB~!`1PA2BC zJsIT&2S<`qoK9PI;;n2n?zW58P*U?m_Sy1H=CW^=-J$kP%D}zBmE>89^}%Q&58hX! zq1l(qF0M6}?Q?IE4@~gOQ@sA)^hEs(WDhMusp=0p!-3hph}Ien$GPmsjoy=|r_A3Z z#%U=$SdZMSK;g)x4hT4My>>McjV8&X7|GV9H8JaIF3annQ}nxIGYYr@ntD{09+3{C zc1s>Z7Y|i(Ix~_7^r}k6Z!*cvESBwZ;2kNhlLdD)mhUzlR`MvzU?@wZ3|m_=t!y6j zZ`^b$qdm-W*&3T2dsY?We%0;ENVj_BKn7$o>X}?A)ww>-u*k|$Z!D*Btx+*($(lA0 zTDcgIFF&8;Ito0&p$|s#BED9yFORksvJGf=hoUxQ5)QIXmCN@tj|E4hLDR_1?!kKG zW(5jIuJOR6r`om{4O;{Gf-+I)lXibtjV9y4NNCI498_jISp}0+gk05Wi~sU6%i5E! z5vGHIh>4zjb3sRDtVWQxM^Pj>A?nHIT@*-1_BF*szP@NQEJg!)rQKMTGcyq0W?cWM zKb9~U%Zp!(J}oEZKvY0Bl<4brW&3ZoEfo*{FcQ+efduzt(wCVb`;(EV)T}$mB)H3g zoOEdS@QvB^blgK?XFM8p&7^3{p0g)AB$55?zLW^ay9tY)QATnI(lCBT+s@`k4&YKi zg(KK_3}jqngri9Lsw=uh_MoEe7fJT=Cb<03pc0pgB5n7xz9?c5 zFXA@&S}Zx%D8?-_f|b3za$Pjehm(Fjl1P)U9~(>QV^5@qNXJT+_N--GRPl_p9*n{S zO-Is0XH@k>tx9$l=_dQ+N_4VBi}@pa?d~9znIzg#QOIeAs2xG=p3KfoELeo~x?E0K z`f^w#hdDzz9Wy7M9q)We*~%B_$u3OtWoy(P=Vs=PdtcK%CB(MCD2!~)WWE0o! z_6s=*$=X99AxT&^E^RqT9}Y?he?{__vpviR`w%w~_v_#m5dXnQfIrQnuIciTPyxChw)(S>Y{4EDia>5~JvvN`{%Tu?? z#=VxDmXG8>K}XOQBRhj3dyA@+6LI;|;cy~5n%+p}i^PhYHpnrR?w0IhS?(W=jV6?D ztCeFg8InwP%JPjKo+j zWaRWuQn79-Wf=`P4dtl2H|+E$xpb&65IwP<%MpRBhoY;@ToDmt{wSG6XZPcD1ZRZ` zN3iic#rReF+?&WUBVW{2w6j*3%OR|60c3?Mp6OGGq;YzHHmrCBBCq4G*VNj;)C z`W-nwm(8nqOe4d){V+%p36iH|KPkr&vXPN=D;qyqVI+>nQuC>S8^xeRXS*vmTJq$Z ze9@1bdrjo{wq5i^sg2~wM3cNN$Z=5-V9kuz)EPdinSB9re7K?iS%` zo^@+D%>{Yg-NH=GO!er|qx<7^f4iRUFS{W2c05=3eBW(%S$1z|zjRxlK_J)DNmd5* zd3!m!bSnyR77fwF!wTNShnKsbBxZ1Hy3pK)2e5>|P$W`b?HKm&zeqo>HWDKaODWH7T>f3VHVa;Rk?6bFeS41BNnpd1b4S~@H-_vwt=Eo|>z3SW zJ7MPu4iqm9JbnVI-u&O@a)YfA59v}N=B^E97rb^uHX>exa_HmvEDh%1*zzyf?QzAx zYqYh0o}L!{6@Rz2-(oPWHD-^_d1VW}2c+I5*9x+cC;<*_VK%F~@cqi@_PM}0$F03e zn133{p5))p$H0zWco$bIv)ePZjjX9|h1Z-(yEZ-+Rrg-I-(OZT`+NoYdLNPG4cy0t zyW}29RD^2LjPqcnbjV;{S*B!msxC7dK~K+hAPmwfkBwr#mO1G*ogY zT-ap-KnFko;mYvZua9=?l;&WrE{GJi?f%7D2rlHjMM0NSq7iXpCgGXA{w6jtvgqzC zbcfqo^k;b6f?40*fyE%QBlwtC;hqw%-Sp%`Ryf`&x%0-F8XuM2pW*CTyOv&EtWN9A zSw>VG;EKImVAA(tF{jw+5*s-|4Ir@~*sCBzkO&gUS~}8pvM>RX_@Avs_rMDQ;ekUY zVgVeyVkmF`FIOX%7e5~fXXdrv_=n%3N1#Io6OpPt9|3!S`1MgR;ieR;aXF5+0d)VK`r1F#&cIWmW)a($EqX04ayXvhVX{Bhl??;% zJG)h==DrN%hTV|T9B=FHB&@GOpTowDB1<8Sb&$@|;B$W$(I$~(wA-OJ=u5A)-R`TE z8<79wB8d+#wGmkMa*`vcYjw~Yd2Z3&aldqJ;wu*29d2vUpW(3!Y{ZdNkR6k_-(|P? z2HPXw_J~V%c{$e=_UI*dCq-1p%#IgtTPwnFe%K&)Ccbf_`|mNmp*Zhri+L5Q+%8Cg z+l`P%8Xg?TJdTnvNuSkgACCU+Xr&5P$2-~~vYu0OC!Z=ewN0e{BFG60;val@qX;)Z zl%ndrgW?5CWwm~l9~3OycWW%symknkzBCD*c17_sHU)pX@ub&fmXFt*+(V*kxTt=m zMfYvuD;C`yZe!6C$%P63v0{_%V(#$buzjwV3r6c6ZdcU-o)@zI5$~Te#zS}ZrNTPEvpJ-k(gpF)l=zPJp zK3sReKsmA1`GOuP2eCb&;T~_N)fT`|;pDgSfG@ZQ!m!or{(^vbx!`fRLu_YMRL3@l zL;cC2I-NL)$%efrh zp)UAK7Ja$~auHbxHYL(oZ-|c|UMYp$t%!8HHch%<5)f#FY%nrBW{?Q7>;>@|k%S|1 zyk3)9ltKFPw!R_b0)!4HyfZ!>Li>&jBGYA0YQHvEbd&g4FxuPER#wy~ot$YtN`GEp zv;rUm4E|$AJ7_rnN{jB>#8)i3JKWZyKg(Y%nV!>mx5YpaQUJFho&HyTD*Whb35x8* z(f;~kUa=Da%dZl=_KJAl#hCPl+x#XARu}HqkEi?QddJd8iH{VgwEqF=*21Dh?_Z>n z%k&;b+so?7WlEXK4~t>tA;oa=GfWQy2u*YtWU{_(%0a_I;tam%*E8)kfu27qF8vN*ibTeMe?rTp9iBSFz@_J{J z?Qlj@1P~ul%wBmSF};>PBK_3Ko1M^rPTX7AH7o_K*FnA6qOxEOLUlKFm`+IkWh33! z0t&RO2>A+;_pYJ_ASQcTru+4V zUV$$x->kYwMMOf^8%Ga9lha}-Zhdai-NozM#K@w*f4Hqh$H$#Nqr2a`t{lg&AkxEq ztu)Pb$QeV8L@mSyyA25M!#&>1D#?{dSIP9MUpig{{HT^2Q5+sOCy-HR)>{r~KRpR! zTy3vP3xL~aw*b~4&;K6&;8k!qxaVW4Q>ZiRx2B7Ojjvw*_``qy*FXI8pMUry4 zjh6S}SiSv|H{!m>3*~rj9lyc+mUT?N*)H*163s*Qmja^v$Z_e^w?FV}{9&|b>JJJ@ zoCmJ7AUr$y0S2H@SW(+m9aUcTI;K?Q8JVWT1p^(?Sa;mG7P@`Nj;eWhNGWg4Y0P&LXjhv))&LJ<8`-#;{YKFf7j) zvCi0#FK~`w`WLGxlEB>w%_Yo?JM-amFrAu%XUo%{!S|KxeyCl!OvtlSFr&U`O)WEl zQ-y&pI$@Di?oryIDrgEdqhs8)o>4FBnm{A)vk#21c_wNm5E0x+-djv`385X6Am|G~Y1_tO`q%vIOeO4rqtZqFsis0)R ze|1tdALm$)z*X75M>bW3Nc`OCs9Xk zF>5hm;nZ)ULEu=(;1oTmHn;t57@#&Winrj?LpZM4<4+cF$vl3nVE0qa3YOi>>^>>+ zYhj&y#;fEkM9DQGQzr3o!$f__z@5^Q!wKbD{mE_zM23jmj)46aBGj2W~CDKNkYG&X{R?^8Iz2L zhw#dHyrJT$h1v>_kic-v#0Bn$ms-JbE#>eK0FmOmEF7pcx6gFR$!CV1RLQke@ke#s}=WK?DVCzVi_o(6c zr?0NN8)xB?a7mi9rf6IRIy^*sLkdf(7np+V$>l zH~;ctUkInPt|0AD?CuP&xtTo#J5Z$b>cmFCyr?3%zH)z5cWs0QNyf3t*1%M2UvRD0 zu=q=|Vp~LQKFmI)EI09Z9(rCyv~V6jR5z!z%I>b4 zGi{JuGwY%BVsy40Z!64JZrD{~&P9=k34cj#&tA1wM3+oadrYaAl>Ir1HkF-WMDiMy z^k8pdj5rzxBcHlS$lcpB`5C0V9RmY^g0mI%ZDr;6F6yMYouch|eLlnU9GLR!moun- zpTHt@E=u_@@nT@>F;BZD0_y}`c?q8%Uh;Z$QA9N0im3#SIhHRll-)i(Mf>ykv4Y)C zwJVq(ID3l9Z`e?HC%EhA%q}*w%DtvZK`G-pc=}U^gxJMV8IbMfQras!QJs#y1I<6Z z!ct#$IeL-Hno|^bdr)Y`SE7$`#ou(S!C#%a_~y1+J=CrsioFV1k}7vqy}S@ruuwFV zZ9+trr2>RO?R8{eyeex>cgP^_mRy0$4u_f+5mJ#T!I$tSn&I71H>Ko5t5jJ?0K|A- zg(4{Toa_`iG3BQb+h73QdHh(x?x)%n%q=#zf_=vbLmshjs}f3V?1pfDs{Mc*njl&r ztLz<-^mIO!y!O6Tr*dgB?6X)B;_IpD>cTV)PeUtv%?2|breMpoR3aIr$E zi7pTiQX2JD0T9)Y-L1~0ZyIL-tzs+-M(Y;XBZj3G3O7!ntttZXoid?qg@rZRnuKTP z$%0w#+r+!Q{uaY+EjklDZ_(XZS9N>CNpwQ1Jyj=90fq+#Gi2AQAIhg5>zFN^b+~+p zRdOz_4rShl6ahQ+1*NN0QDxT0z~;i&N@F4H+AZiAo6|<6I%{#3VQK=$D!f$&{$6B7X2I8#8)i3JKWZyKLOL0Y`msY-dZ3Es}j^{o#!6P6|+s#)~TZJB5Vs2yY^oofyNV=ud-1H`n`u#U!G@ zQJ}y854xDDm5S1}tO6M$$W^jLM%&!w3tpQvMRG&p;tTLWXB_suzfkiCM}J?FFwR#j z+<%|K>pT3y7zBcYr=> zTmMRn?%TvyEV?_~)}nL0e8(A00*}T(YUUtH*s;zKVt69iyTBXwC^jYsEW+XxJc#gc>>wV4KQTw{PHIss`<;*L^KWhIesTh36wJ>8x|&?+{b8oky6c z*A9x)AC9IH>E38Dec+`mPhb>zA(zO8Z|Rfk~R5QOF zisSGA5^~}PAL(KL^`iHpSc~N@>bK0KFa{Dq$5~md@?oUDjP|+S&%&M9w~3KOfB$e> zi~a=7bw+o;hk!>U142kw2=cm9E~efW*+_BLRUBK>^KdhEUn@1M_6UY3r6TER>a8IO z16zjBLfBSj{o#0W%zO%-p#HjR)!@^DfT!?Fr6@0`#^`Mhs5+z9Z4_h@dava(!v$T|65r0~4g?2?hCg$nH`IDoLd%VcXVg`~RG@NnotpK>jnaE9+z3Vn zyt!c*3uJ{|>YFi+Bb+*bEdIyC(cgWo>Jmp?&N>wLJ2qwH5nAlBnG75^m{95NS z67!m;Ya-#Bs-4Itf=(!a;g`lolJNQZYgzLv?(UvTcU21`3mCPHvuIX9XOtejwvPVi zUdL}>6Jv|+P42xn+{U8IYn->}9wwV>OIg1E4|Fb$!=X-2n7A0KsoL&3qnnJfqrdyw zU{3NP=%nNYRKIBikCuWbMOO+ORvV?sxO#05Y^8hQ6x~FKDSY4)7NLR5f^w~+u~!%F zSo3<=f8E!j%b@+jw(l1SF6rYaovlHUV6lT0i;WDe9LCPfg4Ys_B*zpHu03Qm*)S2P z#PAm5b6>FE(6!=AuN77z8{5}AWD9=3>Mn_F^i)DBsUxb<*8TU~qPvUNw~3KOcW0qH z+}5H$%Ym?w4zR7>`vvC(-34tG{>3=x4?wIW2+>4`GkR!(Gnw_?YcDV4wKnlJ@b5Uk zcFGYX?j*#`ql8tn{%}V3;Nlaq<5hjZ8li!VnrMi~1*H_(lL+HRA)M(w9H!mZ0^;2$ z^iSZqP-jJxdwZM*%%^e6);&ISUVNK`@Q+n0jgHsVAAk7W5C3rcC4U&U>T`4Y4HjfD zr}q!G29a5Pdc@Te|M=67!x+c@d;R5izx?^H8T}-@slWW&&p-ZR`}twxsa*{;p0Ed% zY)z(ulz=Z`fO80#%#y5>nO@Z+8P4oX!FM@KNoK zgAxp^0CcYwL6|C~pAw!DL*wO7pK-6C-5ky&mV*PM$TYcOWQS|6Cs>}bf%~Y( zBiumY?OlX(2`>eEcX}l&I%-*;2~f8RK|$)5*gRZ?R}BJ&nnB4DZ)lMK5~*7tP_fwOjC|cJXq6<V8iGaw2U>%T* z!@yI*L5DyOh&zt6`u?)uscCyiB13^9q+A&n`Vh@33G={bN(u=V<)I1xE;A&S7duD) zT~tH>;uLu~x=dy&bx}eCO?kj!XDwx|qNeOcr_%}0aVT{+QDGi>vVcqG z@nZ$MpK4cd7PHT<#Y&>x7p4)13$myPg7O1*h7w8|4%Dxm9>?4+H;_UjQ-ZE`*<22% zErES?&TEQQT5s39jw9cE35A@-zP(EWpYRO48zqN2N*pFsBUgcWo$mCAMCkwk#z8Ew z>0U_RQM!<~9_UJ?Mu<M#HDm)p;$Uw-`aPxX7o$IrKa^iQW>F8}dA{?F;Z z{_>}I^nk7>Kf39=?N>;L|*k2eXipS;OGnZ9Sdq4J&||LgYi52wG@ScX{W zVdH%J+&}&_{(T=a_Wp`?#%2M*_24?iublc+Z+{3Lb)1lY{9%psl0H2&Fa_qAxN__p z6oL>Rz=k=_hz(8VfFI__gWTT32$kY+z2ib)8i2}ItfHP7A56YO3IByepIXd=dOmy* zA4usF_k}o1T@uLK)9(n?xEs>w*gAsP<4VJf3(hlIqUz^UjwNAYo_R96#RfMQ-)2;> z+Id?$(XpYYx4)mmt5@P3UD^o#67dfGiIxEo-*Vz=XjAKQ83U~D*Q*jL_c8Z|s|2Aw zi$pi#)A~7+OTc%eF@d36!xxpTAXQy=G*MCgjI@FWn5%y^aS`*3yz*)Xts$#(k4_^! z3#82)eOr-w4iRLO=~NR_0`f>nljRbFs|XZQpvGL*R$9KPo|#&C680~fXm9ZtQV0ZA zjU1jdEH8sbmmj36ux_(igYZ%en}OVhO*x)02(owu27wuE$f(;a~A64s_ffqxl( z*Dg+&+{9#p@{m%-%{`5#kp5-)EQ6vuJK2r6g`~Huksqj$SuU*7m?O1WwF7#M^L=diC zvoEw$Xr?G7<+2glRoU1~e|EgcBj4v#u26iGFOybYDl$kLJMMTC;N!ttry-tIvvp^*V_ z7HKZzWbk$u!j%gz_wag2Xz=p9uh1$3?a$-K3U)u$u3+YWb_Ms;aP$d)0XtwY5^kK- zmplJgyeNIbGi7@16zOIG0u;~6vMa%NmCtY^jQkmwLuVqFCaK+EN2)_CI|FNXyR0TTM75@3J6Szm zy?j05J2D40M%u0VP3@Nm87X?eI1*>6ZqY433RDc8wnqrO!?MqxqWyXNSi$b6+7-i3 z^9pW4C0BQ)>TxT0=9cLAM_C{9*3Peaos@>;OBdzE3b5kAXo|K5t>CUuEO5SIVMU_z_F7kC8s0@!s+1LsOk24-HEdwDX3=nX(%WAIt9zRyF`>A#XXAzA0wOTJCP$=L^Pd1OmMLh42egpfw4qh_aCszds3QW9d9F8(P(3u(Ijv(*OP25n zu}L&$BOi}}R>!?P%(E^^>l}c-Y#u*Wu=}ZY1v4wNdyp%s06ksJl!z+QaCg8xvy-GB zq)p0R+r>~=$IGEJ?6+Ayp_m<^ad?hYxw1GVaEWGKg%at2l{RhAa#dTl$gqR{7j2{Wzz5~jUGGA4w|CC3H-DqdFF>!y|N zFue92jMTKUNre3C%Q=uYz-`hpCl^772<2DSR760_(eF8o@-_H0X}qQ=Vg zl4%i&5b)Pw&u0kSY4@5hP0-nxw%WS%XG+A9@cfSMrv$~`4HJ;>Rl{qqw7c-nnI2tW zt`j1#6p9`IZZ{bNGDY>JX$T37>v@y-JoK}@!TqZ*L*&zWfr1qUfHVm%F?KsXQ}uL> z`!oH%#=pg2S}XVjcv^!Z6eVJIzP@n&v!NFj|6hP~AGqcxm`oDnY9T1Jp$LqmYPk zmxJ6H3tp>-YurUO4sDunJrv1|~N=j?UC;`MD}WYOKp?GCrK=&aw| zxh6f*iA>mtFh#j7$5*2WX$`6^;_DYiC=*%W6cM7>&PvvI7KF)U`!3Dejd?89NvGAptzS_IL_BxIlpL4Y1#iRm?{4|liYBL|V$yh`nG z6LmyF8;g{)ro~Dp_|k8t*PO2lUYnK+I#vrDBl53h9q#})Mi~?#sR|2IV%^SdceE-a z_=rgKKwZ%aEohCy&~TBvBqLvg_z=~fx9INT^=)Eg(ceGZ#-a-!nYZX(M4`FLE&^sR zh}xVaJss1`D#ei})iq=yGCi%s+7DFdQS2OV)VGlqPz?2Wc8*2@Q7I{XqdJ}jC8-a% zYQbx1AHS$zP-G4KkRH-bO9dy4(Y?;vhtWP9rrk-9h~|~^xi474bRU3YdVtt6j1Q<$ zm;a=qs1DQqYvnF)P{7pG2so+NMDr2&lh$vXzM9(yi|)Qwyq0SUPcGygn1ph2!e5f} zl%6P5)N5ybiUqUWw~3KOfB$e>i_ZFeheeAD6?8K?)T#7%&=T+JCUo9%r=(?{(k+-G2LsY^4avE7gq!F$%b^I!3(++6Bz>_unaC>_(s+G@c$~wJnV|4Qt?sWw*JRGlyhZ;8HZiv7-kNoX+gfyvj`=gXJL}L9 z6j9-pV;MrNbqC3B(2i6(MoGeAHu7+fcVCNhr!Z>o{#G%sEE58owkUgfNRlWo1*DB- zF$X`o|5_lG^d&XL2zw(a$!u|>Rny#{N~PaSUuk3`Y+M1(%h&BW2EIV4vG9hGjJ?G z@*P^JtKQS!iSUaI1;Jz7g6L~$-8f$USFn!VxpMx6awz}lig!Jd%S_K{jOF0m9-5B8 zLr4(Fra|A~d5ZnDJQGdGE+X9{`sJaC*iya(n-cfJ4R7hYq`Z#KlN`Usx*SbsP<`pS zgVoH*w>e($&UV_f_)m9OMi{|STujYC%*FT~&O?#akz;kK z>fU;B+DY=Lpob&MXs9{JL!0&?qz31>Y`i>?jDTZUhJZl7JfVmoA|mOsIktUYf*g3e zU89oHiF(sPPMKUvK_hvT=2E^izF9K7XeiSM+QaNNntnNl6_6szC_9gc2(-wT#;{I3 zl;(62YcLS086pgbX%acrkT}Dvho0jV%jWT81^FePf2v)AmM_yLog}GoT2-}CZGlO!mWPyoTRc}V+B9|} zY+9g`HUf}y9X{$y6Uv;2`cFZ_ah>JQeBc?O*-*=U*QF z+4xW#|FggT=Z`=Adqy%A{9g_K;@kO*w48nV05lK(>f`6U%}4#n;n{X(@@r>irbuXF zEEIt81-C&Ui{J${8^mwfD$US=XqeU@VU%}zQF&$(>3HTAvJ1>Dd@Me3N` z8+JfFGo2G>J_JpQXuZ<1%oQCLd8%NM|BV6GlZPf;l#N~Ta=rTmXIgBnc;f1A(0R55 zm(s4FMmISe^AN5uZrh+Iu%UQGu24clRzuMv#5a3jMnBfle4D9n)4kwz?K;e&nHikI zT-M-nq&z}L2Zcj17}kYM)d~kJJIO^5i+J0hc_}l8MZDY zYbV^KVUd{(5idtfCkY{>Z0{?h!HN5Gz zQihe>p#x3iy_Tqfmd1F}>F6NSolZ9F?%^S^3@)Y8Ws8e3MHA5RsjG@0ihR(Nt<(1oV2&Mzs!YCX%97aC~8BxWuk1NwAaG?0}GP|6yi0U2)pL7E-E+-oOJ+`MJ4 zrCC6R&VoqZfB=BcDr!hzZE=Gl1XrUSpP<>j65S)S-3~h`_ACcHbHXi|%wZYdU)L^G ztp4dzy*-gBn5t3^e~1dTKa8h5e?VJ{eARRe@VF(7gyPIo&no5KND@)!HEWj zWJd(;h+9kl482Ql>r+xmo*8>c`Hr21p+!HHEs%`ck${s^jftp@d5u@KnRtqxNi8(u za-)zVdDJlqr?XjVLh;tqtXa?U`uKS^OJ}aVIuw!MASdG&|CuHF8`!{&!9y3u@w{`szn#A(F`SN35s=`I`nRO{3;Z# z@62#lGaKC*d|$clhuW3P=`^=;%vn!1L~s-iv}sWUFcJ$=Zw8)OP!;Ajwd*)xlHI%l zs4nqoG83;9Ljj;XOmyjs93M^dT6@qJR}c< zOa#WH|A^>}8-i1!WCBlJ6I z2wfGciPn+67-)ad@~UZ_9-1Da_=aj1vXui0BJVDs*}xH(Z!6t8m)dk6N&h<``Bbb~ zNGOoyGh!o<pYtO|&(Zg% zb8u5GQhFsWz>5Z2GHgl@azHD1ZocF{0^!_&XO83usAxwi`=SRRTnJex4-E|2Ckwb_ z9zRyF`>A#XXVHTES{qCy1zt5LSprqngAOr*BGol6v~Br56OLy+L_!EdnQNf-UbN(B z!%3yCEQ3R=C)c=3y`3WNv93SS`jhKX^Le`oWjH1lG?7#udRRejg>r(FYw%N@*&&cq zXGhtRM25T7m)d3Lr%ESDv8ogWj;}Sz!?xtwxH@g*y2>YoKKV_+CoU%~3)mHFEmg=ka3&yPs-TFh6kS3{^zyWE1WHUO*(@&AILRx`05!BlYtGNyoPE50InVzc>@d#$k*DL=Pmni3-nQ6i!_f?0y& zCSxTis4H*+f8RJ&j$DV2<`d#`g5}>@C-hs_$YErD{6VBXsQodzt+v5RNc07$td@LW~gligX-zQX&qLuJ*KYi zLH>(c0iK|EAj$&51xdMAx;cZBI{}RHB@Br2i_%*+;$a$wYWE~u#(7QAUTo!IG4x@i z#E+(mdurW7LPqIv@8W|f_A9I0P9qmzG7@$9U`x16N@DRFe1ujdN;V&=zErpL(>>Uq z$Bz~4eyUx;Swy6!NIjx<5l;PxNthVEgEB_R0mT*OEd!qj?&P5~p;9WP+)S9YaqtFj z2sVud#RVOX-gb((ICMAU4y55hu4!f9j=`=c&}t_R7ftKPr1hbuMw})3^jI@|d)_bV z>Op}JYDb1Q;WZjw-;)Vcj9n1m*=vt(U~SM;nOOPF z`A*RT6<17l;Mt$k%GqmcUY=S325e_7-mPH4Z|n}Hv6JHH&F%F5xeK+|9^`yiSAnA- z8<@lkk66WpSPpx%etfgO3tkJBb1rIarLaxGW=b1S(QRbtI;LJQF(vZTQ+UB^k+57n z``v+^>-0qJ01F zB^NNu0U~PF>a}xG^OEW4+r-GCzkj%`MQ7;q7Tx_`n(v9{QW7oF0+L)La)=8Gh;?;L zD;qX44)U_RQ)Rqe0dZ*|<`NitC2xPo6!S+$=KJ=C9ETLG9{-SlSn!!YJUc`dta|@v z4U6(Nl7}Qv6-7Ut);vKNJM6nPKS}Jtg-Ht!!KjRy<=!+!aA1k&F?3V_^0PPv zDp~|enVkvYv!2mKKo|yf#cqneplkv^95jV^Uy+ew^yw<-Uxd&Qx+)r}`~+d6XrwvG z#AUl{d~wR2%`<7}B&kF;P4TTyI=JOFaGV@nP?XH*gkx@O&PA2h2EwsaW#-!8zEj-U zDv926T=LAsv&l1IuPf(AD$`#1q?$_F1x6qgu-_~G^4+(Ai(%5^`~uG}mbWz^cI zAC7_uh%BC`JqKD+{H@Ed+FCbHe(5B-$um?_(XMpTqeYaW3I4lzB6o_U_Ik!}_0e~ly-k?PG9Abj;7P(&)G7%`;8{dUXkW!b?Eblx z>(Ai(%5^`~uG}mPWfdrUV0Ov5L8l!TTxSrraE?>#|9Z_{P}nn_9auf&Fc1M}Gnrh$ zB_uwo&K%l^hk0h~3-}H{)V@aghsfC&pbS|n74W1lcx>CmX_yw%?*xiKFG_%h4@he` zm41qJoXDv&&F!a3uYE?YGeTt)$50bQ@eWx>JGUa5o5S92u0eDnTgCHT(R1+ox$K|?8_G^rT;H~YSqR$s0 zcYYHmu^C=yW9qpmEU~Q-%`Lay)n`+4)+!cn|pT=*?2Wsqnsa?Mq&CL3F z8yA4Qo8kasM|^(?d)}V33sRfjEIBmA{q2vHkq;3phy=XDys#c0x6bvkrTyI-3>HjtLM2evCjyy>LT~{p)_sFg~$S3etRCTvU<+3#%Ms2dT3J*Jz2md z^Z2oX&bZG%)vjO;`PmhWeuH8+9l?<$&VYO6Tz;Ot;e6wWW(6PSRo&%^qbXPK{!0s9 zaJO`uB3K*55@m`e@-JBoaTfScfftD6DEFyjt(ifomF19l(grqm%Vo zZ9D8gC2Og*#|0|`d)T)iL!Jx>B0V0{_)6v*QE-oLl1?`H((Ym3?)0HUX;DBd-sW^m zMo8_!s*YL?uPVJKC70nnUBUi5eym{kQ|$_72hFaaBr*?E;=#cfM7LZIJw~M{Dc4jI z2F%yYtF)qflDkjuz+SNT@FX}HR3KPaaUz|V%|ilrsCoqS&~W5x^i0+DkM-m+XL2q3 z)TI#Zdz&HvyMe3%>`7puXe&X0yRdW>EPFdV*>v}$niw2JZ8Nk5mN?z&iK8jJ@{L-- zhjYcr?lk9psf5MB3DV`Hha8}wrcZqt*xVhcgta@5A1m1XRI`GmW;MHlv@_KZtdzu@%^U}SNC#YwptR$HYC@_+kjK^)8IE?)^D=1dr`19xS zsg!vn(7Y&oh?6dgi-dR1(&ix&j}mWEZu`LgGUOOFFM=C+Ey{|u+m!*e4*`~5QkqYp z6SpWF+FTU8WdFOIN-f6Ji4k=x4aT*I5K2gIC`J|^h@u3KbbfSTBlDUsJ>7%-dHh(x z?x)%noP|Mhw#h9@pt)9f*}M^?5qbp9=}?;;rnQ0-0iSy44taz1DvQBH26EX@n03;Q zE>K>6{n~bl0ARYvZDcZCOQ5mP;6xuPtL-eIRz1`%ySSWV&x;Xz+U8jDjw_zA|B-H` z!|l`@z5Uyh$Ck<=EeKFjP+HOkk^~~GHrF3^ys>b4riQWLwUYbPrOX{1Fr7-&Z}5Ud zO>hY|H{9CuR?uIIcPscU2GiO}cF){SU+_ICG6(=I5(Rt0J!iTCq8w&?N6JpQ@NDxh z&uKzIafc)mC|PiTcoc%atQ}&P^ju!sM4baP`RRc#moJjxhVzq9VQJ!O)0oEf5xNFS zl-*ARMA>}-z@NX?L9eto0*k`kpd!jzF?sR#G%Lo_EF*uIgx>Xp@R81@RAEIMG@Z3- z#TZ7)AZdieo<`1&m*(_aFj|MU+I%(T&;wl?6`&~Lkus)CDKLyef9k>KPOaa>CPo(B zorUgjTZ_)n=PkPXy=apK!gDJp$LjExAu#{6QIkciJ7*#dyUa%9)>Pmo#*$)736297 zIOv{Wk7&QK=t(2!dTsBDa0N$x4fhmrT)qWTEl-9rig^O9sR|QPbnX7@zBb+GctTPc z7)4HQ{5=Xiw6%!tVceC+JkgC`Hd5@Q3~I+QyCJI&}OE zbI9-F)gSLBlgA!dQoba0$-=ElE^{~LE&4aGiIGKr|8QH2&Wy}kbnmv*Yyb_~AxF1~ z>cuOaT0Pr2DSJmO9JQkLV{-?mV*B$;_+$;EG9v3uKV$ekRj6XfV|(8ZsUygxKa7EhJ+Do1pcK8zB1DLE0igA! z3xs_sFGZKn5#piAgvZqj8G{_CdN{2XtO&e{CX^6`SA_tbhbG+ld8GD=f2u!@r@j6-=&5^byi)a-p|;6i#sT5m zUw*m${PW-b!emXz*r}{P|NfWL&%gZsmp_jMz`f?VmuSqxRLniv{`#!U^IxCe>f68k z?XMZv#Dl7r|KZ2Wf7Xb{yYTHVgw6l;_VbT_zK#UYjd^Sa^)LVDUvGl|x*tB??1#a& znW;ZaCt1E9pHFy@a#MZMP|gVHxNu%@ck~TnJG-|Q?GLu|ZBptteVeEL3)?x%)X&VB zohOSgyic2U5Gzp%B?Mp>Xs}JCE+E63_yh-=NUTXWN_0d_<;s5F+ zJY@g+f!`{eGCT*rrV=~(lKQ5!s&Y#%iNHmyoPgXzQ@i$%!UxW>C>Q*|8 zp`eB8qLa~<5>9dxG6w~O4e^ZJXEdaydmC;@Fi*mgTNXt|CLN`8wI8=+-h{FBP`m74 z2fdG@_kksx#Cb|t!perQ=Nztwrh5_xl>HFh3NuQ5w)MbXL_w5&Nm6LXkvF{dH%UxO z--VUv+Q6o=Z2EGsF@@(c2W83wfckU=`}6p*g56IwD_EbM-Gj+(pcv5TRb&T(6KNYd zK^xT`2e3l@+UW@`7y`qpuE1$iP^VT>d@jtxRfn|&4Disjh$_nt#ewb1We1Q22dWb! z%?+l5!9! znZ=O(2q5n0MgNqvmMX;tPmnL{@9>S_ZR;N(AUnLl>$<%I1rH6=KZA2Dh z67$qUiJ!6ab>U1K&gdqhsgO|tGDzOV-JqY<9KBS6r~u$2OSR%f8ZJS4mE(L{)ZfE! zL(Z>Ye;z+pu=}ZY1vA#U73?42NhdNxt)S%aMI5qM=QJKWC)JB0 zl)NpE!dI6nW&O}CZB&hN*hZO7c~9@I(vsKWE@Bcnuw8vGSS4W;0XZeG>1Q&Go6U9QfgjX-ovx=WWnO~ZQ|Wt7tBI; zxUEI!uAR5&-VKooArj%hu|voy`g{qRN$W@h^LxW#cq{ycVer${cH|KKP#L>Zb9Nhl`0S2nqb94F)7GyA|_YbxPk#RmX zh_@#k+9(3!xqtgZ{iq2YZumo-4^NDpy0<^@EB#?IF{VMa>K;}u-oZ!Q2_Y2la>~%} z;IB~((H(j0uIFW@*_CvLs91z_CLsYW({#WFR$#;mr5no%A7=G!@b6LNlDD-}mN(B$ znVJFd$yRMK*HVSGA}wS(Car##MoVV%b)w2<0Qz~R{f%~0NBJ|EH|6e;FjHck|A3i# zrkMgHZCIdc1 z@R0yMB35-d@?Ll~^-G&oz=>s@_q1cxZ`T5(;gHfOQVd}0dl_$gRwQ`UV=-bRB3OA= z@(TDnE5H{v&9F%(Eg<|J>Jf6onhLv@rss+DWbByOZGq+&YW1Rjts zF=8X!1ipac^V9?&6F$HF7R})M%5^`~u3SDkx95Oy?*BOcj^M}}N9001IMFBP{jhQq zKA^FJ?{IYWjif-HIs$OhCS{E&8%^PVtz5GgY@C$NiL$VCWkWRkR_RPFm~a5%Z1yQ} zzv(G|z&XR@ixBrk#h1=!Rar2wlvaKz(PY-fPbdSKYHc*Pp@nmFs?}UAb8-$tsXbRZnP*1Tz|w z1G|#rMnggqBvK(FWpB+Y=zJm=mHk8i)YwgnC`z}4M`dZ`-qVrSGvmO?cf=#`891+# zY(rQiEolIeMN$VYWEITXbQR93R3Q$*-@p|tYX|N~eR0xXxB>N@W^eNo#V{gVC4pBl zlsqj>Lt(ApH)(+SPP5wR0&FjdAlnS16)Q^-y+Z8O*@>PEBnx?t6fc^=_m%5@s9m{P z49R!p^kD{R<1K_%^B5&2ygLaviZDeUbT5q2AkUyz>^CY&0@AB0Q~@c{P(*iL(d@J} zYDSwAmPX0?EYAy>OL-ceqO-`!8tpQa{FOGCSx zYet)Ws&lDu+k88aF}4xV6ytJ8U9GoBGvt{;;{GkB%6HTWs&+xA-;fYNK~uUJ{=bS` z=v6vDS-H9!pY6H+48E^i_e0If6%03bT58KI#FlJC%Hm<~oq1#{0}M!)3aB#b)=6_G zfXF1;IRVHF%7_(lq?50Inaix6nXa}_$kZujhKnL&qELsTuNsh)ohn(bNFJJWXF0+h z9Aj7d+9_-m%`&pot2kt7gdo?h>UJDzf8N(Yn1t9CJr9I!cosw@DufEu(c7+ASdb%> zhUqclA;$zoXpJ4#5fsr^P*V{#@eG)gw25T_6mup#TfP1)ep|f-Uuu^xxAM&L@QM z!Rb*rG>#X=`?e!AdhM>;$oZrQ_TeGLGPq*A2VRR!Bp2;zyTnrwaCKy~WxL(=uEPn#(WWx$NO|C`#L z>Wm2VQ6A!XIUgs?oqRSc|sh#LyyvVlN^*+=>*sK)@JYjY0V(0+xlHTaoRE$D_r;~*ST*G_# zQi_t)$NMLa6%&C6p?#d7!+x#=ie<0g^mGOL^Z2oX(&wLls$Ic+c6J4=lqP>#*doK? zadQ6Ei{X~Z(Zh_=gcvujJrMDAR2*GEM|$FEW~;7>(9B-tyr!Gg#ujq_@Q^krb~=oV zCvG;j%QYWvxHdtYyC4RPabRO7IW%pSfzx* zy85v>dSyA=hi(|%@o^P2sH&4B4tY!B6!p6YUCl;Wb=?} zcbVhZia6a`V;+dvNsh@zidSpnJ{TAv4G`hB!K4M&0O(+BD}(?D+C^R>zp05M=Cmt?#Ho+qrWxZ##lKIB-R?!o>%eym{kQ|$`oLYiGc zxqZGl=~l(z#k2r=k|lD=sI_wJ)D*SbNvay`srC$NCSKz2OB6=J2GF&$O!ZKEJ0q}2pus@F< zE7<*1yMp=b>khv z1c<9l5#hvkUc<)$ec{Lua)xG>c9HfdxIlJO-GdW~P0cHgw`?ylV2GY=dwxlZhGHrd zHT}S{7!6#9eIQRB#hiosY4IwN=4gm%Ob}84DYp!7LOSuKevpe76Sfm)j|P}Z8im2> z!VL5g(du`WbM{Sa{OKC^XZn4Oe~ZC1R!|l@X9WwshmtH_AZURFv6If$C)k$fFi9+^ zVH$pXbKCYY0R9DZI(;y(aDcfQE&vzQ&=Dhdt(&u8!D~68NP=P`O|8^L8a%=S z#mEqMY4-=Pz?`2N=z`ahd*^bb6$~dI&Kwao-?>TWDqBo_u+cVlzu>j64i}Lhv=K{+ zq{j{qfv%eBbhnS2yhhtxX$xKpROPj37%c_oLPGSBdm~MOs0XAPfP$HRLCZEo-zFv& z{W%io=II)ZS(GHr9|~D-Z2qzWC;|@ODF(N3kGj$}KN|xhfx#m|O(n5|Q6F^70BNwDo1LrQ3*Q%wr*O%CyzT%ifs*1)w66 zTl~g1g8y@i?k-;6CPo(BeOq_9twn!^gQj)#=Ll|?BWg9Hf)6HhOjB3(1aUKEmq4_N zhbM|E%Vu3GE~uNtxRk7<4XTO%Ta}v*mn5cK`j;6EK8v<*fp+Eiw{qRq~ahQUhe z2**=i;WT_$%pPcuaVF6a$N@j5LsOOMIsQjT=5MIjjp*8A;_B@L{+pV;bB{MUyCCM zo##Yn5AR$gemGLLum>VQu{N)rDK}fT!TUBbvgq$0Zfnt>;pE`K4nB-HjcXVUU^g!O z9Q5cEJPEL&+plr}H9ZfpRu8j6dlK_gm{&Ifk=K%B2Yd`Ml1(aNEcc)x_Xy& zlTn7>X5)O&g3_=6zmf#UB~mj+E7{dLy#3emK;scG3mrnz&%nOcY7S9M(fclt1Afkz zUrRgyAwhTuzmz(1X6R#-dQ;qYiHf)fLV+*87K*Y-5=wWMbR>^vyu^W|Tk=DaM)lfH z{OI4nCPo(Bo!stlTZ{fIZvd<7U`lvf5FU6RAxAC9pvD^Px_$vZvg}A7H9ZfpR`<0j zEt63N4PzQ66`(Vd%nmVr*$51pdhNqT>AqH-Fe0g9q1wo>jQBzXoOpmI{m8b&CSFM4~biP)~ki@3`DeCmaX_ur%n%gJ;jSiD~#(IFjX-MgdBc)Gtc2KX;wM(!e27+TxDl`J{W1Zw;A_p?Ja{U>6U%Bpw z+Lg-zJhyV}5)smwph3B5QqzFVnbu~`s2ns#Ek`k(9S0cLPRjfycke28rUY_xR;Ku| zSQ3!F<{7{^?Kp>Ocn@p9dt|tyPN^zYNL(}8tU|ilf%ig5FL<;K{QZA-M@v!imQCOA%c_5Kg-I? zljfP{%=&z>KZEZp*Zokta=CKmR_-Dw6)Orgo#?+R_Ejh&f})+Op39_2;83U3cD!bEoR2pr=-DaL zMo#1Lt2kD9hg74Q*(!?NUsE2tok(I24+#U6q@aM)T*8vqT@QB|SwND{Cd@CIXxG0z z6t1gEKn)JWi=aL=oN6&p)L^U;8g?*0^#`+f{Ym_`cz%=Ou1~+zuHGCZ`ZjJ3w!MW! ze$+I{z>h~;K~f%)fj6IVkNHXUkXRd!`#^)#_Djyz zbbw3iDdLuCMUSivPA3SH?hi`6iICFby*W#f_3i$uj(F>g@aRLv z(nMIF&*R4mc0bjwU=F02{fAdh-deiF=}8C_lZV&L8^9Wa@1a>_iquIozgE9TOfjTO z#hmVln-&?$$g#dqdsU$gQ`F8Y7Mc=Pd?9;OlOUofAT*a2KbxNIHdm!8mi9~0Q@klB zl6057Pu-g%TA`(8OQ^?*zet+24{7U=B$7%dfQe)&E*$w#pae>rxS+oDFg)%l4Pv5= z{N?n-j>mJ>1}1v%dVSSHb3V(GdHh&G-8Me|RJ($6kXISGtSuq^_y#c56-n=-0O2Y^ zPwQe?nGAf)@*EzzI|$r%in+0KQ!atf<{U)iA_-FzE@6H%uZ}b1psnRnr34O;`blXG z|3~^^2;E7SHxIFXry6;2I_2rthz$%zmRfcW+i1hao!-rewi1IXgw2z%jKBsJ7f>ty zij9RRoQIH$+b^NasJH@8uC%k&?2EZ6v%_`qk4rg}Dc8O5bPx9D@nZ$)S$zJfb_HWN zvwIMKi5`=wbQ#hFK*&pv8eTJA7LsdD(cPHBRV#?=Co~l65&}K@?L^>ZjrVeZ3yZ1qDln6m-8(@Hw0s20#D5=ZZN(wt!5O?~E%K6lE0WftIsGACdeTh@hJVZ-0RHU$6Tz^m$AfV(tBt;>PxW_~4J==#Ug2{@f zm*>EDQIDT1bstMo{5 zypnJR~uQVk=94*_g#ZUTD6AcBXU#JuI-4Vha9G;u|$KFe=gu_0ZJkm0h?3_qUuYbcOKu zQ>!b!6wcc2JmDF51{Scr>Q+^>W_V9mus@F*lfPOA^71f_7gDfJT?pv8)$fGk!14B2mX zMw)o0{jO3-r_4#niz$!2o|cA8f7ltVs{pc8LkZSmCT_wzM~~a1I0X1(&dRMEx1g(e z#SI|Hhaf;##7E=}9fVr>Du)389qJxzFj?eYG=iIb1IDuwSm*9`+gC=K@|QTYtg>;m zFuyt>5|TD(&E>mGpLbnQu=Zu@oen8+b|l3FpZ5S6Or$&0?`!;945qb$Pq3UYn|nXL zIuubB(?{ZTepTzBDYVV#D3DtVxNwO_1f0eUhrB*u9_KQsT@U#j~r5kV$_;Q zyEqAB0j)JIc&$!kB0{x1JOdsde}?q$T1Et=11B#Bq9pg1=azy&6wK7&i~ChPsZi1{ zSLad059GgGdelPl+Gl6dZ(mx^TI?O=LeAFYBMxkA(^5=SeMG?56zdLF@ zEgckXl*UEq2cz1)%5PA8aEwLB*&#e0 zDFJKyh1XIUOy<&BbT4!!VoOC1ei$yG+rpET)EPk?J@%lC~vgq$0Zfnt>;h>0Pop2Y%B~^#+O~6*H9XV<4 zrklE@uC=fu+Ic!fcXDssp&A6gf+oJ8AoR?Zc_pf2}Gn{4j8?^3-$` z)a9_mmb7h%9%Q}t;pp$aRz)1{j#LZL8VNy}b7e|6z7R;tuZ0^vmcBHvd18J7!MtKKyT8u>&7rs{7jL^5DV($F~vRftpYeaq!4LPo1$;$bD?sash zqi+*mvFPq_TZ_)oF@HvPN3Wa};aU4OfMXh%<fubf1 z6uzij*A!3zj=rQr|9&7ZTyP9Z(U&IyTanITxDq;QyrynYHUeZoYy==yu`JQ)FTa-L zx#Svvo&zoVqkG7q2I-{@FW9tvqg%d%CGCr5G-+x+m|hQ&Bjil#<%s_Bc3k;o*v!u(OOqDdVc(GplV0zur(z&Ms2gtfZ_aKvD<0gF~{#qiV(~)r#@eo+I7Y z5(tq&Kn9W^lc-*4;FO+w*iQ8BTBVAXLRPIURTfwNv)&}&=v6t6(I~~ z^q2*|^tDmkrUiyRqaL0XkT*w_S|K*GrMbLi}n;2R2_Yb$V=$uINXLR>_ zpjWwIGDIk|h@iIFE}2 z28-?`E+VfgO&?Meffqg~B!%!5Y8Z5vZ4V@f2lu@DT4k(zl4raaynoJ-hS)MpSc%97 zG`t3{W9&y8KQkTM`09`U_W%50=#AztlYg7P{KM^+AO8HepMJ@6&s)ha+|&Ju9n7M} z^ZwQ8>h7w{`RRF8j}49740Jq`^_Sm2>D1=mjZ?GV{@pL>s^)Lw9b11Jx~gGgKD_SYuk#+RmZfbbX1-BXH?T=6(3F8Q2?GU6P2?R4YnkK;7 zP}#I;RuIHTHK}87DG%W&gfP1SlskRH(v%6_9VC{7fok#d(4fEb;DIih#&2u1;7jfL zWhQ4#SMe_AaL_%%HYF7$?}x9BQ>XE%bg0xKj?~odfOOGKQsaWAsXSDE2cuC!SqdrT z0DHUN42@l>%usx-Q#G_ghj2-wXA0{%(vPO(ND2}hii=-w)PuQ3Dew%F*e)6Zum9Vq3QM!n&s<78&v+F{MUbt6R;m(-cEZF8ybQG^H~5in`?Qd89I zrvAE1BZVL&7*02Nb(u2oP@hmcRW+zFtsl^gWx;Eu1trw!X5rPR?@3aG0J|*EZfx(JjOE^ZhTCf zhhO@K|NEE!`9uAV$!reSdX7qEbCZXt1BT#2=O2%Z?jM1RkU3n?qDVZk90L@or_XTn zLv_IM1@quH6C%U*!XkCTie9rt93sic4A{QGZQNVgD5Pi0{J-uu5j?NTQK&8Ga$TlGVqh8MklMb#nmISM57v< zLE2HKQT3-BHzm_>C_OViLD$M9urJj6DR~3C9g^!x9~`na(B&v+)^s;($1|p`?+7|7 z)V;U@A-U`DRMlL*;8NFjrdF>JEe;*QD%$n9DkKBxhB|)Ht?v-IUEFFyxsxU0E9H(v z_0tnX=_-#TtWc%%R!NHJTK4l?sDJIJ^{4RL(k=K>yLS0`Gi%55g0u=J2+bjz>k;%y z!ZNc<0pX$^ux|Sa-o1|JrS~XTMVb}l&J8}R03y$z?W3eFF;z2!aNNug7*YpUf2D%3 zQK`l;boFbfLhJ|?z5Ld(QmuI*^A0FS{|})$4U8@pTq;>_Z1Kz15m;ZEt{=w$zZvYt z-+?Nq9f143B17)zqd`*3uMiM7!?So)_S}OUS7bKzCOC$cKbmb4WOb?z-B+G$vL*BQ zv4Y)CwJVs7FuQ_De@7h?uU4R$WkG773gJIY-A%3FSaZMT6|5T_N ziA#qfS?la{Fio=<;;7wF(WxMxf7$4Y~yay*`S_k?KNMmk@`oCr4{)9Y@|_|gbR#Dk#d}k@}G}U zqzhRHt?xYVAE|C2zc+9-Vdn_Lov80z1&Bh6Q9r2bJm(ip_Ek?xEFKXNqEop190 zN3*lfEv(u0$0*VbP1H3q-jJ2-kkQ!p-h-w3bfo!ejnqGCM>-b_?vH=`{r~&>AO7W^ zfB4g%FaP=XKi~epyno!ceflqcfBRGYgUOJ_ulPL#?oa>ucR&8GGUV~En|uidb@^-k zlhLMn|J2|A>GaqC_}`}=;dpk#Xn%bCi@*KE(KOPsz;?~v|JmqQz0J(qSPMdICuuIu z@j8W)PK2Q+8SU+0(Excs=88y5Zk4PHEx6HY)xdJ{wvH3p;sW>tD# z9&2Qf!*7aI7tti0_y}E~zF_ME$Q<2pDRlV!rZM@f9$G2W@L!=b2Bw4msdL3t!|znS zk?zwJddrBPEX$I4{I*gY#onjd6`YHn-UeE*T!a zq+t~5C{+h_`s7(~oygCEB#at@ zgY05hr0`AI3)&WWb!5+)qS2i+JjCM$Gms=vh|iB&sk#XXmqNEBbdGn6F+!V%hX{Rf z>ZFn>qogRFm&sWt#}ws@7k3KaqTRS*L8e8x8%ZG=Cr`Pl0%u$K#m-Ctla1RBPxb3Q zS+cO?geA(Pbdm9|l~c+MCswnariY}KOk6$DrgLZL;vuZekCEEbTZblphBwq9Jl%s7 z%sTV@JDfqKXv(F8wjOdAG`rp2Ort?3 zH2SUN7j71DYLlu8KTP)eQfn&;d^zm!dQrWQ9MmUM8_^)~WJoX`dSkDCyPgd%y+d#6 z2*apl#!wgkhupuc1F`D;@SEl?HwMt1$Bz~4eyUx;Z2j3i$p6M?m)1UN{ooFxQsHhC zz@^;_kKC`-;J2Aqo8~2zndG!cqX@QLWzh%5j8yt|s}pBj>{iQ8NYmko8<@gka6Zv( zb-bxx$^{j;*}Ap|qUJG&m0~9~Yi9H!FOw22Ymq{KtqnXhQ30zj9r=Dv5dZ=$Dc}!A zspEuGtXi4SP~EuWB8cH33=1^9o3lhU9dfwzjWb;3doiRBpu&W~mMjp(*{~=(V`@+wF9cc##wpFTeP&=wRWxtq;hz zww4Q|=CxgRCnw!c3^*+GFfUdPr=Vn7ysFHNu8|dNPf>N8&^SyU>(8Z#LWN8knMiT8 z!tR>aaVS=c5d}>f7e1yvCCEjEGp_MQR{(%B^u8A3;WybtcwSnZt13C_mcoNd>3|+m zNOkF%!B*;sc)Ei9dHh(xC}n;6sb&Q$E|^_GZV1l+71M;c+DazGS%nF(Cs~k+PAk}Y zh!zbi1wBvcJse4oSK+gJ2jO(Uy)9A#Eal-SL%%s;c{NL8<*1y^cQ{u1t@LG<=pkD;g>}Ml00L5 zskIe{Kee7v%2dFBkjN0_f_AV26^y%n)6-~r7-)AMKUT2&sdfeP17}xI!7d-vb^s2F zk-2`F!bELODh>Kk(ZpB}t%fTtNg@#Czr^b!xV4*Ax-2aENIVO3+Cyh6du7Fu-UfGH%yS20m_vJ3OQrio#JMTq3T6Vt>@AJ(b=l z1o8Ex!aUR-Q(9@!ae_Fb7)K_DS{RAHpq$EoIe_(0yW6pPujuM1UHaK0Jfz8s-p)7J zxV;kT3{FXVUMp}?8%gj>Op&H)E^M4;If2cE>U1z0i_sntT7#q$aH=$;1F74_P3O34 zWP*wPt%f~V8~5oc+Mmad73_YhUBORa4^W~RS)*PUEe)bHTxO(-=z05+U;8@S#x+v4 zg7m`)zA59|5G``95qgT29iK!qY9uC8M6R$ga#suLihqfS%F~eRanGbtuTGPJqwAqD z?h^+V?x?#D4q&2k9M)u|@9;xSQtZkbc+}eQt_SgH&r)BSkLdgJI9kEpr`i?F51c(kscA(~O$l}h z4MtX4<45XA4k=8b!L4lWj6PHX(V)OVWO+OJ7UPHkAjy*I49&(P|8) z_byt35J;rVHKwL{2yZ*Gn%7)56V=>>qb)6eZLMj~h;#8`Pc%rRyYzXb+%tF~&%0<2 zrrKTccUxQX8`D_ev%$31m;-ap8kc-eG{c1o5+QT~m!NkDUwFL*8I ziWZ3c6dfLi1&+SdA&O0S;EuE$+w5z4CM|ib1yZ}529UI@HJA712x3KsM=3x#jAOx{ zg%;qjha zx3)oZcP)6W>5PV08ZNpZ2;k^i3B15$P%K`8vUXSbvd?a}1s@=y(6NcHSaf%|twm=> zzQdx$j7g!wWdP!g?7Sw`!$_0_1Cm#D`0N^=Z^5MOh{#2G%^4;X<0~V0>ei$`b{d`z zQT$lBVxj3N1}7i@A1i4~auaBp!SN739PNcZsSWt@Mv*JiXBCia_=zz7m8M4M5(pnWYbtuUj-!Kqkw}^r!;H!3V?#X(A50QB6Cz zDqo)j*bk>l!Ge4Xxf_;Egi(qe#|9R6sEcaQHT#to-M5LaSaf%|twm=ueuqWh)9%5s zAuJW|yJIQ)a&MIsC1;Q#5vJF`2FbmBo-I?lCK64rnY=K}H}pR(PTdCF1M1d!Z41vX zdaXE}rZ34WX-_mzJT}VHdvGk_&`K}zKiiGc9j$H}X)qj7Iwq()9|11?>vNSue}{`| zOg0&|b@#Py4vGGlF|l1?LnzgPbA4_24U(%h2~A|TU=jc}VCBeg(y6Vcxwv^e9L#i# z!~z#~1918)ExKXzR(8WEegxHd`~aYu{g^Na(b0JSHYcT4`#9TuQrtxFA2 zJke?q4W5lm)P;u8c3*oS9LIUqrpaXvD|3vBR`1&G(qcP=uj#c98>RbNQqwB&(OdN} z0aoqp5S?ND}cBOhS253yD+0pUi` zwF|=q{N#Gl-a-|WYG_v%bX5UHUOOi652NkAHkcNQI>IQ87xpFrsZ<0acD1t|8THx+ zKf3!`a9Rq@y`5r_T1maWT_zRD1E0bMHVL!Hbp$a!&!{c1iIGKr|8QH2{w#kH9_Hte zrkt?dEj0+W#!NyNRv`o@LDLpr6cyHM+Xfx9oaSVwod> z@_+)V+9(fUes{F0d$gDn-)9F>73Ju=fML5T0hE&0Vvc#<3oSasWCWmXtWE`_1ZV|x zXn0YN)Sj*;;p-MH(JE^P7(^iLZnAXpt}>D9O4;Xn)bW3n7`t+2cspA9=SoOmZK!Broehn=;U@0 z-PUU#@aX<%;lx;7U>Ffx7*=ZjC?}%e=@0-V%xhbw&w?8T85W9i)eH=IRGAjISn?jt zGG(7Q8#T9Ix9BUBPWB5{u9n+u3JA0J&?KY=;WRY~703Qci|*URS1h_a+}5H$&lz?X zQ=yYfo7P)o;G*MC9bBj=#V0jD>b7S6A>kDdXG#yA{&PaF@=F z!}RNZ^j&o!-VJ_Igd#9Gp_qD%^1&P(N;PV*x@5&qT(@>d>w{fRC!sbA0?Olt&lX|}hw38R5Fj)8=f+%r`mW)JG5X-SberR$sd zNK-G@t4d`>7aSbCI2m7_=nIuVux8EtXgAiEG;v!=7?5j0iZ8U>!;bSaXrq{=*;8C3L++b{;75a=h8~` zWyI9*J%QGY^Nb=Fxg}j(HmDDPxws~i=AreF8^sY#JUAm9&)mmz6`w2_5!??Z|iV7g2mw> z=PcfvyB6h_7=y4=5Cho?R~dmjUm7!@-yWg@iy`gK=Q7e|MoIxV|s@!X@ z8;S}A^v=6_P93dxai-o{B9C^4T1JI@7E6~2yU+7sgd&?mm+-f0UMpMiyYWN(wzV?3 zFjr_!(3@8kA>Oe9L;%wT)^2Gxt_-J=tyi(LGgNgH?u&`lfeh1C+pZek7=^4AL{3#l zsk>eP#XEkB_-qRzMW015FRtlvT=6?Rln!p`WbR{Wk9N`iD$E!Mk%p_7h#qics@pm3zLui0>-$P+6TX!yVN8mY4%%&yhL<||##xQ5?}E`f z)fI2KMtMv!#hQwwc2Q-5*1edP<*1FjU@d$t)f&=9sh>sab_Jxm!KnoF6BrCY+;Lo~ zvh=mO7luf$VH!^_y+Fy5Y+qCb>e8lzZOv`7olHV!YjEh)Z=xtFGy}E>Fe0y?0z!;t z$s(f;GgV!Dpc)2J%G;$6#M6Bv+nk!4Xfu9k#^7VLrwqHXM<@sF;DNW%ae0GAAk zm;#C%I){GIj)HVg%|ip3<=N%7V9xqWF&NUK!L())AN#49lGhRd4-~0r;;67Um6Gh^^mB|qC@nQ#({e{tK3Vi8I|+EY}{nxknyQo4=IUMBYMZ~ zvZw+{R9xqkey0fwPYyrBo0y8>QFG;qQFo0PLOOg)vCsg)c&Ei(J&B`55&L>r<9xF} zj~^@8{ZzYxbNJ-Jm-`b-h#QwRETro(61E7%k~DJMN8NS_@kpOot5S>JB}NdO+wKL z*>zfYDz{6EZe^>Ah_pGkscR39D=-$0mXbe=2n-cE4dxW84ImU9P0ftb2A-fv`1;%? z;th|2$Ho;GMWvij{{>AV&-$s?+zx#8XV$o2@%lFLZm$d8)*WtZ(K#IE&ZGt3l=pu-alNnH@fA|m7I{!0Jh!StFlcEM}4ZgGXl!lUY76e<;#nI~hy?39uwJZE}e zp9JJvc1J!@!3a=LY%AH5%287x-XC5&cE*Q+C^3VYn{>KDxHPL)A`KL z!3I&opnRG8<)Q-4@bxgK&^^ndzrL8Myu2!;j!73)^d9ntl5h%-I^_=6^4BdoNNw7n zxP+tcqGDHP7)%x@uqMV~CD?z>ZNFfnfMQ66FgsoO6s*hUD(e+(kt|4`tnvrOP1P69 zEukocnlu)t&`SW8&?p@#LLC7>&>Gkc%b?^c!H&+ZAP$yr= zLuA7r9ulv}6@hte4Rl2!Z}iXA>%vfofaRg*<+Ka!us_j*nGC<6Gnm#0G6heKpx(yg zp#h`%gTRueWGYSceA(T!hobozR2d^u5E#X`MS_B7I|WFa!qAVOg$0?S;o( zhVgK@Et|)W73_YhS;1=ZFuQ{8czGbOr9AA-xh2soWrpU0Gagy}{MreSncwk2w@ntI0249rUnh6oG6 zZipN>HMV}dNIjYd+S{uftKqe_g6$>y!v4Y)CwJVq%G`oV)c;Z3hZhFi@MUyyZjZ$1n-75+9EWQL_h$fN9%II|L0IKq&(c z|MQ>d{_6-_)gByc+tdm=s-33xsDG~NSbm4*g(?T&rk*PiGPH5WE_DqLU(3;od|#P} zl!A$>c!?;timZmixjk2;jaIU_;p`(Zt_6*ncd~BUa42EvL*<+;clhZZ?9b!J3U)u$ zu3)Beb_I!)@9C#Om~a^6#>rSX9l@ST7c5Z?d|cC1^Qr~P3rHk+PDYKlPvFL7B%`pp z>G_w%kdJCsP>OIxV9H$t_>#wEFi8}LN)E_L0lsB_m{-}vwC>2k;1snglHxdEu0I+i z$J$O4qCi&A;gGhjbWTw<mlMITQSbzKdJqa`#C;*Md|CpAnL!hgWm6cVMl`l_P zUEs@u0!+Zh*SO5!5o$hm2j>AGqYi@V=yI80AKuDRekp1^YJ``=)3%>b_Vm=)fsegX2?r%g#gVar%r;i&?E||RE4q>3&(6$ zM$)72$UYh6*1HiJCTM{k$|%lZ5vQKfj_)X8kwwG?r$C4k*G*asxque7L?#o#=(nXa zb(V~gti0IE1WWOqJ_}FLYggMzdDSN)GCp_6`_saR#^fc%H${Pqj0+YW53XDPIPP z`2n?~(BU|`q4hsEW8 zCj?F^fB-1BAC`ERR{>Zx?Xxdga-7XKv>@j1o1^)3g35Ui1;yP_)7}N^EY9FIAI~$G z)qU|(?F_D(xiT3f*z z5u5hRN>=L67_FrgEp8GhFEnf@dwvM1=#4vy8fvSNoZ8(cn*Ex2VdG{j%!`jekMeok znB7MNIXjU_BI^`|D{|ZUs21mVo72M_|7Q@>Nq5(Eh4j~0Kp=7{6Xg)GSETiPXn6g#P?h3lM zWnzy+Z<5^6Ugtxas_LO4Kh$PVs?T(vzN%XR zB%Jl})W?4w^jx`xiQ141lS-hvoh_7YYAI)I$IrJZfemk5n2I=URwS{ghqpQoIi2@Y z3%8Rci>@g6iv>Bi9P63=Bn7S#pX}nYdfU8W^0sASB+*xJVT0U8qDuo^-=nv`XJZyF zVFTs=6L2U;kFKm%f|9$mms|woPVDEp!#WD80B=g2tO1uO$7=F_+3`Q?qBX9{(``@N z)Fz;Z5z>*$al{D1T>GY=1e+w4@K_SvnwhSVXm?(bF6&P!VIHSE}wi9HgXGw&j~ ztwdk43tvG!zan4?N#@+~tZM?K-tXLBK}<>4GaV(-&3)^tZehj6h{;$h z7V_?(>fm2@EtFe<)2^=mPvEJ=L05MJ_+;m(Y8gN$Idm|%->G1;S~ zFarFL)GAX}MusTpV!}5{^tMdwk?2iwTZzs__k}&$@hYN_wURoM+tu5(H28#hy#OOZ2uK23O4RP&qxqxUR)y`bH6mD2EB^^0 z^jrn3&roZp-QDe7QrQ@wspw4fUkq*+21WAgS;P+JCZmAGYgk? zQ%rzi3dN-6hxhxne(t^0{7G6!T&>X!@k5l`on#Sy$)dJ+`>hhaDHD4ndXwB%qQmGf zNHmpM4pjioa%Uqix9`Dgx=XDp*8fgD_y(>+!Qx|j;X;)j#F|7{gu(<7RQ6-pvg8r& zml4sAayvwJ$%jpFhJg3@3>aw~v=yqzK=%#ZzOhGGfvEt^$SUgF@uGg>T@|Y-)oWZ0 z=gMUrWNU+#!|3FTA2ZnhRHW3NViBzMHwL-8wp$sfqI@FBN}(~-Gt1a3#O*xcOCb3Y z8W|>hqeO4Z#4d^6BDa<3>_}gb=zHk(N}dWhb=MNo#4O79A&pJ(scbG`PwRTVLhHD9 zR?FTM6!HuqnHh`paRUhSg$b@|Ub-CvNL)FYuw%Ko`NbcfPsC_U%<2h5J_dadW^8Ya9X7pL?0DEkOYLo_`Y;25E~L^`9y z{@sFQgLz8nbs~KryGq|Bz5=uYtDuawlIZeXe4|8f%fudu-Xyn`=opp0Akku$goVlW z5WYn$1Ysy9@WGw)A?iRS`LO4Hzh?Syc;%gc6QTae3nV2V#g%ONZlU7%1Cg)W{gcVc z5p%gYRI1Xs4bYP9irlz*0Ar|2(IiU!I?a@WJ|1rlLzPsRbh*@cjzL*5opUC3I@12F zKVT1zB=!veE-LN9-Un*UgUT=?LcY2bBR{B`!`cL%DjGYl2Y}==}AB2)n)K-+TM%5NVk(r zhU;WRRZ%t>a?A}bj6)r0F-Vf{ZKM+JU$RFlMi&{`$ArfO0P_LzHbE&?V2mY6?ikoH z$*SFE+6J&*F=ft7kY#GDegdvghbn%_n_CXwRKTjrGcKH8(WAFzVvj^`lG{r3WuM`u z?U6i-KoPmGHl;MZ)ZydCl}jURiW8#@S8eR|Ra_TR2xI1;a5UtU6u{(am;S>w0O_X= z+%`7qnr>ZusHUue?`BUN6vz$cwHF>w(wHPz*Zgl-D6Hm!?-))$J`!36x17>s*(g#g zOg5GoX&Cz@T9=A5Fo`CH6!49BHvYng55Xs5gFEZ_{)395B?OMKg!c!w5HAA5&z)Fr zk)P(Ws9lC%zEZc_mWe$Qy-98>(U*OOn-VQZUQjq2P+;C@k4zF!TJ6w`R}_VWBd{mz zo=gDO@|Y!T!QF71v;Bjr;;cxbv}msC_ORazmm&NUcR75tHmuy zKuoDj?Uc`E1*1|PkNkX`Qng2OA?}~dH_EL_#&Wk_)vOieVH*_t3KNPy;28Bl_1gX2 z0y=|cq@)DHF5q+ZAEC3roM255=vrR5NfPOGTE{aBtY|eAe;wk4LDO!^ zrSRVG-=m)y`5k(}{Jyu2-=Jm4ad?#GAU_2RM=@c)t?^maxJTFwmNUg8W!R$i3;Pt3 zE)KK;7rMyOZ@d|9%fudu-Xyn`=$u+#kZ5@(A|f~`KH#&+FS~a)1ZFQm>5U2DEt{!3 z$ZpY72}Lxa>|LRa3Dl(9Byxh(IS2%0q}zF<(&mZ6Q6V{preW3Dlu~f=jq}@?4sxsa zSxkTru9RqZRz1UmWQMqXy`|AGL#s$85Q^2UnD7nj&(%bqGWcYCN{deNCwz4qHVg`` zr_SKB|NIjL>Nq`!@1vroHatU>3?lL@j}&r8c-xt$zEPsLWnz~^Z;{(dbdHxVNVI@B zNx&{z5>;(?th&M*cvvB8$eRh?6*JrZ16RJ-?TaJa&dKp1zLIw(`%0W$QuK=JZ4~Df z>VZ3@dc|;iAS#1WoG*Ze~=^-5r{cVY^qO8^FoXiJQdb)1bKGf z8Rhr;gL2S;ggG!CQK+2*a{oxOTo?u>C4VD&$NlG@YRxg(1p`7>p<_j`nYd+{1oy8h zUzYW*L)7_3iQblpJrcc1ZY$AW^cgy`T(eTF8MLgb%@QJ{7E-JO8p$ zdERITLX$%>Dd_i#vdM}#Bp1fDycWuS83DAcxSmWGa9I#dDF~uZ0P{icWL4=^l!rQ4b4?zQ!=Oub8)OLkIcG)^CVDaZr&pD`VsUQtOR&d-4!4Oi^ z#pI7mR9wqjd54UZQm0vXpz=U=y7_bs5a%vxtM+J-t2tJ`RiZa#Vvj`IF)x$bN_31$ zU)ZA^ezK7Sm&*_PIC2BX8WB;?@XK-~?z|>*|Hzg-mS_>MS3Ka%{#;EGN6LCWK|;MwM@qTZC$??b6f!*? zp`~)RK%SD$2j@Bk$!Q&BYy3uu-j<0y61_=oE74!{8Gs4ggo2gzP3CXs`d&5HTEySwkMUb3dM>fKA+rfK%qeO4Z#2$&>B)665 zNZS|o=)i?YhjzyjoGJhF>FFay*M-}@swq(UhIXs{lPM?;#)u2oYh2$n_2+vTRSaV{ z{1=_Aqsa==090 zBBnWBI0mu1&V;gG;Z@l!X!J1!a_Z5Q7?R|1234m5q$7H5M*I~_$lfgD^8uE508uIG zTuuQ9l_lziQPdu56Z%Gp-j<0y61_=oE78#iz97*AfkjOHMW;7CTE+#B6ovOD@s*(F z!}SSF;B~v+2V~`&fW?zO$P_W+-3W286AGS?JXE5$f7gR!E;UpRt~v}NxDz-c#fx=N zA+;N5y`i>)4Bw^jeu!iM7o{|XLKlGCY zJkM(xuHc97pG?SPO8q2I4mN~~n;g=tJuXAxRvjeOH{4@^wlkpw{`A0#E}+kT52V81 ziE_Iw%ghAv_R3}bVRLp{V?yFr4ky{DRiZa#VwXg3k=si27k!4<0!Y9f^(;{x>aHNgH`5A8O;r_zy90w8}n|u-*jXyYewyOM$GxgQ|p#Ft4^7Yu)qDp z3LO;ImgGPE$1nf-eNFHyefB+kAX@vVztcE6Xj%@U}j)w?)KHvt7!6bVeDi61zlaE!1v&xWBi)khknN9G!V2J z54aNoBth*dnmo6jY<}g(r+qOqnXlavDD~oLUL=`0xtP4^{+way6>eIy-!> zDZI0474NTY*|oW;!f9!)R-mqqw=bsH^YPEbN15S1mh+do@7 z5}lwizB9`_a;xL(blU)a<0?jz5?jaxb0EOCu6slW-?&hc#O12iHe$zfK)os_cXOkb zj1X^t?wpd6ugOaeb(II3X}6{~R+=Tt(c%W+633<@N60X^BSEVmQRHB{oq%Cs)fL@Z zkkkz+y1bM2O*I`s1N#3Y5USNp*KNt$q7*Q5ZOp|W1^*euv~sd)dal85O`k{wNz<-s z_W)bm;N4Lu%0fHYI^Lv>W~vkunl83&sbHeB0%aw;(kJJQS;Hp7x*@SzmDUs@vTIKF zdsDSZElbov?(TzaKk!*e(T)k0Y{uss%s<`E1Z%t7AjP;*y*>B<%tA~!$S?uP_j)VT ziA4<)_EQVh-hKiaO~GscvcZ8AAQR95xHK>ktK0q5GTcu2uu(LOnQf!fvH zIDrPZZlQ>0bPf?dK?@`WBtVSDe1%V(gK8rc*PAW(3SP@Mb(Lhz5EU1!m1kiLDUk{L zA|)0|^d>Ik>!=l>C;HSHk;eoO4B%tz*bMJtt!1xt3#B;@ub>vDnMw*^?AY|V8K0%v z0EwduF$;UHAnLm-F`rdbQ&f8`PG~WnsSB{Ea9XHTqxeIzzAN)j%}IPBhj1W zwi2DK;|mh)-gp0Y$Z3=s=;D%K94RH>)MKd^JXu_C^tEfaZZQBDgUcUiEC7KI+|leO z919|iZ{02JBm29R7aMAupA&qyRIte z>U4ntCK2QT5Kkw1UAX*kX|h{vcPmf`LISsCym@!f`Y2TQV9aH^F>tU}V!~{pY2Ox~tUj3oN&<7Hi-ZLeZ;w*69mF7_;kTL4q^`*Bs>z&yU0m0#D!lGc+%gi~CD|Ui`uXsnY6&A{Ppn;U zV$r^?TbY#7HB;U1z-S~FD;ZMmH_U1=jn<`Rf{$=zw=`~dIlHuwDIot%M$&cAQO8eT7<)8a$AYc(ftL9Ru0s(DKZfiS3nqP4rX667DPP;5*T}C zD&!_pq)#M|gv7~llg=dFn=?T{yA&S;lTr(1YEQam*At|`AfXc^-DfW7hykDp~ln824^*KJS{03|6slES)<5`KDSep-kWez$>QLTPm0DAC(8u}7je z$!#S%i}4E*{Q*k&L7K*s{0|iao<%1)%b|I*0VMIQL~nL8+>{ZQAe)j}PGZ1P$Trp? zfOje>xGfc0zlAc>IySrg6tJbZAtxBXWbl&2ITgP+-`r-!iPU6{wGJDW4RX99?|=CH z?f3um^4H%!er2isM^?#LpI`n`KO7Hq`oll{`ts9X|KXp0m!EZkeBUT8+YIl-W##kD z^cN|M(_iiX_?MUe{V^NnM0cP3u15L$fBAoFloLLNYk&IXKVqoG(D4n(dJp0tF+3$) zKEyT(x!kQpntn>>rWPgpgP`sEXXRqvV;hwh+BSNSQKGVU(iQBpK|7XU%XclR3<7zg zxHKxMnK6GdjPa~?+zd&Zy|=s#d5gSFZye|WNuWE!6spR25N(o`D$O&6yF%*(a;qA> zt3pWg{B%3x;&rj2f=d1ns3(XoxvK^^=6f2^+G&~mDxC22^;^HD-PiBmVAE4p>Hf%%gX(~>dWbz*CPlg)Ytg;=0{ zI5tT?xvpD*TadM7i3E1=Ss1Q3v=r14FDCdspxL^etlX=py%Q$^bXE{Xm8%xL#Fv-? zF*so$?oPTL+lH^BR$Z2(KoJ4cO!qK_#5v1U5NXd-!Jt!1VG@mZUAG{h$d_UUe8;$DSvyFd0A2VUc0>2%5b} zl~4JP0e*=#WHOr#=!#)DfL$2?4%(Yo*a5+bgn3B_MK+ZC+Bha4RM$}}B7;>cm2Ozf zB!_>zQ zPsXxA2nD*0yL6G9h-pl|)-PeZ@G7K)a!!S0zV!40WW zD(HP)D4{4Kt@w95R8L%H`?Hfu_VSbg8YQJL|2@RPs%$m|ooqckC9sj!sgWox1#O6qD6Um)OBZ-hDhC#?YP38ltE@ZC3zD{aZ|7;%B3J_ibRtt+Ehw6d zB$Rjc#9@G%6N%!VsWM~I#tX0-J*khwl)`6?=d@bZJn2}>9#v=0_NGzGe@Uv35GOz= za*YRW8A6^^UWrT)LfH_iQOio{S+pz}C(6~{x>_h~e#ayt{{)19Divuo0rv`dYPtyM zkgdY2`N_G&)(wtHG>H^nF%EVHGu;ZJ>7@}XPjC=PA%5b4U7rKnf1LNMiyE21%=AnN zxsH$L8QlC-JA>iM%1X6IVOI0&XhY@bZS0eS8wtDDwB64s6=Thh-R3;8K>i z)qMx~?1Qn0ze@;+ufrFr9d4qJr;$(zP**B^JPSHcMK)>F%4~7?9>pOB*eA#a&6qe6 z9ktYbF#}QcU1EidJbr-MPz);ZK&HJ!d2t4}`FNhe%}=#6n08@33#tkP?#t zYlx;TTM*7N|05-Y=(T*6hxX>DN<==}nC|Q~LXUaIsafossrYGUnjQ}>ZWivV$|g83 z&d^;Gc$EE(>szR+V#gZYP3{Gm46(H~TVPEVAqP1R;6W6jfOG~`3z7lHw2x1r8;1D6h}p zHXqM3xcRB+40fg5R%cN9?;U4}>ZvYSuf!I{oNJO~W{ZjfXtb(PH1f)~3^3Xz16)!1 zhVAjiDJF7WD2`)?l9J^4wh?%|Pe?BXlp)Da2tULOQK;%Qu?J?GV#8}1J=2q(-349! zl;aG#jzjESc{ux_H12K&+b;>|5b+yB2DoWbm_1m=ZK_g`fE4dErdGhDgp29EvynXC z-V#GOU_N5?r*aQq3yrvFLf+yU+~(tX1~)&|&fuzPD5CcwSnfkSFK;IMhm1^ERBv)< zjse_ueGXqIk(<>>wIkWcvCkyZKs`rkH!eDfM!$PctfSGk3m5De(+qc}0=)o%g0Tac zDi0$F&t0Q-GHm8TcirRp%S?GZ8L)F6Zxg{NE+_(a|I|*q;C0yu;=*M1DWhl2sx+G4 z@g$@imPP>V8(B$eyXYDv?i}f?Kq)apD`WMNfx%KyUs|^3UB}1s3~qj^oxu!nbq(?n zJM^S5-`l1vrU&VFpTgZl_o!?2kQtnoehj%_d&OFUOw9%d$QNR=kT{oCnN9?@7VZSR zH;te)IMz5aS@WL3lqL0%yFy(U5oc9h#oku>U4P9;Bi8if1wv_6n*g+pv&s=2pi3H# z5?#gD_DD-OW>Dt@@{vku^itJ{4O^lftWS;jXPX)PgoX}|Kp-JF8j0K%IM5UZUByTh z)QzGmk8*VlZu9XxgPWgfXK>XNf<;uB4Xp%og?>5XnYHL=lCnjjvfQ%DIwdM@i#pis zNmq2s^2urSB-ewMX%9*|D12y6ia0`Q9h>hdo3H4Wms_16hFso3S>rH^1s=dJzDX^) z?rtMsVt2RFR^LAairUR#doaZX_)wOuEH!HQA@fV=+TAS##XBaI*vG{e+R}iUdcQECNq?%)im;QIF0GQOkKx93pyYn(M7V;>+cGi8>lM9i zlG{r3swt${v!(sI$|_`5Te34bZZ8f92d*?GVDq5n!=6dJ#dY1fSjn$)uERSZII%Vin1knMVGTBLIY8T2TwMQ4q z6!$o!+NfBWpkXFA9cr1Zv$}B6jnnP2L~njzTP8*lz1iC)xvfNJ>sUKwui!f70@P1* zXOi}XEbt(j0UE7}qKsL^_1PZ1IgCWoleEtSA#ubIJEf385W)YF{vG_GXu<7dZe4h| zi-bCH?#;s_aCtrYz8AL6+R&y+G-=pL+Lpr3dNy&>@#NPLDBSj3d9ZQEp zE8R|#$7}sY*EWTlKU#tTS^LAD6#&E zWr^OLylt5nN%YsrZ6!J!S(oU|@7=QkJ&TtdXrk!3sQNFdd`I})%{h%fDK7NoKP+5QcYQ%f6EfR`GIYj*dx)K-Ch|X@0_E6tO7vQLArr!eH9(y;XezK-US-4p z!J(i#1z_nWxX10k>vp!RH@g+u2?BuWNckj@0wBh21Z+5G1o(@8EhbFR`)mDecB_IZ zSP!!UD3w`CgwB1^#@v;km2*khhuxxh`;8L4EfXV&{yMp>L}#O0-=jCb2i8?J+!WIT z!f*$DfpY`?kd#IiRmQDi&rIRn?AA7ujyiP`(BGyRC&q+7t`jqAb_mJ#m@kvoAYRBq9 z`ROJ$*W(X=`0xMv{m=jY`r}VMXn`2{3kHYHp>9u1Cswl$Hv&>;%c6i(%LGIko~+=} z_EK+p?|+Y-+pAmk=3j((egC&#fBpFBw}1ZIZ@>KQw?F>&@>?1FCicks?H@mW`~6RT z(*N-1zy135@uy$^_S?Vx>E-n=U7PW}r`nwS_#)C5@HvpXzjiLT{ahon#2{z3PPEpZ9ULsRd z8I`o4 z@jQc@pK50?tXy4#z>;8pQKO}yay2O>r{XLVGeoZkK;s=>$Hz=qBoaY{sgVikfoz`Q zohfmyx&!Dh0Eoq+>2|1*5MQ7l7z>xk&!Hc1q*9Za4mrxA^h~i-^CjLGs9yqik)>ey zfwu%$1FcCc3_oSGX_oqCIVnb;*laGn7xnRo+rj<)fzdWdmx5)|Xc9ExOZJG3<^IBE z@5;|RCz{wlAW-8CWD0PIrZBf|)o)&%<84k4bNrt{Oe+Q1N7tm_ithnt<>!}|`bjBf z&w6~dr9n-GOB!!bRyy5#IuX}&8=!JGSywsXLzbI<6X3%aEy^d@>bh+t>6&g|#S?Le zJ5X+@WKs`BT_`JOzkobbp%9|}cT+2>4AP_`N)9V7Xz|~0z!~pt5pJjDHD|rv-z{&k zyanaOR6LUp;9_nk1P+-pkpk1ggopjq0*XmaxeE~M_oLf0OOQxcJFP2Bp&U?A>v&x{ zRj%RkrcCUS=uL84iC(pTU$>kgiMxPNAb2YUs@d-0#8bBK#nl1viry~&WcOs=fsBSJ z=$20ZKPuHN3Q^AgYr>ZW*Tg*s8*+EIqA{!wGb*(@?s9tk2Ujz*dIH4Wy7kxSN>@xF z%sBN6d76S{1TZ!Gs!OhDB{3DR6>*|E%Wi7LeG3F~7kE%i0}?9`>SQZ9!(ANV5rqk@ zW_Ja(j482EIaL|u#W>j56Pn?^dnf5U;KjOKzKQ;4$;2Ls-Xyn`=vDjob<{J735p0z zD?f>Y&y`aJ^LkEx|pa5&IXFBY?MzHU_~ngFR<81U`}uYL%?nsp*&E* z9+j(jU?CHC$Xoty`lOOU)=R#Y$=rXUsAK?C1Lh1*iORK{Ln8DJ+yIuKgo)@TLY{V0 zOUs2xCT@gEp^OB9b|NBO3P#FT6cZ-EeAi8xbPMq;hA$$`ZYttb@o1qu>TG~Ce(kzl z)%>qmGTSn-N1`{$Z6!K~;TN=ySD`wGdxnJ6PgYJs0JL=I8vwb?QSTKQ^*o8KzmDrL zNY!nZlOl+O8~+vx z7N$2Se>K**7Hma=o044$oi#pwshL@ST>{=WY8~4$u}7je$!#S%V(|ru1{LS_$?Xm? z(K+UNBSgV&4Zj;c?nkHa?mut?$CxTx57GiYoVBjEZ=bv{;=W-VB$3drw_o%G5<(|* zvrF==!nJ}jT-8V(4~$*5&hZHh-F53P0Rc1(ow{wa`m-Y(sL=q$-L&1qN=EjdC}cUI zyowNTwnzyPi6<(G74<`aC2K*tZLJa8HVlcWG8q&!v7Qw)V@Oihz`astic->?QMzRX z$wK)?iQblpkwkBq3bx5@B|4<9OZ4{loUtlW$TjBp6LI5;B`i~hC_I5DRyFJqW76&; zo858>zbh=8;5Iq})6G5nCa4=+*zxIPGUb5m?zZBJbdlojsU`a08ZU{GX~*s_rmMQ0 zsRx_XQnC^<$Y|-%wnN`QOrRQ3zwF!~U()TwM_CJHv)fe7b1xC-%oh$$9o~jG*m&Ko zOkSws?d+i1?$!;SVIIB+W1JuX;ps3-+=LQ-#@Srd788~wdh-L|OEzR;k3_QuT6>}Q;v}=7^g6VmoTmD(i5DAD<@NySwb)b^Q%J%Nt{vIe$85C z<5JkRxV~SaExb>#r3f{7^51A1_(g#P_A2_DJ+5xvfM;EWRMo;xlD~*it2cF&{{@bCYAi#Tqer zhscO9)csrsDIiygs*yyM)S?$kEfMH3TCm_`-2%7T-7N(}%p5Ojav`G4sH*)AD2oL? zNq%L&okTcaH-(%;WKED&Y$i6@Zspc55u&$hdpS}BR9xRxz zLP?~i2a>IrFjKuYx6l{yf^6_gT|4dALTQbz74js|Y9YpVq4aU6{l-0dTPF5M^d`Bj zL`N*XAkn!ZOL7yh#HRqTD1fjsY{W*2+jLV8*C(jE*U1R)9W6kV2PalCI&P{&;D-_w z@FiJ}f5eD;wEWPgd?cDFK_51d{H$1d;? z@pAm0ulA=SC~S$b>2^|??YeFc9D?l5T#HG|S2Q5?h@rJ2ounVSZfBQ?O=<%_z$SCY z*(i>N=MdCMN_V`uWI&qAvK9lso3{){94whCk!OMJ6Sm8ir)m*!L8*Ji+tTR1agW}X ziIGHaa$%F)R-z+q>k_^Fy*mdXtQv8Tilk&$7RDsY78sMeb0>Ne7_aMOggwKN`{<^^ zuw;p-N9N$=m!w770;3*q@9&??s(r0CjBq^ROm``R?Y8KI0kuW{Pq%aD-Zm3tOLJ!0 z`N7u!WQMT%AxJ_EdG*?q&q%iugt04`Ai`b77gZ_AEie#N!-xwTc%O9Gr!q>+t>>H6 zCId-CCgTQ^i3+DeAb6Y@&Tk%I#+4mOlwo+gEYX`EfU&kA6MH0jliXIKFZ&EPslTHH z2z8LBdSt`0pD0Sq7{mqE8%^iqx?#R6Cg9+JjOCx@#gm_}$`imjGJ&$NzB zYDKTYH-Q2nJy?;4Cpl~ECy8@_OU3?{Zs+!}&2Ar<-&1c;@nmUgPx3saT5!4u{sT(b z$;dnuV6)ptoK)P|?a#(<$ zjnN9g>@5LwPb;{G*R8~Dnb;%Io8-0K(+@K*BZ6#4>VIcK zapde?C=%ZseCaHBz?^cb zmaSt2>;F0~Z_C6UiQXi)mFRHf3lhzsa!K?0o$7kY z?5@ppk_vZaw=#$Ly;ZphTo^-OiqZ&(y5mYzd^XPYr#9DNJJ4E~NXj-cMZ+3#=hU_I z9A`bLS~mWh!>Z_e2!xs60uH1PT!y%ka}%+nTX{pIWYCqmW22?s;lDv3*3J727+ij=J%seccyyc={ z%r2D(#iC{$SuAM;jc6BqTB4%GJ93%1EZGu(Pl}lpuZMjBoR-X8by0+WB1bn038LbEXi& z5K6+CV^!cxs(Kxjj-)ol%n$Bm!bJRVg%;`_SW3|YKn2tqb$7Z^2m;#ZGbb7=(UkL` znWVZxH4Bbj5YM0>3X5Q_NdmnazP&?S%}5(9@^~<<)L5g;HjFJc23i+fumA^1rYQ2- zMxw|?9I(y=Ur5b#MNdW1#QMcBpIbRrSor~Yh_i?K696c z1(HVX9AC-D^9*i&s-3|#4^e$t5kw^hZ0t^F^g6(S=-eG`@~^LECA%De-Db3c-g&TL zC&_5Lalo4saCfO(|N5AO!fG_qcJ6Zvb5RP>KhmSJGgYhb!9yZ&3c7GTo&6gkv zD;y`yO$@bP=h%Gxl^LT?X5Bt zY%&V!L~S(atc>wtokrW6l9+2NhE#tFmS@pmsS*ScI2ukA#$gvK85<4fujy7cqooTk zmQG7{_>A%UhIL!5BF@2dI|in`l3Mpi(GQ<-Fsex>qFo&Di)cwkH6cXF?nG^~Xs@6) z9#P0R2y;J>$H&}d@|0gBdru;hs@q0pujrPcNUS0V*j&_JMOvib5m*Wt!vd)uJKom5 zw76Sr&-fr~{~5%z(wIfCq_bW*RSv+zi#s{Z3@o%LH>R>+cEdi0dy#lq$6>SMTrmu( zN*v6vPu@A@QdWU$jt@``K;|-+_Y$mTPr9aCAvTBDl^sy*Ah73!Y}$?-57Jlb+>xz2 z-LR4k;eve90y zm`qX4>Iy}8#dConU`V*3iI8W&W#IEz z+v^xwuEl-j2exHmk3>thyi9H@(OKhP*rRP0H#CYjX>6|$-s5IwSaoYKBK?pIY(Y=a({DhlsW0@?HcjL9yer7?09wOv%~l1_02m$zkNk3?^h+e&oi?+X&` zx4%e{~aqzpQ@LAXB77rAno(*#6W!i|xrO6`=@ErLf)U||LP+ldO& z?SAzjseCqlaAge3Ce>yQ;6xp9F}Tba-8sI$TgC63BMPO6brYHmZR0b_Mxs{*JKVXh zJ5lzFp38xfIkFqmKa1gwYFRe|hwnhEP(V86d;hZLUlytaHBglRilTH(wjr1KPuW%i ze@jv9f^EN1qPJyYk3?^h+e-ABH{(jt6Llqs)g4^iR4Tea|CgL2$QACqpqt|QasSCE z>KGU%1Fdu->3!0n{8y2mD!EZWG$eYzjEMeM-3W%d+rD*Cwd#{AmugOm1=d0t7a_fp z37=5?UG5!9j5B;_+~vv*icU^uA7QWcH{YW-%vK;{0Ii6SW(L9px(7Vr#2rB{1LYAX zr7V=W9=+M^QHh!l@qGNKVosr}J-&+Iw5(NR1Zo#+MhC_9jS{^r6Jv?~GP#XJSKjW0 zJ$mzd%%eP9>M$@kfGXrh<7^d^%jpb*v}{oed-P_vLeEk&l{?B!OF>iN!>l@oc0*Tg{s~(!XO!drC z`xp8?yT47wUCV;sKbi8Dp;H9~L;Qpa!lK5&6DWto^Cbejw*GNq)s>S8>fntf|DL4` ziV-7~YnzQvC>&}9$q0(t{p(NeIRKN`dQf+JD_0FXFSMxCC}m45J$kt! z#kE}Cl!-kOy-98>(U<%=ZULKIXXC#CunFi^l{S|l7Apaqpd4iH$R!Ea7pw={-Reou zJ17?Ea{SIN<{~4V?D6APfhE{>yLUY`OJIR&d60er&^giM5=`a%gPD7LukESz1YE%c z;r~j5E7T)IO1TU^CcY5S*^DwNfmi4Ff{wJs1ol9>1&<&%h3E<3Icmc2$f(c5zg_uS z%;o!;;94v`^@VaCNDN*c(V;edf2zlo&s}= zMTNvz&-pLEq1!h}*Uz9>o@5~k1P$OLTa7z6OESin=*?}7TfDUuyN@L0#Bxc9y^so# zTu#4FN6l+Oqqx7qgm0ASZJF33(VOJ95}n2P1+9Za@bgx^)}Xtlegc>m*JsWvK`{=; z(xbi&vaiR-Pxt$~kJo3xb}T3dyRkazj!mPx76LSVAX2AgEjEGNIf3do-lTB+Da^ zB}HMamqhMZ;4Fo%D+%_ab<~OSjeGRAOze^9O>$d_&duTr60Oveq|ubpe7Z}*j+g=< zslm=-o4&cL+shcl;>tamt3uefbZ#a2++3cNwq!z3$Ams9399yyh46|kiB_yv;D-wv zM@RyH`0GKRNajuexXsjTG$O^X@(;2&g3!#M7SC=_=t`fbu33DTUEJa$S zg+TjKKh=&(GveKYH5WGDiVr1vl1XL@WwTr11oRm;X!+c_eWQ1|$1)MxpbPCqw_njZ zwq;^0(O)LFmFSRuL87<5cd)rpoRb?T0-2E`_yMuGDOdeQx{K@cU2n5n(V)D9cQ3$X zc(PcoxcbyH7C@+`YH1x@qq`^5O&&m&$e_m?N&4mLOyGLT2T^U_qMpNN+~2KWeTC?_ z`wzTI?AkD?RLd1~#;NR1k#5If@cpvhVuET{vaJPKQfO{j8|mqSrUgHW?tWyb*R3kp zyQ$?Xw85vAfwScuXsKX8X}ifTQ_H);n}ofj+m$_fi|%ci*dx)Ku(**P-OiZmz?fSGJyGPezB735Tp3k{#O3Q<&m?{8});_IE4D z82T=6vKSPhb@E90BAw^10U}>%rX+g5jG&1@hV|_gUv)fV+1o`e}0<0-Vh-<4g?U14y3IMbO#TQEkuDA}c z{^|A`C3;&X_DJ+5xvfNR_zbt!BabRehpeJZW-7GW{{kxy7F&#Ss{fhHxvsa_EvuL7 zCHOaTd`pQW-YjnlIEjWA;aJ`7muMGPHVL&~=|~c|DZU>Hx5SQ4#$|a_w{xvyi&|cF zwryBIu9>NwBuJZ*q$;~po#q=axI!qqMem6lNVuWf!|{bRl=_i)e-Wtn5WnPJ7q$B( zTDXZAROP2dLI=7-{DML?{6lV=Y&JPoDe7O4=xv$UBhj1WHWFPa7GKz-rH?+!QnkTx zrHatGReJI$QsT+KtA*EXru(@L1KiqB)l!~;P$l8NOjn}AlRg;qtdf!a$_yOQ2y}Ag zL)bpQH$Hi!H(?<~6NSc+idIb{j6P!vOyFkPazU!vu|s7#x5 z0QMc>90!O3t`e@cpYS(&-tO0<`C=1@!_*JEM8IHBmc*hEaf?z>`l7bF{l-0dTPF5M z^d`BjL}&iKAkk{g;9b$-BS7f-b)&B{pNR2%<_Mada}Wf7i3WN$;1zrpTM+_VC2= zD0k~cI-4x8+folY2XKdoccqMQ`Y6yScTM=KTxKAsV6NWcMST$J@}A2ChV0flWV72Z zNU`u)oypnJ3yoiNpRYZ1)b3v>K+@GmyB9K|j3PUg02;a2j=~r+g{l^{U)iI#Wnzy+ zZ<5>1+;UnwIp234j@2A%|hxC2t^ z%H5wKMTynhPDW;vxs@6Tlio=V%azL)n4w_l;Pxj7Ssf9c@RE_aJ$k!a#R);m@!Uvc zI;NBWYd2&Idp6%(<#B=yZzX!WTe(}*Tgfnq%1_ETtQtY8>b^J>1N4=w;N9H{VtH|s zSH?no86!UvlJiO!4%9COrBb~*5T|e4qqk*ZB+;8(*d(`==xlWB621LBrCG%WjUq>#?6RuPxc#7+@&Gd?HE7(pHjpR%D?GmVjsH)DPVkO^RbvwoZ?H0;*x7^*%XIPiviq(~R|2|hpZTd+^k?L6&a zyIV^{+9cBqJwtgC5VVc~S$|LjK2$HPZf82uX1C7JPlz{y$?k7ca2~;otq0k4Qa>4i z(rxEBU6$z04=@I9$iyCr-Xyn`=o~L!km#Tbv424dc~TXsTLhfB*Et_ zgeB46(Cxbm5BJsQlbb9I5{W!AWEBm3)IaZRy6rF?zfq#MWnzy+i#fbZZY$B3eTJK& zr@D#wEqNyQeBTi2Sxt$CD(m7S#0wXD#^}daZl)kWA4GK6oCCc<)pasLWC&uofVrC_ zn=rWTmXTmA08JzmHs^#gz((S==U{g=Vg{*&vVYgBO1xG!Y$YI%s;v=fxiT`#QgidE zjDqtZ)lJ??m9j}grV#}#=1FCYC;xGsJ4%U^eNxo!Uw=wDi`EiL_QIvYUKfQ?$}H7d z=?Q;Vw-@y2O}e*bVvj^`lG{pj^sq1N(I3uMjPTz_xDF0)89(4T0@v&E{Mw!4`$g{) zNlxxm6q;o5!FrGJn`qzEWR5ZBu3RWGS?SFHWGIX|V#U47`gOc8-=!jPP3Fvm zvV}$wNKpkcI6wdvflv_^0Flc5lMsa;6(VN|JC%`s<|12uvRV~bv1{d9t zFlmf`%k4#ITf0YZcMI=?#4*d=akC31`iEd!Mpy6zHizt32@wBR6slJFZW76pMu0>Ktq3-`_;I!b-AXi{ z`wK-KBvmyU&u1DbAz}An?^38#2#Ae$7GZ8f@z4J8*Pnm-?c=BS-~IIdUtZq+m%sd+p1St) zzy0)o|N6^c>&wfhMfknVp>A#_g!DbPQ<3w2aVo}*fB(~uztu3umzVJm{`AX#{Ok7_ z*%(arc#Bpg%iJ-g#PH~G1*k}Ja5oQTfLfc1Vy4?k{8Kdo(I=%@+92RC`U(Xxg_c0@ zx&7P~vJv!|2@j$BK~+959@EcltV+039OSw}P=_%j<2vNc8!27jr~f_m%so}^JS)K4O=R8+C+h= z_#z)y26XP*Vp5tf(fO3m1BDEaS_(&eKEh2DR#!KfvXu*%^5e5c?}B^o*>S~Xx_|R0 zid%LS7skt0AC2H~au|j@PF&`DrM5V$xc)&zyuK^^`2nt#ZM8y=^qDWsHmvLTc%H${ zPqj0c&#o+_Kfi!<{A81QeLl+F2M{E>j$uG97xjn6Qk%jA4>IHe2WNYA;R1O03hwY0 z+9U~;RqFo4!WR}b8_%DGs<8pu3_qAk=o?UYT{Kn4t_UJtxZ-QObl8z^k}qS>MAwX{ znhb8*Zp(Q`wW>z#405~Phz^0kC)10k@A;M5YfnWkcWSEVn!)itoiQ<1&+f_ zN$n{WL(nLS)I6Xcc?tb{=$G`vhZ$JO_ zw;zA{bAL9_IYz5#_KQO_7>e(u-(+^UXI7WW_Ha8!&pv+qKU%g%DOy|@leYiuFhBs%a@!z#%jv< z>@l58utgrvwvZKi|9(Wm{cQA0#;s8Uo8zEk437^P3Q*LBxWjjb!UHmUY$%V1eoc=$ zF%&N4*{^-lfzXa{Xm|6SADqW@@UQKRhOl-l5%mGNzOCj~-`CbEvi92Sw9)Ot%YT3RhRhbX#LOiRIAkUB*P`X;|!Wl++Ul z(&*>4;9C~lWvox9^LzAbW9{o3jzw|!K@oKx)ZKS8;VTpN>Y(m2(YELr^)aoSZ1uy} z?!Jq$@07kfdK?Yz7U#OdjjyF8F{Uo1XB z3XC3OF{QELzT{P#70FOI2b8jtB|Hm0!e!kCDSO2!TGkKrYi zb@mtsRCAp@#sTKR*<&1VI?o=PRVnIuyfP*X@EuOs8bOK|Y>qOwaA~ zJ=d5{fX>6OkJZTubZPWBjF~OUV|CJ92^Z(7XgZH6zi-F1q;j0Tds~Xap+~DYPq^pk z@x0-^=VnSd>}KEg+*EnqOrhuI%JXKydTz2jUtLvxLHvi2RfBe9k$|dYuB1_@XhUgf3jm6meP2JB8&>ey?`veIf~vz@)=_LK*GE>Ao#$-fEUw0@ zb5`e`FSL}_VeENJ>3uhXR=c~c1J>_b4%HdtdCQ@Pl3tbWR;6)zBKyE>2PvXgNA}QB zdNNxLJgq~PYkp2?R{q_|>Nom*)+?~AX3pvuWN~k$^s!_@EWql>in8;Zr9mT&wL7nl z?6G(!xobvIq>B}8_B_%h2zmCrMm*bz9&3k^FE;zVvsXWLz%8z`qHH{}=h?`@merBf zNhn#e-RtUj_PiZIozDkY9}T5-KzrWqICVmEc+Q`P6Yyoy&*I3YXY}pD8C~dZYa^?L ze6nkvM5`pc<$f)^R)eoqcM9A+H?L`J^K`~)I~WoY&%Y(m{48s;Qq&A%$+Bw4k_la#lUl%{+^3Y( z@@2v?X%)3VpbfU)4At%4 zTZ=;x#`d?N`h+)mnt{mq-`%y^Rw;MAPi$!{Ma?jl{JI@Wwyw2#DZN!N{FJ^@Te*hX zr)Gf9x_xRacdgP|8m=tl>2HtOu0f^c>C#w=nqe&Ybvu@b^4eHRPrg3|-<-I5%+bW% z*iwRO35e}eGXQ0?ed%`{PE9RT;IJhvicd$=OBPyEye%7WLR^0^J zVg2~i`^W#6o$}G|3{VOpUF_DidOeyaLsn%;@9>W0xjGaqu< zXN$i7_TLY`Y6%WAT7vr@TLy71O+FLB;X0W-i`d9Hm^{k@;IW-N!+Zsbrq67F4ygLZ znCoW>PL$8i#3F2kauyn;Hzte>yA_lh6BJXHpG|lh12b5F>F>f@E}2Q&Fh^v4o-GiL zfS&NfOaUu@(K6wOT9;Fy2o#snmQ#wt-odufG3yZaayLwR^mSdO`lL-}A}c9f?%6~JZo}Te1k$$+dyiB3Ns73lq1ATIa-H;a z`&}#KkCN26A;#hUcEf9`?o^U^GS)ToAneddO zP%CUAsgGgr)2WziPk7j?e#(TRuvd=6oO@4>HDaAH=praQnYDZe`T zPM9m1WAZG_6!q_Iy!f#QV zlV{<#6zB0XgFf^-_KA_XKBY`?ezrjPnd5%gu)Hv|mI==V42Q;h0G~#lo$LX%*@~*l zv&qGnk3+1)bmLhpVEvtlXnebe}9s$Kpz7+P}P9-`Krl~7D`CZsM z*e5%QuDUmaccy<9<_LaF+d1W-;6(XM%c|wKLV4ILGIvZ7!eOjU*qbZZBh2o}&mz5d zr*PSh$U@{-^lI|EFz2C@vjxHt&=U>|tCf{}aGCG|>3^8q-~pHAOOqS??S0rgyaH4#6>eq5vrW}zJpb3j|1@ocFE}1KsxZ;US<@(~; z|QlFF){(&hF23aCp;AN9QVV9<0Zl?l!v>r&c>WfxonwE6Yd6^PAb_%d>(NZa`j{{ z)f9IpO>=#cbX7}Xfp7%$g!^GjcxG;y@La&)t4#=h!d`d=6ZX1S1+`=P40}abPgwqh zy`D|vzREi*SQO^WDGvoF%ICteet32n^(PNdx2Zj0FQmnZ06m4hAOgoz<7X7S>7%f> z**9~2lEGhtULf2g^@RIj%kuTJGZ!%T{K@2|ngrON$qLH_OlHf3y@epd!ZsO z={NT|%R@j2;r&3?}RaRy=tY_C7pKSe^@% zXEm*J%0t14^4Y0hr}YZu#oo!qMNh8k;}sT_%Jpb`N-95z96I@kY{4RVHc`nXSm#-H zcrB3a^BvjwVaxD{;Ud|TpY%G}p@pR6*<^?2s;y_!!Gz%;3?`I^;l0UiASeu%x0Bz^ zC@%_5l+PKSSz4hy3|Fmg{B>-@-tnS?BblE~?1J=AKbvfu(e+?kPddW|@dUMA7N|b+ zdv@U0$Cio4MSV`Vh=~Fe#*!RWH6^>nSRzgzE9COZuss<>Xi4M}Pa6QJio06weOu@|Q1DjGqpH3B~y7QaKh1 z-v#Y8UWrjXRVy459Ifqcy1ztiguGayJ}20xJA(bJWpM~vBsf~9F2`(%#hf-bAs9bB z8c%mrPWkp@@sGaf(PUiVM-S#Oqd4F0DW07lHJ!^8ho6#TM-t#8Kt;yPi>cm^#so*z zgylDBSNxpVHlrr`RrNI|*rz*!{j6nink*6=EmLgNSY)GQs_i~zacuN9?D01C9Dd$S zVm+dsr6Tuu@ZpDl@@PhJzTHziyOuB3Y%a}BkI+=c%!_iV=FOOS(Jeh1&vsNxkH*wR zD}(Vd9#+&sk7|v~2p%}>R}F{j>x&$YQt1*gAvo;3pYZM&c5<7Jco&UQ2-0}33yhIR z!>@jQ`SY(Yzx>;G2jTVK9lpEqe;HCAtTm?}DHy)ksvS?Je?Fc`)7$!B7&h`}55EvE z-;)#uj7;5=UvzzhA5UjH;p7*0^_8$kg~=0phF{!$Cls-Mfm;Gf=D!f4+W!Lc?&Yq} zWpU^&Y#c^4=G3^8mQ(rU1x`JFCvvtZh|+j}e^wfY&vrk_hhqcxlR;RTpM)1N$_pSE zHpS6TM5}fmA_V-#Yd@(EnKpnRgK#yy)Q8L)uX3%SsVI$pJRaMaVZurg(|6NyEb@Di zV`+Pzu@VeU?76&)uRM*FWN;PEIoAE8#!57J4u_RLkl+2JK14Ry57$2QfR^|L_yFeu zCPJ~NC2j(Nd$kd*a%?=dF~=s|v>eMMT;N#OHe-!NpUY-GknSg$khmClaAxedjTm-o zsj7nXQ6=LYLj-&O3nPWYH4~rwAY@eH6NUafEx#r3a-OI(+Kr}z2y4=&{cfQ(_I=fHQU2vIJ zat-rnOb0Or3hYDa#y&_PI#qh0d1#Lk}W$$k+Tm2OrtIw#dfJ5uMq*mC?Xk{X$o z=XKsoe8p!aNS$TOBW#p$H0E_=h~sHIq&TgpbCX{oN)pJ@lF_Sg_Y}`=5_OO-Q;e0c zAI2X>-TBstPe?oKX#PEPjkb{A5=TDdJ(VEpvo~wb_!<{mN99ZxU#uci{pD;wn-q|c z!|AkK{`&Ej(CGiOq|3qBGynV;UtB$VSwBBEFJjnczczXyi^Y!_@#y&ba|biUu_mVF z^7;2UL}1!Ashpq0KE^}saM4@RkqS{#u9V(yZ~(9UOt)GnAqhTdWGi~hL9hycXnw_pi?dpio!Zy*0 zOU#QZzO=N&#OQI$^q3hzzqMS6xen;xtP}K#?O7iXlFs8{Tx@43Y+fIasjzRi;!+N8 zvAB&SmdCn>Ng99DRG8&fZp&{CoVn@5kKL*+j0Z{Q0nL_B?I)|_iD8mtwM;BO_yE5& z$U-@?=Vxg7vXzl)2{#i8QMSA)kGAsh`Ss!&3QeN{&9tA|!q!J*Re%zj5o6jtZfB?* z+=|w>q+1nyf~_QaYVT_&s65>Q4%P>Rr1OCMycN0dVr4)s1Ti%b^^<9{pN;NCmPT`j z=w4)ToII107TID>LBFFHZuwp`8f?09yU&ZY(GB#S26$@Ij`4!}&@~Cg&Gy{A2*u3? zofr2V#nZi|dr=fm=d^oK-UNaiPnm@yvX9D%8ePr5LVnh(`$<2w-y$D;HGogEt)8b^fAII9R?4orW?w1&yN+KaWGv?H=XKs@e@#|5IHbmhVeGO5n+!V5A9gL&ZvnRHq&yf8^ZZ}Vbhj78scj58I# z)PM`~`1oB!ve9xo9!De_%LQX%FOnyR@4&9S$UHU|=CtTUvN3CPFRI1mF{1B0#&kB* z`pcEOI>t1K95q^1zF!+?dz$A(C##KBseh3Tthp5RZ?aTR{_GK7I{EHwn2R&nQ#c-F z+O*OdIlM5+0_bRUkdCqlPGHqhQT0JSF)P`I>%{JG1LzH?YYIU4w z9FJ3Xvs+NzA1_R%HYN>hbh9rM31&@H?9v<4HUQR6hicwhC9fZk*63sS#d8C=U*})M`hPLMV)J;%GYpz?}i*z;9CDK)-V5UptMTDT!y;fw` ziK5r(gwBtYh{VNFMx+|^Lq~EX>XZ{UFxT-=h<&Yn&$7vzOy|iJGi?)=om?K%H{n@# zxn3RU&8PFC4peP22d8N8DG8Z`Q^ec!O=jT~A31#!zRixQ`c4>kiZCCwu}yf< z#hfmV^hD%&N-KDv72M+0>XgE)?Y`44#Js5KY1iT1cLhM?0@*Ckyr>rK#&-8zf&UDN z-@_p9JB6cD+7MZ=Kn5wIpD(XMO^?E=dq{nJjSj~JC8ZtFO0F1qf^lSh~ioZ&y9hG zGrwDpLr&4CMXkpH%4hN-T$x>?(^a@~;Tr9p9X5=|m^SSg!=wvie2#}&t+i$c$@fX# zadz_M1<4zb6^5Z$Cm~1O;AQGwxExa)JW2XVG%wy4a9%DM2sd?pWTODJXVCAu~ z$%z2!_M8C_VlFlxUx9Lp1$!I)25xri%L&E z6IRYtwYDL=5eMzRoqox%(+sR4pl?Ku9Yzsed95vO*_VmDo?&& zLuqgEc~L_tC3>_V9Gf+i#&!GMAulp3r!?bX9zgXFoWG^=HDkKC_Y8h42c6A)2Q!4qxl(JI`a+ zrWs?M?yKuDO)`&-i~PGoUSu9mQ9Q#}^CFwk%u)b_u*Nvk8uB9Snq98*B4g|>*NgMm z({~C6Q>Em6>UyLe%o272>=oiralPFMNYHxZPOu1|z*$ zx2E?Ljz^hB?I8fg;`BPh*x zYNVa^usEMRmBUE;M(s#1*?p=>jkJle2?aHwkkT7n+nd@;ylFN}Z)!8~X3m3J|K2pS ztxatJ@Z4&)mqzM0uAd`~pl{TU^pe(7O==ceUqHR7S!kUB-jqUwwq{G|-morklh4_s zk)`0(VyhC8E&yO+Sk0HniT}?p5(&wslvB#fWb2UKwtYIv%bEvkOZF{au(@ZHlq8v!IBG zd>`7YWJh`ge5k=ZnkXGc!Uy1(>8ptNP&9nU6;E26e2{`{Hosw7doswLquWA-&hfj4_vv@+(pSCKtcL&qzfX5M~SszGjcd6qd#^Ur- zHI1#Cy6di{adtS>muebkr&GPEX>4!V)nT`?=let{^VU@*426<%3Y&&q!)Fl zG>J^!wl?e3MX3!|LVL6I=1nAWz{wv`-RWHeR{rQsr+2{h zc5cdcq!)FlG^vp`@jlWUyotccmS3Q)M%tPXs!b#W%Gj(;r!4{zTX<6xbl3ZpkrtKX zkw(RAM;cbGN^kE{k)JW=H48(iZtV!h@hOsES>qcBB`TCwHx$f_5Lyo8tBC2+o_D?Iw6SRCkI)n}yK5Deg3(;(60cZ?_*T zjXxts0*?{cdSy}J~n%yODvhRrIUU(CQqs_#j)o7EGwoS(Q zPOf7oy^8Iox0R6=mFJOmjoOiBTVEY%n$-Nv>>8qPo=_L|j!&igdm9sI6Bu zqS-!{SH;uW6*+H;r}HfD5j92Uc&usEj`gCJl<(9G%`V(|Q}R2zaOX|Q@65=OHzmI_ z8%y4l{5Cljk>BT}3}W2+-6p?5<#eQNNJ{5eS)JA1I#0uH<*GN&Q*YayCvP$fY8W&t zwYS`R(@uBZWKIWgvthpSrt7VCgvFKGQ+Xa~*QlM-i&|2eWKR1Gl@Z(WCUbf})8O(Z zb1K_$VWgSU0hnzvp3LcxZ9aLEIaS0Uy{(M2sGN>;KBpJ8q~1;9JM&rSPM|i8<~t?C zI;~=;W-y|zIhy{IMSJ2eZf52?mev(N@_ z)|;AznWotLRaEAf^UV&LnVac@X;ad>nmyR@7M0_Xrcpc6i&|2?Q_|bsLwlvKHTvc; zid)-g9wWEi!t+?~tyQ#F4liuBJz2xhx)$xovar{7plXrOw?-eR=gx;FZzzj62IjwO z&6@Sx(As^ho*P^1fve}Fx6_E1B(#2kvgzPvhLrO5UL2j3(8e=W-(;fIy6ZW)ZM|>x zoYc0?w>-y;_L!>2F<5nG)YZ|UY%)5Aqh{`EOT09?GJdriKz%<8rgi$(i>#M+!RCeM z?Wvl_Ca*nG^Vr<2`+@U=P&OIeeCjSKJm~^|+LJktOl@{%!T~coHjhcaGlgsn$FzptX=h@}kfT=`3MSp8AsCk|-w8pPI z&v(~@qI$|kV_VoJ%aXA!kf&X8dR*t*byCgv-Pt-?GrKA7#?~mb^*rmUHQUyUaAS^u zayl+U1OARi=NmH}d=Z}Y+=V9LZR?n<&xg6KwYFYlR$E(bUeqkjw%zWA*jn%N`}DJ( z8djgu}J8T)1)~C;bo{u!zR=SQl(Rz3DJjZ`)mCy4W{_UMC&vW#*H!@4Nrn@su zFYlH`*=THO(Js47x=OwghR+Y`?n=|1)RuK<8tPG>WS}>24E3nT&-FjEx90aGqpwrF zJhq~27+cq(9or@Cq&KbHwwBgbhg>G z!Hvh(wP?q7$wuQ4>Aul0wl}uiwiusqMiwZ5A?&%~YTbDbtS&ogmzgthZ8 zin3wex)$x&E-5Mf#dxT6e(E_mUEymR;+^LiLu+fzMYn$6-1T$8s^`tYSj&;dmRqmFJP}2Fk{1P}kI%JvPrtcVB&dd2mJ9 zFmqjtc5E?^tgX1Tuu^6g-#oWc`uZa0HJzK5nV8vh>v?9PjgzexY0>V=^#WTVjjov? zdg4aI^YNLQSr_Q_@s%1lR5UqDW~qTQ%W$YNcQdOh9U)1p!nMP{kJ=hTZ#Q+v~?7n!H_eqS##QSAl4UWB{d4S#6{ z>nG@&js+fB>8ic`=SA4NilG%< zvETqFBb=Fx>PmKLfSIb+sFSWUQ?0Q+&ofc2(LK*IPi<6Mo@bg`+e4m*uiaICZTe6) z8QaXnS*P;)*y?z@Yt1C=;k8MgKork%TV@i~kf)mkTYmk%buiX*bFEtOLIZQ%BdaZs zZhEL!U$eWyBA)P>Tx1cP7J7zQ)Uh+vdKx!~K(*P*`F&)db%y47WT4G1&hyAXcd#yx zt|M(Uc?(wCQk~W(uRC4aQ#v1yK((iIo)akGztg!$@N}l4jX}%vFt5GB<~b(auU}#F zC89ozt!vTjoTdJ)jV&!Od?+P(nxKR~ht~F{n&(ApqhB36L00Lu9dik?YPaq466E{! zxa6L)(b&?W9or?1<%m0>wKXLi9XJ_V8`zcS_4~$4l;@d;c7x6H@UAsZ=Q&}$Ur+t) zDI1L~E!we##q0A{+Qb06e4e+`CWbPgG&YsWm&HItkVlDVC@vI2Qg zHjkwxm+@PaqtR89c6gUuLiPE~MSFJDi%dp)cGU~B@z7qx>xJofXfNXR0-Zy}p9xPa ztG@Qz@(>LPebX_{l(GtWU7tdIn3IRr7+r+fKmgp$c^{^<+E*GRgmNgWIvz=^%0tDv z$qQXQbUvlkAtG-wL;$1hG+wf^6k%oy9`?dWGFz?Zs#;{WTB~lo$ZWM{-FlJPYOlrh zBK++PzH4I)Qt)()Grg=f>`T)afowJ3d_KEFYmd+K><+C#KF^I0lIEOMh6glr{=8On z8AR7c*A8wrwmNh!jV&kHpz{9hb%c*yk?4i3-6=QcO@8%fL=IZq>0F%stV8PVRHFOA z@VNT3uD6qDO@8L&?191SoN+O@uw9jr_3!_Bp@T-~>qMS0ZMA*qd|Dn(=Vj#&L!I674EnM=#*qv7Zo_j0wjaC8ar zcGlp;Gh<$m(bHGw99=Y2O?n^Iswjo%X2{!K{TWu#YZs+z+*>xpa~9ufZ`mJ*!hBPX zj(f{?c{9Y{t@f7P^JXC}e6@j-vEk_WtFzFK(uFUt`ifgE;VZ-p)jdu}_=Jd9a@_^| zutQf-VPjF2C%+5p?+2A&y}$7OesEVW{4N3os4Xh+IX-0lclF)waGjholNQau8@6`i z=)XHtAPlD_Co)C2Q}4~M7IB3&A_1WtoK(lFep0fzpEJ-6rAvKfqi zm;SmM^h$|Lcg~IzeSev$p-j<*-({v&PRdDtnW_G$T;B{PbFs$Rp1}FJwRoXe=U8N7 zloggn6#_7oB?L`0o0vHPBfvo`*P$h5q{A)l3b!kL$mynOaDMTxij=&d3+1 zzW?yd`dUF4*T`(&LCM5mtXiO>|6R$%V7yxYUCq>x3cv8XuEJ97i)U<^_1_g|2jk27 z?~1bv#+Zc`=aDaRwkL4L*}BnO!`Z%r;_P70UZA7@U2%4>Z%=*~yor9lV@Almc(9wV z{|?9e?i4|@{yQ9VGhf_=Cf_v*HWtTc@1N`rV?8b^T?gr1KTWhJdGq{`%h)$A$o?_1_i8 z2J`d!?`j+iQEv+^qM(~wEfzW36F3W8DZBDDoXu_{L9aNmtkrZJJN+&uzM)-r=7`KyeUsu8`I?()o8uHSTcK%qxBtO(zB6Y;_S>6SpJP2oQ;Y4 zjIK1Md{VhD^8NI%sMAh=M|S+~6wov7ucCu27T2cqCa7)cT0QxdwW9pc68pzL^GWe; zPq`vq$y9@$GIiQrdwgwPz{br@7-~*--ZcggRKHrPZs49JQI z{VL1z8gi5PO&W)f8uG2LFW@myw%fh_Q4hB|+&%kef}imuVMwV}J@X{13l zuS=W;pxW7}N}5J`RnxkJX~0{VwJup&NC2Mw&=?k2GCRo2Y`o2p648-nG9tRnss!O_ zWLa)rN6yYT?h{heI_y5zpqsr8yPt=gp5}FTwmmP}?MGB(o%iSR=xI__W74b?Y?)Yk-g-3`NYlZV)ycio7cte(am}C?e1iJUWiJZvCbHp zRg>OlHig;~w>VNMF_ehK{V`xJHRK^&v7yE5Y`@2m$80hv@6-M`gw4&{hiAtjOm6-@ zOgna~c71!z_=)3;E51KJkTcXRwrCjXA8MCR^`GKP#QzL!JSRNzKfcU2jHz)C;5D=6 zHS7VuZ1%c{>wsr2aitr^0$b+7vaQc%)HUc)*h4d@8uO5gF{3(b{)}8cd!4m;MgyO{ z&e}XX2;|u0m%|Ov4cyh1V+rSTS>6uLz*mPBdjZtNEp6Q-heXq}sPU ziB)2YCmKc$&LPLmOnM(dI7c4O-$xS8VY~D95rxIOb3{S^*=-i@&i#A4yZ0Bbfc-Cx zWQjAg@Tj_WUBQ{igN-nEti_Ha5Dz0u+C(6XED~`p1v}$Rt*|Avt{YCpN%sX~mp)(N z(*51~lEfgyKo%IIgH~i#jKIyna(OkdRZ&l%viGa9p35u3SJt7_h7yUjt;zcmiM74S z`dWvxavfoT%?rM`*GVFEDi?2(U z^X_%AwbKeN3rvQwz?S*8nvO>LHP<1*FQ+BuK zk+Ws;GoL`(O&h#nHsX0Ibe z=gJ(j*AbHAke=4OE=B2asjx=#!!k|GIFjZKN9tmFNk%fJQoww6VXy688&#&CmV&=w%*5^C4+C@yxMmL-?h!Pqho0&(f@qmZ0WT9 zhij&X9PnlebX-ek6tvL}tk%wwCA#mwCVNTk?cdkxS<-v^_cbkD7RV*Wpmb^dcsx6d zYwOz*7Y%F1muyTuWe(%VnWA9% zMc-x4bSZK3shQdG>%6;yGZBe%t&v&BwU(A{1l^28Khu15%4?|&AUCt#Yo#q2HM`zx zp>@8t4Xzl)Y}-wyYb!3<;J2Al3wX(g*O67LY{?SPy{<)e(ujY1dHu^rmreq(YcSVz zkKu=wGymz~6d*c&j{L9{@@)wH~F6T$Is}wS$%pq z+2TgeO{eGm{xEuO9-kgg8}jHm!ac85GaljS7ug_3|2p3om$c7O15@P-y^=M0ZjL)-uPWzhO{l)t)9=dW&4 z%3mGh@>jQ>^4ClW`-h+3{;xm&_VVj*h-STU z?<>a5Dd{FVU+AXSUw!OL{^~Ze{ME58f6cb-{_FXlqZ#g~IsWjwfB63J`1_xJ`~K&j zK7ai4jGS~hBd3Ql$Y%y7^y@FrI<+i+4Pxwd*O%89q0t}5j}P_W`J|se9&aA+n#&&6WymvHd!s07di!Vo8ram|@$+n$*m*ci zPb-oR@6pp`hu=S2Jip$&J$~N3-aPZ|yxbojo;-dQ<9mF4y#u|$DDmzu>7j??P2-|5z>qi};2?ed zu9U$HjPJJt&-xuOf6bQgX@FM{{rvp-_;z?TUggDZ?(Sb6Ztvd@pEpmh?=Q*`XJJ`OJ*$LCLOtf!}!rvtH)ZSN{p$!YQ-|zBlkkQ_*k!Q1ox`*hO*GG1>yN~+mPg>^Y8Nvu9}={z7Id?bC3w#(()*Bk{lcyXAZv5unwokbf1VO-X0)= zcd?(m7`}NuL50p+ZKk&Pou*M=>c{`hpMkyIE%jHY>knR;=dEURr%vhVa0UOT{pG>? z^%vgaJm03r<*~go%VqN4U0-)R7dIX&zwJ)v=ZQsL@kQKjhu!_kd(k1U*`3ewy6?_A z!?)(wcjB$C7p!xOx9_=NZIy%B*QwgX%?pLlq^KRSr!shNd0FUeT zwm)CXe7kPX*Uj}coeuZQdGoy89xpz>g)hCX``7*+b-lMAP8Tn578%F)^>CK(_TJQA zvx;$iCr1@*sAALtzhctR+xU@r;6fX$XJ&H=i_wP~F;m zw3@f=ShMU~^Y$hcY^KrU+v!`dG0&OD|4`Q6`*L6p*)I0ta(M8cBfIh9G3)DTb9o#d zkLMv?m(PtrXaLWSn{wXd3BN9o&a zE?7VI@9cSCA9-jx_H9bsHrt+d{QGctz0d@9XUgY2k?F7F>vrs?V)_SGjCVi>w{}`n zd?(x2(EZ@Pooof`)bTco3)YsRDb}zzR2Z25?s(td?yTkdc*0n3O!RiX9&a;(r$X?B z^`DNf?e=t;UdI#a-|c?BU-n0~gVY|)ekA$l+C4R^j?8y;9$@eK>-ATsnGaKCFngwS zzr607>G@=```h!j*&z`isORBvxv;oT)Bo;zJv=59<2SFs6Hl+(lL55ALaVl?+f!sW%$&2^Qv|y^th1*N3uv^!@2)7% zo9lD4rxxWA?ef72@0a8L$$wDA_tSN{!TYb<$9}^xnJ9d6+Hda93o>HE`8~d76r9Ly@Dtpw;G?c1&S?{vu^=m)j_Mo&A-llX% z`?~G0ED+fzOu!eq!0vQ(nLElloVIp;AohyB zv_0Lbo1>{U)4mn&01>cmWgS1PENxHC=*e-zXhPN<4%hnuUWrnLA@RJ!c#e1@=E);J zt`EvUols%++dJeLr3#IOIe;gn{>CMg8r`dNqZ;s{GOgo<6@!5iPq3yfP4fmHi z(8v4c%=tNS6tMqpC(Ifag0aQ}p>V3t(|_!y)BW{CvpSz9*aMriJ-uF+!)pUGJBf8Q z=I`luM0YC=y=5kyx66v@ck}1?Vg3w|&(WZgPRVTajXN`X!H!akH;2fy}ObWdg740t`D@S-5#UxF-_N(_opac z3+y)9?1ieu^u2h;G78oa@nQdr7WfsDexh@6#?i7L+uH@z=(&6C9w<+DcIDo8aEFz2 zoSNZw*t{Nh{5$8}<-pO~vfex9&*qh9ze#GXuiI#EuUV;u-A0@JSq1Af`C%dD`r3@h z7G?5izV{RFG4bs8bm8zksXGIEe$M1aQ#jWPLjZg2jD)&vFajnzpIG-53Ym6Nc~=i> z%sTzC+h`b!GtqS$?fA|MVfNj)Ir`d6ot`$O^XBm21U#q9?fKv!Jz>H;P`{83sCn;VW2EVvg=0!*z9w&;F$L+v`EXFc{@nL_kV=lcb&Fi?o z$DNApX7#j2UtqVuh_KHK>=qc|?+)uE=``fOVA2?3$eb&$V`GoPoFVJSg){g92ROQB zmABBvalK<2;5|Z*=Y?wi!Wt_sN!sJ6FJ5h1suXNI6%2zDMe6|PvB6$ z=r$S=_xEyfaP_}tt^e)UhJFb_)~^E!{hCRiD0p&uqJwZCYT-Mi$!^Q>dt5KPpos&! ziGW?R0xvH_^#h(cvFrRiP58tv7l`K!PrAWoo|sFV0>~Q^@c81I!hV0o5Zd4G=!fBb zh6+X+2)1-z?!4o;7dvbw{plU)E)+CW2?cG^hy}brH;l`wSoJudbv!XiSuu-z9iJF# z7zgl57|;%pyhC>5iNToPJG;@#e;hw|&|432Lc(HyBjsW02G`ULuBjVb(>r_zKHq`5`fKQ! z{FqYds@O8yJI2--WAVjPIJf7WLdH0|Z?pVrZDAHuiQFB!U!9Y3)SgfS5z3gF7qN%c z`xrBOn7SP{bvq1wct+#Q37-QkIL!f&Gd zW_5{nx}`RCOKs|w8sDFn+D#}VP{ERRzH-v|UhqcouMO(m1^eQ**`JZBhx_XU^CFPb z4*$p3a5BI4N7UD{G|o?~?ALDdd_M2D`vbk}crCNzz_9^|_&M&6{dI$P2V{^*f4JR5 zqHPgELAM0ac=~ete!fepvd8Zls`c0K@PFl`u}CINLA>TqG~Xk$zToucWFhh{D2i_s zoJ)wGCzPt~8DV$gz4Q(03jE}Fy|T#j^@Wcs93gFQw7_mG;`*Bx*p0=h$F)%J`maO& z(57_V9dWQ8(86!q(+LBRNWca~7n(#hnx6CY8z+3A-1QNI&hA4R6aNs>JC^ToBu{Yj65(8{|c%3j_P&@d)q1#W@^$b!rRT4k zG{k#_c^^(FhMddo{YDL%#}3AVH<2aHC}?iJ4dVNHy&|`cG`pVJLbNX)(%KV)xl2Sh z64U~_u{d>Oaq7k*afo@T-Bg3Z3YMqz+@{2%9B6^%(?(4|dfbT_KJbDQ3cy~Twa97< z8-hEXG3d8mF?kR|wwJ?E$Aae$?A^+H=W$I-d~lx9J6O$joUz z7B8^xR<|eN-t)40)D8z>hYi`#S5A7m5c2 z-=U}DpodA_uG{IkF$!wGg@SI_GKYEL-LQqWI;=CQkGS55%)bH!C&FEz7-oRrL^*q6 zTcDR+P%AdO>veWUe9+V{Qo)@_FGpyKpcLv;h_J_pI&r2g=F|&zRFN|9^O%m9pbsL}SQXIfgAgY=MsuD&PRKGW zjXlmkBDw^axOsQxY^1bp($ov=yVcEOnE$+6J@&^(J8aC#zHZV;VO;oS@!Saxk$nUY zdSYuZdHlAgzJqwz30RcBinpZ@v2Z|i4&*q3?0MP2z$QX2;0SLPcJ$+ZCxUvymU=$+ zMC0*!-qACKf{{-LgS0QLi>4kOoO*O{>Xs+`c(}*2L2_=6&pna46WZ>J^F*u#?T^VL z#4v(Uo^-&PVw2l*>Xt2n`#sCkJEa>vrU&*;-6TAH1olLKZAypR9(}Zw_$OAy{`^88 z$7!}ZvL)bera#)^o5KH(*?zkcCV1j5Bi!;jz%;-%KF-4ljrasra-3ec&UuOZzS+L+ z90ZKS+ZlJdkY8x_0%w^)T)!`Hrb&WRGpt*UC*QVc9OUaJjfzU39Chsg8Esz(5Of5* z6yWl8fd$SeXzo)Mw=hj9j|%nNwZNhtEwG!ms33hYGsVHgI;5o-~|H8Ov?!7oo5 zt-Q3qI~7K-BN25kqB{@rdn#MxJSQ}r8x_33&<^Bp&v=kek1gl@xF!GRgfdY!0ckO4 z%!HDVLs)Ek&i4Vw4kDzK=ZesT)z(ZEp`csgr*4I#UC$`!flPb~9o9&HjDpvd?Ya<1 zh0VOq=V?N1e-S)9lPtqIe36xfQ+!M23%*?JICw-(0p`Z7q^&Rh4 zILRvWuid~UI$5wp!B*Du1LiV*50Z7T?Ml7KgV3yUqoA|Hp7=_V$h_PhQ9X4d88_e0 zP{A)wnnaros_+FTdnI*{Qnh_e9BZyYa5s8Q1&A@?-_PB%+>)e!?ym$|UuXeT6Tjl> zx)No(@+q8mWSpGHvO~eza3mnG7c4%Q>5<2~7y{OJDckR5)I?k`XJ zj-Pt>AkmeqP8_EwO(ZuUs&6Q2B;T%h!2{}kRg%%|RdHE@g~V&nn9F1QMA&m0p7^|p z`fyVpXWbr(x{_rGvqc#?y%dRRyC)QMZ@{U01KzLD5Ro|b9Nzh1n1T)2;brzQUiSa+ zpPv8t{qO$x<4@oJ;k$qH!=HYXPq?AoGwF!$$+oyWX2ka}?WtR{*v3P8TutEbp5Onz zCIN2mzay{x`2)Ak^QI#Hnp%{m)Q#j*HJw%NzE7_~-M$?*Yqv{`hm!s@rkQ!O08g zADvf7(6__p9U-Tt!$gPg5i1V(@#jVK*EoO&pI3SAMh@u?^FTc`Hox;gh#vtSrQWJSJB(9g?`&S4&Lz*+r#}d zkJHs*(mOh=lUvs#N&6j+edrrb;%)Gm=7ZI;yBd1#YUsJEp;(0TB)a~FZ8RLZWnzDN z2JZ8#7@A7WUQ_8|8xq0$uzgp0Ld+rp`QfK5 zDOWDL(M-BlMjrk=R@chN+32voN6vEt6+eA`!1|y4)j@H*CS!$%9{j-B+DGbZ?r{YY zvF2Z$r}n<&$)F_z`~PybRuk@;LJv<6n(c%1#dg0w3UU8c1i1`adRD)6x?x+y51L}( zS@cDBW#nC85kWlHJgmR^@o~=BYTQ!$JAmh-*`GKh?NgdaS3%}thc#$ldUtT+zMIkE z+^*HQWr%mc$A$QuNa0-*>E7~pS;fLbcSO&XHwxDG%1KzC#%)i8EED0fF$D~BBK0Xx zq_4iKB6Cf}8oqPOu0G(BD%^bLmVF{MZr#=CfV#{o*4eZ_O{D80WE9NE@45(iNgdWF z?7XMdxWy}GK)v!Xm`Huf6X|>3vyVu2dJm6ByZ<#4E77%rjWANWYF!3hCQ`&I_R$D0 zCvxd}3X$4A=)8J-A{{nQ7>oXk6RB}OaS(2!^B$>bq&}sIbe)AeJM*C3=XvQqPf||% zuWiCZjMTWFX@vXB2P&y1vDQjFk-qw_zsw~Hw;S$V&BJ&7gNvF48%~60T31^`RZf#g zJExjQ*Jb9XUKqXWGIQC(g7x`231=qF8ul}(R>4&5OS4rxjlS_cRpwol2X;cr-HeW| zmEZ#W5<03X=VwAK>`2pW97@yZ3J#fmeaOD@p00A~8p_4#Dl;}}*itv=|9ys|h&Y;+ zrfGC#=hBs(OILPC^_ZvDwUf(-b}}N4s{HgB8})c^)*695jyNh#g+5Y0qFvLubdM1Z z?YW(EKj!7Paoe%|^XHd&;qKt29yvfa%A?Z+g|O4L9)gQ~+X4)U3lJeQuXaOpbLN3HU@ z1$gOd5O;wJm8&D5!5g<;K?g;hISoUW-LmKEoVxNgS4E>?kC|V(FXz&IIo#R)IW)aTudVxq z!WT^ejQNnl^2P^$y(Jrez45_cZ?48)Z?48)BJM1F@xOB$zTw5Qd-F|3ZN%~o@tHX} zo4?+4&h?bOigA$pFS!jrUjtnVRV1+$0yNcGb$eg`gd^}*N7@{&2G*A}4p(pI@mJSS zTNc7!cu8$lXXu^k%=qs^!CxJHvt~z3rxU@fja8f{39#}8wrxsZcS-Gk>!wOO3qtd( z5HhW|TEt%+rL%7eSYPHiV*HofRH=+#(s}=~MDuolHT~H=Mmdd+=~FW~BzcN|!FU|05e8~&!doio1ETQkk>_j7-tDo3$EcYuX{k0jM|5wtY;6Niz zFssKbvhU}t9s}!SJFB$7Bnew)PDz^f$N!al1Q@_@_Ili;2doQ;aTxZU zMDc$rA7K?l8%6Or@}uR6l0NwA$6qt~d~kygSknnVFQBpbue*iu72>Y%{P0{h^*Dw0$7%hT-OM9C==B5E z4$J2mug0h|_zRogbYqX&ao5#%um_e`mh)-wH@%;8b|M;9cv`_up>+SjbAOFR_`1arb`5%A$|2F*b_rLzH zTqWWEPyZFc^8IiA`meV`=+4l&!~P3D&A%VUzyEi}I_jr_PKM6kI(^}%`S2$1xBhh_?o)%_ z%IM2hy{VDdns!X?jL9vl*cp?%0vn0@0vmOQ0vlJy0vkh9fsLF~fsK-LfsKPpfxX^s z;bOyY`@vG{w_5Ms>uvpN(R(kt{Z=dAd*$u7TK?Y4Z@(1|_QpZ`tq`#{BHC|-jlHqa zek+vhjgt0T;bm{U>}I?ijFpUY887X(!pmg5 zwBHIZlkw7iE4)m`OZ%OTIlkb|u={;{xww_yBZp$Cg-{A1w|nYYYep?8-}*VQ2ViAXrT4B9~*|Y#!&mQVQ6a%wI3UXw#HEVv0-Ry3^jdc z)6q0iZrhLbuZF9Ep1XXbA#9-XE-f0?2HNk^qM>e}2rn%f{subn(xM@8pdK$R8YTyt z^3tNAbD%UYEgDY0q(6T&E4uum7Tt0BC0)9Gqv7;R8g*;YaQY>^y0vIH{gQUwS~Q$~ zNylz28cx5YX}1;)r(e>yTZ@L%FKOMaMZ@Vp_ijHnCA0(q1p;-}mllmq2YUF@qTzI) zjV~=4P6s;q(xTyXpqVc%8cqlL`O>1{bfBd#EgDV-y861pI34KrON)lnfrh`dXgD3{`Adt2 z(}A|Xv}iaT==`lkQ+^vx2b%xVqTzI)|1T{XP6t-N(xTyXU<)iQ8cqim!P27PbYK@O zEgDV-*1^)E;dEdlEG-&N2bRLpqTzI4FSHg-ac(#rSPe^yhSP!Vu(W769as=ci-yyI z9kH}%I2~9MON)lnflaZrXgD2M7E6nU(}8`lv}iaTSQ$%;hSPzq(ONX6yWw_~tXgD1hNlS}{(}AV5v}iaTm`h8GhSPz~w6thA9T-kai-yyI^|Z8TI31W! zON)lnfgSasn$C6Zp4G@K6XsHH{2>A;R!S~Q#v?5L$h!|A||T3R%m z4(zCaDy8tNOJ4(zCZp4 zG@K6XsHH{2>A;R!S~Q#v?5L$h!|A||T3R%m4(zCO2X@rbqTzI4M=dQHP6u|>(xTyXU`H)28cqjx)Y78ibYMpZp4G@K6XsHH{2>A;R!S~Q#v?5L$h!|A||T3R%m4(zCaDyx*fF}*ilQ1hSPx^wX|qB9oSJzi-yyI9ksM*I33tgON)ln zfgQE9XgD3%QA>-4(}5kev}iaT*ilQ1hSPx^)mn5riejr{8P!@eoDS@$xu%nh14W|P;YH87MI-4(}5k;T68;#Vozh7wiXSi13PN@M#JgAj#^qYoDS@$rA5Q(z>Zp4G@K6XsHH{2 z>A;R!S~Q#v?5L$h!|A||T3R%m4(zDbqT5mXfgQE9D4bGyXpHr4N9_l8)bfqODYY?w z<{O36{lJb|zEL>c5A3L=Md5Tmu%nh1g;Pp2|I9oJr~82&wS1#+x*yn4twpz^cqU>z zO1Gn^fA=#jicYD5_%kgUP6u|>GLMGSfgQE9XgD3%QA>-4(}5kev}iaT*ilQ1hSPx^ zwX|qB9oSJHYSe5;QU6!?SXwkX9oSJzi-yyI9ksM*I33tgON)lnfgQE9XgD3%QA>-4 z(}5kev}iaT*ilQ1hSPx^wX|qB9oSK=MYp4DC@Te-$yu#`zWKuftAzZ7)s4YV zu=aOdWD8h(Za84=&7d_5%#cXDhV6LoD`6BhCdoG1Q8XiAB=N4>@j@(R?nz+&)E5}9 zAF3S>z>?hisU3fkji9+~FLfJa-R{szItPqL!PKr@gk87eNlkr^_Y~K2rVDTX=zBcI zra4o&S#v&8_A}?g%Qxyv`ETv-#H(5A%kzeUO?5eFy*6r%^&jQwN+pl4i{1Be0 zYmvCxa$GgP0|n4?e!SkOIa9Df?e>$;(GJnR`W|Nnma*;&j5{G~JOiT?mG+2Yy6f-3 zbSRA<>tJQ=oz%~G;gz4MYIuEy@qR;luw)IRR_=N(^)lk2E=@+e_9kP%+N%RA*cpFz zj!TiXob!$%Ye|#!Fi-Fou69xqB-UF6!|S5l=W&5icsF1>bkjH=yaK$f%Yv)EJDzX| zJkyTXFx9R-HNEajN%r;rayEENJI*;UuZ5Rr-6p z#*ux|@&hj%4(jWw-CpOHLaX9e+kLCPzn(J>>!pCK+EFi7?RX5??RXe2$2hh(RoCm_{YKxC zR0ogq%bovL_H#WyWTV>o4AwKr-Z%7@IOnuOFeF~MpOo#Wt5VmNKhK3HPN!TiuvW-4 z+O=X>YZ&jPCvU3Szp-z7L{FYeV6D8=`g;^n*7qn|A8UgN?L23AliTrjZmgF_jZ=p5 z=Fhh78?NTUL#S2!U=8EZ?w}W*dpjmQ1LMV5^_4CFnk`d!54W!Uug9e(bkHAtd9zsU zT1njKdlByI(dwYxdhpM4zO+ir>+jW5962t9N^;Ki+*jg&=auW?`RaSXLf@e5!H(6b z9d*|QYmX+ZzjxsY)NDtwD)B!=RL};@pp_c?{5_sEZ5NRPh6G-ZK|3Dg6AVp9FrKav ztX1w@k3ssh+MyH%4yf9J#LVxZGPqrPb}`1_=^OQJbg{5@WQ2nrj&3qIDpWV}Geqg8LxX!3lBS_S|8NaY{Pnd#$wEx*av$HO66AB^{#O zK6OW&0|OjjI%^$=bDjlCok&*(#yb=_E@ja*7sRh?oX=ffmy?F5<-Y~9v`|IyrT1~_ijF(~RqI$p(K7visCr^-2oZo$W)-L-Z zX=0CPcSt$_Hq{mX^;{5A>dOP=i7U{Yb{Ugck;Eh_X_uO7VU$^6-V2#V8 z4moFD*p<9w%Y{?wbEeN@PjStvdAAyuGMmYpXlEP%OWl@st*rR^d-d#ZjO%((Xm_l_AMw3Y+OV|K+BO84WZUm9 z1O9i}r(QzegZUaZcIYp(W5GGU3!MW7E0#PW*dlpE@Fe#fqgJ*qu=b?U3NM&KdEPLn znPScb)i&ph6x7t}PBG`VJ80kP)l9cTX;t4= zz<51B`~pd*SR}F=c^5qOL{2KIc8q~-pMDy4lDC1@?XVDKUjma2QI=%-L4e6Gdf4&O zS3cooS2lMI6Ne`fVG0&tNG#SOWt|Fc1b;(7a*le0mFlkb3rvT z3{RKZ;pGvG?MxWzq+x8Q+R0zX^x&w`uH55^XCCp&vwtKMlllj8=1Z4a2<=^tVCXNIMxA)RtqM z5PE{?{L;78abJ%+@T2phchVo05YI#97-wD^6>L(B054abBff`mntk!33kUeowO-KY zzC0JN@3lwR*6ZbH1?>_{aXbZgcG|x*!V?l>zz?J!;E#}g09#Y6jh)om@LOnY2hNPf z#fhhN?0FS7=f@y%&XMLv!Rl4%Y`15*fm6=gHsv6&UFwc>hwwC;aVY8yvatn7R*+r9;y55sFMc4h$!J%w@;JF>$78 zXB?1RlXl~=UOW$aKJH7Yjxq2Q2=}JtQV_{7d+QE07ig8F#Agmn3Aza^c7tJYZc-@)87UvknUX} z0?h@Nx#mI)GH8-G2WN>OWl4Z|S8la#y(#XbG3wBy0jHU5ApFXM<(E!o3aO+A)&JPn!Oa~upM zmct+I5Db~qaYSuO9{@1to_6Q- zbMZFlQ9KdXQqnV-DMXKR4ESl#%aH_xv~_{Cht@vRJ+Sun#u~=`w$Oc0UWmZOxP;@= z_XP(#rAoy`-J}FGuW4?GYzQ-^0Gt_lQLYUExV1H6!POOG`N5 z(Pn?IJyp5JGfsGYk63ijB;}HEVCA^vX=z;S%bol*y$(C_oi z084pE?j)vo?8mg_yoGK7OtI(*T|WJj6P8uRI>;Q+O_vKR_(9? zQVtTRY)`hX+o295uDH;ex*3T$;?Kaya0)zEtTJ&$tTKJq6H(o?LxHs?Ay@Om510O? z>42?~dkzcBTo>A5(Zu{P12X+O=m!*K;96pX)`dQ{Uqj=CuAE-d+2kN|jH3 zfOVALg8&KK=l3A2m<#Qkvs0{2bJ@yYT-JHo=fF4&IW9rr*k9UVG^yQ`vB0t}#oMQy z?BC)-xo^Ofn+FVGmgC}h)chPXq_6p8nN0c~1gP&>XWc+Vsha_tB#+=Yl1F6zR+;+G zv{o7K=~Dn^KOFAZ)a7Z1%B$}=uX!5eHIvG2{0(xQr^=9sF-qYL*n~LG@8O(O{1=$w zBop+PGQMD8&*13W?J`FM*dg_7U??2xIhXaDv9SrOC+Qj3G5uk{+EZ1Z_l=}4fm-Y{!uui~MkHT640luJN%KAD;+!}hnlrpabH)VAJwl^SJ&`EvF@3qf z9M^z|k`LkG#?6?xA}pzKar7sx;T@N(K%-86${1(G@}cJBVR&+JeCJ$BnG($Ohx+bnfk60MNl*^WeyTpND4)Whofht`X# zlIM+f(u?85+q8v%?b6Q$Ou88`ydE*vkp4o zg*WF9VUftjA%idNU;3WYX0Nn|q*Guz`@oco4U7O%;2!-dbtKwBfNIws`drC#qWhBP zgo%?M;8ej2pWh=Eowz@fs2XR1zU_hU^|&x1tpk5?oM~i*eRwd0l;?;K6#Vu43MSq@ z$Wx`A>a?9OzWsifPpK>**E|;=&M{^ z`eNC}ItWpTm%zrwOYr?Cy>Mitp8}JP1Pt$Wj*CzU+C5?E3NM7gQZ8_`+7o`CX$_ck zIAA!T*5d-xeN$kPc^pCUL}0qZL69A(ydDD>3C4n<6$*xNkgy|ZK!VxNfTuKN8QBqZ zR$u!Wik?Hx&{uv|4Bf4K`b7AW#%YI#EyqP?5Ds8rL4Wi;r@cx>s$xpOxapr`pq*)4 z>}279dpp7@N7e0ghmwo%7$7?E1pL?TnM?gL9FPE<2G=>S>VR*x-T%@;V4+z=ZGzov+RpMLf?bPgI?&1uuxxE zdBC`emp){~pkhiT8dD!)$K_ipD#+Xg5QK)?2XjTj0)Vj~lLdF|d^Tv?J3f){B=b&t$a;6=M)q}Q@>m_qo zxW}kV`GJY(Ik##nMSgRA6&{ZzW+R+pti`#c-6eC-NFLgHZCszxoGUVv>maRE>zH(( zvoaPXf6Fze^^ztnI;aG|7?&th=u(x(qZs5FvP!&^1(viy!W~Ho>oE$Jwka^(#|B0~ zKz)_#Ogk8Uw!^0y`^F+pY5M_F-48r6aP9aWUDJ11H-l0)111@d3Ubc#hAN9Or}VU98jfIWJh|gA^?FKVZtE zEm-oVg5_N(V0EKzwT^U+t3BhdvUWILR+S_h_@=1dG%IH;SX`ks7vJXEFJGGGL}v+p!{ zF2r?XKM2N)hjEGsF0Q^;Bt(j*V5wtPyUa@~Sl+V%cFvr|28%rchVN^Qzk=Z~j=7K; z5OTjrjr6=|he!_niZxVLTeO4wg{-9=bz*WZC&cd>_sn-ob&U1*fMu?3wM!cZ5K5u? zR$-j{9>+Z9%&;U3WV=h+WsHG2vpyd+6EwT%i>aIVgW(|bq8%bWVyVRTRWA*v4?)eK zD`1(2Qti?P17=$iPqXYdV3*8Su8m7S7cl9Bz>vzTwZZ9_vd$%rWw(<~h~!~5`8^EL zHU3IMaT`kQssbNef6)m`lBHi6nBqM!CXQV8#Z+74zPPw*^Z-K(QC|!n!BjJZzPfY9 zH5SVXm~85uwA1}xU?{;cZf)H9e2@qR5^|5~gSpHs@StCht2JEcIe$!o|BaUJAwmUac1xc_VAO zDwuRBU>WbmX+hv%y+;LuDaIH~C2(5p5(f>Iyou?dz{L09x^bpSHsh*lu7fLVao%Xh zF6I2lTotYmt6{^^m%L_;f%%a*;0{9gzUWJm!UFLzOLe%LAEVWd&SoGURD4SGcf zz(_SneoBR?wBu zHSPT+>y-EPvrvp<`A%)kp!R9A;puy9Fyo z0_Xf_ra7bP2?y9}!hvnIk|^o-fobUe3^2vsfu+7ymgzyXOVl8EShbTs7S5!6VA|Ps z!7P)#05=GG2AM?+XpO6aWsXw8%ug%ay_T1>!_+lQejm!0Wc~qKF)DD*rDBW8BPzDI zrZxKF_g?RB!Ln{`!AJsH(F-uj0jyIR#5!8ZdlcS{uAQ z)<*C$eV{zi%BBadfMv{;c7*-b`c=Usf9u+8%0^(6TU+A_Sn^K3$9>(veO0auo4DF> z%PziG#(3Z!t2@tXMLMMWz}OKX`8`55`W_}&uA_{hpo2YfA?S)T2)(T7xnQ~n4ovmZ zfXQcskS9dAnlsi!vm=A5qwG*>|SG#s=LM?;}QaQU*)>cPB}`Y z48+;5@991XYzVQE^TWNnrhEE2UJHz*C-o)aRxsUDC;LFTF2Ho(9+>hNfhms>nC{jC z<5p(Q1$Wb$)>s?zQtLoo3imK*?Hlsa?b7bX+liJW+C3*9VlHrZ%@4hJJwHCD7)HU^ zkkxt%mUm1FCjE~jij<+W!z~az5iL9AIl)lKbd7_8rF~g26u_9@5w}_J>?-w=vaBk% zr42zKKXbc@MR7HJz2^l>UjZ=E9&%jV8{%Pge_>6}^u+~`>#ZxO>;0|C<+&_a*0CuV z#1?p|gvS`8q(|ZkXJ^(uCqEP7h`uFqh#v&^fyAIW#gz>w{Nq!2GAQ&Ki8j6?AcN^eVER+v-sEAMrz7iBs9 z=+JoHxg}8&uCvB{!Lrs%!7>jX7*3QmZ4`_{vziOAy09x4ntQ_F_v<~PFMfWtBPn4` z_th@%3Koo8?l}hPv&WGCg}$jD)WOgA8!+}GzsL4!y=0$8yqmrp!8M(d6OKzZaSB?} z&tF*zX$t|%8oGRs>FImqZ)jYs2(?3l&V8#AWyzoM3ix}Z6zO|(Uhf-c3;)o1e+y=x zYSr;ts1{FAXCd~YDPuv|h{sdg}(TnD02Ys1x?`~{bpBCookF=j*(nHq?RlB2NmuN6&@SUrh9&6*{wKc_{7Nwgcw+F=qEj+y1Ai!X;ft&s zmZV+wjCN}}1*ZE3%dA-^7Y=^_i?eb1P z{`^WNS@q@2Pz7h*7Z~YbYrGUpcP)WY%`CsiW$yJlsxOv`+Uc$(#5R~81g*YYZPB&I$MrgZVLGqJ z#WM#I)@uVsOk}<11%q>}=29@(t6YZ2yiM8>Ct0tfV3|Kzu)H@>Fy)~DgYa`MsAh>5 zw7T?1;E5!0IQn9lrtVnP`L$kb&XfzdOT1p#Q1V0=d*&+>EyJeEbzr-M&P6+NFLOUI zE0T9&z!IU!wV`vZ_YJ+<_l_9^uk@-f?U-|(bK;kxNj5}h25T#9jrv~3#S5146a*-0 zXW)gELC~6?@}CiSdNT)@Y7^jo)_o1yQ4uA_z=yJ)3v;GUQP5h2Q;a`Y&iV|}m({KN z7R>q;c2C4&XjcW@G(X+J;(Hk0*^YaQxtD0Hac1Bo9Pqze22OI@Ja zQOt2YKVYdJR6FJC0fP|KSGlmPLv}?yr4~93h9Bow-*X-h?R2(~6sd#oy%MZ3Kb{iG zz2tjn&}s)uH7s~1V@SU$7y>T)Qt~X$G$)ITBH50rE4gp@Co?`nU*)6%gA?WVs=yb%GYkzeYlxIv*-=N)5HRplhqFm3foPGs((<=?WB%G zpqGr+?2G1}vi3|pGVw4SZw->nIGBMYNxtAv>WgPjELw9U6J&@{vI&ZapkJ2YS0107|Oo5?*lKi zlmDLlCe>#Jrh4X>x*50U{MMv%ToR^YTntW(;&nSLI?lCG z{3&Rt^2n0ER32IKc3|>-0n>deW-MPHFuiRIO!+UsFg9||sNTXs6)IY{gC;YN0*pe? zvEK4VSk}Te;(MG+ibAi)C|JrKVCfHohY^PG_mo#aJK3QHlbwQC$Q)9tacA6*aVdD1 zv_{TEuD2?A=h-iq_8hK}a*KB9&#rNmTLDaZXTc&zr26W8I$(O!yI|tG#2Ym~PFvar zB?D6b1Ex2#fhpGtnCf&CEPQU9K-hiK3pXfr27zgh(4Mpi*B@eMA@<=V>RG)%TVs)cGb0VCKEXA3kZ|0D9G`vIJD-J`-PBTIet zy((0fv3K^UvLILO3T7DqEaQ^2Q+*X+s;gTt=_vkOk$RKkg1*$bh!aa5p+0A9g73i*^u3Im*0|P1fn{8XcB+$AFwYM* zp7H~YL1zn?&o68vWCgmO>Y5fz@|TsR{abos`sRQsUz9_s8l1r7GXf?bGB5;x;+b6M z(790MRfi9l?5I-t)9wMLIwHVS#}(6nQyp_5%Oh=0+Ud=qW>)06B!eYw`%3Fe+a8!| z5&=_vWni)qfn|;jOpO>porGoFoU)Y0GYm@4<#5 zuEv}z!%6M9KrPs0o((9g%6<;=3Y{}L$r&BR{93_x5WRj22(#J(}h@5?#E!<5&>>U z_OJTp9sCl=%0&c*$jrVt`@|#2=h7Ic-bv4xJ=)7EeXKDc$=pjYkof{QO6}W(L)ea| zz)$Qs%+Gov-X_s*-IvUD)P2ds0irSO1-Of4D4)~!$em7`oxbwl1G8;fX*anxQnGYD z7&T)jI0-YC9UkeLHNfmcA@f5#n;SnFhh>kHOUJm@;SlGN`*`ZL=j4j(%%E9hPBg{` zue1d1Vmj-r;v$o;rSb|=rhqEZ5O;6n13-NdpG4h|4u{j%`#~Hw=^jUG`awt(vi}MP zjPx~>VDX%Cgd{zeQz6I2K-W1(>6czoE(P^ve-nR|;*~rC2fp{0z+dhgDOAEKjzal@ zZ~%%XD@R@5E2A@LgRZt=iM{7Jr)-(dJ~{c4@tnZO-@sm#tc8P-6}ZMr*&npb`N2=~ zoRjuo+`G;gC{XQ=DxE4hLq4YDKH8Ai0WVISfs-ozr4pMH_h=vk8v7Ck-3Ye)KNq2(T)~%~8I!BkxL$1qPrh7!A zv6zb|o~xWbhteHTxzh4s$o(LquJcy!1|@AEEp^_A>PkndGK+a;>Mbkb8P7$YRjgm> zLxh<`e|UFgfAF%bcpX=QJuW^O;RPX}xggKAmpDC4_x91EIvQ8#%ECRFuO64GPI0y> z-z?8BnyCC1usZo}xC;=nqM|se#|Vs);V~|eRN)?%uXqU>Yn)5QK)Fc#VVX*BD)l+> z0xQ-zLIcU%1q^JIiU!=+ne5s($TV?^%B46C z>1*aCBaN6TlqTsU;bDqS2|{e)pCz2FHJDV z$~g%ngyguS1_*!T3Fe*??KbXFud*GSQ+tVeDjFghpcrnY6zO{y=t&z~NtaGoPc-M+ za2ZL~R%x%KUGDNo=22KT^BpT>D0+s{#1G0Xs<|L~@|<(ZJ+AH+Q0?`Iw>kE$-YwAg zN--?3gmVBd*s>X1Ai@Gi;eovTF{2mvc{H$oU(gnEVB$)zCK1TA$H0OHiBk_!S zrTv9;#bc3{p|3Fj?yHmwn3vLhP}k&>0jBc{g^RzSt_gpryNY!H^Y?JAYkpicN_yu0 zmUKOCy-V%`lTKafFUb#3izR1($(G~^1@T16Wk@dIG7|3bC}_@Pr3qJ6VoP+&6`ka# zbtg_|0o^wHQl?UNR9!dF_b_3_hfv{6e|cZL=qm4v10%qn=a*$@4C*{dkD$V(^z3pA z?H}yGU;5A;buYGSD7y+a;u8=6=_rUNx zX1l65w}x?rT<^ctRr8D`FfIiy)_n_>`E|guK0~cTbv1xV#{?!kqd0PDFUKWJ9P~mv zBBHBy&7Mx%s>0_=U4^0(R#l<`h9^$#@HAu| zD0`{WX9aGwK`d)!S(K#1lkhveI0-2*!X;7(S0#iJm?Uij+=~C${0LEimIhRsk z1S1nZVddc!j6?vzbVn9Pu5uTEDZXE6S~^EWDpQxDo!(llbS~i(t5#=*{Db7Dm1ZP5 zK$ACr!6hTS_%06{DZU1b2hamou!z*rEB#q}RJlySv$^n-bq6_Fa1OUq4i)W`Lj^4F z!q@i{rvqjj0Mpqgn4dC=zKZ7+EPcqp$ovmnQEMpW78iL`8yr~jUDiR6AnBffK+0~~ z5f;|Cx>G|t7?Ij3hmVpM9Jy?V4@G^Ed0H%)N;VnCvo zdQ39s%!RdVS7e_!B|j(ih)UNJ4)~hR7MJziu5P)YMsF2VZ&D1xuY3m}=|;LurWhq70-@uu6c5ua)3WeTY-!?+xTz2||sFP|1Bm z(4?QRN{^*Y3`~AP0P>XrvmODFi*Gj%NrEid7t+QV>YV&-+>4cYhD@t=gS58?$o{{V~ z+>YWUmFk!Dg7+`|8yr*+RHQ)0aW|v+^f7zq;#z zZG}@h=%DWP3eUI?^1N|1(zxP|Ske`iz3^PQjX6f8a^_s9%_qEIf%$v&SYE6Hm#X%t z%6a5oqG5{XkoYPdi*+s?7`L9}2kcaQsFHefy(GhCyn+`5<(CJhdTGEYv=i&aODI`e zX~-T|cSrc1YCTtaj_^z*I(WK-1HMb*mtblf0U^(Ie+K_L$Jz*s8rabH8_N5msA7m47jwC#z_s3 zJgU%B>gbg#p0oismF|PtC^^XW5AhtXjtGB86w39!6%E<%L%pSp!*X@R{?ii4NgLE< z(%Gj}n9eU=Df!Z>bW!3D4~k?3)q*4&@yrSLWPOQuR@!jV2IiJ%5|^83lIsE5b5a*1 zqqt_BFbiK-h5^bP9 z8>Tomnv`t_tbOrzq94+IDm*QEp^R|CI7O1lluXhXEKN2nwb5h?;o*@jL|9xpO+9Ir z{FMAF$$i+GbjK>4kaC794w4mw!Q?MyJ3IyzDU$xkwelEcs05u74V6xan>BO%QEhF9 z)^*~PwYX^{r#VLAyVSExeFM)I7xdQi<4&gTS(p-1@fQeoTmh@bjK-x#Zu$0$xE?CNBVA9Qi5p+;rF4zjD`dswQ_sht= zg0p!pP_(|M`-t>aO$kUtdS}6mQ^&z*r#Kie`JjNwuTro)AHZa*0XwFhQn2K)z~qB3 zSjPN;$)5vE^;!y+Jc1-k-Khp98wZ%))hbw?L14=HELh5ldI2T?s`T>3Q zHZ<4T6o)NX>H@%sgarbrJt2{2myUhvKA+U>hA)R4O_6xlL01u7nox2 z1xtAjOm|famNq9a`SpOEQ!g%<_M>8u!m00wVbCeB9$3EfQ`NcTa|5RPWx!~dxF-i( z`$3{d(rLx5l1_o8uaq(Lu1CRAzXzs!uE5Nnfu){EJKX~!y02PI1xtSuFcg@?0Z!HA zL&VimZ=$c_x&=#p9GLRRfFYJOuIg;l4o`^M$tI>97iiRu%zwiqXE<5XE$Vpad(QKv z@Q!U*Le_~>+Nl-|Fr2FE`2nLiLBi^SiePMrV2+DZ$5lQ6G^9L(WDjY7fe|UrF$VE? za<&s!RY^2y2w1*lK%xQiL+d47G|n6Cq;CLI{y5Gs$J($U0UH2-FE>7`^+)8@~yLlIw}0&D%E;RVbk|G zg=-l5k#9<28-S`jAG{%*V^nBNG74{rd=G@XbI<9UZJe?uzIBL*7H&EJt$W`GGL1L03%@~ zaKKh3e`akuZ@_fkFnUxEiqNO-gW&~MZX@5r^^Hy8YcL=wdof$7cwFvX{VA-!{coYpwgBy8&* z6)>D`>Z{u2w3F`|FA@>a?8|$z$qzOt{VCsgQN{F!|6VxdfoH9Q!VCVM?RdPH$;X+q z{NBJ2Ah{0lSO^1VqH)Wan|(=-_4gEe!uP8CB=DEaFCodOii77E)a2EkqdR6hZrB+{ zGJEz#t&@#dR|~Qoce&F?i%$y`I_3wP)SjcRSr02LL|<+ciY6;xFX>>X_jaIg{8ven zaI2J;crleP!0AxF9xxQo)%=PM^jFDHDd2MIaxa8I+G?R0--U%c2Ip+$SXblN3zd+?YB~4=03V&7dEYEM1;z~Rd zu8?1aggDtVxFh^MT%ak-NT(LhuH?L=4X)y)t%hZwTJjaPNMCD zWwf*YLhhUV=%l}7yph17bwYB9rGpS=65k;AO?rC;l#@5r)tkgAW|VXqGWfI~ST5pW z<&;U>qte9wqN%W%nhWYi;GTA>cL+>=6=2F0s+(Hk#dWP{O>4w!P|kABNJh;Wrk(kx zb%R{zgDeW+1xA^96d2v|8I=Yn{eYax!8(+e#yU(_rEui_Vq8fFE(0mg8<`a$FToYI zRqB{%_tH0jNf*F-D&ACq&h>t@yVBAV373gBu=X{-deSHN66;rML*+_8VWlkU%+#Aw zYaZL?=W!wX#4{S9=gdJ;yYdzUuS1xL*THx*Z>e6Xk)H-4l77GeF%3Z++H+n))m*p} zl4q5$u5?i{o=j`FC{kA774R8EJx#pSHNNBrTt)GD!`HmtBk1&``hUPIr_sD6%j$`= zHBIt8c%tyaR=SBi^p>tG)Q`F9S6N1b9ib4*FXL3Vj&kz}tE>BvOcriEF zq=yj|%KVqwN}VmZpKwLhOVbq&RN)W9B4K4-CjROTyljVxDLw>DxF-ZI9yWL@kE}GZ zC1XFJmh`vNPIXBNmVO_4T0cdDlWt1}pKMN8iPuIFn(zWj1>+dy`5>Mm{hpAB=!(oJ z`DE(tvplQBT|8$tDQTQYpmIs7e2(zU)w$$3MAc-^VD5|O;Q5#S%m~tba0`g%Q1Qa& z2rW4AM@4+C4HhOJ3YB++XPzyPE=m+fF+(i1^k-KYSAUO^_`(&*oJj|GUL}92grqz& z*sjlh6+MfyKz^}g50MFESLkv>6DWrx$ICcw;>A2$D*KJx?pzz!addyCuINYysT!fN4%(R?kghEI7wbrR9qN$u zaVi^1R-o017o#al22>&8qR$r8Sy%@RNam{?m?&U9IDW*X^nAJ;}RH2+v|2!l~Xw7^(d{EG_v&ZaNhQLX8_GY z`Hpp+DdjXUnCyBkw6ZNuL@r~Hb$iBp1gcVJt+dD-qu$_-vx>B&AacxwQ)HWoqnfma z`eL4l|1|JS;)3!}fazWgFpgKw1&3YAo^p-kI;t*gwnJUBZU&FdzKB)hpo&@KT<~)x zo-sKkw+4Cel`(6bi&BKapK0gZ3Sg4);2`6nI7@Vo0Dg~0d%X@|j?Yxej&P5x)%^Sh z6mupkW<3UmB)6~x%l!88F;YAs+re`5J$!D$Jw}e(*%n81YtO07EL{axxYt2?N#cN9 z9MLH+(CVy`&MF@~9x}-Qaw~)bR4K_6UapZXL}`NLFL(gZE&`{RrYV2%sp8>PJKZtC z)MbKdCp{bL!<)8lhuJvbomKiM+i|wmeTz0=mD!HmLG{J1i1pG@x&<)2`uRPs;;Aop zA7am0oAQSF-TkbTk(EziZeIA_sQ|h*00Ie(g zafXqG z`5l3&CL80b76|P!XQJp7jWXxLB{t!pE{M5j=s8g$6Pqo@jblbDWhLf!50b)_VPRI^!xH3QW2{ zVcBybCcGZEPAU=BoFC;04U_L5yvX;Do7%jD8^O{=NqbE@rOF?q@21?|se4z3rsV#h z))4Jvs{zwpX<%qDfdeQ=a+O<)(v`WPow+?#vLStG<*L`7Q?)j22-=|-=Um7yNf_p3 z=7F;3%0uCMs;3W3bsW%?$%M~wU1N}hUKoD1s{;6f5i}HxOdi8@rpf(C8=G-iu=>h3 zLOYy-YNvbFw6km^t6sbqnC4gMgo&#vrJQGm>#)LAU6D;()wP(!DKPCh?@#MY0~4L% zJ+<88@>1#%Rs1gH5qTpSE5d!R9JGR^KfB!g851s8+Ty@eGZUC{5(<_!CotvLAsKal z8W=(FqywI?OgxjpBEDPYszj%-dw*nqG#BzvMB`Nm zBiD=DN9#qUNje}xkbVY&5Q>*EIWoC(42*l>1yQECP*N<<47G(r9KIve+7P^DjmUE>yq#hAeioEpko@R zTvSS*8zp*lCmm<8@@V;$vn~%)Bgt}Ry}00v~(W= z9heM>z!fI8&Uq!jB~GjKUD9be9#ih)K+QNJ62u(g7V3wB{lhW^r%}U>;r+f;fROgvK zQc5rROQpf*o@4wOFZRb$t<<(gy$zV-Vhl-kLoT9mj2H1|VB&veY^?cno&Bt-*mQ5% zh{T2DzrwvHeWT(y>oMwe1Le9vxp;?@)<}L!JlFHOZb#HceYp&uFo;7m399mJ5y8!P zC?LG+`8}@T>U>~gYg`H>xv%X;+@jLqh@VSus&a=K7r%vn%r+NJ+pN3OrM4H)G7SQ~Zq#2MSGOU*A1$l_Ei}I>u9SFzt>wNEhc%32 z=`mD;7}8Z;PDs~0k(iw1AZ1RpjuKmWW|)fj3r9h|NTiJC?0j1Y$UYC;#jKvJ&db7M`*y30abrZXKUc|g+EI!;8`;M5UU6@jvUqXjEb4qzaj&2uG6+PIX!o+u$uX3k!xs6xl|qzb zz)&?VTvqcV$t&rK)I8&l4M}>YB$4D>l{3=5k=m#|BArX$kC8&#ggG`*RpV1 z(Jm&j{GwzjWG)>+E1e@?^2x9;G8xzF0H&H^08rn~C}OWGiHRd<#Pma-NY*$c5Blv7O}K|ARx zz$8eidNi2f!42FId_Y!1NwQz3`R3k%Hx!0VdxUFxf{1OaB2d z`8I*6_H4m?-f)-1`7JXlZ3AFrrzD<9{tiAc))nDEyrax zI=^U-xgUs`^tn;!3iDpy(>u4gOEzg60Monmtjj73P`2ouhM!6_vU?`UV5X+Ry|< zFIXv==Rse~Wb~KRm1(Cqals@Ps>DL>2eEDOD`3Ji@owXp`!30g>*=ZFLr9AB@j#P= z%L6Z{T#`MQYN?B|Sk2l=K&@Ey)i|N%7|@S1&$< zZ$!AFh<(x;b)9{Fv4wV!S&c1}f(&i*I zrrcFvs*#LW%DP^qHs)T^OzR!Qj!9~Papat_os)*p%rb^sFO}&`qp64w5zjd4gynsQDCYsikAVV6Kg9oD)}il2BcH7Z8{5>y3%Q=|D5qg=EAL?92Z3~ zX#*=yI%eg_dCo}I{2nHDjzJ_VZ8a95Ttu$ADaW;5A`#sajgr2L+L`{fs(v6l8Pinu zFQ^KCC-2H~uPymFroH#bu~aVJSm&=aEzvHbO!%uyXNgn$kq9^_2Mrh*sM*)~-mHyF zA=!>_ck+V@RT@T-t?Wy@BIOJ>-9!h#Olyc~&4tn(8iUVi3>-h2A4lIfP!1##Z*VRV zDIP=jZ0M_8dtgK+S9mF(x$5VTO>KV|+|)WDOG~_vGpln>&a8AASdMrd9=!C06M|HJ z3G;)9R_h=k23C3(RSaKgQ)QvgSgvz2-uMT75B-b1w;{ zO7`H5l`g<-Q`u2e)ezsP67iY~>QIhbPqYYz|2}D)TsrL$Id_)lmE;WR<;mb#*E<8~DmZjVBW z69=SAiB7o=CO*z7m);4bWgG_wt?m<(M!|-}obg!7KTbtE>C{E0DFdodR<5lIZ)t7Z z7gsw(fM7VpJcfMSWPa#f9;6o4)^cr#bB#fKCiiHN*N5Cs+Q4(;`~%wI_|9>uftWZz zx3Ju=@|CN;MSt8ZTEQ5X>$-^-NLRds+rJr0K(`>#Ex%WnGZN-Ix0OvJ`XgCa>t$5= zMo8O~{Y##pY>lc3llw+r`JYJ{(>bpYc zzTr%h41nt8i{x}jcH@wcj#>3k63=xnI?opBkoX2MSH)A3q}n%P(Q#I( zVZ+%HUeM)pew9;{JcqO!=}lOO(!CKrvPsb3v<{42&xKU5#2?a9au9~9SSmF>q@Th7 zWvjs?NbitAbjBf-_4pw z;K&%n253mB{AF7g5xkq?p53y`xy6>}87x<6p8cxBm+ipPnhE*XQ3C^ywMJP-7<5WtgCRaoH zDL2Dq=aHHxdm7ie@JB9~bfi)V6VI4$(y1>T{-h!DuVgb-{#DXAH)Z4}r1G|Wv|J;Q zUQAAw>~l2MJcE^0n)(_r(JpE0s{2cT4=-ra2EtM6AO&1>0NWVA@?0{8bQNH#p#eG9WGD6Kx?P+8#JU}ter33ZYL5XU!kTmD9BCaSBMSFipb`G+ zvRi(S*{u5(ZOsoidWJk}XVF(R_E{T=mN6HuEvBALU->+^;i_K+mBGVpmiDONF zC8Jai9=@UaG+2JRi^5eX%P4qL+V=1qy~Pepc~FdtA{O)qze?S^v_J9tQtR?8)LT)B z1GsM3U}%$E)=wJe=2!abXs7!cz?3f!O!vis$sYj>4_mGmUtjEbStN0$nX_$OV5T8L z6KP-44o7B=TOJd^*z|sfL`sIk<-E2CyF}7dZxjIn=U`cO8JddhebhDSVeD@D zBJt2-BISh<1U=zs6iL4uR_*wJYcVSjO%M2jD%-c4)V$Usc#e-(xb#Blw`sFEF1s9R2yd zdd5k-1XIK9bkCI$6gS11Q4TIJz4r+W%`mVgR&vezlayy@W++69u=fz3Y?WtEN&98WaHqRR; zKVuH`MM~wI5x<(V-%F)07wOfPt3?Sb$5gLH>l#kHe;VLO1 z-xnpoWS1csr90yC5N%*yN?)t`VKEmTnO7_ynDQBbSq5OP3ilIH=D-zM=E@NPrW_Gq zT#{Ym3K%9*!oX_M3s<3J_mHKf{iVXHaf%-^>44%1(&5NAlUyJolKH?Gh|1Hi3|IMw zDi=)q0hwyO7*~nYx?r2S8LF~z#mya^-_nc&r$m3HVfBtjDMu zPRXA+=BhObOm78n372f~_4jZB>unui$}a|{*mk{eBU~|rbd{>lmAYeHK-XMw`6lh+ z`I7&h9WuR;1|PV>QHtV}>*dN`%799!*8DIclCG+Rkmg5C3Bf90mg5pLG>n8jwNuRy zaIYFnwI7ldl_H`!gJzBEd+K$2PQgcQk<=HRxtoczEQ8>Va5d9JI68%*&M9=}8MEY7KIpi1w za!hM@*fi&=x}q^0Pp;s$#vp!_bFMmViGxZaNSp$bo=qTD@ejnCY$jl$ae}VmFTh0i zRccrB<6P!`5a<-$lNYIT4otQx8fiH;b#A_J7U34GO_`qLBXh*bLrOdRB6Vfd4 z4C!hNbR@wLdg_bbq;~KF(LKa#n0YLDrLt=g!15oI$tj%ju2GJ`?h1d{0pdB>0h%8= zzj#S01G(p@dh*kt%F7laZ(TkuC|5QPr(AL$Nh^P6vB{L(uv5wSDrKv7=={PJzV*au zU0u)fL6lwlOIDwJBjn+UC-MxN{3=vV7{FAcf=IM&M4lZHucMZkXcG68&Lxp;o%4Eg zP5aBqO#XuC5pSyW1NT+_DHeq8Um`8-ccg||;*@r(y;!zi(o5NX>AL}zcPNYJWUe^b zKKP~LOoLGv<(hBMPQFdXP!446MxL#*IrD7Q7-{1$hVr>^Sn8c5V5*fv){^ozD|I#Z z2$*6WuouZyV9L*7T=_~_hwNYa>b(xem2OKryrqff%FGo^HHlz#T>Ol7u)mDkL*R-z zFfK-u`l?0%V|X3JgxwdhuelIIOS+=YN%{_9#i~EfxT^7ntwt75&KWZx&MNKnULeGx zyk=mg-Fm7vaZhep>Yel@nT&bP%k!WXn8PwXK9R*hMdkm8M!Cm;&X*e;epHrtu z=YyP+)F&$@Ctm@&S^DbXoyzkqNs;mcnDTsqDJP~Xu84-XA(pZXgG4nJfU%Oq74)oq z!_dVG@jqj<}8ZZ>L9E0o`!AOPB zTsY{-ORDVPnisdcg4AhBg-ahEtP-o~GXiG)fE+Z@5Z>6-ukemzB*uD)_@_Qe zJH3$C|-D|^npqL0Ss&xg-K55eR(oUfei1Nn^ zCccXWmUbTPlp_KRLq7JmQZ#daQGImYC}x&%14>(O#bXgilHJ^a79DWfGXBABSlycd z2FH&%lkccGlfIF@D*EcZZD5X)2{C_f=~jsxegKrv^MrK z_oGw>oy#gFpWmy?@Pa8v3AcsfGr({-sV^#Ez$l58{tX_B_W7-AN;yCBCndYFru98U zeEKLDLq2X`@^KeTc15XI!VBpjiF@WJ-o(wDjGvQ$;k~3Xu5@Ltn@T?=;Z``UyU2+v zR4$!CHYsB^6*`t)41;kyVlg=e*)+1tNM+KwB-JH-pv|R~Ge9q?nYUTq%_NK+iV*NHEFs#xat9fEAi? z2p*BpeLrBzwFky&2>jKPYf0m9ap~w>;gG$G$}bzNp6|&qsD&x}oN%aoT6mSs|FGkQ z7jl>r&xlKnOUkiv$}^endlF4zX~?#!JkUHxWPQmlLmiUrCSy$gNj$2aGpsYu8+)!X z$Scj*Q_+F^NYH`!6?Bj>KI}a6MD|>I9hs)0XKX~#Gwi^)Cyt#whm{!z812FVE_l&t zJ$#t-Ok!2?2twOozm@0EzGmLUlq^GSH|}AmS_k^G<_95&cF~J9KQ=^kg}&>)s!v_% zQpO+k$Wl&|`6k`0Qdl%DE;p^C3_am_Krh7Y-OhZ2{gB^>l}R5*YnKkf9!ci0N9Kv- zONoY1MYT4xpX67hvNUQ_PS-J{2 zq}n6IwB$KiP?B%>eMF~>Avz_OPWl>}t!NFh7k{qnYI#=iyhp&Y9KcF&;_#Zc~ zectdw<@~BBxyC>rN|}PqF8PMdE?I^PS@c5rcFA7~RmxT)-%S2?o}!b_5^gVg##bPo zQw1`$UKCla7creYku8^usw+;(b1Jn$Fv1miE-A&}ad9IF&$zSKva!xNr!dZW<@+Q} zlE;&|LX@Jh%}I`p@XR$Tt)uQQXpFk#o^$aXPdMjEwpCrh%Kbn)$h-`cPQB$!C|~hz z+VMDG&V@9d#MOz!CCwRUWVWkIttl&z0J1qN(N}$utC|Z$nS6~}Qr=5y)d|m)Se0w@ zo7qTO%W0B;#9ye>rZWRI%RVPbU3vtG6j}#?d+|x6jLvjjlFfA>DMTBKZM`7jw zmG4G6Cc7)TLcmFHs<#U@7hK3mr&zU~AG&Cs4{mvB&)GQ1c&fF?HX!fDdqhr_=moD) z@(sMoI{Qda**$o^0tWEtb#FurGKOP;;>V@jFr<4 zdF1b99#72=Dq4RJd5Olch7pD3R;yrnW@>)vX9dO`o%NXpM)LmpEEFtjngFXr+8mbz zPkj#oC!E5tgewyI*Jrin$4wE9K{AtJp~Kaj8AR z)sENqa$N2wyD!XB?J|zY_Xs>|&IESXe6rf5zqnxeK19J#Msr-`k>*^*C$b&xM7JYR zOYQQ`598{+USM1S)EgvK zLar7p>)kY1*yjaH*$9mAN1XjKd&2%8rbgH_alo*`Rpn(Q{)ky?E>)L3+i_PbVr>{2 zl+Q^zK;sV`xZ0&%3yks%NiSej>%i&`-yD58TpG6mQfqoCTt!YQeYw7$YaB~V%I<3+>`W~0Mv|dsdlAogK zrLKoEnRlERm;82(!G#jd86z?Em#P7#Ig`Dh{jJQj!~xy{jlq?lY)5FvGKx5&`cmFj zI3Tr5bEY6lp4G}T(mDt#iH6YI-7eov1E;w36Q{hFApGG868`Z234i7P%W=6aDLn$e zUf6HNck_Nv!OS=C>xGR&JDx{OTmh4wgWF7d1nFwe(UhgzQj<+{<|di+Y|;wC<|Mh8 z+BUi8csr%@!w#g=z;VQLkgDNFVvI78f_D9e7VW@k(k|B~M1N!hi`H;GNUy7RzO^v!)=@Uix*Bjhatpsc&0`?higS%8)@))R&lF{hS20iL!=@~ zufyvdelFI_InVXt4U=ua?NG^SU=ja-?%~fmznE{y59$G_?OS*W@K~ntc=cT6^_rdfE!(MwZccj zpWe`7&fM%snF1_*0A~!J|_!Byrjx_X$%|)c|M4+h@bwi z!tR{~*JL@*a6Z3cCys???>(9Up+J@djtp{W94L@LU?kX9VEpTS)$#%NbHCu36|7Zs zecf*#s_XDnH8nB!An}~D553Xfqcg1Rbk$~!(6YzD;UeqMKE8uR_|83F8U^sBoi!M} zl&RtGss&W?qEPyI-yV)~q?V=;X@i zL&$J-257z9p{~{Fr*>&{Z&z*P&_V5YJ%p1ZpG8=*htz?LKSI^5tS`4z{C_0u`1F0R zJ8JJTSihbl_sq&QsOIQ2D(~cbMUQfhtYqY_n>*ho1Rfd7>m6BlWdiri99((N@8K-> zu4M{k-=A8Nc5L?jJ(%9E5r@gnm1s(ITeSE9z|EqcieyFS0td<6Et)s6z#qP}tQ%Zk zWyBTHo%2DB$XLz=weuogHgR2kO@NS{EAg$B_nbk{GjG3Wu?KC`eanh&55zoU0m#}n zJj?;;`Lq-M*t}@%eD9w9t6iD31aIo^Q6As#xK|HzPs={axpbwpU$t0w{$K*5AJ_%$ z*R`Jt5iq{BU@?H`@OEl z{ay(5#DC>7#(#ho+Yf@d>kE{h@wseQM)-=zFtq57;#QGi@TcA5cM0tGit(*p2jAKC zbvb5y9J1LjA2E7Qn0G9}f>{rakc{QPemiDv{(J{9o;d|NE^qBi(c1Vs(PGaWcD27p z^UU}@d~SdvyVt}5qsO|nB8y$CIa_~0FW&E!1r$BvN_XrUf$P=B4UfKZNSrhBUWP>M zBUVauN2e`1Fh756CVu|-q?t$2WBK_bBlzzJ*UV@1;VXr3=)8CcX7`X=A@WKTEV9#$ zS3eh>aOD->YxahtkXWS*G87{xuUtmv8BS(-?*YOcAHPcal@gqJz7nN#q^NB)W2MYdBDa|eJ_#%HcLc%; zk+$%{2**}CZ1S#~8!z&dzb@Z#MZ0#M`R4K+wAjc=ZkpTyLJ2whGS{P^F(&~ z9nQy|UqY<&!Wo`+aMF7gXso$wowklyEOpM7+CaS*L~C=evWTNg32S7JUm8`$OzGP5 z#^;gyoX_Kfck2ZmCQ$O>oWXn3HhlAI*`TwY=Y3c|cjgzGK$y+{t(WP}45{Q@>}n-geHyzL{-&fU4UESLxa_8q(a~ z-$V0k#L|35+Sv!&?rH+g9x~?02xz#i&vmHR;K+ zknEYJO07RpAHgDCzw4uLEEAh>w1T(2h{)>7US4r9XK!4}{O(<9N$x>*cVzZGpS=6v zTs%v=aLS(jvz;>*km%7T9YGJV&6sHn(JhQ5_cTAq>XWpM_;b+WyFrVe#(vqlh(xh) z-mbY@b#-9kUb5*H-o#Z&=R) zGbP`lc4fYU>XYyIHMmkYH-Rwo!h($s;-p6ILd&n;(Uljh!J!z}*K$|m`iIcEpAW~l z^Gq~#%$zd24-Ut;d)F?Fo&(3Y_KbP?fp*7`u&;PgbkEbAKpI3P?kQ_YmfubpZFyDq2y^ilN`-KeK{3yS-@2(rL zx`-JwFz4>^^BramxBzy|xyU*P@bbIwFRvrNC#<{Y?I7=awl0Tb_uu&#GgmM+`+HY@ zcX=Vu@@+7)>mRz*s@!k)+|KU5!_4lt=^`@6G%sf2Dj`Lup>3>>8xkyjbTpB**)M7( zMmEtfb7n~FoI$k`H-34$G>>bLvLV8y7(O73xU8%(9&WUX}Gr5!lIjJlgt) z&}z>>OjI9o_2g`EGVa;pb4>1agWNM{)tk6gG8WFX z-D^rQWRH98bA9@B%=m(gi%W%ZR3pmmOL( zx-w`_?mXDg^~2!}4j!$0+>B;U?|tz-m-jqQ=7o6PJ|L1I>@~BpF_BA+j84O!-9CUJRyIMSN5=B` z=6+KVuzScoxW9L$>9g0|gDXpDQvE#!;jYJ}n5=99%gA?lMJ)O~s7A*^FV0vDZ*@Hw z*VtC-Sw=6pdX|w_WNhYu>`cEFDc_d5YTRa531jYfSlH|<6?GzuMayyrfT2WRyD;L8 z4?cEdPeA60f1uUwIect?FSx3Eb|f-UHGt?0ESK0Ryfis)>P6;GhM&xuo0a$(?x~cl z8$Em12qGc609wwGEas0rlz8K1AoM#Jv3oCovUkmu5}kQ=k^QI>BmN?0wkoOK?n#OiP`|w{8f76HEvbCtst-SB&9j&pL3#Y6cf^p3m1aFFdg*A5+ zwByF^uGB$vZ{DHkFQipuCkY$h4GU~zl{AamTUO$Ld>fR93FG$)U6QRR!z#t2o_0X9oR$k7apjb1=~Jn;c8*7 z%;93pS%7iPopLEKyRW>bp7oWI-hN$|ofpYkvmRzIXAoXA_b+c}?362c+j(|NrH|Bv zA#{^JjmBUNZ*WzF3qxhwsS%ZVW}0RWh`Nkf3@!bd=H0uzv0Za|V)`|ydyc$AzBqGL zE2@XFNER2QUGf62T2=gx(gV6~m(;&=^%vFQE{!VR@o@+EjMzui-Mt5e5v%Jd_g@(c zFPc4h0P-Dk>n@ms#`w%>d~@b>@oRWp4C!O*)`~<6vSh!oU2<1k!P5P`)DiZ3L{opS z`js@z=;Pu?xo2QByLaUi=iA&V88ag%?Of;CyYj~Ne25ig-IyQohlv%{_XQ%{-wSS& zqbitVwBWhWwq7KAmA84n_j2KP?m;2yGor<1d>prXj_$4Voh#;6_Qpqzii?QkF1s25 zGd{NX*7Wpk9Qe}?jUqey4@@<8-o5`(-o{SOCAhK^4m5k5Ik=cWib^o$N~xqa0T0jC z%k^zUUFO*ns#y=|uxa;!w}7dP-UND{`2$bP{Xv2y-blU5e&O@T9H=v!vtX8U7KEc> zgE`T;-?ZwOKkgJ|{UXONg=o*YEbE+oF51OFN}7Rj0gT^zZ_m76=6>Xc3xopGN z?-<-V+SVZEB>eN})My{`$F?7tqaABJqp-d*OftH$a zXaEBy~#B$pd4IofEsSJ6^O)AxSttGmp0EEhovEtnJD zjrg=M+JM7Ls%5UI@_S!i#oO!yS@awKZ~&7p&f&Z706LUZ!md$l4egTC;Kh=}tYZ)?44 zQw1nE?G7H6d7;0o+~omXe;9Dx*88`XtrLj$@g3~49Se_n_Vd|g{kG&FC@!Cx16M0{ zbtIpWdNMu(Kz8Ou+Rnx*wM%U@G#OmOD?}Qah)vEf?Rn$&wx2}4`8^1N)m5~Mu7cJ$ z$<^;%xpC!G_v}MfbWd{4t$g-=a^$#7?#OYbTJFY6TioBHWoHhc9oJ@OlqH^w);sFn zR8AjvSOCA<|~kC$-cgO{cr*?AbC9iPm(wP7!!6uk+SDRW9Y&0d4@hzz@w>YPgu zzRZE(an6UswRh;1Z`$=$Ze;CWXXfLabJpj~)ZZkvApWL%MJIO|97^uIgJ|Y^`5oKu zzHjAHps?+}QkEp&%i|k8hia`8_|mwQ<4Zg7vhq}{H|I_KJbOs1^`iq1B_@K_`;C^f z{%q}%E6AKqObM+rOa;?p?Uem4Dp8p_!G~AHs;)r*7Yzt$XrnWf)p~OVHknp<>ns6MeMkgk)1} zjbEkHrC*_}Jq!1~Fl*qJ}Bx#&YQq|6J%N$&Goww*r_ zf}BgC&zvo$TI>|oOmsqU%;m%+`RK_faL|;OgpbI zF0Hv$ka?-2j>c#YuR|D`q615#!>t}cwT;{W5sB?WyXySee%mi=HGV?UK60EFDd!h0 zbMGr6C(-g9r=E5!$!B6*R&P??EOr3(G`0{IW5?{%c21Q;&OSI-C~yS2=QJUmKKpUhX%=+v30XIqKjJj&#sq7>w)5I4A9duosgswF*1g7JTC9uB0(Ly-&5n$IB~zjDSrXuGZ{;M~ z$KE(Y?;W#UkZ-&2LS)m0H0L|WgT_~{7TlgeFL)$gMx|cg5`+nNT}%C@wy=;$@YzPv{d{Fa_Pm|hz4HQIvbwFxG0Ep7n`*O5t%{vO zuI=2DS3j?QK&~;k-hH6o z3}}g|qY2vVn7Kjn9dzYA3tq=r|Auf%Wvp8@GF#<@jdKdSL?61+EUPD?nbaNg6}Sy8 z^3OnGU!wg!_YqV^*OGCXwdA_VSSX6y4!~)3;LAE*KL{G(Ip1->mi;!Ej>R0GXKrOD znyBIa9ub!Dop!P9PMU<4I&!D^tbYitb0sjec9drTgUdw=76*+7ddI@N%)Ed-tj=(O zjosry4(p@fT+5qbXo@y$zaAgRyl{-B55AU+ne5y#izKYRduYP+d!guEORD$!X@uxI z7C5c7YqiU}4@b*gYkX@%xQ~*z&5(>fB$xOLvR{O2vzGPKu-=5~rVk3j>W=qZ<;K9#I`=NyooDUxZYg6rSXi{` z8)j;K>)IucSu$Af(tLhJBpW4vtAM$C*6}<3%WQ3H_=o=SK$z1Wh=6fYR zrJZfHa_DMztQ@j~(ywbF_bM1;)*KSH?cDJ@&s3H5&5;|a;ezG>&)GuTxU+V7D+;YX z3be>c7IE%mwAirF_v;(6{pWMxzQ{{mpcijrnU(lkjxuT*Apk=SgR9G94+^s$? zR=$3VE0YzS1}%H-Tub?0!Ml9#J+!j(@?odAYIBF8tvzjg9F+OJ>Rb>->mMgJf?ZIy zjF)154>Toy2HPrn-IW*JJqhiYH3D7OIE2ZoPII+}@_X_t_Dr8a9hxA^uE&*@+ixR= za?V+0>oX^=sz-R8J^iBfd`MMY84LFiJq#^!gH(&|%~jvMp_Ja<)3TW=U%1kCPGKve z#|rD_u0>m)`Bg{CJ!qCYz7M_WOs0&57}|A{${qcl86Q38O#8h<{|M^0dxJ)^crF)8 zu-It9&KxZ|121}XWogm5&(U%>@^mET6bP)l+rOa0HC%yXEtdJyp4_pX`j16df^_io>f zeRBAwHqfE(b1y)2#K%LGiVbFUa|ftMnX@kxnDqcr&%Fxfv3_qCXmt=0XMK^{Rlhn+ z;I1Y0FTUoxLiZfq+pSs4*04IOCwBn%P|mcdRb-f_0=i$|+cReP_SNAoY??hOsNQxW z&$B0S#+Kx6%04((^PVj(sP22={p?d^kCsMl&)M>hZ0_X4Htu?W{$@@sZ|(rJoCT^+ zbR_jqKKiI`E7TDkj=7(+Kw9OlfQ`yNMT-wl#h=(hXdCxC(;#!Dp3LGSw5uF~zlvUR zB`S8mphf?q>P7#%TH~v4phf=^5{aK0Ew&5XS8Ts~2XJ)@wCpudtBqwj!rS=U!WRK+CDl3a*kf!{@g1%#_Ue<#o*Yy)e_BBR6Pt(Sr)*obx1w24kJy zbN7TMFL2MGQxus)de8cg6m5QAOW?8_E4Eau?YyvXlM7HJANz zDc>uHY@wW^!=J8fzh?&auD$et{N4ow=1#u4M|%edfAlOUxtD!aT(}EG#=-VM`Oe-2 z^W8Cf17Oda)TPAAFP&-kDH1_XrJ{xvwP(b zTHa_u1A0my!G&u_2}wH^NNKB6<4p}Pw8S)zwtE*Xw(ik(A6%*N)v3|CpAQC=IlV~i zeus!u=2R3T>&vTdv!Jc332(fpbMQrl^IhsuCw3GQ18dT-dfRASHvUF?Duzq7Rd1Wtlipr2H58Skz z58JPenlWE?U)s@Xp zwna&I?&&$ZH%=Yjb-QOr!b^0{nYJNK^xFrSle+e3vOUu7DrB z!-5tZ^3keWfFtEQ811t+@G{YNd>lzLR|q-NXdm~q6zJSXAh;b1gFpSI&W=7}!=eQf zc(gqWe^AF}eMV@(OdM_ZI9h6^qq+EZ%naqxi?#c>r)jO37fCgl7f`0mi!(U;R3E`g z^8N+4?#u)`eU<*!=0p=wA9>_L>0d+8Y^e};MmKUg-&)~ zNxg~8;pN{u88|Vx2ejl`qNOIxy_B+cJerB#G1H|oX1=+MSz*}h1MZj1Dac9o0pS0h z58jB?bD&Ft4ZRHL&MByP#-}XU$hs@Fv1j^V0KKF9+z0RapKn*K?*Xmfi&hyXDJe3C zf-wF1F<#JFBZ$DPo1;}32KKe{!tAN7!QHfLX_h0ys9cd@2k76k#R^y*$8evRd%Xvm~(zL z8Z$3&6ua+LFWGy>`3;7ihMxLT_L^&S=KfrVE7#omk+GNTv17R;jy;3$r|VzSZ)*D= zEj}$LVX@uXIhZ?Uc3H+kP0U!RJ}bvB0c7X-|LhX&H*=J^fehyo3kQUAY?! zsY$Ng!)q3u8t@@`QR7 z$VBE*Y&x|%*R~?BQa{WEl^X0mS24$#D{j`T8^m$$Y0tK7O#R@ou>+WI*+ZZK`MnFZ z?K!$SkUPG+rgzOnnY!j@yv(;j9!37isL37b6y>)ms%hB0A zL}KTxdbc$G1FA)I;CmA@-^{S|%9(%b)84I{= z<_c(bbpc_G)i+Mo#TVpi%zm+T6HDN+jBg1PB4-s^G<$>8h))aJEZ>0^eN9cp*u-2E z-D~dTtdS#~c~O)ob1MHgdlDRTdAUI%*NnLP>LdPfc`WU>zD>7a_M{L?{OI@G-JX5u z*z8kVBWo^Hv@usg*Cfo@*G29qD6-t$R}OFI-m{0XXYSp;wHuK#_b}VBD}+AdgA#d< zt|x*K*?Ab!Ju{Z5`xHzo?YP%=-C#o_Uz972pTTXE`$$wgcm|nTu~%uB*}GCuduBec zOi&(K4=Wkjc~8vk9&(Cuzj4iFUYvy7-4LnSLqct_ap2OTV?t-Iys{w^%O~w?J3B@3 z>v0vt{*V^9XZoUax$}f8Vn^|;=bpaw-`)2@>bXBi*qlq=lAJ9#vdFr7wrbDJ)#l5d zl*pH{eCV-P`Y!DViQV^*e(@Dt>P_1vXPMWc{$g3Fu_4YB&;DbVWqcp@xh&@BK38&J zZO%(oUD>WoThCx?G>ZB)W^=XvR!*Avu2FHrJU6x9Kqm4Xy2v~WjYW2z+ids2J;Sm4 z1*RzS{^RXE>UeclQK8&DR~lp24PGUBHc=e;cV#&Au>n-X-Z$HE+EzgY6@7ntM4 z9+~^(MoNH54*8{$C60Khs;hT`hb0yR&RRJ{OzwVB@*+O?tEIR5{^01D7pltc=c~E5 z>v7LfWu83*v*(v})OiLh$oEQ`&v$&pkNn!oaVd5xmyK=#pVMz^olm@t2VAYc%z=ck zj87g$X?gd7<0kcU&Z8QgK`6TG>k`enHU4||OwJ&9Q(`vU)Y%8W*+aW-cRB92ojIL$ zoa;MRDvKo6dv)$sZa`IK-@~+Z%p63!|4c!2np3H#ozF<_4bQcWHTy;6B>UOb9ew=L z#doh!;j)&{X4!xDgh%Goo2BzEV|QQb5BQFBip!Ih%b_b)`h=hs+r z|5A`r7lO$d8~|Eud%u_U{b8=o8lfdG22FAGcP-K4(_$Fp&O=Kr8WoL`Ypz{tEF5j` zRWuI2E3}bZ3T`C8;g{E{xfnw8nElX5h{Y31sSbX_ z_>#k>U2H23cqgQNtZfC&B`I#(F?Q$tY8Sf)Ew#%pAv6x18H-ra z#s@i7I4!3g&+E!Ha}a#7K4>t@OCj35D|*!V12fw3U74)xQwrOzxiPQ*ioD8u35ROg z*fCnyTsm>iJ{4~7HxbSFuP&2kbu+ZQ2ZYvr$~s@W?5eG=U51uB3N3y@G!Elg4|yzE zBRACQyNovx@8#vz+kGViYyI+gI}5b$}m>X+V=Ko<=SD1^KD>Z00xtkG@!S#z=I%-@;1dlrN$ z*KTwl*{J(_;)A929z3Yu$}o3S&fxFi!uK4x%GSRtOcJc5Jy|&^bQ1Xor5|~EDs|=n zY-#1`m4eIotYzleSy=rAjB#@r{aAI!OC{U85p8XIyaMC99?nt5%vqW-pVKnDJzK)M z)gu@=d)}@@PxirYsVPfy3@!r=VsFR7$jNu`zvMeiLB4}eCUXz6)A6NNiLvB;u%oRH z04+6$(SkwaR7t(pqpi)3mKPifj#*Lour9bbQyBf1Mq?MP(cer=_LCfBK zMOVB3{`dF()9-%r54wSCuw&Wxt;l-zQOB1Y*{hU2GUs?@IPN?*_Xh9Qa6VqfPd^Y7E>u2u?eW$&xR83zf}Ga>vxolW#~wP~#P0NwT(`^MojV1uT9a8{7?ILw zIy+aOYT5U2T5mhfV!0HVXZ1$1zC>bqcg=6iwZY&^=DYzvQ^Q`lalG+eYZt#G+RB6D z1r~bd;QB}nXEZ3Pr`_=;m*?7Tj1O(!`8nR+wO7vfl`l7z)t`?yHF>p59Tqf~XvPdM z@X)T0vy~= zWrepoHRFEnt>ZN{;jPYpuC#YfkHDF`ybS7m2gCNI9WP*gcv*y@t;{~&S3JR)d=O%CO^2j77V>FOPR) zq{pi$)-#rCmz*iIja42mr9ZrXh(G6yl6NhSSEbqTrf!OU*Png7D~E2($()AlWh&}|>^LvXk$9viOZP#@p z@ZaA%-r8U%WZ!na_rQKnAIF=zAKC>Mgtj%4jyJh7+STUtME!in@%q7MEH^$$+M#(x z>!BTQ{r6W(FXuAY%j3=aE{2!f2ehp_c)Y14r5&*3Gd|y8THdi7Z*5r95*yJA*B@(? zJQjUOHc!7>=SjbpaN2f@!@H>;pX%{a9+&sPJ)td5@OW#dKy$_JAzem4J>Jz%%_;Hs z&gsz<*?-1zys0gxU2>{zmwh+rcomL+eor6#*^xQa&X;z)ii(9d@4NWD?c;dsXV7kJ zgB#0Y2ah)xrE6E&u4v7>ZpWLNfOz-L(=S)#E1U32a(aL7+O2+nG{DVgUXJ(U4iL0{ z*YbF`_U!Slj(P2<_)i~4TO8=|)}GdGW6XHhhj+Zmd%t#xje)9F-*5r1TszF{j+wX` z9NzUS(P6$#yTwWx-1@YRxBf)!vQHrhMs^-=@ILzJT|sn?UVOZ^R>ququL2Gq_7M!^ zyI)Ma@WP%gFo21J=@-tZyp=fzr+(-3c%cz@%=SxZ8&f*ot%W!B6#SkSo}YHd>sEPa z*Kg{t-L*P?{^QNtI@(qK(YPX?FMaxzVfuA#L&> z4W0&V?TYKSx+D1C*t)(W`M<}z_v(*!(Vt1g!O|UX^>*#zhok01Z)e6wj-NX8?t>c( z*WY4e&%l(&qb*KONHs5;> zY&^8%%{y`0C5N0!xG{|5P5rQI7oUu%!Ne+$H#H3KI{eT0jM-+(S#Wi~v>)xF3tUL) z{k`kAeroL!4^V~WqhG~}Grs!VsLzp;hhYhCYeG}0H&%XQZfqOOW4_HCD3Y9g)puG? zZLjQv=~#X8pbIbU`cP4N=YVeewlijs#q3GNir;pA&vp5>JKog=ezco8J=)@Zj(6|X zYX^X^V^%spdjm2uXT~$yuZ+0g+k58vtocvGtwuL#o4m22UhKfHaTPouoQfM(I3-#gx4E3e#dZ#!O0=26zj0ogsI z-Slz1>wmd^^EQ!ojN)f}$6Nd8N>=Z@-1NQka=csX>iW&wA==ePE2Cj##PNQO&jq(* zCL-SP9dCW+yc@Yg-Jo;79dGhxndj@*^Szw7Z1wNsuai2`d!P20J#<9}((k^DeElZ3#YhD7!n-kt z<4w*F_l87*<@FB2JC@^J{nVUF8OXYE!@YBVy!(5{D^iz!*Ee^(#R{2~{~HtjU-7*A zJ_zjwS0)`#|^-Pnz2e6%?WRL86l%vjEm!Uiu*zXF{v?fS_3;%J-adc3I-rQOPx z`@OA`aJ+jb|7bU|_-L|Bo_RUm+H~3lqj|Ys@0=cQF}T_#7IQgb?|wPn#;CLl22w8B z=mN*P_nU7MG0XXNLf*AJUY_q~4vsf9KF~Jj=XmSeyb%4{hu=G+_~`>LD9J~=@l_q~ z;`EO<_06tb=b3(*c~%eV9n1AmteQNzIUm<=Z8~b*oVWYEeRJ)2Q`6+yMbA+!=%e4_ z+@QJQSGn>{?^<5JjWcN%ou4~)e8b24^Z2xU+jab`<yEcrMD3D`!rh*@hScGaog(iSHJtTjrc^fro__b~ z@p|k$ybj1iyD{fIG`!7Exo!2X`SIqhOM$@Di$vQx4tSZDyRVcD$oaTZ0$C&A_{@u` zddFuDxNYD4a{cB_vuhU{5kjtKANV743LMq%V3_SZXDm0q_4}aFC*MA9%*9Y${^fh# zju+ZF{T4%|-Hh*eH`nO;P2SzLOYHrg^UA)W(quh8a0PNLyRUp&c~d8!cWCp=jM>pz z`J&z6T6{0RXx0c8=iM(q+RgZmCOv59nGxH58z&)RM|R$rSLeDu+zd}2N87ye<4w&F z?TW8s=~g~dH?oJWO6c}W+-Fa^%-%ULzN_FF-Vc3)*k~Wh{)Cs9eQ5W+B7_g^`emGk zw)tSkn_7Q(B~FAldGzu);Us-Lfp$l$NoA|d=T6dl~F7~`>m;G|#t+{9P z+nf~{b}L^F`Y|zr8*^%2T)V^wR8gvIcg{zK;Vpjhpd`~yzu7MbIoUe^E%*r6Lh7Sy z*SNuNumN)}@n(R^`b~{21x&-si-nEOp;A!5a;mw;;c$?3z8o=%$yc^pwJ}OAojZZauK%8sE+z z-i-+#Z?V(DbgRqbg@$;?f;aC^qpdw?u6*09n|8&?*t>hqF&4vjbyH?ut`y3f+P84DRPG`!6hB8OsMl8NKXz3*K=>-EbMyz|%CC*JD2?ux_>+*>Qdj(2<>`lWYf zt~kAb&p?Si#; zTlNgH#j~Fo2eS|SUhqx&txs$qTRW6evoaCy&%q&69TQ_=z88DH?2cqJdc?eONE740^5qP_<_>-2#)wUxAs z{|_zxM7+7Dlug*KY3=aOze}BB)e~=z?R`CX>fHyXxcJT?G zw{tKFH|EsBymlS4uz2S=HTLle-0$2cMt}WAN5Y$$KWNGIJ=f;y)YoqPO*HTNHnm!P z=-O58f{L%)p!%%u;ZiR4-a>=;e&^uSruDO4yN};XT_L<&yzlSfO+7(Ya%vnM@B03) z-RfayVXvNjGqpalYq$0w8W7MsKD@ExwF~YB&E$ty;?qmJc9r)AxO)4!G3WObdZs1q z@40M;X3QTlf&!DVv_Aj2%s0-Y-wz$cwV!>Z#Nd1f-uM~N;v>`V#_nYF(*ro zXz?xK<-C5sn<<+afC`!QE)Q_VToFJT;Bp01?bp@7<6ntqcTsat(1MmXTI|4XPaZs7BAfDP5PBdy8Y@nzvq|_?ZN@s_o9gL z(VjbTz74NJMd>%Oz)NS}c6jst`Q2{ORSq^h--b6eG^E0R(ege7-sF&98hy?nHFoC! zZ)#}ZP0lx3@-Hb|siC1A@8Qm$y_>zEY+3e!xX{Sr%R*Z@uHVhuw*T&h)AXC#ns~Vd z)2_PwZI{^xc$1T^->nf~Eb?MThT%=!&}+AL1zPOs18n<4ta)K0fv{@5A(Qyp?P8wb=(ExDLoWmV<7^E>ry~ccbIGelEOu??fL0=x-lr zvanv-@y5@fU33Okz{)VZ^%ZDWUa2>;$B%b)5SnOk4`^F6#2PVZX0Khlo&~V4*)Moi z!G7leFC{%RhSW>L>&AR(cvD{m4eIV~hgao~m!@6n5GcQqcX;taPcQGU!DRh-ZgJLJ zC_28wYqz*fwAgBR6Q8+uz2E3-nJY=!nJdnRnHRqoTTQ><`}tlb_0j}gXI}6oC*5gF z?R+$ehVSp;{eACW;y&wkAg}P|EeL%CH-Z+NhkiYJ_5PlA!Pf!xZ61NMa9N(it6h9} zP`NvQJlEZSJhU6L)-PB3+Xvpn9IoBY3)6Hv1m> zr8o*wZTIQ5i>^ntSf7V6$0o*0)p_RyEj0}A_TIX3*BP_>V`z9&w}R)Pcc@6^$}qh3 z>q%|iGvoJi&)}`EK)co9@B*g2b8zU0#X@LDU4Gl45n?Y5Z)}Kbx9cJD6x0iGN(gYwZfWsd=H@<|&Dp%BksG)h4+TM=NvOf;;#6t*^kl1)T$s z+0Jt?ceD*>bLT*Q^6YW_ZoM?$CQdxE7|kcYzlS%q4zAtamuT@B0U4#%!L?f(OcqA` z5qMch?^v!~zn6%ZIl$YPtCCZzhxxs{Ba1is__f=!iq`n=l{lZVXqP>N7N4(vBk!-> z-g#b00=RhR<#<Ifi5?C3bEN5hn znwfL9cwYqh-tSPPqjonFG5!td(`ys|C@Ge?k~1*0OF>u+8s3jPMHjOV@LKeYIrwb9 zmpdMBaw)D|^k=Z<$}2_BX8t%?^WGTR)(kl>SKha}c8Rk?oNOO>liPyVLE1SG#2DJ~ zZXO0+RWHIDY`4g)Abr{uV(Y36%AxVdH@;LZC?`T+mf z?+_8lp1ex9X&3o&RmOUbQlmm7L4y3O2U=qO#=P~Gj#v84jKvI8XP~0*8fh0@^x#c1 z7X6C6Y#)4#yEnXxvT+E!vb4jS+8tNPVrvupMpN0l@!Dmtc|LEy1Mk*dFjrD3c70vv z!C=@`yKbUj#hOY%i2sVEySfiv8t=}5u<6i@Ir#>7W1pi1FMu~SwzMnORQCD$eefoa z0I%r#j#;^koFfn9%-!h5tKX4|v}Z=Y)h*7g*WXik@Ewawy0Lr+=GZs~&5M2o5;!`h zF(-Bc)SS9U`VB7Q+O6J+mKs}l8?V(adhCTTX72H-RQ}F^J`{-xEwMnn9+GXl_@Ja4 ztbK_$aRZUh_%YFf5y5K{ZNKtUGM47g-~|#1FXb^bxBN@P+xVr3TzoB%y1hf;y))0Q zh4d>zlYZG?L!-c@K0jLWYSZrpMF2&sW@@wp8INO zmv#~z9VIr7Fyh)3cvH9HGH;?^DY4o;X((AEigD(T!8)=;zrg1^&*wl`8n@oeA6}rW zv=dkhjaTcXQIM$pFU>A+s6%r=UK(C#rk94dcwixioj<%E`+y3UxdLb%8s5CSPAldt z-LXh?7#dzBQPM7cYQF~v@V3L7^PyeiGgm@4vK#iC%dn-%b8Y|xVnn; zyg48GNbPMj&(Wn{#^g)W4nQZg_#=F8a+2_JrY*17@zC(5&e&Dp%znAYU}s+NHg-a# zj$V9^iq1Jd-o4K+dvJC1i$*O52ygw=+T~uo_jYFfj<<7qPSu^O-$x(kC~)A?F7Kxh z&WxeA-KC_jyuTMa7c+sTkVAM=Q~TO=zc8Bi99^y08OzyJn2kysd)}`4 z^X_}RERgp*w5#2XlKV(Gy!Dsh&Ag!HJvsdbzeW)VCI-z5%J2B_=AEf)xATHl9EH={ zwKVAI1Ft{Z?*ZIjnnsP=Lu#z9r(6Mt$?_5#`91!+X@|Gi1=@4`bu9b6`vw7cd({J=9!X|Liq=_&>iFzpZ{#ixqEb z*|{%s+zh;_op9|||3l0Bmw1Dn z(XP2}=Qta=i#N3m@m81T;Q097;C%6tV(;EXYu!8w`Re1>uImQ2KC;u8KjfO2>*}Za z*jV)Oc8xr|nf*ecobTYgYCOfu5AnBmBjSFWev`MYnO-uMyeWUY(L3EUm6MIv;$`e^AI!nh zS|`vHBpzxv_Y5*MvPJ@2LvzjW5M?Z>oqFZ3D%Su}I_B7>c!R0Dr*PsMp?9wCh}Z42 z<7?d1?Q;NxOarnAY@xH+9AJ5q$^^SS|h5&&6h1z4Id5Jx6di^Bvk1-$X6> zn5)|R?Ap~2{9fuA`QG^dv=iBVzYQ(E7KTgllLv8KdF6QQ{==JmCdbIdKmGEdR7V1& zopt-6>g^uCbf)=^^AxN<4DZ+Pr8W@W;HmM(o}sel4!|2ch<3HbS;Q+#@WxMrS3<D)ts{#NyVEH+MX9E4rw7-bcT!IpE8p zN4WKO-|M$}n0AS?U(9vRG~UF)ST4ykL=&XXT(#zan<9F<@X^QKXw3oIefNgI-|RJx z&DITJUguOZN}UjW7w$5oKpbbdBIz(Gf&lGkGNkx z{FBmCMs{kKc|nV9&x6t&n8VG@yimDv*W%^WdcWh^?Yy8Ba{_*~wyAa>b1%NS`wH?h zwgD|C`Xqd3;y7o*=I#Mr&HTa54bAr!=Ye%?A)Zo+f$zNFZS5T{#?^=LGA6_Op%?R<~f5rJg?C)VS2HzJJpaz1{V(=Mryy(vWJg%RCGfo8+GC+&hZve|1b_E|yNN zYJ3#7!OBFu`)1u$VvxxEt_NB$F?eNCr5)!|Xtmk#=H9xJ_$!Cxfvumz6|XWXIJlLWr+)qqLcA8u1gBVS3qM;Easjd%Um%Cr;m&7C+^~Ui>@Mj zzx!FRw|x`?eoymGJN@R}AQxzJzj)W;LuRd3u7OrWZh&*;9C;6XXu{{ouRv=|gu8g} zbM2C&i1u+0GBq+jd2(}aahvts2c}EUQDdKYYyZMWEY?7~+P^fj^;2Kj^XR)D?_v18 ztQ+3sozVE3i_8kx{fD=;EbcvwT{o)Z>MwZRNOO)%bM7*pxs4O!?Ok?Hmh2kwzVsdh zNm!YvUCuO3Z{?7FInH*>Ott(TpVrI)Udm5+^H%M_TK4zw3igIK^K$Ok$_+k`%(Ee9 z%vTiV?E{#)^;B*9$SbP+-tl;4sJy@DR+ZHiTFx|HuBo&W{S1wT`O@$v-gvipbQLI` z^-JN+y9y3l<^?UaC-6p3ymo8PphXA4+xpK}<2-Xf%^4cr)I8G%ckVkcXw9b+lG}Z% zU29ZGN!q)SWCBarK788jA#VQESH|1;uXZaVE=17#R~g8;qqO_j8)AVoKK*{o3()cE zFDkO_{NXLmoX0%&^lhR!Z+Jh}(xJ|orqs;&z+0R-1!nayVUom6@fK%(j*FbjtKj*r zCEnu9IZNZK7kF766K`?m+I4-4K{KVrnF}cIokzRMy9IcQGiUDanYr-M?p?ganFFA% zZ7;x*I0RlM^*evpu5(3InRa;N-^JTHa+h?Qef5De*KT9#_cF)sHT@Q6uHE{n@di_g z_d~AH>vHxL_RGHdz?qB2Zwy1ft)amKy79&f=kA+8VmS3Ga_%eC8mh4!I)x1NV~!2_curew_f4!PPsey?LG z=H$W#vk&mbzlPQt&b*j=e^Bat@w-N7dC%3DljC{qHa`F@{x!UkP}4_n(s(0x?>V5g zt+Y#xUo^0Zw-3CzlMfxc_tu4zHwJl?2v=Xbb|19n9g8;Z{ed?)Jhb?D^h>jQzvJ4i zE{|4Bf{gFg|E}HMwP-ZOw-5cs2XO7$udu<44{u@)A{fDxqotlc-srp91t*AB-Au00 z+8Woc=hxLZ`|qBLTAdnia)Kd4BeU@)NA?h;8$&}&EzFZ@t7l)kmAh!M)$qm#f9)#6 z;MYb*;7uMC-sCZ(HGg0Da`h(dl8Yksrh1MXw)OL0yPj#vSYA)3sZ>>?mv7bihm+Ih4#wsY-zj!b>zb8AB5 z<$ZYjK+BrzH<+huS9!(dk$HxxobM1+iw_Sim^S^gfOmXSIQn~u-Qi75-h*Fl4hI^u z?(O4v*WS`DZ{(r{6OA{qS?v@~e)|CXYA)VETzBtkw|)Vx1pndf0Lu~Jz#|4o0 zUGyR9kTFA@yfnOd-w$uz9zdf^l{fdL@{U<^RcETJaP;rq&@Q@)cO>E~PfP~-mKnuni zZ*W@IE;5X{TAlC|yY!LVKERpO*MmNYPvP3F4u=*DF5X~qu3hwY+CgUhUzksI?r^%nR~p!P~oktySE@lX5$Tx2XE?rpyh1@yunaumslGZXneGItG6rB z(=*Lq7a1!zcg}}C6zALh(sRy@*?Z7QSiHcM7ub6hZ!lB`ab0W=qs-5> zbF}1q;}zJ*_(XR?OAa*NukqDp;^NsDDP9`e^r79_oCi@~f64LYUhrCKrKMZuKFw;K#2L z;bJhZU2GiEbTLX7GR)a`v^Eyw(rRq+5BD(RJAc}xP7zw)4ZmklM-FM1+(WdFx#F&C zzaMiYWo6`n?@hgWeK4Q%9Z)+j4R2)*Ei8VxQ;X+(9B=z5)__ML^c3;TcDr}a z8?in-VPa)}!Ygw&wD^7SCLXU37iM@p5A)LSa+tg{3UG22(E1+G)yGU9T-^B%{<=NC zmpT%;!CzNed=JJPoy+(X@6C7U%as4Gj_8)iJfJy>;(7%>3y$x#4*81^^mY`aAb{1w>!k zwd>s52Xj`BH#V58z{P1XAW|y=(D_5RASOqi9$I<#JzkE?9rK~GLZhO-G`#gG2tDn$ z>DP4|-j6->Tk`FBhGVPgmlgZ|o_5vwWxuVxikD#)-r!LD9;Ec!4lnKTrJd(GaSa}$ zl?QlhW4q#W@87!*^KE$R&$)LWMix8y(ZeVzsegLyvgY@m!^mvBd7}U?r}WN&vga8y z$ouRUyo|8$MkeYvHERF}l3RtA+!4HuL5e&jHcLg?J%l$kTQ8k+ea&du_jrSS(~eta zzxU_l*5j=Xr*vE5Z=PR`-K{*x`b#ADc#U)WWd>w@sV}p~@#b9xw8U7hYIpQWP`~)i z?mdU>4apBVg9LBK9BhhyqjQNUCHD|5`4z{z^LOoX52}XOyNsV}bzqUKJu`kUHj{o+ zhgmxdw`)YDo;kpqS^{``hyMPfR>?iXF>_D)B^U1`V&V&aZ+-vQu5)$8^xp5Vaq~V7 z-r`1hy*IXV@Xo~?;}w{E`#@_A9CO+;BVlcIJ?Cw8<@189Zc9V@IeIY}v1bNv-rmFe zanD>4m-jo4H?}?b7kh!*d$Cb?3B~vK^s5kBXk2VB4R35Fyp2cQy)`o8cze#7rdcBr zF#SqJ`DnNMUYa_@1((0M(+ zfna9u#k$@{6yEkxtN}^<(QobGUh)u(FOHv*)P!ANuV%;(P7)!YsDmynE>Pf)@~&NG{5?3r^-#mD~&WX#1|O zxzG2W!|rXT-_&-!@*C0lW!bE639o`E@9*I)2F;FKY&YKI{NSao*?aZc?YE)zO-y#<`X037dfYJ! zMD%+(*wQX%hLzm+%I}TCtcMu*tOwrMdFCn@7VSRH2Q?@AS((N5D@3#3Ar?LBrr*XE z4LSZ;m9thK$6FtYcClfp?JG}t7!#XSAT)3Jp`|tk-qfDGc3tzm*JFsgH-rt!OF*Yx zYQ*2uKap2g-fZ^iRZZ?bm3NZwm2ldAKh7n!Bx45EZNJscxc=A2BV{jnUdEhyRf5ye zLGW7iohxCwoIz@Fzc)4a^ubEZ?|GE^rRldi98^K#U_ zubOo9NnY>hQfl9=%vP4EJ{ex`*bt6o_5llQ^)Oc1uZiu5mgO9=AU2N3*_3(aJk43< zy_f#*&VgMZVQ6S)T8(_M)1t#&iHfzcW#x0?&F{&eTz!M%A^F&{w{n-Ec}4a8Jzx;j zrG4b(Q+>8y0KWKr^qShUHKN-nKhLYiNn>T$E(@0b2E9>9vU^hGWRUbiFLT& z8R+SQ-MHtCJvjFQUaHi72i*0@KkY#C(vF?--h}x;YK$LKJ8-F8 zUlFv41ztOj%-L&K`zgHl3c$9zY@X13acBwwEe#eTyl@KnHcOs%Abd+xmox2%#I}>O z99}N1?U%Wl{p_X~pRci<6J@?l*kyF;YbRZG+c{i&&+yjG-HrE@@8yM23N5ux@y7l| zBd^jgJ8#$RigxAO*sEzL+oQC_*TKRGYwYixE??T(oOn}<`e?w|GcUkfV_#}#jdsnM z*pYRD82vr>^y(!X1A{HohoiM~3VA+09_`eqUAe*P&R%0-x19loH}4DSLxIAyyMkmp zSE&bz_o}r|JFuY9G2vX4%}YB85i3g=Lyc5 z9`?+zDh4Bm7b0%=vn-|DTdwZOp~TClkUr>5rR^I~cvG+7hsK)U<1EPUIlbeP#_P}a zdk%@+rvm)rQ^3f%zGsUBi{4Ia@A%}Wq>szenSCX?|Iu#Wf-n}k=!UYA*ETMyLu2;Q_K zbLPB>f-UX=uS2tAK2y89QcW9P%B+U;2QK-#%ax_U@79y0z|Q z21&}?K3K4O_gsWIa-3y47y`d{0H^tmi-(5BuHAEfskwVT#Ly--s}Fe5l~-)j$VA(D z;*EG=z;~X-7jmYRO_{g`q2{3*-&jMdGqGWgngXt4)f@UaKc zRE*qy`4mDk_o3DIb(UJzjhBCQLI=Nc?dqAQ4@{jJu`XRxhdBF;-M|Z+I+8+ z;PiVXlGE-(o?c4Gt`S>u{Xx&H+t?j&2qo-Jgm>-(uCLN zK@sTLIym~CE!SYq7Mm_-%MF^dbzr*u9?(f?i{-q6iW}p*8?Um&dmR(2)J~)>eVEeS zYgg7~*Mq$k9Z9rj?I@P+=Gi!uREF(?TYl{*tzr*y)dMBW-f-hZ7r4?-=|k@Neg``$ zx`36;-t}Ze)&uAIX`~{@ugu9BzcNQg{K}V#oV`n(%-#hu%-&@+=G$bBtUaiQ#36(x z5{E!b90E-+X6F9KLpq_w4!ufsx${&r?{{3K=>0thZrA+EBJSRm#+Q9%IkIv{!S(iwws^Q} z7rO_|MZLVvZ+?&VIOj-!ivuvcoAa$-X(wq{o0GF-YvAA&j84B~Z03c2H98#L&7byr zay@2^&}gXp9S8CcEqXiN#lz_X5HxejN0HyV;KcR;e3b71PRaK=zxfUTiS+AUO}|%+ zfBRK>F>8JgZf`sBkBr%n+s>fFySb6(D)DKwt5CSSQee`r@K)x^^BS2e;yH75&cp4) zH0Sr~&pC(P`sLAbPycYRWe$`=N*_wSWIfm<`Hp+ZWXF6(enTU4hQ=8bSwhXuylA!Z znZsmjRERpC*JJwthS+txx>5Tb90NITJVtBlQYO2fulCcfC5t%ch*2DwLxg3`nH7VB z@@?+geB1B;xVE!)TelW(-uye7J-u_4T&Qce_`jpYwm(B^?OL?>K+%E&KwG?zJ{S=h zAHAux=pZ((hWl-fLe`w<%$#y}mbN%86Ki1K@O z`F`)Ehwk^XAl4^?ck>*OIG1+atlIjiwG-`~xj$^m%0KGCVjQk~NynF(V#eZlWQ~%? zr(N>>j<&e)qcOO4%*00euw62z>S<-&KF-$RxzbK-JL_@T!#fs1zKq4)n6ZFB=J#qN z-tw-VjaK~+`Y(D3PvqcP%^yE-#>|D6F;nnMQ-yuLmvqS-9G<`JHb42cX<|E~gS|s} zU)CnkZn3|9uRavCk1?BuU0*${uT;sJt;eX3o9LZ`8+2%iQ5_U4`|5I$%PVrVzvq72 zb1Bz1djo`Y{Usb8i`BiOPXcZqOxX0xzm|Tb8?4;mFU}osdH!j~d6RxQR?{L<+*5eJM53rQ~46 zZiL-hOaf6HyboISFdny!fnSIvcgj8QvU}2N7`e+>RypUqL(x_2(*7RPIdeeXr{Cn| zn?H`$-3Ls%togY>+iu^0zbk6c7^X?%b^_3A+%;-|^X5D}M8{wrk z?S2MhjIDNW1+?APf-`2gf*rFe1i2UF7GzJd%5v92m1Yh;;tQawT_Z02$j*y7?01O9 zM^~mYhVEA&sk;IYh zT!+yy@7ccH<8GPt-xIo!+}kha()N8O-wRit?@%ft{elYZy)SYXc}j(gzTx$#jTs8+ z(%g5TryEJ|MtjA6@C$T_a^(Hm1p{2p$A&-@`rYW9zvcjgxlP2s$?U0hJ-L{mRqiI}Qkt z-y%DL1=1y@fL|?N_bLT-GMK=>``{3O-;d}(VRK|D3F?P)Gge!M_MPw|bc;|rr zw|WU!RloNNZEwHK^F6=92DvL#`Ru$1Wo67nUHZ7k?Y3Xjvgg;8oHH%+CUX3q5lbI7 zdFBA@Vb2?gYsYt>*&QEOX~rz5nf*sLg;yD+tS@^o<8x%n8@wol*S>#gUtK_N$4q@G zZQtNNUSN&z*3U(AjNDampt3}XfBm-~f466T_xC^j z*?;(#fBM%S|8{ZkwqZ~yS8fBWx$`p19ziOqiSvY-Clzx&(&_;)}3Pi=JUyZ`_I literal 2328252 zcmd3PcU;YF_q>|9ksZdFE_Q+^ROQk_lq^)T0LLpShXeb&YB(e%+WVHxor;ySx zQbvTV-*tb^>5)#J)A#p$e!th(AJ2I{r*rPE?s2X6`?~JQR)$8JBrTfgy;ZDarW{se79f+G#yw;^a7d50_^%$g(Od(=9IH8Xtmg@D5^a_GQfkNZRN7eLsWaVzh8)lUq~ZK_k+&m<$$+K_!sLS}Zz|NF>4E^v563Zz^WY5Y?U&5n^l`0=t%go?M97%WQr0 z+uU5>zwkHDf`9+)dJ>t)bGv71w32UAXv9-g%KXdj(g!qNs>-VEAJ<|l-747t%65|H6dBR8 zq7vDY)6;UDU0pU_WzdNFHd(oA3eq%;ub3)r*VsOi&-|^L7x(VKW~gtSX2V$w@ed-X zxw&`;`4dQ5B%&6XuvpD2BqTsb8~$2&cUh22fS0#hu$F(&vc>9X5rX{PL)~EZ|0m!2 zy-%=>(I8T zMdRJ4or-%{msaQ9%`$tt$VZ|sTQ`W5cW1Ry+LrnDdi%F;krJjP_>yziFSqSf1`%AIyx7AmuCqwo6iJ7=RLH`kmde=R+udiqyQ_p+v369a)8 zGA9l-MtrP~UL#&reW1ilWa3nXtM)SDOZ41^rRRslI_6w@F>CwNLgkyQ&T8in%I)qM zYK^&Wv*q>JfbmVmd}EcC{&XeSpE!NWTK0>g*N5zs)PhqM$BNecGS{6g9=g-v^z1cJ zlgZB41*r#|dIj#wIvH2KwaUn@nk{1Yiq9}dWW|2PHFj^DtdH6}Q_GHvxPDThDz~Mi zwkA;Z%0sidDcyR-E#E%R3O9VbF@0?%-|h7Uiy92R)MS5_4z%}sc|c>-N{Lm|z842n ze{0i@3X^|g+sjYUds;Ebk?pTqxsNRA|LVx{{?_mFMnd+W@5ui42kaL)5NK2agGACI zQm6#KP#>Qq{Z1*eDx5D7!1(z12iXR=xOosL=nx^J6axN%AA!t%{H=5`!$Lr20*nrF zMU1Fq(B15h8Br+&5_<%sfjK4y`h~=tH2e+-yBU2#)Ye4UIs_ zctnH{`b$bf1NQxW99M=!W-*59%5-Kr1~)LJtDb$8j#?k5c5ZI8yG2r<HFn)Bm31{!mue&l z`}kxKMr%wOao610NX)D^wI!$4+Rgs!%IKd<1KYmL4=z{hIYd{xcvE-Z_#(ykR!?Rt z9{CwSG!;q??6m!U(17?}K-u2Jd+8`iFZWSTS>v-)J@aWAlW*LT3Mro;W57DH@M(sr z&S3?T>ETmhh9XK2oQV@29Lg78dCxs*l#oZ7-=Xv2rKh#qdpE6cm~Z^lsp0L`i(B^O zs&+*k9#@yL*dv*`%iQ>B$A{XZ{xq}0J?`InHRt;8(GfW7B7Ak!l}i(+EUliMXl?iL zrB2MMJad=bF{dN*hP_z2*DmDSf<+eBJS-xlZ7VwWh^qXOOmehdzG7}yMB`h(HmhU9 zyDGQZO%v`2-bWCXdv%NNwl1q;pGxxt^YM;xJ6PlA<;4a~)V%igK#P0I(+<(2 zhmSulK9}!x(=oT<f! zg~gOVeZ)6>nJmSBVsg6bRl+!8{3zlh>vvsW4zCK%cv1h<$L6BkymQGy?IFw6+P4SA zrsf&79TBkFkXkiy?&YZMF-x6pEOBzY-xdAD{d&PpxnhlXcUzGXBoh+_o?PB^ zVPQ=qS-dVUPCl zQLjZhEN(TPQX1EgzF_w2QI|4LoY}l4XzKx?E}8Q04rZ!%?Papv?6OiVUq=^}%IOGZ z%#jo-ANKNlkcQma=JZXG5@r=Y<9BRLH&R$NiZA%xMbB-mhZgPnxlg2(kEK^r)9Phx zncX&wr6<0*EQZJ#TJ%gstn2P>q&8sVES=W=~pFrdO(<-{bF# zyqvcR>aO2SUc4%Cx+Ko&&&}PGHZQXDMCq)lxFfdqlm*{3wk}ehyJ^@N$NfDzb=GTo3GWxRUoSJA?$2`~rMd(Xr5{-xQs$#x zig-Wag)HUbA(594G-p12n_TkYw83Uy7w?N@8byV571<{gbtUf?#XYmPDOq;gH_%4W zH0kjLqdQqoT^F89s}^*dSV)?1nzV?tzI$|M{XyFw(+^~_J{_=B$x{RBPgM<0}So|QB*=hmI{W^ z_w)0INXL;tVw(XH7UB>{Sm;9_W1k|B*_MXPHZ5efRUupLS~ObW{(0v zF0x=0_9ztgC=~W66!s`!4zZ80N1?Dsp|D4xut%Y=N1?Jup|VE-ONYJ(Mh<&nk3waS zLS>IaWslO|eo@g-e@-uV>}BeUMS%;w-62q?Qy`cz@$g>e1u+vDJX-INU@MQH+5Wx( z{(dOfW1@KRACukB3)i-_a0y;PpkY${69O?QoVXv^Z61Mt=L7vwd<2Qh#Euv8QYS7u zos#OQ7vn?!mO93X^SxVje9B0^J5CldeEUjwPvbLONn6Ah-?O}sPondIJ3pb}$n{}* zrxqrUSmSlPPOxx+fWsjB4N3R^VM2_9Tp^B7?5hwL%jRT95yB|YNKc|Iuv0~>vt zwTJXi%#;^huyDiGy;ci+L`)lgiLRZpXN2_QBkj{%$gJUhqopqUn17x**7;LnnCcyV zqdg@Hq#j?0^Fhzf6@HgpMIvBz2Uf>L*b@Pm~jn{a1-VGA0X&1a35oK%>*O zXtcizL>?#*_j&!V0+C5tR4S7|rm(aiPeY?nA(a0Yi6{dk!ZhNa2j)LYL}jvI@xXCs zQngs%z0-&!EjkUw#(!Bg>OhguUcgr*2-ZnxBf%swS!4p0LDQlU8H0-C=H(Iq;fWT^ z<3PE%ZP|aFDhgeT&SHUeqHD3h0#K9oFB#WyM5Z2J$&jXkEkdS1k`G)D3JWO=o>Rtk zC~;Tr6dGBJL5D-hAZvkZLxD3&9egQ&Z+))m!d<~r$V^ZXu*GOBEh-(v0+m6g55D<- z%f&TYxa)ZeiK0bf(BViDwHV+tQpi+L9VnswZ(E;RiDAAgt{V(2vlfFwpn&dTg11dU znPlqV7U=iRhx0o?yJFrnzJh@PA~R{2`@jGcfDC68@Bp4uHgJ<+4nDq;(P6IOERtBT z^&wCp!x^Q}C_E(_xXrMb17FE#G%X4XGHu{;VRHr!4vRSW_V2%%w1KOMyY44biC`lc zAQ=N}6U-T?4=R0dh0$L!`asEW*ZpKNIDtgK0hqAAKrjlx0VH0kA57{%!EjgoWWc?M zG&lf#+l)-nq7Cl#^q(>2K*4ZV{UjDciw+Y8ZV!bCI*kavE18!~0&jAlV7P04wCzdA zCPCmqg`l6r0xiqa^+7K8K+$kF00?DbfPO`bN`cG;2@C<5!dqvM2D-6W-T_yoL82jd z42c9sk_NK|rkug#z0pVmZ2*=F!B;fo@k1^L?KmP87M2E@mO2EpInW4T`5AmgBZI1i z$%1tRJqED}z|}0?c9S&F2w*8Ad?f=D&Z2pDUC+Ghekmetk3Vm0zS6)T=U`*AC3m9|FlE%`-J@LwgkqvSYoypxjftT(d zJUyvhQ?hdViL^M%m|w2u1^ns@{SroPJ5pQSvWNdkqJsU&>Ln?&imG31a7w7YUrI8S zQLvCaBhwS#w&4aV#AItto|~S~ZT^t1wc@w#yvknnNLb;u@?nvj;V)-+Yuq3tiK%yt zd#EpYLw)%k`IT}0LJIzuf&)SxE=o+2jvrGxJ%w-Bnl;u5lTsaLpBO*mR{DvJrsuTX z4EF1p+`Izjom;K* z{#aCe(u1JMX)D9mzDclJK0Q1}`s=gOriPJmZY`5n7>JEK>kzxxB|d^|w^L9?Oj1ju zJE44wtiwLfG45(}q6De&0^1{>!_OwjHt)(Xcyf51*jjs$LkSZm=<@I9Q`cL*Vt<54 z{^p!HbGnxWxI90-SYJl0Bua7-afyP(KErz+@{xDSi{G|a>0}BRYw>6DPRJIqu^wN;{Hv6^@cWVsm>A*+NGBz;U1-*vcw?7^&P27+qY&*h!P0k84 z!Wa$WbHBvPOJ`15G-*5Kmg4T#obdRg?W2A4PC9%%R*@tkF*Dw2#^NSs=(N|j8IJeE z8}s~g{MQvY3ib-F-fVV0Xp3}ns7cndSJf?Er8ku{1WwGjQec(p96yKHVC~ZzGevIH z@P=%eyxPRkIX-#eZVB1>XB0HD+d@nh%uy`77F6>w^WgMa|0D|m3(_1{yDh_h8AyCI zn{ZzC{i1}Yu^uz(G>^=g5Hr3~q&!>iPHtuPSkWg-rUVQdCbagF#M+MYk}q^zW>?Ug z-oKf8=7{^orswKsh`6Ra+!tMV=x5E_#H)7cZX=qz zLbj&br5#r~HK})&dEvU(in`)CV|!i~OnR3~ymtN*Vg2E`Yg%{BUt%XBaOqx4m1M+M zz49?VAG|H*R87^^`T6MXDb|+Q=HeZZA2w9286iDUTV`U>?uQqx<}|-g4Zr*84YApG zyYYsKPkiyq&X+WnE^^=>t=V*v6t^r^JV)pye-ypiB7fhk-fEjMR~`-j$Tu@XNM}pU z#(h08WgX?^i`_^u)p% z|516q0_}BXNi==Kple#g*Bx*2o0jm7dB*T;Q3~@}^kU6>Cc(1v;-WL7R~w`h`M=q9 zoA{``e#NG-K_gN+*NuJu`GL-ot=Dc+atn8E*^nB(<0SLto`|R2^RlvwpH1)ediG}C zub1C_PN(D>*_#CrmbUC4nJVplKylxl1>Vboj#vw>P>JmcKT8ktE!EVBdfcJ5WP0sJ zsm4^1(nqJ_Ppq9@utV%iX~`zXMHcIr2jUv{zHkh2d9^FOTyEE^Qqva@ z3|q9$UTL_G`f&a9bmqmg7gdFHG&9yNxV$E|Q?aLNyyU99;!C$;+uv?}Ml(HnC^aMc zL2KIaM`ur*f41m7rBmn9L7_y2wfB75uf1Y4YOfmSnIEaNBkXkIY{`>9AAgjtnH65N zCda>Wt>T7pjA*HfWRGaY=7@^{?WcY;cYmVZaGR1muu5 zHZ^}BtRyz9Df&NySUJSc-w-Rn4#+-&KZagdY&;VPao7_Z&xBM0_QbZTkXOT=*tQg$ z66}dR3Z%i&6N$(kh6DjM`@IGfe5@)<~(!cT8n$UN=GRN z_{$O2LIv-W4q*^xOLLf~Z7_DME9bdty5ZfaZfdps*;8k>lHZpl9M#uxBRlsg= z4!r0f5*c z0ofV|i*TMU8c0Mzc?Se;Ktc&Y*E|;zW_X}rNGahuVKfkk30VgQ1YNL!X`na&yW$br zL!x4!U`R{iD;SNb1xO>TC18Az8>c~zoW(Qg0GQE0$#BnB(%@7wQI?(vSxc~aG{}+D zcqSd-GXo{VUH#L@EG>`@^aUhrfQdt+0wI@Yh8J|pK*{0By?|2F_e@Z_fOP95VlN#z<^yP_-~{NFa`r1NOp9I?`}gx6ch`f-Nppjz_x?u!SjrAfoklC7hlJA=Yv;8>W) zq5-*;0zn2R7epd4v{-)$p?*&p*S^P}w#2*eI5`JjC+&e9tY4#T1-$BWYDri0KDOl^2oR#xwu!dpK`?$ zf&T|}?~lfFBk+C2zkdbb`{&@v#Qq`6KfpVbj|~-gUjo3pk#zKng)b#1UZ_73_Mo`@ z(J|Yl!pasp-W#o5TD&$*7FFK${o64u;q@L9PNZxPn7D;zAb+&{bJjOWZNVQCPj?x2Rm<7zM>UI&!Ceu8<6t^4VcH#nwdUs_fHZr^St9j>w&9Ov%o+ zYJIe?WTR7D)0yzRk(;k+4^w#)Fj7TpedL04M@n~PY}Sv>J43H-Fe~3uB=miRK{HM>G3BCj9&WV?I8=1&X8JibQQ8mTS$g;7~mBd?O9pE)d?rzf|;#XQuL%`;Dve3BsBZ`#WljUE-TeB!`Ld zZ(L=p?s36c%DOVx=~{2Z6*Dp8g&q0RN!kzISXGuBl^Jnp*w&e|yIUU3RJ9;vrg{I= zRO(9aOr5z-do1DN^b;2H7e5qs2%LFj^>jzJFTeLY?=`zG?VDFrEv@uTiF78^Ti8|X z{!EklZ$%y^s^d+ScN6(PDBWG@61S;m;qb2^DtxLF3BTMjBNiy$UU1edhOeh1pg@Cv z-mX}m>sNk#J&@xt%xJ2bYoR1jX9UsdrP}*xt}nCZT{y3*Y}WEAK(tq8e|%PmM(7r) z@&Xa&82LK8y7%7?EnhA5Y`(MM*b$$4@0qEWO;j~$xaGV}`b1j$9{;O3(-jtWSbM9O zPfqDxt4%XeAJrgsIsWjd?iH^@4CWGJ9-LpjFJ^>Xp|DkfUPk4C6wi+5W+pX)c}62I zi~2;=S(kMFkSk7pRebLrk*KjR+<7G5{W!OguMKszb9Cp~`U`!Z6sgMhh41^hr0_)N z#nQyC*N2X))Q&#q)H-wTBT`Zd|3&_1r_I!7>rRfhZV>+7dF!L-Wkr*a+wYc@RC+D+ zTmEZd^B1!!R)w~Lazj**eXyL~0hRM|2SU_t`o1xbyT#f*cKY}w6XNdGHd5~vg(Rud zrN*~}Ev*er8O6BuohkM+sr+iuYN5j?LS2t-EFYOLZ@Eg6h0Rl~5mhhVeX28))hT~O z5^U2w}l4IB3F`6=F)P?Tr<-wnqKhh1Z6)f}n^{I_x<&OZ{JS56@TJFnhy@@`Xc-m;$`i{7V9@jm!DM|6#zm>OS~McfjNiR2>@nHS%Tik=&u6=mz+YP`Z@ zo5!@~+hHZ+S4aCvP8#VrNm_r6NdP0;Q9$yOw7$EO!1W-(pVu<>moL3Z8Abfi{vbGO zy-ll{i1+aAA8bSG$7R_(){jX2*09U@G3!9k(W${>YP!nDw$&Rby3LhV)!69fM&G#o`S6SK?we}8_p9i&w-H`? z2^{`Wvejs-+=m?zdvi&aPH*qu7JjN}{OqZ+#yQ8GCzkAF7XHe(^kDX=Ne4E?9DY$| z?2~w_t4{FxP3wnstvM^_HkDYBPCj{d%`qdV7;CM~fOQ5?iF#zb7dW{wfl1e{YNaO4~d8A0RI?Jngbo`p+NCSG%n{DJ9GGo2K6Qkl!rzcLE!(;A*QBM{+(-#MC00O zm>R%WG*p}b9yVG}Is_DSlvu@D$^AW{ibUheKtPm)GZ+ILXvn9+dID4mL;)h;AG|^^ zfG`IpYcNfT>y#k`9_rlL8x8W6G@!Wi3R<~~hk$mD`-B10g9X}%4448c38Mj9hR!1a zjnjz7u*5!jrTT#GBqMfI|Bet1nw9O zt8yJY$NM|jAEbhagc)6Yrww7=P-jVobUh7hFAa1Xh4k-G44O7>xC3gh@t!xNIRGRj zLq!J*KvP&==-$ZtAaKJSm~(>byiwsuGJukT<_#*ufHMFJm&{vxaKjy#!-TJFV9d#I zIx*4!4NBsnVvWVy7I0M&LG2daOA4h!a0Jk_fr!8v0Adfcr{H-=xvGfJl;gbHs7Qf; zjln=7I*mYuGFKvV2-C)85FmAow``z65Um%XM97n;LdhEaFO~6sXb>)-2y?&j-EGiQ zmCmX3V?oeDB>`|m9(>*~Xn}jaaCf^YZ~~aX4(@{%z*eD3`9b$tpWi(Qp9hOgaGflK zCZk>u|FjYSD@FWEnd@)CxO`i{m~h@<6jVwLRqbTROC$6aRZ{an^q>Ir&H4+v@Ov@2q5=Fl!_eUX zs9C5a5`oIF+rZDI@?a-I*){iS;?Efd`ZpMWe*$7Mkj5y?!Cj%>Q^pmg;7=Mxa0l?d z*^Cr$IZzNv99&`aDOlK+gUlH2x*riLm;jR^$Cd^MAK54BU*acBzjEn(ti%FWHHJ`R z7IZ@C)2|3!{)-v!Tg5@B0a(fgU%7y^Km#qt=^uk$0Qvh@wLb_k081g^D;J_HAVmRd z2@N_(h_OKWcSjcF8f16juJ=jMD~ybY%!rT(JQNbN1mc0)4#HQ!3U%B< zVo~@13{nP%<+q2{2PxCR1sN)&jB2^rU8J#EZil-n{7EiyS{0U$v;3-BMx;duzL}O5 z_N{YayXS0?g9X<=U62^fO!E1uo3(js#q{F3$qxe@u0Fhd^WQ^(D33Yu<|db~?frft0B$uGJaAAB$@Hki-KOkCHx z#imU2hpqC#F+MxyWSA@EZ+M`X)<~-=KU{TS^vd(9(%z0f+x9vtmAn}pdsN>?YnV#G z*$tB8u3ngW^Jv1?rZmRNy2%~uMxOTixo){(#o@>V!?txM87XpiR>_-x|G4hyrq;GS zpO0jB1U_3e@zzdN_bO+t+3wo0QXc2*+Kc9lj&U(JA9rT;I}`cH#;*>My^@0M(9-xbog+mhs%WQ>sOsO9Ct;+l{WTSE=btOxiI)_&~YV%GJN3+kdQ7G`bgS zGkGI(3e9A!L*$jZlZJjHB~_NYKkm7HO~HAKA4$fj$eFw=BbV^um(zsHLV-mip0`Q{ z4o|pr^8CrsBbKXVWRDop!zXInGWk|s_5{i9IF^jbt~;ckcc)1Rf4Kg(a#nted-UWN z+jX8L^}ZF(3^pVUA2(8O^>e!({<6t7nSN`OD)?3GE>DZtWpTBcm>$s*ArVnjc0qXS z{A!|_Kt$wvyPlI*Chf~#_Tf^!$r#IPHHDH!(J?Z1hty(@OIVBVbopj|$Se4!^cTz9 z)z_7w9Ccc)3!l4PYs=+5HLChadY=TZT>G_4)mM0=Uc(E~k)qOPt2eEZGLKbh8>P?h z+-tLLn1j5Q&gL7dXUJ~2Be8B+Q(J}Lh!KVQ0fiE!8{LRK+lCDn6It`#Vbt|5ov3Q@ z(~_o*j)iW=r!tSVTOV|4`?7A7{m$WEbt?2`bR zX_9n*{nk;=7wyjPXWlK0P^@XZzj2z?5g`{XpA_XRyNzp!e96lit7m2UBnCLVb(l17 z+Kqdm#3Mq_WZEuw-zktW_S6fBNY_RHcSM+;5&zLQ_hylXspR)83T$|>sB`+2o23~7 z_s&O9*O*TT=>9NQ0Y1Ss?|Uv^GwcnM*;2W0V(D3}>Rb6XDdSD6 zFIx{=GOnSzF)C!;c#EQ|7no&);;U~1dL8-n_Ve-0aNTc}^X7qN%7q;liqvc`$+kT> zWNW^oiQc+uZ>n3i+CDRtW1m?23yN%xB@T=E@Xd9K*o0+@+&$lP*bO8g`F zB(uwnawT#rH_5Z;hWXT{q`b%XePv&5s@(Z(&!m;L6&gLU`=?A2otHoCpuO^l&~3M? z&un1)hSDIv3sJ|vp1jlj#OZYB;$5*(FM2*4Y+k*5{g7azT&Qk&3oJ&5`4$8il)#|NKB)dn8vI+{FY+N69<6P2}2dp=K1 zcaDGeJYG_rG;QvdUD{cmd0E|WGL44KeorHGuR3$IRXQQWI8Aw!Xf0FvwB?Zp-p7Az zafvbbZ5`i@oB=3GxDu&KMyI^dRV~F@;{#Vp~151%dtE|u~s$c zb8J``QSY$tv3rDr%fyD6p@SUfea?8C-Y;ZM-xr7rIX`E^)?^?Rvd7_!M`y#}sLC08 zAE?vpSI&4)|Hgji$OnZP>}Sq+9GITWf$70#V*i{2)1#JJ*yk}Y4E`PtOi#wJ9`wu^ z4?{7~Ge#+dvd7`T^ncRfkgT|RfOG(~=!kX9sT3Xp3=VL_0HBS%#`www zE-4WuO6Vv*2-xHhU~mwO+c(9(s};a%s1N{4`O<-}JA{gKBpFwffqz#kdLIl9DMK<8 zYYYVjN1_c(d_h73_kG5J!GR?L1ZkMGA;92BG_D{B|ISu$gW5kZI1%9Rp}^osH11P? zdk&xv21lI+;4lmY2uG3)%;U3lDz4{~2E7-lP;*0uMtFb%4gm;9f(=Z7kufEYuV5S? z9A#LEkenL|5ROC}2!ylk4!)xG0pU=Z4yD3F0>Y7K1A%b1Da2Pa4iJuxCm6D!;NVCy zuChP!A9pn2Le!#>AOQ|(u)f-Kq)2#_VL=XWpoPM`1$?IrHM0Qc1gk-Tl4csH5$L5k zXiD>U9*i5yVmmOniiT>@5e~&@$W^}FlF2p3UjIOl?==}J5>&eB=B^o z=&}eNby!F=E(-?OZ%@UmxaO{Pkd($^|XMbJ}^(= zD4?o&cxCclP%aAvlmonH4OkY?JPFzup}aZVJ^=NcJgQ~TdUBml%sa<-(%?K|gjH^D2p3A$yV=D^|wTqUC*Oa^udg0e_3JlsYJ-AH+B4lWagg)sPv2IrB54gvJ{ zg!?k#{sG8T^XS5k)|1ObK{EiHcN(->g62HP5Wwb#4)zcbka%=qN0M=yC@j#!ch=BC z!fat65?C4va0j5#7jIKAuqKxb>=wMI4PpXRzKm)(Ne~PH$r*L};w>9jyn+S9_|6;9 z$AGX1dJWbRf;7%i$!NHX1KP;_J5v{l#_b5<&kF~2Mg#^J^p1f3iHLv!`t~n< zBl_<(F3LJP8IJ2Y0LPXNZVHu|WXdHG{`} zG}vl#nF9PN;b6!akOH89ae}_4kmm;fgXiJo;;Mr~_1mZY-_8z!L-kwOe{Kw=1I=m3 z?2x&^4JHy*Z&!&byuZ>CxtzK(SS)ZyIbE>Ol%BCqI^B7JNJ8rRqQ-fbR3_;uk9V6U zuiN`vjo>i4H}B`Xd7lIBcbrO~ZCde2cF`l9qouDNY;81ymIEmg_h0`w!6Arz+W4?8 zYT;-pZ8352{L7!ZB@|Y5loqK~#mCpb7#~)suu`Zze`Uh)do!2ca_Zb8OA@*wv#Z`+ zJju*HHn8&$ZHk>r!IEP$XY4hp-?vJaq)ynVWoFgb-zCq6BO83`sMZ;#_pIimb-s6 zriB&7)X(%zttqn~H>YEML;L0X7Z{Xp(1&3B>CQw)*Bd_$|9o?!=);X=x57`q=1UPa zWM#z6S?9U*jaQ%4|nfx6C_M ziQEILa-WU+BP-v=D(|wr?|WenDdhX!(5QDNN1L(AiS9MAHe*~|%gU);s&ad+%7xD_8|S!Y9>`%bbL%LVnx8G>YFidk zh&B)I0A_%`G24R{YS_h29<(tM(=-HDBAHTC{xlP35%mar_7O7^hoHTdI;K z4JQ~}*yzr8WaRVGP-Wo3!d=eFDTyeFyrJ^DHnAs`J)=2T&rk(%b>MNKX9Fs*Hb7Fk!UflJ_IXqHU;Ke9by@dN*`(E}NGJZn|HXq98AMV%5eU(Ps=I>T`nqPo2@|vh|+#b;euCh=dotjWHW~ zl*dFACGPF1_V@SEx@zQKRzR|^-(Hm~ZtT7*BHHAo+7zNqT=uvJr**SDYF3i2uWWZ6 zzmq>k+sD4gIY@M`v(u#T_9?MP#)dh|irkLOzh|<5Ts|&nIoaYv%7*Et2dx`(75pY_ znPikiRW*HbeL+Cubh-&-kT5w<*3a-x4z?*yrP_O<#6G_lULN9$;(R9DJ2XxDvE zf62-U+w`krtLnv#lgC}I*02w1Zd~1c_>Aw@9xqIK zJh|8BKu`NzJKB@-tlAc%duxH%mCXF?bYhcQf?8hA_si8k?#nEAQqpzz+1Kp*GTH^1 z>AjJ&_U%el|5%mJ*D?C)<;=+nPfs3FwpP!%oyK>f^P)RHU26E_>C=A*YUdTN{Cei+ zs9qtpNOEu7Thm{{_Jw1bR^FUE-S?!bN73S6-aj*I+bO-V8Vuo6F?B6Y4Z^k}L4w!n z@2zK&#EmkuSS7S)MT_@%SG@leu>Y+z>-Jc|H{TnNoe)a466P;3H=yTOIE(TZT-d0- zUR3|N$M!?%K{(g8JJ^^~a0l#ZI~zb;+*E zaD9ub=8gL6Rkg`JSCzJwJuzuneJ1dUpNE{nkJ-znkBD7mAKfl!TeoKkTq&^eqfc(I zgJJN2Lt9i#W%%Eo3u(DI>YJkfZZk1%jt4~D{R|cxa2deyVB54mI393)Cw%L_b38aL z1fZx04F{eAn`=SoyK}wo(si((*w3*m5aDx>j%7cyxfT?N&Dqavt_2j5aGp8iac*CS zu8QpUvHQJX91gdHf`R<#nKK^e4t8+%IG^W?$KjSxINTBnhg$+QHSEu^ueXOB8Am=2 zw*+c~I6vo%$KjSxuzWiFUJkc}!r_)sINTBnmIeK@l>tPoFc!WZB&4iRLupixLxVqI zDEA6rKIFj2n7xkp$_8#NcCi2h0w|c)q3}2`*#?Hj?7fArY^an3-7J9MF>t|$K;*zg z8yFh1w>!R~K{H}tZ$SD5I(R`;040U+I(Qo9cNTJBOaOs3?t2Z;SX7mOVt0u2(9Hr2 z9(OuJii<1R2RR(PC4(Ai?EcI?CKL3}VEpCkwBIu}Fl|M_|B_Z3>eB|~HWC9;3DENe zT{ZyMoAU^yxLNjWrHSh3L50Xpj{7^8G@oF$a(O}r*RY0D8OmJd(NQV z7iIWBt)WgMG$_oW^Gv646Vuq%7uQLnLbFF2f)xR~W1$N+pxYxPng?CY-y4mamOkhuq41$P+2{?a)7}DSA>q0TnTR|)5TphI&3XaweUg%6oZPovhvKPar4sH zUK76SiPEBQ2*^-TP6FKqcQT;7H_!FtGU}Kkhp%XG9#JkC@_h_=r9#>p8vgQ>jLW8D zt|h*b0fPx8!@zt(V-KK80NBYZp9Yz?0SF%3*Tq*bpp`&(Yw&}BG6ya*%oivSUKWa* zm&SI#aTN_%kB~`5mrsK=haNIiK#GYxu6RbLlPlRx!JpEKdgY@F%qTzvLl?k0pzn*>m5yt*2|+;W>H{3)!68HW@GFgBos0m`#@y4grDE{)NjB#I}X z{{NzbKeVdq7Z(51ZUIi%{{^7l+;n{gb#&(~(wWUZtSRX}2c`m|PEtB7obW(JJ?5Lj zOfg{%!Rg9Z4r-?-wM{Vn`Rm-Ws3?cymAOhMJxzriDI*=zvg%rDj*PrM&0u>O>vZMP zX?~7LCgqcgD{VX6J{zsfSKLrKraJJm@A{}cmHyL~yBS8j4;MS~p*(Qgp<{alb#!xs zXLWtAQ$jr#_E{X<9#YbFYx;4)VAoH%HRmh4MCNR5`m|zvk8syH%98W(Z5Gb!6&_!` z*8XJGR3FEaUn@#ub8lRroNcU6xV7NUW06pawgvv;&*L+WsYxygejBVXuifwU>MX-W z=dRsN7`MS&enj%Au(1byFE-LEq}1<)*SB99pWG-S`TUCis;X}B($usd#iO%EsqD1) zVeO&veA*mk!~8>8pL_(~SgrR}aduUCETh4{`P`Zx#0PDhbgPQ>qfD2AYs68> z-|bB|P9W`a8U4!1y}4mao?>ME;<(C$);K=#H7)bXt~hL*O)s+!m)n?9?VFh>wD3jl zb;8HuFQUt*d@#FeC7Kg8K7QCf@e`?2 zqDsijQ-Za-DXyUrTA>YfOE(b$W%e(#_Bs|{1DD>Dp6y!qW!k9^tt_3&c;~aDS3eG2 z{p=;xQ7qftFm);o_Zys@|R#Z9R8w zxrRxJdeG#{VZ$>tA9c|e%ni86JnwR$_Q{;x6;X4Q8a_ z47-(2+%9COzRR4mDE;R>mGvP{3HP=qi#ea!l|0RKGx=cXgl!?SmSlc4UGDxy@XCt1 zZ-SfYgr;XDUfnl7IAu6X*`RfJ(OXjF+Gs7~U9*nM-`D5pf(#@B%CZBjex`~yZ7<07R>7hrA zj2EME(HA0>zxl1V*Hwr6B@M#s^ZYJs8ez~Q9q{2zVc!0yuELe|ntgt9{SM(R!u;#`_UabJrnb#JxRQTe<7V=L z39RX!Dwk8_sHMl%8UEHU5^tQVc$9Cld&ald8~2J&bdqqUyIPHGKJekLbmI#tk?Wt8 zP0pKc|2jWBe5Q?%i;~{ zl<;wzFMo!c1kSl+);-zkd2;$5In9M*J6v^-um3Rf*CXL5-C^pBwYM1uIPq<<(mz)h zuK6PCOK(-|Bc_1l6~Y)n2Ubn*DmvXH|G~lSOAkpFtyMW1P>^;cGgU^(agtT$Xotwa z?(sc(fwSs^I_F+A81=N`=hE{DZ&UZr8L2St7<27ds&i|_v7FQM?-%5}^t;le9FTlm zPtr<2dgj`F=iB1DyPIG3z78C(pESlfB;M5W@SIs)cE(zkLfiJowtdo&&l&!b`BFhT zQ^|F+YEjYSZ$vS(f=$FNEAMtSYOSgrWtLsIKWfaL7gJ=Pi`}jcte9}$sqy5F;l*1h zVwQ1}T~_eNbtsgMZrCoeOP>o) zS6;n-^CNDKW^@72)UXk>HgLTEm^2 z?9X90;Gv&$#>4J+L(iP?AR@rV!|n@%_sQ%A22|`eEcDD754-9KJ#*xP@-FOqocju> z(2I=y%o&dZ`a?dG{XPfur*c4lDhKpO*F|IB!@wdKp9A_sMuYP{XFM#&4?pLC{!|X= z4;QksKgR+6`!_~l&n2#D1k@OTh6wN&NDQ^t01`t=fv0~vFeG3vFTSF2dLv*l0R&S+ z!PJo>IWYUq-f{Sf*4G;WD{%(Vz!#_ddv7=-*}&{O`wZYK835MU6#*#w4o6`qy%CUT z1M~0fV~MY5C>M&#p!)8j08pLzmqhaKL<09};vN&z5rz)sDd_%r5~w{oun_<#;Bf{Z zU>%T8W~(k-=M16fz<0qCkVqrZfO^j3;$dJ^aHU4s$`oJ8I7DtTP&OdgLpKIc{!%~o zJ1*v~aAvD_d?f?G0;Ty-85GC2Y$*K^FxdtsBiX(%zOr!|Bp^N$c}V>cFv$j@K5XwC zU&;C!Bp`DDPBj%>csh8-yT760D)6CV0Ryh0{qB%}YW|1TApx5CL5PQzyd3LWdn3d$0h5i(C}2!@d}RX#LIHL%VlerM)}G3P)r7Vinae8RPoqZoCn8H>H<<%?3>B}a z%{%XT`>YzV6t(|8~!Y661v#~Yn}$m79!H2^h>~G<5IR* zA{y5<1=kU|LU0obb`9ZB`XykZap_w8dDIY!vcU3jsA_OtA>+pD6mZWN?zL{{-(VtL_3W!O+*o1$ zV)?eunj0qVdrXz~T9EIkbbOc8ibRXm-VgjQxxIPRBtf`OwP21mEpVj%gDNcPm~HfCts|t@mjLQ@tK3+=yjtCPhFo>=;Kn-{qV@o%<-is zK1H{@Td(|Bx}%QxQsPON=kiYF>d|W@&zxN=InLnM&UCpQ(anvzaSQ96AHH^8w)k3` z*RuOY^QChow~XJHMRAoZdaA3~n(NeSxhg}kaoYS=cgAF=^Wwfs3LI1qUNkivy(i;` zklVh*-R%!mPQHk=xb(Sg5i9@v-cb8-47c9&r}?!>XYD?F&M9-TU4M3CY(V+hv%`dr zlm!No#y2k3-722{LcHf&6QB3RlJh4`KRWEOqrIRXj*70Goca0q6&1cP)#sA0_d0A4 zrG-*W=FTTu9Wregr>~=3a*Z@0d|Knrvue*voL;`Ji=CwFBF9KES*`xzENf)W@hjfZ z7E$+IO-wp0C8Ttnsvml)*LkkqYC`ImzE(zEvU5*2F)^55?D%`l@3UGD$-&NbcYqADixlFCS?aOM3X-(^WLzSiMWUP@pOyDSEUr_44xy zBd`4@zZ(@C8>1x|rLfVIk$HHVf`N(SocETBDzq-KxdLg{%3+{qi`{eOOmkPgBe*()%>A0a_!pYs?a8ES}#fdZr{%;LIAiruJ>`$(hPm zTCZ%Gk$H*YF!u7f;u>XZ>+GWBH%Y|G&f?gv)(b0xXMWL$X`T`AJz%He`Q}y4hL%z? z{;%gaweP;D{lhlIxi)>)>e2$eo!+)~b|q(vGDkhnS9D~Z`Si{FWjMb^UI2rhLSsHO zxqkR%m3VjoP2PL0+nps%XSeR@-cvazZ*52U^y;=0Wk&}+Rr^T0&Y2w>X5TX^d7TtV zby+DRP7Cm84v^@*I8M5&SWd9_?#pS3J^2N{+AW!SZROVWcSSyHTzolE)s5Q;ZOth9d;Fn}nFSyV6^G2!12B#d% z1$XcVt?b#}uy{pr(6I0J%~Bd=2O`uzE^fB8JDOgy!7+W3gcg56?WD!e6F1C#Fzd!Q zuP-$rhxe6=@H6-_74~(Wlar|OHd1LjmcF8DiII+r-7+m)BO zY;BF7f6F26<;qLMyoRa4tf-9Rcg&;8epYR6HvF}vm+|(@id_xD8B^9X^Ts<{B`ZHJ z+@>os^3iVL=l)TFt2!Q*Z+zisZF;t0cZsaF@#`a-<~JQSZOm#@tugNu&Q|xhMplZL zHCaP$nM=Z<88f7#ne;=w?!iZ+!Ykel>@!HHQA^!9tx|ZVL==k_~E)DE+wnnAALG zuZGsk<~LfNalSXz>irhR(cOuYRXulQw!T}O zzd!Gymg32b-s?+pWqlk*h-JIqTb^w2Vuisa`-i)$D{qcpZb^8bcZ@~L53}C$P$Pd^ z2syhl?ILBf%Va*`Rd3AJJjgM-MN17^-DVi~Qu^G89LFZ%*QZ_wzqHsr?XJ835(8tI z_?5=43*TNVl%2Nu8tv=WLJ7Si#Vb1m)_zcG91)SN)94#?>`aGi%z2-+YefaG{V>%S zAN0dorQ%sH!_``I*<8=1@t?kqq#x{k=6~wVF3m*68_De(FHc}xBrPvJzS^y>;iW+` z!B;^_MfvD=sm9e)FYa^S-<~Zec<;W&Rkg-bvPLBnQ+7^x7W%m?Z_dRr+FLive6oJ~ z_PL8jY;-j7=I)z2RY&;UAxCx!FD!$!ca;6u;s5`O>#IN#^65wHu?Y@lM1&2FGUCI*cU9CXern|(op%nbW|Hd}(m zVRO(pYz`WS%>lO&vp>gSbI>?!4!}n^?{miEusLWPHU}zE#Kz~aIcOX<2Wo_ez0YBD z&^T-kRMNtJpFLiGwgh{waCKz=VM|~aLIb)!1hxd`nQ;XG*!tlsTOVBlU7!aH@1f8o zFxj}=S^V!Q{e71N^p1mR<4u>qB;)e`@xQ5*-q(QuM1nm!lm-MK8n<9*8{)g1aMLmo z5>%)v3Kgvnr3C?!j5~XP|6QeY)Ds>ggW6L-Ervpuz$D{31ekKdb=LmjOK^H!41q6! ziN<{fkbc8gG^lSzJi9*n3+h6^ql^Yb<4Wq`e`hIFjX{M2bZ&q&Efbc6hC1;6eArjL0DuQ=iU*I-|B#J)ul0{EaVaN5jR%;JG(`78V{Iis zl|ZYVL9+*c$i}_daL-hM-GCIvE$ zH0Vi7h7x}|pkq{E_yK$9-;XAejeFW~w*x@p1*8sWkeqr-3Y0&?<==zK_D|{^a=Tm$ zihHFeY8ngP8A3T(a5D(B`-0-de+QmW(s_^t#oZ1-!y=$5!(IasQKJNa?s(FaG1<5m z6n8s-AXjvAHJ}z4D*?6l9~?;Rx18L17IzzfTKc2L4G7{y#p_VWj_6i{ZnS;}l-q-( zVL=?O2NaRgSO|V)yWM0cUZnqp2ib4Z20BCRs1jenpgA{czk@3M;XVWq5Zv&;6tDN2 zG;U{z9qHmL8_->t;LVd!OBL8|;Dh~p@j9FU?!HH;K@raCmW-;1p$-J8G!jJe=(aRo zy}vNoxRe_HyjIlcp8*=9&+SGf*`&W<*8R5HK*XAzpulxGF%%K<7^tg0%HN>AG|&%> z*ZJh;owJiB_{s)88rJ>`#duhsA)rV6J82FUl$&@CJ~G~$4Q|5$w-GiQ;vyjT8&nDX zFZQ$F3FW4qvvW)MP8;GFqRT;$xkBC~XcJxyz_5AaG6(oGTS)+36A?g+5xkM73q1{a zbO6I-<-+?GxDUW)fHN^v0DFl=aE6IQX~)8Vl+pi(xi62Ws_ouaBs8FiGNh77 z;T+B&NeYol6eY?~6p>k>K^jODDGjDVNf8+;V}q$uDV15KN~Kgp3HhyipQBgy={bJy zv)|9>``2MR?Popr-uJzR>sr@}e)L_*Cw+cN+eaA}=}#tC(&U80OUTANLW}j@G<^0I zSVGlF3!1d=+l+~aUfYV^uI%^Dp89HhuSnrpX^D?3#6L!Q9P^K&ISIS*Xl~&7Fg``% zu7tLb^>TWFe5?LW%VLlK80){bvE83XVz)%T8&cKp`!4D!Vj(UmaI-C8|AYKzsuG_0 z9szkgp1M22vUKg5f)b)=t!tXU#Xd=>DV-dcA=I|{7G3IA+sa2ZQV%2U@N93lEIgE| zvGGw<)n4b;Edxm{;;v0^-|{B{di~a5N3N-T^C6EV{1bVLZ~44X>)Gt};Y+!JNXdb? zU(JoO-~6_@p3(7F-`AY5DV4s*Iw7#7{kub?hw|OP5i{ELtaC$-~z0_u0QN_{X&P3kl^Y6zB$3`x( z9%CXYbNcezDLFO*Pm?xgNt^g8zA-w%xo5xgXZwZIL^Q2VQkO=$X^{?m~g-t)~0S@$-#XidITtmS5MXeeKIDvDRwMwOhu@bpE(-`%0)|jN)pCmQ%h;943YRiTg_h zpUu|IsH$8(S8>gOn+I+$Tb37Qn6mHJXS;n{1|~ew*t<=KeEsa9Mh+W+H#=31x`tkT zu$iOo^?5yBOGEm*=TEa6tnHL{-q$9z-z`-=dCOB);dORO`SK`5lkrE4?L7D8uRoc; z>!jY7LO+k_ppU6%XnN-;^wO$JqtXRdM+co+@KPaZQ={uv8H%C5Nn(qlzQwG`-lz9_ zZ8&A=TY5DrIQW$1e6!but}b)pw5Iij9XYZrUG|H$Mt_!z@mSqa@w<-1Hwqg)veu|M zJpO6qSd(b=ixm-_8TO%TV(%p;&Ad@=@%-IG2kX=NA#c=#1UQ~0hackA3H(y=MPcr) z)5caFlOtZs@Lkhs*|wn5I(|C;bom6C3mCz@u*g^7U-EHf`Ms=%e%V)Oro62QMht$s8 z&-3I%eyNn@rS!ssdO};L?VfhX?2vD(Y3mrF8|zz*S9-iMX<9zldF3NIU%ESKMT@NO zpnJt)W~Xzm{+c(6pOxjsY z)3qO;c3kD4ByKFjVewrB_(C+D9=s1=ans_9@uRvvOvyvbRhJ9pxZ`<&*qB-=c& zoUF6hS*%MfS#YbnhVIVFQ{L`TzwS@2sN$O)RZfNKu$+ zNYAJ`vj=^W<6eEZqFw!XO`FZ}8C{$e3m?2lF&?|>vqxhI|NZ_uUI$K9xZV!TNpM^e zWqdw=Wyj9(52i2nEPb6~e7-|xYwFBrUDzi?|yI$iQV2@c>LbpU%M@oBZW21b3#NH9i3l2f9}B-^1j!*PWwz5XxJ+; zH*>wwj&AA;MT_fhHU_uT&us2a`88{x{DmOhZQFk3ce}H@vMVy%#vRGH>X@WcXnd5k z`^Rp>+(*SL`ah0}>!AlX$6s@bayI|;Zr8q!vexS6dYYb*gR}a(e&gIP-K@H?G}uER)R?B4^j!T4m}51S!`zQ;t@L2i@rcLrLI zMhY{2XWGX^|Dk%$xXwiXDNOXA!bJZmO!S|^ME_ylI`jL?`!Ugf!1b`}&=Af1WY{+p zRcEZ_pHK2%D$F5N9rd*-18Ap$8vWrDT2oji!VEwFSJflF$A}8mPc-jwa6l#%Dg6J1 zg!#K}z@oT4RK>waO zg*ufAn}OWWS;K142(KDIbrJeKeggtj00xx)(jM@;Xn;(^FB{r2gf1He6+cm4( z0%!mU68VSeAS@4!Ax{*P9fkub*x^p>xu&Xed5GpmOz~X@tKD$L@fmaYe_!Lwr z!DRwcfev}7>Zt%?L04scunpOwEFcTwC!az$H&QXjYy)r|3dzve#(vfC8@Hf0V5d2#0G={b_b5e#E66m z-3iJ_2Nh_xApL@YP!J-)Cu<<~k9EV#w%!_|&t>}R}U6ovSSU#JHh6F&l?38Xe4iw|Jb2zDv1j68HU zD=|ShjKM~8=`l1#2~AK2mk+bM*q*opmP&lESI z7#fd>4tHTT2mmQqYy^x$XbMN2sI23*A+8j^NeNF85ElWH0Rw;$h(iEIA*K~ucf|sa z#nN;_pD4)H!|MfZ9>7i&>?DB=$kr#Yz+;K$og?J|G|`z1JAwpsU@{yi;3wGa1QvKK z@w{^~(t1Iu6$mzvA&5Z41a^x5un}O5hbIZdGtW_$j7j~2zd{8Yw0`U)|1sNeQ6*OO zA@p@aR0PngM6)I6KpaGJWlEG0>;&8{#R^fxng+WP1TL^giNXJk2T5R6eo zB-S>h;{%LCB=DvTOga)I3P8YOe}v$jDOTAe);P!%U<@R-8IU?82|PW}{YGFMjvNdJ zH^H?d#73YZni?2IgK9GgcC0de1iOKRD}!J)U_w_8JUbbAdL*raDycAjMU@@D2_3$q z;aL`=E={Oyurtw|CNk(>s6rSA6#l=IQ2*EqI8iW0rJPX9Py&zWO)$-b3MD;A6_nVq zo3KS2?iP?zBEfGPY)e(ZUX-z+AyB6Wl9MXiR@ddX|3wWwoo*<~26%pj556T1!VtajnfRcd4O( z2cQ!})^U*5L4}BCq_mExYFE%DIQ-d*Z{xi~YI%xot-haJWsXQlg{`$QIyq0sjIzWv zL%PN5rycK9>7>R9;$e~FHlLEt&g`>@xt(*o@aWesC+V$rW7S$GdLCP#SP~`TAEFsQcx#XEo3Riu5cGPS~l` zyrjw?I4i7b0?lON@xX^`^U~B6+)ZmGDFK03s6Jei1Yg$9I(fhS*Le-qj`aLIF7chW zgZokxkKg<8XhKTmw}5v)_3TrgJvHc5+AbcEZ1`e(e4x~hjCvE3E?RM6#jH0qvt3I1 zsr~g9DJLlnnL8bgB~Lm_$7ki6a;5j=<)yz$x|5-`e(u(?DMeXH<5r~be7qMtb723K zw2mU9MNwB4M`*ma&q!P=yM^Ycck$At^3a%I#Yq}@0R`{vW~`>^e%W9($!6RozH@3E z4xAS=0%8Q^?ebqNYB=95m#^K+mBC*#pq*)Ot|q1Kf&aY-uIeK70uCE)y`sA@eMXBj zy#y5ml^yiY#eaV;J!++&jG2m@Wa5LLN~3HjD+~DF(A0g7@z#opDtmG?=jhCMDCeGe zLC8hp$o62BQ7{dHAObvNLn+62D~?<`qWlGB$8OvH$KUlbvo; zz8=0y5)+%f>8sk6KyfGYlptXjVfjA43)Xj9z2jTkpB=D1l;PVr>SDn3v3wT=XCE!} zTJq(_=tCR00t=qC9r-lDfV-gO_Qb=Jdua<6dF5<+SY&?4m&<5dtdoqlY~Xk+{&im# zJSbnDM#;*bE+O<`wrlz4>BmdL3i>NOAOG401M}+k4S468l&qK}{pNERN4e{5o=lOc z&zEJ=caBTU=1UwKI^m3-W7?LwHMu?>UZ2}VXV*vu9yWP*)1BM#*_yf1*Q+;t6Vjir z7`ydZW_D1)RoUnOPI*;<9mid!bRy_CRH43~Vztwyey{~6MUR|-?fD@v~Rb6bVkigdAQrBMJG!veCr|&y;`A1B8QiG9A4+Apy{CM zE!tOm%e6bOpFjQ1tOqPO6)XyF!;}aZzUxm;1%q?&uQ?R}sA4{picLN;0vMXXC7?pY zhrBKo8x)B?nOp*F1S0yK`R_nRj`2N)$3`+ojNcjD1S&R_4Sk<^KWye0{EkidLZ1w7 z0u`HIg??w=kIC(T`YPjoOl}ACoHKuC-jB)c09>DO9fR87K1^;0=(I7fGwow?JE%-< z2bIa~Kw4YK?mt2X;+yRTl?PDW5o(|#Qy#$L!r?V!#secZWJTNvRQiEnl?K@2h@=NF z#$l5!AVos8ASj`YM0^0VZFm)#@n#ci8??Z}Jl+4;2Bk;(i0XR7RZkh) zjacJAkyRC_;bIf_edYctwe(XfvQzap3Dvpw>tG3#Rf%J%fyM4uAj>y%_)_2DTK>Rpdc-AL5>Xlrqbr-ft8N&ud}?Cxkvr80iV)bpa!W{Ry*G zumJ>6c4TK6?o=awM5_u23t`iffp7&?AQ)H$CobzE?T{tIJxnZqBX-GvC|V8g+uGQa}xNLd~lza0P&pja##;pab+A5ei> zGz1-RR!&Rv%J?2ZzAYvLR~*{};n>Ybx=?TNKr*BAyj(PT$lu-tY}aVB7rJ_GQ0E`nTB)i z#1n5xkh+s0btEHh71HU0{6O_D9`uiw4d>m7C*G1^OVXhm8Lb;oAf-rfoT&UIRQtm= zoPWoPUBtd@;08d|4YN%Nh*BgN=BA{=`q2HuHk=#93UI{Q2D*kyC~=3B1)=IlQy&ms zcE>J2$+!aq6$J^k4IU+;D>E&F0t1O%W{frh?$jMhASDuc{f)K)^7tEfjbR7@_jt~hSH`M`8QRhr+TkkvcJv!Ql*u7vvaia;b}Q}Ik$gp zF{?VfddUwSy()i!68d)2^xO5PGUQ{r7dTo^NT4`OnD4Oh<*P3Hgwy3Wcr+)rzW7jY z7HN7ycZ>dxuJ$Cwu4}Y_ewQlx1@TI!;>%w%zrTtdKkHulK>GEEiXSNfS9)CYa>v!!ZP!YAd#l^!{U=`SV~w4T+h{!3a+W$>6D(S4c~HXE zs*qk8!>24?Zn2PFopr@@PSDjAeY_q5Z*=;4EZU{JW6c*>1yA-PU89vf*{7WF<7i8PH zpV8Ve%5wCnf!|j+fJ@%UiqalKyd6A zj)mRRV&^a3A8J{vD&UjFX&O-FF!?&AzUpz)yJACfK$xt=i*x6bRVAfoDF#)ZFA5DH z^Bs^6>BtB;GWU?sn~TMz^@msFzW6b7%Q@R~(N}*&slDS5GJ98Y)g#ib($7)!0>{;{ z;c1WDl>AOwnz>{|w^|mvndcmMwC+sxolB?5LOnX&0qY||V_QcV{Ya5Gv^HQ; z7Hyf~#OdpsWEW+W^*&bae{Q!Y``x!LgPR^c=^IWR=~j;1A4YeTIeli^TshZ({_!&B z#3t_%wC8jv&bIB5iHOJlI-GL?xJ#~vF>J43f*r<>1qZmNj8#s$3|$qR_f*5Fwi&d#nJQ_AGf)& z&U5W{HI&Cyyi~FDiKec{FTcQ=KC>)D674-~3bkBfWVmOgV?Zp$8nT)W*>Uc?}bKe zf1OhK$zj9Xce{-hXvSIVK2;>kmF9IUQ9O65A~P)MqX#+qR8{6Gr=7-Dr5El^`Qi7f z>c+kB6B5Q%9kKU3_iFAtv$atsOzuU+XrpDuX1!Z(RLm1p%bQ)}PRz3dyFe`n9y%Xu+1Q#Z*SPic=MNB(H} zRmflYYQ>oH;8B?c@nTMX#okR*{F4niZWK5Lr)kt$Olh(3)cE%N(ZPgI!J~6@#d{Sd zxxQ4G)SLcjw5Qgnje9F347;};EN$+Z`7XaRuJ5Aoaqaq$mT}+m3dI|y&QE`#-Rbi+ zYVO)FDdV5ZPeC8LM}ooaN{;GdX?tx&g6BnFv>0P@v)iR9O403m>uA-7*JZV}9^_7- z#njr4E)nbT7V=3bxzVyMJILeSx0QAzeYZWoN?P?6H7G}&J9E3XuReG7#rlW*3tr`& zwh)>al#}IIP&grNPT6#$+QWV4-HdH^S$T!cFeUeunU}nu*gO6G`k=LVv=pR{a4vZm zTFUS@f1sr_25R~5(NbpTH4a`fh#z>0B)HA0s^~@n%VP0pTq%_D*8Q)`|kTiyl zJp4NbN{V!Q8GmQqk2#&5hD~#W`!lE0(;%6|zQ>$SPh(D}r!l9~)0orgkxn4vd(8VW zr_&<^HS9Vzfd}r(oKBC>KE`#XeGmyVerMXpM1^TgRG7v@h5tZHv9%#ISo+;j4!|Uo zdPmSvj>{N`Zvy(SI?D0gjf_`^*yZ{!I?AD5J}l^EyvxKI_TO}rgJrmkp0U4(we7#? zC`YE@myPgZ!++IL4%BPh1H~Vi|5ECK^51lngJmopC^~oueV`P;x0T>r9IWnApxsPG ziA_x$dYN#mhK@pFEd#=>GU`Zz{sN$zK)W6kXxOBsU>J)?F%Sv>xHTiZ?5qNi3kA4InEod;A!7)iGMkb(SjJ+}2roNBpC#0cepgUc zVC~2x)}{?vwBf}W8u5c}RiLN^Ed)@^Vzj`iz$aCiHTd|uI0ND>_(dar(ya;(*aOWBpLK3=eP|F3eWyD*6x*HUrm7(p7PGM89N4DY458_AN0xb)` zAf({|>!%FJEku%k5oP&fC*XD|@uO~)5k&w3ZPbjF4c*&V)t>2Lz7@8^tSk(1;&&3!`a3)*dz{5-dPX?jylQ z!7{v$!g5+d-!L+O86*s_0wpu>Gt8;%|NQnN+i*?_%d?5K4ZsYBm=mlUatPq0*orwJ z+i*?_%l!$pjRZ~#i8+yAXVT$BLnJ%ZzYItEecB@1a83%VM-Xcp)DU2F!l2w5LPu4p ztdEV~!5Xyi4Ucf4bClp6N&@98734jcx?vbt$|m#%$AL49@SK1Ix^KXD#lj&NTL$nW zM3c&_mu$$>WRb66y#>TRO=v=;V~h$&?tsce0{0F)0hT*-$m7Jh0<5k@sAZ}UpP^F? zQBlAy7~sS<@&;WU7N;8Ft^l}UnBYEUniLJ>_W$D0hb$N_48jV3gf1AQ=P+&y&I&+A z;mn1~f)YE8HTWie!G`j`iG)Od!=MB5*ZkTnoUE61z zll5NRJwQ3KGF90<)oopG-ntyGgfmNdIu4#+_4%1#ouSr@O9!8e(PQeby^=&ic@2dOOtc#Jnp zTKvMoO5m((yy((cwh>b^pE2{e={w?1-jB(EozJelC?%ef&g*63VG=+@8;p3^_{EOpwyEW7dgx^;E^ zs^fnCl5OK??uk8L|Gky_#SC8$mk%MQ5|?_Mv(6l|!!#-Xdu!?4Y<1-ex6joG&dZ-J zGI^1!Y>CXz1@jHOjo!{kq(9wdsIudToZRUEucQ;2R%5;H)9oDQWYvbM2MUdv(C2D$}$tCg5nmZ2Kw7Hs5ZT!L5 z`({OT2b&(obD2GCxH-A)a@)fh$-4(4&lX6S)?VH2{Q9cgP}Veya`kDl+td?RkL~wbxXXk0P-v{sq$iedUb{U#vN-6d zFkf0);UtSG-xr4-(7zorbDePa>mIW`Wt*gVvR%IP3?zzam`ZFEQeN}^(S+;wbtIzC z`z1bUtQVoaJlnSBO%m7ZBo6Yn;EoHaA?XXw6qEa;wvO5HV}Yy5=ymTZLefXeK3VsX z$Her>^2Ja1%zqwoGx0O73S0VwFSpA)=j-Y9_m;lV-<1*ZiN9y2B<+*K=J4B_U&O3B z(UVd@-@W{i;8Bmu+czX}TKZMcA6rYDSeLQhe}h8Bbyf3;&oBC1`sy$-Ydc5h71zqK z>6x>3&NsO{->oug_Zf*2jkMsNYj2ErwO8DYbzfYgYF0gkQ~q###i{X*VjET`&sQCt zJId*fnVeJB4YS=M1CN6?WSm^}>(R$fk&oj)wtt_Z`PQ!0$Gf~r&~Env*X+#WhxVD( z-pUW5T7MH4qfZi}U;6%~Y0XwcJ#xz(zB0|af zzsn`vr+3+nua}-bq)I-Dd$8)UZ|8H`p`~d@o`GzViN1gtrT(~O z+#83Z{bS!Rnfw!vY0@z?@K2{Y4AW#dj6X0+o#`v9qJ<^%8sOeDs#jZ1FQA2&2`8&ftIyMjs?uQMZLZ8h0F=tWJnX{IQ|c;v+NS1;L=p81M;{%mLLEp65uKL6K>={G50_Fl_WR>S95Bd8lVX zqcrI6lm;gU__^}x{dY19ac3RNIB4r#y$8bOh5 zxRmaHFpU~!&nXSzx{jz36xoK$p0Fd6(BosU4-`!=24$v^^noJFaOVe9ZT^#x5HnX9 zAr(+@hZ+zKst6QFKS5QVWrohE)8bcaXp%&XO{mEMooT4N4f_k!ydgbjjqv55#xpBY7H?Af1VLuHBbR?2OB{RFPIYQ{{f_!?Feds>u_#> z5eE?Z@}m*dXsjVpZB>E2g+@@TP}qQp$hP6@#)wUbwGGf6B!Y)@QdNOAh0tN8zYMJW zT|Gm#4fg|#c!^lsppk$M6mn1)ht3+%rUoAcUE3_*wm)ne?g$t$9I>`RB@aRls5XL& zhgMF67sHSMmbMMq3HVhboLB~fq0621xi;j1;-@Guf^R|}s4^*Vu{+hR3XF#*=&#`W$O{}q&Y@*N$9$f z=@3c7xiPpApi2oL752-9d))wEAUIYdqlqwx_yY6-gkGR7C3fTzpqAi*Z$=JI?5ZJr z07?WT1Xw`JHKO%_coWNI8{!X!rv;3v0I`-K{vLSIL4QDo2~KQxC?4ry)G3Iy3~6&9 zNRhci(WG$@dSSU{LrxDsVuzuMNZ6X_R}J{KXol|K4#m`U{zA|E!#12h_#e!qhCXd2 zpm8vFC~8Ee{RJcb!!-O3CEOv9kOT!(VFtG-&=Y`5%6c38VHZmq9CD`p|M~< z3mIhOU<}QywQ5BPw8@$;jyc<8CUw> z?Y=Hs5b)*OfJ0K6m>MPa%CF0xXYX8lZNaI1@`dxDUA6|=WzU(lpLcyFDsWZOBwt}; z^y>IAG4)H+b(~*%eX*q)=JN)n+D_34a_ih^Wvl&`f1HR}jC{q^mbsLDI+WOjw3vCu zYO~e~bjnCyT{K}&<@>EigIjhRS02ebYxDR?&$lPM6t#8bee)?lL6TTh*!q)ve$yWR zbl0B}ckCkX2WoaM?02x5we+2MfmZ7Hj#{M^yax}djGtVr{q%&@yshi&r>ahktBKq8 z@mR58GtKqH%cVOPe(?(p%Z|)+cs|Kcr(s$x$Hisp!LxZ1me0`c;cDKkA0T$XwWg8l z>%ketg$=IZh6n zcSOXnc+;x0SyFd9rCdJUvRlv7nc8?Z`PrQq>DY*|e1Wr##GAR+H(lNA2MwrR2hZFo zJ@aL2XGgw^kC%^|g%jmhwtam>if2o=t@@dj)qaP3r?u3lyB-m{WInSqVb(3H(3~cN zmOJ%Dt>=~&WE^|Cu!ul1~s_|knm zmp!J*>reLCzv)C}$`d!PSY;v7>-+1Q$MOp-;Aoh`FX7s7VY9Za&2wHwh3QdE_m8GI z1j?q*33AD-cjybJY31ElboaLnZobm9=f^wkqD&pjUpcL}w9{2OCU1Ow`*p^Nz^ z)-;V%+&86rbLP*RbM(invdr9<(4oBa>;#iV+qx5{mLE2{I8M;VXw}+jdYTbj^5<7R zu@7rj>WZ3SZrX6RDw5>TSZMQFQhSxa_rQi?mlNfe6r*wto9DPJn8xo`wAGStsnMSC zIc;V>C!{V3OXsJo)rxd1np)_5!Qx=i$_*}8#8=3L-jZoEyZ?a8ddZSi+$OI++07d_ zd3ju7^x=g;CpVul)j1Nsy7_R>CEY8An>Jd=3a$t_$+e!>~om%VKajL?Y)xHdYuQa2ShC`L^Z4Zh{HvbX zD1{5zSsPeB>gL%TUhreC(&FGqUUxOhp{oW3pY~*sN^gyp+NUd}cpwGZgYz_gbjbba z5YJaTen&S*`cRL1s%wDXPU-_0@oES1Gle3-7y40GPw)J6)MQSj;%C426>H7!3yFMP z)40gCGgp&-EdA>IvaU-`8U}BI<1-h0S@vdu|1&yeTjXLpdhluc$mQ*NEicZyjK03O z#ry^R)Pfb?HyGGO+HomzhxoO7o#eKCc-3^BkKvm~d&d{-y`4+_q7(5x;=E4Yk^Q&U zHk`~Ua5lC%)p)EuFz3{VpXpE6aDNhA#M%9G+UhEu4Nv=<9BOV?AEj?s=e+)G^WOR7 z)4%j&luGhmce_@_wW=oOrcQCZ7f+q`@L=V1uU7MDo#kl-mZ8aCyu>)u_U^f^9WQKa za9-(lbNGZJZsD*pm-dsbj%1Da(w+-)uN_I6S|^UX%8J>~JzprcC*-cV$HkE3OS^Ly zt@Y}FAsJeIp+Up=UE4!QBYV&VQKDc|nI!v`{|;&v*0 zXtJJixvk6c&S;)5I^6Rz>ShVkA3Ycqe|*85o9lk?oeAU2$Ty^2+uHh|Bc{_pA%VNY zXg0sXz%Nd-jcz?hFC0!j)uo(gXZh7#=8{vUyhUqt(xUW5BD(U9hJgVmswH>iQ^rv& z8*{9lQiSbAi%PxM9XzW&M=L8ox0m`zGD^I}YxdJ?1EB_W!qcZXdL3~;RVO55Fd@s+ z@A`9t2Cr_J32o^j$z{*yMX4{iG=88ouJ=u^^0KYRKJGK&t*~N&A+sn+566%hfGnS) zk&T;^hwEk=Hz_py4KP)<7_uq@!~N@IW;(OKmCjV}r8Cug=}h%rI#a!u&Q$NEV_Hjq z(K6M0=}h%rG?Wg*c$w*-)CaOn4k&z9R=FxlZg#8`*Z2c{#=xvVSmRo zmf?HM$;@=-WM(?3gfRZj#G09tndwX{oX*6;=}asfVFuXunOHcTiG>gCgJrA*u>la& z%#h~d;HY4r+5T;?@{m{-moO2p@;2BDJIGxf(R5`zp2&Dzh+Q%$n5m)^SP7O6wGIPf z445Ljc$P&0jd+Yp z%CKrk?Q=xqm62_@?1^}tHyBq8h!|3o1&jn72n8w2{so0%)D4C=7ctxgp$}69;potP zFjzN$QEsp$Sl3y8Z%({mAN_wYR~Z55z=dKu0Trl+D*t6D*$~6<&yjds3@WrFAa|kX z2yJ$NbVAvT&U(pymkyv+mc{!|I4}lE@o0)6h9&~T90mjf`+>^ZwjryAbDdb+LF|hL z&627R?hICsRbb>7yFOUh!z>n!cvuV|ehQL?WR{PiVUm3xEV2#%vJqYfRR)M(38K6I zAe~U@VFy(p+whN+@H!}LM^NMaT|P#t!ED=Lk!iT{G4V<&m{2eTwS(njBoxfH4;I;m zi#muGRDmhkfL4IsGvFss(grpM44PB@r~2`5IXp&~Pw4hU9h^ubc+d|31jSY(7_UGI z(|RFaAl5MG5+)%s8iOc=&FY}DfvoZJql`p|Si|77pg@gP84fZUOnX5)l>8SBiQjGj zEW@8@SaL?JZNT$Vf*cVMg_KZZBDgHtU!3P3w&6}REMX+pHc%TtBEd*09(DGk8IWv6 zg3-F+PBg&ciGJCjH61m=Vh}&j5ipfh8k;N%*@i#T2=@deNQwcoM!lv0_FzKebPAg+ z3ZUfS2q*ExX(Vr}ipa@Ko)8HQpk}8L43^6P(4FcZnerfX zM};ReQs^#9-wk>@eLwf{Ni;P+$er#}TXdwf+I5Li;&Nl^oAP^HS50)2LOQA}ZXb{y z$2q262^=FljV&V0uCJEteNCX*B-A##hh0R6q39 z&S;-eH7jeyrBL!cv9bkN)x7Ii2kj?PUnD1=IY+w!h0=6D=%fdrWX0T zvBDe0pwmY2b>6ePb3Z5QdntS#m3KWsXzac0WXr>|T0-WII)703R$YRnE^+R$%r2|}Q?ViAoe#;aEDb-EF`(D?MtCBe>xS>_kQ z)ikuXd|${{euw|SK%cPdUKKe78S1vZdBKYVh08}ToTV%1F~&(&+IX5_?1rzBH`ksE zpR4|XWOy(zYf_oxq{53!ZhP!|eJ;1)tZb0bo;$Mq-&$q8H**RG@f6ZE#tSA%HmN^KU!u#2+|0 zOZ*Tm;C0o71N>U?NyYVvD^EB??DhXVf(GQSIUEmYr|qNi@02RZ zaw{7j!ueX#^r1{;5pVg4>5sfZ17~kqkg`Uj%Zco*M_rY^J3r%_MZ#BlM73bu^kPszF@GIx=F`BfM3thdkJ8}mhTuHPdQy;Rb^ zYS!|yuVmN!8Hta3Pq&;`>z%kfV|yU?wPPo)u3V%E6EM9vVx;EAg!JxjldXHhnYv^> z?^EM1qsl76WP^h)d{1x^+mUhDEZ@1&eu}|7u1y^E&$u_$I$Kf9{UYRI-JEcvre*u;kL_brr7Sx`-4;7e+qcAi+UL=+d&8xv%jJ_l zmzbVhqCS1wo?gwz-s;nf96wN!-+ScC*W0HTC)YeOj|vSR`)-;CanCTz|8D7k45$_$X7T@ zIU%KCdwHSD<%{V-JdrkB;+jrKlGB59J;I;$k1zh{&mEVgKhV9=G)U*nowwKd z)1@ORTgA;QkD7UVY<^eT&{ooAC=x4|w=ns0%bbrZ>q=6hu8`vLQhHV@8@zrv&HHrJ z&g~_<)ZB|9_qVkGKxm>Z_G-82$%w*gQ>9~Zur*q?T)+OcPW_~bVXO8)zrWY@ggR@% z(@Rzj%v0DqJyJwuX>auun)YU&maf=Giq;RBXG>@o6@5v%ma)BH@iC#4z_k1^nor-U zRc;;NY#f`Ci3bQ(SrnIt0fY?C^+%JbDg&td_W&WYS(J|T85v2bGKFkanL@UzOd(qs zERO+f2)aQ)8w6R*PX@rJ$`rCyWeVA@OSL{Od(rUOs56@Jg1AOiAgVtJ`SN@D84Mfja=LVPqP#$&jEG3xZ`8Bznr; zRRMG`Joq49;!YU~+A6459Y#PZ0Ygt2K4Cr?`)7*Zqr_vd!1F?*6$Zx|D#I|yzk!KE zP7j`**Kh><%HYa1d-AQm6&OpwaQC?-@gYmny;+i<&p#n_mCDcpmGwSsQ~ybioNq>o7G z0^(hEy8y4q&B!qcT{kqWRSC{=Y{(~gEQDsmEE)E;;T!_-6WEaiF$L>ngM0+Z=OcLR zFTx;yJX8E0B|JYsBE;Aj1Q1Ao?mzIR0FSYC2zW(qRjfQf>?4J3iRfQQQx}siqNsYNH+ZOM2GV@7*!NvZA0i7nz;d_64cCxP7>hs zvEK=#;gt_YX@^kbP#{Tx2pZ85l%O((l;D*ptTl4}SU3`{_<_}%h&2wKA&7JiIWrYW z5rCJcvf*5IPvf;XDIYnIqOXI7NVW4*LNF zLJ&g%jX?ir4FZO3xcG>8#y$xhBWT722qP#1CP{)yAelA-k4nP12I2>^lRyJl8F=T) zuwtqZ#35B@I1^ZIRz`UezYhra320&jl(Pn_laP(ll~{ZE-|-|cIfqB##FOoHXMVh~zafxvpi&^p!?Thh=YyB!AKD=^PPQLj5B`VkHgHdOlZcF$r5!LmR4peT{o_V-^;;&z=k?qe0`^*YW{3OVVVRrFB&bcmaw`ek}c9#FV zb=`YOXz0v_!+ZT3Z>V*+1@H3a2}*66sAJC4yJmCyiciz`db>GCn_M!HS8HBUwp}fj z+wl73;7MA7@5KeRx8zKpCz&TQ`Z>yFU+RxT)TzdUmY(r_akLbzEMS9jS+qAh7!G)g9Q#t zFH2W=&3*OjYhy#t_p@5rlbxvDafMN87Ek%My>ZUC$Co*CXJueb(kaTEf@r@qf2rj= za%&^v*NdK!y0}T{%jkJcwYx%%s9EzK3sPJar<%B#?rut3HLLCXrS>$pAMXl0JuFXd zs}<_6aOdN@`X*h>obME$p2Ma)7r*4{U)R{tcRz1JKe_UrN_jCyTBFd!8ad}f0WZo# z%ClVy16|)`yOvi@s$Lx!WFjRN@>on#JY;;+G)m@&;PtE4bY4)Fzv^%5I@utP>>_72 z_tew+{YKL|WC}YT=_$YNobbA#*sAf=Ve61hqnaePcwb!Q74WI!OZ&3MsT@xabd0}} zcz4cq(cKFowB?^q^3)6G0gT>hl(oai^1xV>6lq|{43ue52UBA=A@ z=<bQT zuhkFTd>URjYrR|kWOXGEy}`b&;Qgsj9*>%>a~jxGUIzfyb3c8Ojs^#ysct9Z}ue5h#DD7p7`a#9;%P|>_#bZ zKC)K3w|v&}wR?C{rfu118B+FE{>Z&A^W00lkMkL>n(@Kc$TQ->(oCImfwoVnV=A)9 zH3B<)wZ8MvM6U^M^Lt*meaz;K(>w$Y2Q+d}#;+L=cUZlJXFHE&+Jr_*nE6DddFy-X zMUpPZj*;)O)*tt=T`FKtz)astoj4w&mh)q*#^{J{<37h9{Wj9^t+0dg!O0xo_BL@P zPc}8Y%fXrE`*G9^dAIutJI`e6R%)(|u!`s1AoI9sVEl_p^3{oM@n`G>W@@-9E0 z`65Sid=h6{%KhxiVIC$sD#lhO#8?VUTU@_cV8e^5qPSc?FK*lY)$44jTW#I4@*gEd z-?G}(<~^{MzJ_Bauk0J)vWE3X)VD;%-nW@7ayTb;Zu#RlOE3A89ez{KI&{iD51Hbs zT6}H#$sMBFNjc@?n?-!TpRP(@V_&G^q-*Z&^R4UGGR5r9=c@{L>NXsBHBG;vGP~%k z98csG&I$TY_P5rDM`{@L?RwSL?9m@!YQNujfx1<9=emz|)i*WPr=ETGXB(#o>pu9jVCvhlskJilIRC{^J8l^0Vl8#vwj^J8VVhFFX07(8kXM?L~l{|wKD!PZQ-@CR-U*OU+d`>%m( zRc6O3Nr@>x44p36onf>CQ-BzLV04AT4-6C*L7tpT;pA^}{)W=3Gth(K^; z9E;6Bc#=AZf};Zt0SzNU!I5z+UO99k6Z=@9_C`W=LD;brXzrmvZ$6EUaw_apyu2X1 zbIAV?Ya9S;Dp;`wwYtD#g_;BHFJ=58TXlFf1WX%}Nh=a^h+xP!k|zbW3aptF1r8OM zgUhlU^1D0;gccTi6^kqgT{qN!tOQjJGIVW2;GqJAIND#@Z~m|izg4kdhgjR71DT47 zy@(rvz*9I^z zu#Ry5fJ(ng0MH^t6`|xvzM7`O2Ia;4!|?JnBzA*x2%8*KsRoO6q zFzfIxg7{hA&}a^T5fb4+qXQ7L08U|*k@!};kN6)<`-UA#Lu62BZlys4pbRV^IAYju z3KHH)VEH|v=Ls2nJsEM$K+zotzRDnE4XE-6jKg~gtmZ(haj-?vAPq8bRcYuGwB0G} z$Vu31hxZd$A%s}#z}aJN0%XdO90R2OO4JefR}$V&V8t0?jf3+93TNQ`5#kG<;LJu6 zn2_*(0xK91YaBXG;Bg|cC5VNw@zHEL(HSQ(-cMjfEMkpAQbq{K2j>Nn4=5(4kwRkkMg+C?iN%0Bu`xoFinMy7f5hm2}cmt zk@0QP{vnQ9f6A_W$#_43mD-8j6yWe7{RjU5?I7^_k>CN7 zM&c;Qct=4za~k|T8UO;m3pMS*ARO9A5?C_cO$?;~6G@GLx+Vixfq~M$hf6P7#HYC4 zz}ntsv%A#7g;I)oj!-p*T9=U&`parpr_F0@+@;K<6jy8MNGYzh+2t-Z6f*((^-#Yt zNR5C=b^jmK2)7!#5TZuxJ?Jl+ZPD#`(@yQ9%=|HFpH|!~xYc+mdQ1ex^F+PDZL-Pr ztb=A!b|*RoR;Q|ls}IB+JLWFED(BObuh(QKA2)hc`Iz-4o2l)IJF^UtBT{-FPp(^o zMoL#o?22iNIv;b`_{<}3qp#ERP`sS2w;QUk8}zgN0nb~&%T;#Z=e zkKo79#Fa>OpzXMQ!eha&Pnv7|Po174G*#|x+f#c1^Y1BsS<4^o7(L73mcO9Ly?pye zd4-bef2Q`|cpK$>A>pmTWzDtp&XoM#78PB-wv*IVJjJ(OEx6rx@yF#;uWwGVnEh+p z>wOa0_K_v)tZvJ0(y_{RI+dDyG=o2EipK>ZL9%nz%=P(b+=Na{eh?uN;*O4br~#9^aR#{^O-b@@FB{ zn+mJ#1bUPOdgfkmwHC8-6Td*7^TM-Hjkh~pns?@$^6$cTJ&iOrDr&`kw7GoHk}F9( z;jwKYUu0Op1*y5R&i?mG?BaUAt8i;PC{B1RJK==1nA-SFMTX}J3%aDX`H6D9v9uZZ ze9cJXtuDzUrJZh8FJTd|`|Q!(ITMQ7296cvYs@Q*S!sU!;%9@=0sA!b6y<+WN&@#) z&->*VWwGF1^Rr5&D_VCo4+Q*7)|lqRGxkmoM?Qb!?nl=n`FB2P^*9;q7eCs!Q|Ae1 zRM8%vh0BjluHYYSXC@qQ+#)T!HGbK}C6i7IQW8q+D|?bQPUla5rKIm;r6N16V^j8p zfp|d*m)5xUcf2beJkH^}5Mt!IFSq?zd5^=E%-424@wfUH*rGY#_f1SR3wX=ZB1_(W zw2aH$O?_y#MEOQa(fC4{ZSjjE&-fqUemzn0pdqk2O7`zxXDKW5+VhJPH*XRL_u>zR zNl8l%=}FJsGk@=5qe8M=ZO{0-p)y=bqxNf@KXuAkKRh@RhC!%A;S8;%)5Y60$3(eK-A{q|}#Z%TB)>r6-`DZ+YX==A4(}zFbmYb3;Oe zr8_)io~Su&S~XglgV*5L!5sgfsq^oiY4G;TN}jV|x?)|$W zdW&qe6~tzw8prkglFMnGvpOkcim#}YOnbL5P*xt!w)UG|y6$A@><0HIJ{1<mik@0yhqFqK;->po%SCPF%e978W*8ypMAlAI87@Ko+UsR2q_9rztb8D>tU(@lH zANSu-GCfl!aASqOOyQ`rmclYJca`2HxE-?;YCY7(E&SZ>^UCw0hh+WDWmD7Q3KJ`I zpOe$|?sG1WRMg+S%(P%0)wioEy=sYs0PpqumoeQ(rP_qQ^i56QbZAjU)x;zYt{IDZ zgnjQlN|=)My=Z#Zg^Jm-Q3IFCS{19rs*dlwS*R437x7+imcfeWvkq@c=(cKF=KuDK z(4?u3xqT&08FN2;Xhxs?l46PdrLLt zN%eH?rb?4^*^C2Dnl47)HurXo(|Fity{w^G#&Pp%p&F;Fxe6;g zYT$^07?}l!17@$_P@CZ#4u=2wlfwZ~5AuNjn!^DsgF)H?v+W-=lLom7Oa*VaGRRF} zN)*5q1~&nI82sl91$78`m@}>62PW$Q>U)^ChAYfF!+&+~3iHlrJ}dI*@EzuzK@n;2 z3Uc4*PlN0d1eh_e4BmN=T|ydUmyib8B@izTetGcDgX|L0AiIP#$S#4gF3c|vvP(#V z>=Goy%lMBn*(HC(7>s2kG?e@;NB|{jz%EB1NWdcN8yfi#0=)fKiUh34BE+kGQU4oB z0swPxm3-pWzA#yHOp^eLm9Wu9q)7m#v4AW82g(;rQ37a$Qibq)M4|-9HWmwqwi=M&rh?Dl~NT0ObLy(Cn&OU>bfeFkB6>&lD&RNR|&% z&IH+Yz_|XBA`e+L-1B6(K0*xx-9l)kL{&Ca#{#|spl-@+%Bb+Bv06038C@_;4mEy2 zeG)of!KWf}20NWaB*ln7K^PGNu?xpkCP2k3z=}quOn{8T9U+XUg;?XDyA9JrMI+9E zT%ZDKB2;!Qc*r;wuN?8fJru>E28CufPyq%6_8BnG*Z?xfHe8945!?~Ga4-a(hA>JX zJ|Jom(kB4rQFiAW3)4_gNT_MbunQ2s7qyQe^5ux+34rFxYOCUtgMaB3l%Zvdf;Ha3 zAqJm&eUUB!!gCP|2vISRgg2Wxkf_&}#ZD!j?h61GEEw9UXyE{_ zM^GC(01z360~4T@PV^f`hKZv<4FQyh3LzX4DgfCI5^Ev`qpOm|yGA(Imy9}ip~X`f z;67|RJQzo14NCrwLRf`ISPD$&*@lRD@UmeLvkIcE!Wl>VOXKqp)9{DK|6q7BXsTfZ zX-Jnb(kgV?vlR)0n2N=*i?yK;x^4)$1^N-J7Z`__p&;JDHXO&xcSGTWaF2kr;*}5~ z6ywtX+6Rh1l)re=-={8^#%kRN_Xr3VrDFpVuwDTqAI~-($195i0z^243xT2xDK0d# z7&VJS_RL219KE3|mW_ClFTzAI-E(-&&~_!kwq+ZTBjfNJm3Wdby!Y^eA!P@2tO0(D z!X-AO24oxlepYxm~yEO`L%I8np7ysT-ox3 z+qE#A-t{sk&~Z=W=6H6J%y;N*izS1B7cQZGC=ns++vUpowzwJQIgj^(trw%0Usr@> zdz3I0V}1Ez)q9onp4lq|}BO5Audi?sfl9Y3XMvBJ$@ zx*&7OwS2gS^=%qjfkPu#)tvf+A4+qE5%Qvj=Gaeq4T2RckuS97BG{9N>KNXDWT`8F zr1aIZ<3)ECM0Yq5%UugDRHVnseA(_i8|+>uZ-}}gn}Xdw(MhnH)TztPcn@ zN|;oYxxOZCk4!yb>1@q6zrvo85cydO`?0&B3&MCa%iW5+&JKmEETU=5-<6)o(lXkH ze?bmH>lUbd8L+mI8iO3DZ% zanG?YTBZ8#TwzZ2o>CXEXLjf*Ah_tdTt}%z7b|J6M=v=lD8?RxrbtN&6t16Z4P@F&;k0>Nwl((~#>=bAxiLFI8b3cZ5N` z!6;m*P^IC!NUo90V|w*Uzi^{j3f%7>rQ>L;@sP?qWBQFAzB-44YBei79`AUUWGDCo zE$NK9M%ueTO9N}5xqZsO`uqraIz#AdSzlTLKIP4rop$La@3_D@(FAMHh+u4VTEzPU zhWyg3IM-B{k}F@(KMGgB0cy(GI?1($ED{rgHOv$4DT~HD-2is9J`iL1foyo{JcSLO71s& zStPaT2_ikWHtd9xUlM(vQbtM=4=z3F&VA$0I2Y?@Mu!JGDZUh2{jgUfwMW>g(R}e1 zl{us?V3MoG>*g}f`A^|rX&j8J{jD>FFZwOz2tWPKL*qrpCFSf96H)AU{md}!*~x9w zE-L&qav_qm&5BM=(*eK6hZoVM2jx=O#HD|%(o%>=zu3gdhvyVaKt(YXLK{hoe0Hso zZs6>z>j4YY+Gn+zig`=miqC&JCw}IvI9nLDr%}suDf7o2G8@J;Bd(_5s>BaeJ>T8A z65Ms$KA&EEvNEJAd)x2kRMhI6D(jCIA9u7&`BD27!dY`Et|gNy%-u<6pyy`wy{{KB z)_rjn)#GDQrz4IjK8LI_m(=3tAJvuJ!8F*$c%%xE4ua#tF(M&9&=w5T`%`DQgB{Zd zuxiSsUvQRq$hTEod3V|;F6CP3e6`CfV>u<;L3g+7T7W>-hek;D&X1 zEt{w*MP>tevxF1P5Zd4;s%}hZv@dG6)`Zr`-y_SUR$W=d)2-1T7xCWIiGE#l-#%KG zozu-rTukqMZUlPG#d9dfih9mm+4)=(;&w zk8$GsIa@s9=CFQe3$qh{_2v;EG21Sr`g=g~SCDwm8~p_&-os@7dyp9H(SWOiAlQ9w zcJPls-Z?;357+>}CVl_I`wG^8s^|b!-Pf=N?;Ie(`zqGpodYCzS5Ff9F5s&7zI0#7 z8oYCG=6x+|5Dp!jd0)*MymN5oeLZXN&cT_%&SdX|yJ}$2SL|zAgMS>Hd0*8UK*I-T z-q*DT?;M=@02c?L(*6neaq-_E;k`lo{jU9&**i{9V#@^*?NARIJJ^2wqh5W`Vsb># zaqu`|i0V>>}8S`jV=Z}r^Q6c2++&j?$gTPFvz2+ce0g}cb z+&H?E{i0HN&>likNvRB5<58n_;s7EC zP|@XpdVcoN97z6}=WnW}e;K#KQ}kbumImoWPKf0LK*5kQ2_$+A<32jJ{pD#pYLf1{ zx)Z&r>`+TBm;r!c6qE=7s0lPVuyFlPtJ>k1d)M!ttW^Nu2cZ5Rs~S7tGys%^N3OMh z+|8qW)b38;WK9E4KU6)&3Q9Mik_~o<(cl=(Yao+-cvaioo1Ca=pbL3d^qLJ+YOsU# z9BP~Xn?d{IIRlm*H9t>0M+_lxd#cxfN)+T1VGyGM`_a`TzZrMLz@4}|0I~ysd=(_0 z_JvEJuIb}suc3Q-L_+aj5SIo}94F|NJdg*0L5v0*$1oZ|#vNYU{snbukV%B-7WOqb zU{GNW>}cD5nWu-n)$ZQ=WG4gU5WpmWDoVg`?Fs;ccH|?MkY66SqrBC>ATtd%w%pK0 zcVC5r4Jys~8#$U^#_fnZ`Y#}d06_%O|jXS*d?nb*OI&y&U0aQW!v2SAqm;?vU(YF0^PY)yeyW#iA zS_Uu(h@Jvcb%t^yATI!r{bPzrz}EPPnecB&O#|f((18aDbhCp63i|u_S$bGjVn0i9 zvI7USrh#G(c+SA8un%m4+V|t0H&9&n*E(v}e?x2^9*bcSwg7S|)O*=_o^A9ldB>QCncgdgDGXDW=f*P*fKWNP2=2lL|4(FN0t@NFY zuNoWL8X2PjG}Y0`!C2oK&24a2dq`ox_6ky%!)>F+}n zWA16l_(O#ngSU((faW{Y6D|KHSrMLAgKu`sZ#N zU|eWH5l*bbl(3V)4v52ftM|>r`e(J`w;*BDAhcnDvx<{LpLVIljdlQ)xGkwuu+7Fo zugrI|Sximu(qK;%7fF*{Dsgf3+z+YrV@?hI&BYN=w``U*_jjyemrFY7*r5+@XN9(jO~^VZKHhr zu!bVC(vNOlY9S>g5lqda)Pm8jb$!Dst`iwMcG24}Cdmg(tVrmsZF{N;rVFeu#H4w0 zmL>=^{VO@Nu$jFRZjF_axd^b)glWU0NYYPq0 z3;WvCa^u;|7u7Uf-dKFUnEl`@p=5!GrjO|< z`jj*CXy-G#gK_$Tf0iMdYYJ6RRN5fpVP_1D1E&#mZV8+|AScqs`Y?5Jwwfp%FO-6{+h z;()fIc zZ@p@SWbpBJ*MRwz*vtYo8lSbkjTq-_dXWparisM$uZPud+$J+LO;2wy@Y?)jmxyEi zCyvZ5gCo>llRxvO9-rQOZ~i6 zU+sMCbu=Y`3E?*C*@=Pd7Dk7*!2?W6XLu##i#6xx8+-F zN6b2Cr-WXY>h2|isvh~_AJX_9{=Tk4bnoSKKFZ~lMnBHnuK7fkmIvST(PAdv#w_-kbkom| zcWsrfG@cb-Tz`8yiR4n@1{(^*tl#5fUUN65cscMJwRG%ejCrK+;^z*ppB?(HJ6jnI z)rCFV0}I>Ivi*H;8sxvb`)N9`(%rk4@AHZarEBFc}#F?W%{-#zpp9CHseL}OMmcC>YNFf?|AD#UhMK~Dh3 zJZM`5@iB05009KVKL`KV2M5^>2)zO8&cUzt!9h^{y8q4rp*H~H4&K=Z2iXn?y#c}I z!LJU^yie#2cGCxEKIpjxb+r2*-WS^jd&h$_@5^n2clH}lK_cYf!v|;Hm)r)QIXLsa z=r$O;gEQ~SAVHVBD>=0Lj{}?cmAApK4$iy}@BR*Go_q`4L60jW`o{@sTaOprJ{&vl z-pbz}s{azi`Hy;BkBA)i9~YS76%Vh4D!qXB~3Jw$UqM+{nrjz=_)NK@=Nn3Eke z(Bis_Xo4I&C#a}_np}@XG=XVH%+r%6Mg!R}kUN3EoV`W~c98Xi{f&6t9~s3XGAMg) z_(aDIfO3!=+-^=Cnh8K-@AxDNFzu*ugWT-N8V9m=5U{zQTxSQwvd7|@N2GT^P5y~n z1|`=a)-j+q3G%S)AY*qNtO*P|YS4Zu4nH9hd5HZHs);ygBHblxJ38VtR&p5J0gYm;wN+21&eQGM$iVhkfZ0>Pr9Rz7htBI{>*v z2#NrPLCC~$m?mV}VNbdn8=UO(2KY6A5;Cw2+)^-GVW49O?3a$erH69Y5J|xaFK#f1 zB@QLY?Tqv4PG$AfO8-DoFZ+Dg+?%3;zBG58UBZ zWH+okS>wRO+%4h*$UYmO+F%3t9Q)tY2mLm3hcejE(I-s*>;%RelH|H+1m2guRy(#L}>FgwH_0kAsmzj66{rM8Es!fw*yM8^zb zCk7Pze-x!bZLXZ0M^}yh;p>lbr@LvClQnI>$@QQp?H_fy9_37T6FVns8YpMw0>~FA z_XiE6pdRO+bh!f4j@X9$3tG}($z=tNqyT3IJ`3F1f70a&8FzRa@-K)c1o5ZHtI+CPH7U4rqW z9l|e$9o`=8ri=II!AYX6|4JJv>^FIl1GE)thxw;yYXWE^<;8;7iQWB!tf;TQlR)qn zeT0K%^Au(sG>Ua;`I^C`9nWmDAltB{WCfD6IQvdqNO}UmX{gRjb`o>W=RULSK%9tQmbU`eYy4>g#a(^ zhDS&0#p=#{R^_v%uEGvt>0i{hGg;J8Xsq;mV9B}E;~TB!lS%hXZmel&@Q>@o+^7!H zx!W}}#i>z#Cxb%xwx%#G3f%{9QKW}un4EGeLsI&@y6^8qnkWvUmeziG!Ja1!mz~Jc zu2MX}yU}ZN!{zh?f?WNYzHdufXT7I%D{9_^zS3QmbN!-o1C>qJx0(O>a773H(;B6C zLN$x8_l6fMe&lR!^SysQW^uE6EpDQge^H}+_*oI-%0wN!9-cz_YgF9x{;lw}uJ9~o zFGmKKZqVsTJ*>%^*40a`cJfD09&7Wp7s$kWRz>+NK2dn-z_c;S4W#lG-5dC;wK9!gHJv6`3RO$m`hf8E%4MNjH1g-rITZ;#=>yBwD zeg>tp^-=EK6xNJ_)vu>k@Q&AUDVv>xK5InWJ$zQa%t&5ku1xp~4b))Ah*>Cw*mmQ^f;Q_Nxcd60A(Tmxa&skQ9@HMHV7p9eG;+MRxF zrsLmJQ9}@$7EXsy3G#A28Y2voz!q1heXdTXJwWAh-bI;eRxbkMs-oVt6zRzC-MM&l zzI+Ze-Y=ks4jLJsW(cz>MfYRtj32#^t9dgTwYHDH0=w3_A9h_+ja>W6 zm#(43dH1y&);iIlxLga=SEhP5_>vYCllgC77NZBlV96N#Ewi9O_4(ObUMMTyqthg@ zKBj+v=|Gcj5u(;Gou{f%Rzxbrq@n4h5>+iKx!t+Cw5E@9En}hk372b*zyq8#MQ-w& zh+kh_IUS=<RGo$xDHs|Wb=6|hhw>zE1`Pu}g z`%=+iR<6cT=vIFuMQG^UoiwR3cM0T}2+PXRocqk@Gz_(aFxsY;$SWS-BAOoOu1Jb7 zT`x7M>eUY~pnhA)uc3_iF_(mC(mHJK!bG=SBQU~wugZOopkcrgFCT4l>v>prh zPTR@iBF=W>8(2T^?(b}JooR?^b&Oe|Xr;k0Jp%m$q(6st>3>E4_7=n6yBJ}+6uHM! z-Le8zCGZ8{gAxw5&UT>4Y44}qpxqJh!vhz*-%$tyyMd_X%3 zJD{C~9nj9g_GxFqj_2UadmO;OlilxKu0zPULz}?8XW?XxgLd}di2yO+ZY?DUH~=5Z|D05TY&*2q+Iu`t z);17Cg4`I0a6uRjik>+^%9xw`m^x3$xI_D`y(x3D#(^GE9xw$!8Xr{PaRI(u00$h$ zt^*l&C>;gbDZ$h_S>r%DiXF-cfSfxA$aXwCu$t1iUk@UU=Iy8 z_dtyru=xTO!sBwY(8wJM!uOWDlQj=JhJgmqApE2x;*?Qwzu#|1&gY{$vnK~LNf)8WK@13U2efEo@waZnjM zU>gP=pPTLIG~+MJ2$;@?p170etYZgrlXJHR98>@RDh_s#%>yHNoZ~t|iFa?qdZKF_ zJ8=16szRM>(0T&l#DE&%c-sy;huuB&$=U{{CO6d84uhk#Eu)R>#Sh^#tyQ2u-#?l$Z`9{H5~D{op{R$1QSD>H&D(6`X&I^Fx2VsH{D^s z%!MPc|Gn7fL~kh!06bifOXY%AHUKJuRRy3GM~>TX#vOJIyYbk`8V7)4D3Syr3Fy}W zBL`L$mg8s$!JIxKaQGKgse#Amh5`owtV4k3?k@OPw*~Nn!z&yVYVQx+N#<$)m97}j zX8xzO2VF4$_2v4fdD`^rGLA#sI4?KU=OtCe*oARCK64t{mQ>N1R>eLw$%^6;qNcWQ zP?uzpn|No-MVu@tCD;B|hv9jb8TlPBdKYEmpdVNl3SxIaQ zB_rpNYPo`cNls^ESfKZb_N6qrLKBdR;b{6rXiKV`Hom~)#Xz%)hxia;em{_5hZj#&( zrmbXwdydM(QcZe2;#YZ=x2WXVk?ve3p|Kx$LLiOLzE-tmTzJEqS-H7^a-iE2A!!RPCIB=?R|R;rp!;%!rf%JLwz>!}I;l__7d*a)7H z5yv)krD7)tC}#4{&A1aKJXcI9_C4Ps@K(APk`wUEPxrz37zO_m@3w!@iQI(cRho zZc0qIvWIxMe4DzGX4N`LM{;!-{W`Lv=AH7+_dj1Va<9tHnawY1s3FDKho7G0u4SW$ zq%INZN`Ll5NN6o27Rwk(DyTphrYAK!gyO?w=rKL~5cPZ;Ps?k^&d66&emo~CN9g{Eq9hhE4wrg0`mVs#ZPLaV)MSzg4BBDI!AZKF4SKP`Ds@Af37 z8jA>u?6jL`ec+gE18V14ya(lh%;(SGh9MLxc=HsAAS>1m7@Oq^r&+$U9jtLA1( z9o+S79|m`ra!jSpQhKi#L#Uk09QkeM#pl;kS5)bogb=FZQbJ!wDB)F5-Cnpff_a(D zd49ox!Ldl2I1wHWjqX;k6t3{S2WzJ0P6#L?aE)#7p%!8N8NQkrokWUQ309?nSSt-i zbXy#_g6*dyx^8|T3YNSgQgqdY@{EGk`RUU|G_8EGLF2?l0YbTA(K50oOvwmwYI#Fj z?!C_V^SD`fW18`==Crlm85&BT=UHhbVsh$Zkkh?F`1dEs?hfxue zWa46FW5Bb4duDmF3a>C_JG`yjdl1A5Ho8SmCnH{#X1XlM)&|4VV9%?|x8RF^=Shi$ zpNZil?Hs4k*wJ(m(O#Ln_M6z3cfI0zlpp{2ssppl!k5r6x)4?^&m zkHpH&f~#O!!dF-fP7TYSZg|QZyPlHT#57c?QJKf?+neT;&%CW}+b~G!dI>f=Gow+(%rdd-+vu~e_Ef3s)L!>Hhr!3tEoCh z9TpDC1v&rQULA9`X1sh@#D-ia9Sk~%V;J>&TTn&)_!TXrbd*Tf{>fu(zei{yI; z*P}@UFI_i+xCIq;44FIF>(g}JqB}1dsT$IQd^EzYTsX_Xc9v_Mw|MjpgSt`TZGt(o zI+=&Y5*Cxwq7)Qma=cYu1DKoN(1?9@x}>-VP|@e+g=J{dP+sOCrN(BC6JK{;d)!}N z%N`;eOX!0@JS>SuZ!3LDGe4h0D6r~O2dSFfj%BMLN6YE}b@c27WHX z>cS~Y^x<#S*IYmAicigl8l(FmW#oKLQHxh6>6r6`uT}IPLl&bN#_)!pMdxXp zgIP`xufa^0=W={u41q4V{-kPlZ$kQEuP`Rj~o6^u}xI(&Q78Zf@ zg6V>8L0(CJJqNkK1KGbblIUS?)%LuWTT2V+M^TP7PfJ0?S0Yi2sohzGkHM%fCDNPWr3iA|DCz6uC9OBY3E>T%l91fJa0gV%oPY0!M9H0n@YqxCh z|EGc4ofiM$Beg$Jtbj0{8}u4L5DLgG?v^3}r1O9MME!Z^|9G(U?aYmA4G+zO-)iHo zp4S3mQG1P%%o^7<&jS>P3$!3YfQgN>mDR5^{}g@yN8m??1v5n-|%ZC^ihX$ zLaJ4u(&x~3{+gFObRZ zlDa-*GsF#XQp|TDbF$}CqB7vOT%5HTGhJukLp z8nfpeI^#fonB#=VE#8{)r&C@WlvCu`OYf#??olIHYTz2#`J@R+vs_ER8fwK}-NMbw zEN<)i1Jk{z>q&7SH8L)KFENDx4qn}cda#}i`}&}y@p;NmFfrKsuNoC`#D4x4;!vC= zDbhI9+zT5XFLo`Zj|D4Pr5TxRqbf?VUcg(4;_k$2r&`kYAkTR1AGPpcUH(R(t2zG~ zw>O77tL^Pi)P&*5sTdB;tRg;CG^*NEOrc^LG-BIV9O%yV-);Xmb-`VPBqv}cWHVw0 zZCaj~NUv^HKb5CB>~(m9>d+`WEiFn7+H-0TnfRQz1Qw}R8E=f^H74^(s}>NSIFp>p zh|KZH_8a@TUh7$g471j27N>lzt{V5WR8dKDU@lL@aN+!BbLx=n_mJmdYCSKj%aAqa zs40T_t(+ePT^}xe-N%$RStge%FS26Abzs9?k zM5Zk+r^rc5m~Im_AO}y$>cugg>J#PDV)mwU%37Cg7%?b#J67f3R2ukPM}lv4P+((i zjrd%s=ml~MGp_pN_GjMy<$*W-9vNPZBSBNu?*TaoTHkx|rZvNn_5o{xa z>!6tUhI-M~PvHGGxlT*#(UDj;EIq~uT*s#8ELHjRJjC}#M#Ftd<-NCkesZ2~d+6rA zbBFk)hZKH6Au7@t`uQST+!vnRb@fjQre0tbA?{>T1eKh#v%fzYEE9h2TtB?2dSU3m z=c_DNl2rA{g@a1vGmK3LOvSDbY~OM)9@!)d!d{)>a#Qk12Kt9I4~zJg<1A2F z68h$I-kCRz9L_ffr}SX$TSc0u`G#RVG_2k zy{%|mtTPxyM!^)lsk`~VMBF^{C3l0jO@!HP76HOe&`4a6R}vFKQHn0 z42>w4q7Yx?_MQ4`K6j!dr0_%vC{1AViPg7i7{>c77SFbR+bq_u%8p{sOrYt;jG*Ee z^zo`ss2O+O`J%$ohO$+D^M#9q;hT;+?CJSvqC3Uw@Lrb#^dk)Cqs_~qe`QRbv9gvEO!@#Zcla#==Jl8)~CCfQB8 z5|K3*@p809=Qz%!=i;$HTbOLfJ)UDGif+?YWXt7@OWM8PK@OUsIC5Y6OKNk!uj%l< zc6TBCjp+ckSE2Nu?rVSD`29xWv*$zhwzJ1MJXQ#P`6DmOeE3kX&jBf5NMq};=h-EA zKiC@_eJE&D1o-;lLw}{${x6-@pAP6RP79!huw9N|CBRr|n*unobDE4t6$+P)14Cdu{dSmb=~m zHiw>-mp3z)m1nuA&*SaHm%Uy;ox>|PIXCuIZ0eK?rd~!*X{sEdlYwB-2im!`N1>SG zcSp+Um<1@N+JCy=To~n?=d-c;>B&69pnH!agmUOBQlfGHS_5%jv~_CMTnxcahWw3p zKYDJHc;!`fVr*Q9W~#i>oE3G=I%+0YfQn-Tv($drUb~VakbDlAel>|KfGMAaN#~74 zG2L+}1o2%@L=kY_EEa^L|v@J=jzg`w>O|aOB@klA>NxA^-R9kKRQPUbo{w%2uhdGtzstE;T`TPzGv}nd zI7O3rv%YlxGIn3iImGp)C?b7Yr*{5{C}I02-|xAjV$LY`aSdzseOEgdgO&IB`^B50 z1$c{3zouzqR>&rOueknzPwAOq_1tBRqA_7b&Sc%d>)vmLLAQc>8&z)^lT~5R`Zk>HOU7u52*WBN@cACRoo zA2O+h8H@TQT0H;pS!|M+Gx_0R21I8ti_iTtX&i}XQ*7tg&PV3Ke7rWc@H%xLL+ zhYHguo3KTC#c=^8?b?icGyYg2@l$mBQ9H@_Y$w?lpAz0zNJvPWLX|Mm3g*m2t(Cm2 z<@gL;TmNS1L&@Qb#8MQbV~D|@C0HeHTz#h%Gb2dWEE7%Iz%jNc(Is(y|2U*BaTCz806-4pw}JY9Z)S>;E&%T~Wk6sR>SW z4O4RMrFqXq{bxG#eJ%0HF_=!u!4h{x!VS_>5^`CYWfiuQy38>Bn7nKBlclq+L=;6D zub*4U#~Ni>!

TuyDv+Ez^kN!4**g&+JB^$1Dr?eRO`RCipQPH+UQL`fen|Ydpm!2xua~pM_B3#;w{|vFvD` zzO5lEQM;+LlO-hb<}T|@U!}h^AE9EnzwHMfMPX|EoGea}x2hy?=iQzTbedngfW{M@ zAYz6|S*zLOdBItUrB;WaA#tuv`p&>D&$u^^Qo~bW^cUW+G4yW#q+Vr;ieo7ALF|{g zk77hbp1!K8w#46ooEY!lTKp=e&LJ->yu904`%H+==THSWI~Mr!%<43<;u6CK>>lwE zSSvZB-$wP>Z-kp-q9;`)wi6MeEI6^~hM)SmVI@$0uR5@B;Iqq*C6CwWcb}?TV=uc4 z5QaxKV&+t?nJuwwatn|XMN%}ssY|Riv<>#L)fQwtW5Hd?y7@S;5Z3>C)uplX!NPKj z-L>XYzqKi=+E9#3eoY4aRaS*cqLV9#Urmb2`p!L!!A)%Emz%nQl9Xb5Db-XYsNZS+ zIcaGZzijMcosq{+`+>WNU&GG3W$^HHe{<)*zEQhq?M^>(#u9^Dfc( zJ}=!rsy9mb9Wz%Eeza@$I(=);ZH^Q?$vdi!=xA3%*+$5G?BP@nLBeM27KcWvTy#Tasl%_+y+ z-f97&+PvvU&&TF4Td#ebNHCsG!eaFlzq0OwXivg$!|iGSR*4>))U3vOY|fzAZ7pJq zhfXRvwKztuEBrr*6H=qPhI0s6qZliN&1SP6IHZJf@emQH+ZbJumFNjbKvoSCa`bR} z!fI@!j=)EmXRVgnE}EAS8Cmh+@g>t_v|Be`H4tpr&IH>l2<586S|(9$DUtho8c9?l zejkGQ$~EF?s`)XX^;x7UdSz<4aVbe&NI&I2Q@7-9_uA3V!cT$uDy;zg11|O1E)sJC zgKx?YXUOr!!hgQC~5tx}oGG#`HY)+5@)Gr&I(6f#^BD;f7v>YZrn^+%1Ho zxv05rDQJG>dCj=>($-UY`|L8#pbXqQ=ZGu?-Up+2Gm3~WpM5)Z)dWRxsnK{IGj+f( zXWi{u1ox@@w>3^rBzr>MkW9ziHh@w(FREcw8Z;5Z0awzu<9(WCsFLaXIV3=c`l9@qK4NL2b5WvXsUML+GUsEV-_M$-(QHS!!xltTA} z8YabyI?1#UYnT%&s1l2rF+$sVaTGlKHRrya?LNOITVNtE-9@H$aoi$CEo3l`g|nSB zIX%b>d-`)Gb3^2p)&3l5r3wrA6`@bc-OHrreMVV0@#9+tkHyr(rh4dKu@SxLB8Rk@qN*lh zb`7@{5%#Fp{LXy%CxUE46R(;iR(4A650M5Qn@sN-;q6N#U0cqr+L_^DBrhcsMd*B{ zDPE4umCy8cpHgWV=SdR!aeg8pt-NH1Li|l=KEZH$`Ko#l#o%pu@2Jg%2R*Oh&Q=b1 z)x2AiyZT6O>ePHYUublV{FH6gU}qvmmSral$@`~0#YWP&uok$uwc-D@2?ehUq? z;o9%4<{r~w;H^+dFs_Fm73l10GaYPrRY2Mqba!U6Tw?-qjn@AA8U zm#qJLEslR+BgiF!l2VX^2Hd_Nf`dBOSwNX2R09iiLjKpO)nAkKj?Q*=whm6rj`~){ zj=PEX{dahkRTUKEl~g3D)k-43y%6MyJE^^X`n=pNaov{QPHR{FnIox1{f%QTEBj&%db-9Hef67H_-`#4-XFO!7^?x_t7=v<9N z5aJhryFu!L8I}tBsBD0z=ZeqFt=hG}za zeT_xSW8q3YWyR8PBx$%N`x()hR{}g~pSv`Tt84<|hive)K5lM|Muf6^SaBuSu?h8) zaJZ7uKZG^68qr6sh%JyJmF8e4gyt;Obk&!wDsdy$7b8DiCelEVXvr27F*m$neJx{BBlF(s*39?(VjNlJ z3(YTiOH60U)dLMb&9#q+OoLIz>U8 z)gIvFmg-c7VceE>Ya>e(UR+PJL!5g3PRo^O|3^b@is+3;q-U?0QYA?Y>GHmM(t`AjKr-iYp9|+^=*F#w z&(J)~RAVMJBt8;K)|Sx0cQnt@vPx{=v%OLc;k%pKx8+s%?z}K#_Us(Kw}ph`>o+>= zVj8Vi&(GC9iDL}x}8=Ql|mHIk;L{oF>Jw4;l*43F-Max&zy5VbF5{pJ)y5wpOL-%0X1oe(d>SJ za7RfXz2^0#upV2Vn3Qt-g}LWV@8xw&6vpIrkRM)4+KBh#+j#cj4STyRdWFk5?Z)Bz zH4NC-F0sho$o5qEs@%6!7gJ#3CuVIvMh<&7gc!zv zLVUL3-j`QQcM))I;b~sdeSe0>n~&!kqbgxvl6yZ9BPYxCzjxZG2b#vbCZ@Z)=~-O= zG!+v~=#G2gCBMG(7-u@;^$YOwSR-GP&PR-pMb)#03C%DXI?TnPA#~ttIKH6Ba3jn= zpZ3O0ia+87d4snX2bl>o8tN8@r_`V{hq9v#VuPc$!Zrr6Bfb{y;^c(BU!xo;5}Uqy zAMNB95Sq=vUQdU>k0I**tUkVEL zWFt=^@d{cJ{>+~4?T9qw4J<$bz#n+|`8|vajal8?$jJ<}L9wGTOB$P-nmIu(4vksF z+{sbF*g@3R+RoMnAlT=*(GDt3Sy{LMyobgtYHMZdplqja2sT_-ja|$Qjg=%s{-kEV zDynjbn0@E{&L=o5X>loWICyw?I6d$`xSb(5QRt`t>JN01|LO$L!8^5Z*eLKf5tI<& zDd7;X;SsUncN*a+;Nakq_RbBr{|6oc@e~sBX%tj6bnt;by+uO7$A#i`b%B;1czH&POj)vT zsq#Bco#)dTck3nGfsyw*!e{#ud)bDD#Ynp<=#&(fIPesOkoI2`_eSPbz7J}>0^Z&U z6J?WP9yx_wE8&C+g0)TuKx zDR@6TDC<0#zu0;&P0wGUoVoxp)Suh&*k_Lispd0d#7bevV?Oq>TkS*uQK0M948;+b2# zu3wb1HPdEP63f#DWq;Ju;i=c-3l^0&qbE{`uYTHrL-Qh&*@v}jV_wbKQ!v>pSgv%YU51Kt#45< zwLia}W6D6CwGo-B9*rH8ACS!;KqIGd;|A>*U3Vq}6PN#*c!o~dLKyCv{vDXTtf&lHoxQTHFE!&4M< zmq-Brh1+|{kgC5^=kn?qOhsvGm8BlYMLSo(@oe<@QkHt7!R4MOA_0Wb>6(36?aJxWG2EAUV=l`n`d`5N zLdQY)bWlW5r+lntU}WXqJVrpKTWYglVd*Q~Gpn`|FUot1wXHWPn1geisySc51fFDC z1l76fTzo9u!@i;S&UHO0<80DnQ`2)zUz*xn`b(7>#?{nI++z}-re=H?(tWQxBz()z z)0AIb%{A6lk$UBwODPMi!QR^b%pG>z`tNuJyst^vST1$Efek<4yL;VetwbwcJXE^y z%|e-#Zh=G1<*a8ak22a##^0v4MO&=!6*4^Fe*Hy`r$jZ*I4b8+O2I0>IPT!Hp@%)r z({=K(UmwM8mP)IYn}pEjt1Kdf&?f)+y1X5c;uhM(y8{=vNi}+wV#B-1i)Df7_9*k& zsY!+B-6=2oH>I)$&51o+d6P2O@zwC}*t(iwt2x^4+*TZf zT1mz3%T&^LX+Na5E2WK}bBP(Olu-&ehZ!{Mp*|*$u_?Tu_V81#j_2vob3grxYC_f@ ztaSK3397A#h!CLqx&wz=#cJznerqiIieV%6j1JHItK#%#`%hc+jjW<)i(E?|oA^#3 zEUF9WJ~A%P%K7olhJt9>Rh(nXzxM-?0n5^Y3YDVSry2dPO4)ebHn`e055xs8w>dP6 znGo`>%I92u@nkmnQ`V~h_c)8Uj|Qa^-BszNGK^^jVy#j_vh7`-%NSb34kkVE&irsC z_CdN?yg>HYSxc45@YY48_>pT}PJE1_YP}ardz`jJmC9xKc-l)64R3i0KCrap?^;pI zmB5rv_Yl)mp;hwBR4cZ)mo9yuGM}zDlZ#LUTeDm$EjBmdzFA+RYKBO_<(RLkQ303P z^)JU*$jNmD@Y*RQe7TeorffZR&mts0r6hf9DD)OH!c>pj;L6uJ0jAZ6Gn#6}qi4z6 ztImGX#f-pnd)(-!vygGegMKu&sAow%aGg)3|B-S{X_QPZ@2i=&ZV%c@&~nvJ8nmyVxdzBQ9U&hVa$1SLbTl)9>(&_rt7Csx8LHH1K>fEzYw zN#rHWXXVn2wJ>D}h&s+H<>1<|<`c@P40TI0<}x^`y;*qg!9+r)i>?!kNu-P|gC3kI zrw%fX+%Bu|cs`p^k2GKRjF-R3QJsc7u`+>j=BILlEu14mH?>(CELSs@{O%1g^DHMbvsh9oT}}{hMkUJs0$K6>w3MoWE9bm5 zIyc2ewY?jYuot`{*(vbd_!{GP;QXs((wLqYW{Vl-t~#n#baN2S7GL4Lp7VW{e5~tB zQANQ0H@4KW3@ow}!enS2sG$wx^JP{pI60Q1ta0w#IgD<)Q6&`EavWxT0o@+ObZ=pI zyIm}%ub?;S+Y^$iQ6Yt!}T z9rEb9`PI^fC^r}RVBOQ(Bp&Yb1|`{PGc|*c(YF~&@2J;l=8ksf<*7^e+i2Bmw)C-+ zL@k;>Vw4}W5qoP`m@@V9{DORN6$fx0C{yY>mlkZpoM-tO1PJH1Vz&L#Z&|!a zc9!rQ`*X&0&&ujUQ&nY)a$9B{^Gf6&cD9X2tJbLpTJpa4;J4$?(zv7EblIVyJIvtA z%|6x4GV0|?^+MRxQ|3W@nKxQPJVmn-*Ls(=2~>OXE*6wOlJ93{l>RQ!_l^9!bXBj@ zqaNeT_a6pBw=OAyAHD=@Psax=`}hA;dRdLek!e8N-KdaBTx8i3V8Nl+`$Q>5BeN$H zm66mGl};>|*gS#5T;+*cE?Q^I~ zE%-7WRgN@ws<_~t7JOL4D2v<7`gkAXr~SOg*i~s`{NmG0BpGs_uZ61{U{O!!WV$M4_(j zfyO|bYTsIZWW_kv3b&)ICT4luCIq+RMd!XPk>iFN7hxLX#mi!3mQSnmn_d;lwZrGn z!tBbvKGE7;bLo81InlhSs%wqdf?kCErX}JL=h=NQRLWggo!Y^x@I+|kP3LO3nHv?Oi2Zj{(R2Y-V%8cj1iWZbrMfE@9U#}YK z`rz!9V~V3#UnyscWA7;$y{7K#PSB~d+-FfKnBh2_bpiTQ=7%0>vdZG$g*uiw#qUiH zdSK^DUOy!B1$DTevm_Jpo|-x;(2BS}@7DQcWv*k-_eV4NzXa{-^bc^kXQ#E`(SSMr zjbP4!lhy6Bll4cc0Yp2SE)JV6O5(kNswKCZ38D2rc5|21KH@L2nYYr0%hlBAU_;wP zg<4%Te!JfoPHO&oz^swl(cy+o1!-@AK7jp=j{?>$a~Q>lLHKA4ylSuN4fZd#WS2B@ z=Mp~Ku4TD=Tl@MW^N+9k_s<2&T3Zn>LcV99z|AxGYAY5|nXdy(rbN+YXK$@D13~#N zl8oV&NGee?iExrDA?h&9Z2_?Hd|V}-ILTb!m`n@%Mxiz!(v6MtZhZJ0s#Khg(xXtS zS6J;tQGm`Em&8coG4{eY0~cO4zp}?P&YLBF$|y%fXp9-!zxq@+eInu6d*|bc?uxQ= z*Zhsmq9g8CUL3v_eY7LXqFds$!mW>)7Zo>ky6=+L96j9wwLMCASGhcX%9*j2>KN{H zF31+^=gwE5dv}|SX6c;6jZk#x(mwd~sB4kVcm)sgT5XUO_ZS%cM^)Fy^m&Tyh04G$ z3KmY@Rx+OBbu6a+pW-v!09_8wwk;oK^Pif{M&9|o?scR@$yWXh3@X2;1go~0Ts0%3Q z!vrVD^hqjB2l1(tTh@?hrefnTj6T?3O=J^~4Eze+q)vuBuPv#XojBRhO4=2Aqe&vl zp}6K!V^( zn=Or6W#M#`YD9 zY*De)3OfqnDnizq9i=&(80x@SBVhIcSEZ%Je&Nt6jW4TkXl!{Lsz?hT_sGE|1D~RsM+SLP+zC=z<~&S{)J5NWJ3s zK^dIMoe;}j|m;5az0pNBYHOqR>S3w$~2T+<-+$g@HYy#pb6*%|-K z==_o6ft*E4_YryTI~pTb9@p(17aXq=4hr>$>9`;Cu-!xj=mNVF3A|`E3VF|tz&~L*d2IZp;XRK^aGmeZUTM={79WF3rbycfdQc1y+`UGZJov%j6(&i|4Dfi)rK%9l4K2-pXey7q zFEsxaDIRuW`V2GC_*yjwtREZgn_vwdc^JoaINdXOrtMxg`30gIHHyNWy z%2`UH*K52EPr}w?Zu7Ts{fV1h0U8iil!-eTfZ5A8A8L($`q};|{*xoHo?36dB?4K) z=={{q%Gk%_zMV0;V54s-V=jhTLRNrYY>7;LCdnA+?qe`KQkX(@Ft~G4f}jtPVq`se=77pCbAp@HMDnAl@G2x3lX-KJe68Zc#E`sW@l+o%G%X5-O(~a(w z;w>#%1bq%dgm4lH=Ah81pZOY4(3FJ6CbAtX$yW_G>sStHQGJr{G9LPUY;*$GQuJk_ zG$J@iCT}ddcp?q_x!V?U=jFst=t8dL1TQF#7oIjI+I5zK;RJ^wcf(+dlsYD#ut?Y@ zpoCLx05*5x9yjdg%?=Nvu~@vNFOca`aHD)3ePpn~+#%}IxUWE+P@ORch2OjQpjGdX zaepP7VRNEXrKdF_YI!}2>8RzEc_i$f{c+~hGnbAJm8Yifhg_&)-LLYb?0VTh^Yjuy z!xm>zQM{7!ho)Bo@iQYBr<80o`Kc_cV_UG zc0r!pwG3VyE@0R~^;)sai$VwbKx+g^K9LZchATa7R*M`ba44px`kks5(dKG95uf;=`xD(E<4>YLa}% z2CY&wc~Pi_NAXCR4fLONKch8L{V(f7u^nhLVCnWZ;v_d*&L1DY*-;14*8P&dGnY!{ zP;G(|f?1dlP3mY96cJVN%H0^1`Yn-kk~rTToMdc?$P?nYyPiN1yl{ojO_{oABwu!T zMUyWD%&&Lf(jy0kR4xRFft1E#)FQ>gvwZg1=PNAN@0u4MMYuHj-m%LgxuzZato~bd zO4RrMAREmto6NeTo9>?Wh&)TjOt#b;cnN&5>0g%WGUmsR7+H(_&3ArT7;8n z+?r@Ju==jnaGl@bX3UXX!YjRS-L;QqFZwFXHf*+unhZVqwY1NXut<)l$;@K6w@QFy zrkXT}&EiK>`9z2etP%_(Kv%A40$MfnmShSr$*D+Psa}$u6DkbT#tbkV zq3xd}U_cCvA1<3OB@zvR)%=WBtC%MYaup8mgbuSPF+rm$T2FHR$YzP+7jV9rK9B`mQ@2wh#;65nRO-b$SIU) z9#T|5Sv|0WIXFAk^;^yB4`ZPP=szBRWX!arbpG(7il(ko75oX9eCND%=Vvcou^JRs z@YLE^`io}j$u1Dr^E6mCM5mkiu1-0fIXz$Qo!!AMOYivNt%uNK23=H=o#jneC}oJH zRP1_d%f9d8);to@@k32INiM`!v%-1G!uZ{@2YzVRuitefk0b*48kR}tw9GN*+*^j% z&PUu=JJM#`qqisV(x=K9;cmzt*zB5=#9ZyqP}2Z_Vf2222$a8R?gXSTZQMp`{sgYj z;f92(Ia$P=P{GJXTyiN6=1hP*yps*99@oIGF?6qMYV?kJ9u$3;^>$%{nv7N==)!T& z*cn7HGIBB}j0At6#qjoP_t{jwFKinm#yGMAi$H7k){OB@p+JDf;z+*Y*!zn*KObl{ zyh*|5bCKCA^t6@*e5rTwWrIroi55%(-IrZ=5Z}6sjWZ)TCbJaK+IF^Se(#CIQ%aGJrc2Xy&X0*Q8iu}6VKBGQrKSSK zaK-~rX%mWo=F4S*K`>|&zbY1{XS(7WTez(TH%6;m=uU2?r98_O``Xig6RjQ>pQ?v;fh?Obt%=(q$SifF?3UFh!g#1Zz|+SZ_Ja|e+zgs zmp}U*Gp)UNZ>W0;Xb1&wV36raw`5Oz4mLWZcyBnq)Wa*Jx$FAl`GHDvHF?hBjRnq9 zJPM|PsiQOJpl9SyMlI*i2H;W`GJ}pF?4bI4;e#DFJsH?t5N(nx`gH*yGbWBCc(4q6 zi*TJj)Kr=VlwsEDlUL2@-!s>8VOCn0&)*KYbHYa#gkrko1S3E|fe|PTIoFb(YM~0y z?LenAzEf4`mWa3^W*@OviQ3g?(|HZ&MER_d6@9!Sv{(!B3@tvE;+@B8A8RY)o7J}n za^II!^2J2c>Xo-dZet|3M1rwt^qm$MFo!`BYsg78qsLp=KP7mA*x#S&beE6KrS{_suv*|obZj{Cc zw{K`LDPo<)@}NHc#HWCv=>A;`5wnv&uT0(+9vz%!{|a=cP**PV!#KmBgdn$%p1f)V zs0$k^T94uBn<7QnzHT62c^aarm$_>69eZGL!<9TxcDkID%H)8}WvLz97ly7uWHN3_npvUQN%M4$#s|=Yq0>YsvTz1@{1fS#dZ1@6eXGt&zYu2N{ zVq}QtheUo;6B%g5a3*h)C5gs_e*lIlovJasrc|Oj6wV1qqd*H>9990Q5%mxC{-Um+V?L)}4DWQR0W=~TY&5KumEnk_m>S>N zg30XF@I;s;t;eLODS+W{?JonlyB?Dyza^r?4+ceT0Hb^mJH<{T$^_sxJahP#z}jR0 zErBrz#jD~R0BtKlfO$SM8nzhn~WnvKxZE8-E?c5Ao0`^ij z_N9Fx)`Kbu`c#@dAdoRBh#nQB$_*Qp<-L8+AE-#mnmTI&n>P$lPHhh`z66imn4KJf*p^Vr{a#|Bn3M!s3Y=vR1Azj)JD(r|D5A{$&x2Y8ga)SQ&1X)hy z+zv3nW|FqohwtXw(MBvz!fw)%iP-~3O1dJ!7mVdQWA+mF^upzqbB*w$7ANoQ6u%6~ zQs80kj*(ku0@Q|9eW{YOx2{sSVd1`DQ(A*+jP;a-4TxcGOy&s1py_S~8u@{sxoHNm z;M1mw@g?d($+B3^T+egoHXHn;C*RL zf3@GI`5aE~$CWoxZ-3B})l9&cjy94P*pQRdYRdJGVHN~;_Z>P9tWIVcVh|xpei+pn zi{Rjs)(hm7*x_{$a1bbxMrSAe4;l69?$!zT%428-+ zhbn_t4|z%y>K&;}?RnPRa=&gDLouV{*W4mgH)gL?iXFQDlUt=@p?{P~^>4G&yIs7s zJ9sAd#GFj3T@RMeT{uy}xc~GLzDC#V!EPtf#LgLmwkIJRp5@*@1r;w6wcMPBXiAXH zX^_;h2`>h~AX;U?US21=vvZJ2+lMdY<}fEEq0Mv9ZX_dI0{1)A%kgX{!A*b)M2XNz zSv#m_z4IDGXBMbcbE&ekMq^=(MUU~A0DI+O7GJ|Ud7gc)p^hFxocu+dwYux7?kdi{ zTG!I4z+PK$VvWU=9>>SSjH2DE_%I_On`x}Su*S~2$Dq8~coK8z6*-|;6PRhARK$C7 zcl5V6LPb3KPY}xvY>KyVhdB#;9p~Gf#{nTqN75=ne4^KHU!loCb5sL?zQZjS( zL%jZ!^Uy@U*DD}Db1_<1&EJvdJ+p+0R`vA+k*N*UsaIhVR{?736q*SCS5 zIRxiwsJGL&@y5^IEXTT5aOcZPztOpYJ{_~T`sdyqi!!@#D|*tsb$ktZ@R9~H@g3;} z*bq9>5%k^SLawcQ-tpyMo|&9Ohh=uyYnP>@S0^x?e#+-v?jX6>mb04LBOC+oa3R;E zXON1=woNBdyId6yQN51q?Jn8-ETDH+wP>D+o!uwki_1eHRBm8IeXilFBzIS@sO_M! z_?WP%8(_}YzH)-qGq8zyGWJw>>V!Vj2j+9Eb3v42PM5J<0s||)`iY)N-iAitgIs}~ zIX7`rS+~~P8BY*|DXB)#?hf z{In=tJ6kcEnXz@Izdn;JPLw5>!~DhMZv28jq(an0CL^VyN$EuO4^h=e;Xuuu;i`-f z4Is;Myy*D`Fs?R*D^;dVy9esOUZ)*p)pyph^@M$qk=t7W4nm8(I1*1|(HU$dm;;nz z>ZT`O6ygBV-arVHQ~fz)Qo>+MB(p`nqfG?ge+~@iYum??kpcKnRMN9aI@s~W;OVK64p+wY?g@d|?qXnvI6|DoyrdXlRxT_#y>&A3ACNno;RqMFDe*$30K$Hd%|K-b zNJA0aFmpTTIcUx$CACHan_QdQeCL{3d3liUHWJ<2hv}S91q0&u7kYo7KX-LwQEF@T zbV?gWbXG8kZ}UFEUsr+e?t%gu5~j~$(p$Z`bSXSyEf^XHE4Xd>ozyfX(X_9v&R{h& zkA@nw&VF<(6u|Skp;za za>s|47-FPUjL6E87)(`J(tw*U^TfhjVRGtKLo2PB>^mH#>i7w*nBQc?LF**xSo_=T zAWz?v(A&=?S5939x0ht($t&Nz7}XprZxNtv09T&3?JgjNxKS*n)9&A|vU9(-T7tF( zzYv@|Se2H?sx#j#e_gJ%VxZ)+D8F~%y|~xB-v_#)I~Zcgy`dk6)0{C&VK2KgN8n(1tLX_om_tf{bHXJ!DVJ}(2&{eQE@cWa_;sFM z3fyqv0~Nw7UVj2wjc+O_ZgmX-7CIM#kR4eu3?*6xuU`e47ktxgJ;k*zy41}WlQFs; z;-g=La()ixld|Vm@VVqsz!`j z`bIK1Z&!~_`R2Mt9!V+t7B}Bmm0Bxcr_glgd}2DP!xON3X`)apFFerzp$cVmlO?F- z&|6S?Dm)D>KZzev76rSq!fOa-9~#;$yJ!gT>Z|Y=F2%QlZ9E~3q6WOZRq%mU4}2@- z(5w1RoD*EL`RPmjGt<07n|dl3r?l&t)Dy}657-@?6E_1F0xNk3vf4YV6jo2W2ihy| zz+9@bzH0Cz|J}ux@6SNJS#?UypXriy8pTd2b$-2i#eug=VC?ve3K#!&Td3*Tj=bK) zvx?3g{&DlOx~x_nkppVC(@-gaeUW-*IKDp>SP`%QRFg@DU^gD2#;HERt@bi^8a6 zQGa`leAz!+#L>7h%VkCE_K0&Q9)9QyjPx@+he&zYh|p~xc(1C3NIULLmTGaEXEB0; zETvNMDmT=eDgJixYtOHSKG8+gyld`HE-Q4UCNaO@)4e7zJ>bo3twz^HoSsU@msXQ1 z$D(tNlJ2vCysY*MZchik)Q)RDZSM#lyND-o)`~R@bPYx}o}UHSI9>R=Fmh z>_XgA9|76g;nK88ArF&2Db*SQR(l9ayUIl4X~zQi=U7C|S+ndUiw(9w_9p_itbBL0zCcEa-gKiS4T0ijPAhe zpN(9z-Y>VhU+Fm!$-TnZ-*FM_)q*ocijsoPdptjE$5DGx+hz0m62Kk`{4WDD@77S|b0KjAC5$d0hsGlGUFY?|;GXp_p{!R;6G*7&+vjTdzllnal& z1v5G)75E3Lp@!CzTo|HZ0Hab4Mi%eukj*$Xe9tHS{iJ?JOE4qMe5yNzAHxmP;A&)} zwfW~D`eq2>81O!us0~%LPl`v4Yjb#f{YC(e`2^eW$A;`w$!cH%BliQn3z&)jW}#h% zV~;JamGXMCuBwWiZE(!*V#6$)h1)s{vR}i#fUGD13uc$kB_F1&Kis91KGrWHCN1teuZU-Q?u8Tx8aq}PSSuofGJ;7j<; zLOB-qq`Q=03gvFv5Nue6pZpz{d9I*1x!#b?z@^ojFaGSWHp2dg(@sQ6;DzBZz9qFO zExSG@q*z4=vubI1rcS#e0uCYWPk~z^3r5JFJX3+_x+}U@-Ue!2ml44!NTm zBaQWK1u^OTn}7A>p;>$@Qi%HNn~N-ew(hF$LWtf#S<=?7V!2Rh4EE8^dU^Op5i;;j9Z{aC2_MI2-7ORKX})3WQ%)IU`B?-fE^?G?^%sk} z%=T(w3-{w)*X}|o9PA!H{y#>pRQQt9Z@^}L#qlYbLnzxy92$J3fHpbp(BJFe~vyt2|$@mHOY3teio4_Zy-&qp9f6||SeIz^@ zD~r$G?4sALcPlOtVoH0b`VM~UW-5`A(dGm>k~sbqCxua@-(j{h|A`YvtjHJ(r96fh z%yl!_KJq)FWXnKTB^bkvNuliyo!p032&I(6)v4DT@)^WKd;)r(pwQv~w+QQ#VL3=3 zaj{|qYv5#KGr{w5(KhRWRi_M+Qmg3`ABfCQ(`^Qb`4qoUwpErx>3nyHXRG7 zpBIdZMTgMxd=D_Z%m4bi4f`8)5p53f+()jgOzy@o?afJI(53GTA_53+kM*65Z=4We z8Ioi#Z*4Qj+~2u}Dp-8isrG0*k$5QcH7Dp{SFXiL zAQG-9u}H4T{rH1;zijeaqx0=|*WBP9q$}LHKclAWbo@?uI-#O)+~spUxmqT6-}9su z)AD?^>X@X4j>JDpoNuTZLk^r>{F|FQ<{Qn_N;wEo;m3eukSa2pC^v_crM5=@fxoUy z8uNUO++#sP#M2Ikp!Hz_S=YcRJwO3G=kuiHBDE7KH32Do!;K?tKygpG#lyrT4kC$M zXH*7PkjARl2s9w2lVZgBi;UY6!66l3{}*2ewR79Td6ML6V&A0H)iAx4$SKvB^Q8EK z?xcJ>W7un6z&8j(s*>KiI31S!%^@DUl$zfX0)u8RzXJoHtp+qYZKCSz@=)QLVr%Jg?$xv z9=jLIC{WC`*jK}%>Eh|uP!ib9Evtwp7{^igw?1HCR#tL;Lgpp-z9Og$e`Ip40@SPK z+GhyNJCEb{2$wp)6VS2Hm68`Pfr>=eE^U=2mjL|P7rv6%RePq2wUcaWa-28=#cBQZ z#2Z$B@aZS|=>%Vw#+`<$Dq~M@Ze@3d$vX7C z6v;HPy>z|u?&((b`~68FgIzrZ)wvyRAw%ygEyrpM#ZTIf%#dHeUAp13N?i%Sy-v7a zJdJi3kKu$Zb%Z1NYET1E%J6y4q8%eRLxqo_@0{)~F(-LE$^Hd)SC)Qc8 zXTex_=!1b10$s8*U))Hp#VDVGg^1f+KVX8X@oUHz#dK~?Qh**rL3W}(My|O*3t+-I zHqC^O1*Qvw;&=j$&IKM_ns2JM7rgqgx|>H=ba));-c80mMUkn+%F`-W>LT?s8WM^qIgM2*L;5uvcnGk$nc z;qLxTIoyq=CZrNS3}{?G4IVBaWrv0HHF%JP=wp1-S*VbcA(pdeZas+8&6H3wcQ`V= z&iviV;6}i4P_}G5_@Q-d-X)WBS%FZF>g}#zexOd_Psv*&<%7p6G`OzXR z7K0OM!tSz5)|Pql`M6w}>zG+|(2>6D=emDNe_upIwg+8%h}dmo(#<`gCEd=6*b@2l zqsYP^Q|Z!MHJE)!Hs8$T>yQ2WA-k z(2<$N-Vjb>bwGTwO29innnjh2^%zFDV*>kPQRB;g6(XVYcqTWv#_&-XKA zk6{hm=G<$5;&}>y^Q6)5oS8)lD&CVpmN9h7f{z`o32Agps6kmE^(NGjD=MK{fwijk( z1B!f}WfpcLn0zrU=UB5`67pz9&%F zIiZdeu?XI@BNz?Ctr5td3H}2sB=K?RE&t4fb~BLIz$wD`u?^!az0e0o_=TOt%HVp6 zg>8j#4sGu&eS588{~n*o%M(a|Rt+LL)g-`TGOh}H73@y12;*V0NU9bmdAf4AILmWQ z4#6-I$`!T*!aT zVC*|HsYtarR5c%I54Rp4SyFu)gy~oZer2B%A`{wg$k8tjeQn#;Rcw;d)#B8Fl?Vyg zmcyaO6L;fXJBhLYGLg=?xImXfmE7wtprlhA&}sq#UQ+uBHsw zr0`Xz9z^**ip34OWmHxFJoP?#~$~@D=WQKYepMo0Rg) zLh)nzf$ELFX2=qSZ;i?IIk#6x`=HYvPHANE#nlvGqUi9*T1!mYO52SE%Q)Pbq1uZ{ z6UtBb5AIk>sTF_j%cxYO=sjtw8`i5`+!85p+K0F4oIngy4N%gMC-WAX5@^xLz7ctu zy?#)$4L{av;Eqc5X3Fk1Llw1&ik zpkt8fTlX&RaRZz)KK=f1sB1;Q?w-PYbt|*$woktBf8%zGf-23uC*A=s9WlpVPiKf> z5vi{Ok1I5H4z_cE;madlx1v>*o>f|hS>Ko`=a`Hel}^D9O&aL#q!|p-)B_-*KZ=E+2$U=y315pWgYHgxv5ai461%5;d@R)3?7xg} ziF`o$!rGOqgI5q3+>9Zuh>gGt6Rc|BA$tkpJG^tY=1B>DTXtSfTP`;6(v#;czRp54?n6|G?j_#&AH`2vi7UKA(h`0fNRG!VFRNgEpaOMLxlB>%m-4DCOO{rlnki?cw^Q>C{=229B$ z4*A%Y$aKWfvcJoPG;w5;+eqlX3Z* zE&nH_+6%65%qImGISXTK;b?Do*dTo*9QW!||2xd^)uRpc;derI8~ug8GPaFIrKQ$1 z-bjm9pZEEs`}MibiSZgK-J#!0b{xDrbu^dj@{`wSPo>E1UDf|{1%7C*OOpHS!07q* zZS=iwbsT9TCdOyA87;?6p-ToYooVRNSIz4cOkVu(KRdmO|6iE_*ZF_tp^yvVdq@ND zw?;s>dPzSj`rPz8>~R`nHHjJ&WEn z{u8Xx&11%WN9K^*B31S@{4KKmJk>aX!R}~!q<=G5x2265yjp~cZrnf!Jh+Jk zex~Fn>)27ntfB@_xZ*YP?*G(7p#B~4{-2I`|05ly|JQ8zY9i*rp?U4^p~|WOi~K@e zW!JlxUSk`){pLO#2uiwniJmUrRdechU-iD%|2TJJ*=Q(y46dpDRiu@gBOWDpu}5O0 zLe6^!?4aizxQYtbtIe}jGRZi*uur^mkHOvg8=#f4y{5wwgw6i!%NR|OoI2sxWY~Vm zYyKrgJc@UlInd==lFSHv9`!|Q_1gDJ$4B#SOc%Gx9=pi&M?*J%`6QsW>&f;%Q#qCW zUSFk7G5?p!FVWA==b0lsce~IINu_oSWnLbnDn)DTvP3u!RVp-}YChFl5Q6N>`QID> z)FiWJHssj_{L@f7+}`VFGze2$axG`p|2SEk9~NANo7mr)5No}DqgWYO%%*U~3U~dA z)tfn=;BdER%sSgVBenSKaGMrgk#u?d^n$yflm_q1w!A)}JOpE>B01Y@%OM*wbP0k4 z_n|?r=b((fHXM$Xrm&@@PVQI<=|M~=5xlkh-DT2k8QMlLR*X~!Y@|O8DHA{M~l6` zW7iZ%ILGz0C*Oj*FEwJoxlOXm>c2rzGpf+vQPV=va34<#5tjda$UA zbErW`1K#?^-Fx*fT|L4o?^h50dH;*u6IHvYKTF_O+mm6Fx27IXiz<#z=xTR1mPOqY z#^7rxpT^^KyQ}?BZY48KbB3HA@^0YwC6m3;HNErw&@3a4`7ZQuX9= z)vtGL6|}1IF71)Hb#6j^U}Z_dmP-kDelZYbe^Prh$aUDz{;5ul!GgkKQeWrrm(NQo za%+Ii%RlpM+}yX{!>U6We#63}J>jkYK-Ev~^!wB;$eB8HQjq?6%(JuidS5C$SGRskG7+@B49>4Vb~x8*779ZejXk!@FOPn=bD6yR$T$7|-Sx7Y*2l6Q zJt;eCeao>l<9wpq{9DtbO}z@8A^k2M_cDD4jWWwLh+YkfJr-?$HRykA8BeVnT$z+& zzC^@M|K7&~fqc4txAd56>3u1%{lNnng z{H29g^>y{n8k2jvMkadRj~S*<3=Zz1P$*pNE0trXD`lLi0DMyBKY3_9dX|5qG&OX? zIJLYm05@OTxAv-G{lJChOX44=D`0+1vP%YRjO2v`E(R!v-*;kTOT^waABGy3rzFlvCt;|?cj4a2 zk8EKg8U7_F3u@mIc`wX?EJ;DwjeP_d93>m`M7KoDH&)D!PQ!hj{f}&fGAtM?V6k(d z|LGwp{%7d}aQ!9xD{f2Vv=a=|fG++oTOu>0fD|j0kJumIQ{f$b1tfm&fHl9Ok^Nw=KUd<+Y5m)9g4crU>bq>zL6r7hDnj> z(*A#v54(;;<29HEE75=f6BI-|M*2>tT0YLcg5v*Rk~j_+;l)*9UWJ~9kaQlt(_3Wz z(9M~DAshKmdR(22a{jx+8TtZC>7PeJPOdO|&awDc(o6q^D%&K}O`p-f&q%?G0}bFM zg8A$XpGVE)9|B953Y#Z=cmB5>{o9WIX2t)uqksFO{}@;P?T`KzRsS8={ub9}f#9!K z97W>^4iH}wYAyW^=f23a+Iy!V`%P6SedCke3l`rrsAkgc0IMD)9b!zA0Czt9x?9xl z1Hr#ywxFvL+0%20^|dz8(3lP<=%>HB5MrKefJg=<0r3PY%b_j zk9I{Iq4k!!>|Xa1PE_c!18jYNc+Y+yzD545JDvS2pq3tB?CC#p?N09g%&gXxjavx; z-~YgeTLLt)T>c+(dAIyC#Tw0HO%BB$JK;2*<)-FHY*@aO1lssP&N1PL^k|8oPON0Z z$Lt8>HT9Fvfqf|Zn6uzRXg^EU0+RT4BMP5a!&fs;>}ip6K_{laFGD+eYihCzT94GX(T?_@yc`j>ovZaF znymX;PIl3zKOS>AE|RQ-kg@eI_kXRweootRq5|Oz!};G-wT4dV+|xYDeRiuu_ZE}3wdJeSK;pJb)h4MM;Bz#;B;$P z8>nqL3KPEaTOz-JaA~MjGbx-KNLt|2SC#i(_xX>gDImNYej_K~&XZ^-IE(&=?E9;? zB*<19sPEKV44h{DP@?T7ee~@lZJ-zptN#CYe}TKR?{0}u6{rI1n!r?{3TZ(I3wOCp zu0YB(#03BZB|!G~hPZ96tXe`a@9@3rc_>Lk_p|0{)Y+2rp3znQO)m9=P61~J8g}ejOF3ye^yO1=&7TeT z_8fiu^8M}qwiW5tp*QVP_WCL!W(6V_WtW}0NuPWz zx-iA{Nc9#L6m7MEQFSO)sB)bo#23f#t;h({Y-t&s#40vzi5x@Lu6X`w!#`=mB(Gc{ z!n>@Q@x!Da?Xa8ur_X1qfkkQuzl!!FYKZ5AKr*rSCHAefuPRV&l7a9X!$Lojv)Y z9hFx4;zNV7d11NU?Rm7qb5`k{po~rD>E>+x&Ifq^?$H3bT4O~9{tf5pX?LynNmt~I z+~zv;Tr0J_bUY5eNIdwc0u$UTQ+V&}*Vp*m*Q0qy@({b3UbYvs?T(f0S$Y1zVO0J)_~1+ZEfw+r%}RN1#)0v`hNe5E@rvKd zmnmU01t%|`r>0ZvC6Y@$rBbObGMCSnI=bULs$J}4=3FXvz z!UOAjUV?II3VRCjGlhpwO=UTT$fx`|Xn@+zKhr4pl@WEqzNY&cm>WXT{Zn_}G8tHz zgrDGnMtl&g;rG=ro5vkae!@vkqv{${(Ts(4g}Y;tW;6_{eAQwxBpK*E(c1OI0WC9 zAuLeR6tg8_VJSS=pF&@8h9|c=#6N9`JV1O@(q6`%f=%&>f8Wz~WI8<U~i?(h_N6080I7qw&l zy5GmLp@O*8hQ(K-p|?Cr_gQIwoHq);CsVFC)tr8I!Z$WmBOQ0MEQ^6G?r%Pn4qdo^ zTyfeq<29$s!8KdGMIqC;{_a(SnbZzPDy&%=?1%q>*@FBI?iX@cPnV2*EyfvwSVt*#Qt=jAFgv9 z>DpXOHmS*mflb(Gtl*qP+QQqA@cnl9DH^N3u-adPu@TMFylb!O#B2(QdK($8n6tRM zbarEAwEW)Je6+)7T2;jm9qd?e|CjmU!q6fmJJ&>i zpSmPNO)JwQ17D_pjJW^KQ8PE`<}CBI?v__^HG2D>_KIE3 z@H1lzk_F27$rxZWl+NeD;W+gq7cQl{lK|d5n7&~ClNF~trtO4aN4aAgbP<%>boyWs zR5DK%Z?%2eA08aq)btFW(!tJO&$q{g1O;);?ZePu@WUrL>jmtTdUNdYL@B>M?fs;- z(mU!_ca1$^2j%*^%~M10=6lOWz#3}abizNa_qksySdp5Pd)A*CVqN1`=~?maQCykg zdzH7{1#_iePh@^S+7HnE-`0s61tw4bnS0LS+uZxNn`T3QmU<*PdX#-#I4%7p)UPol z2i`0A=H&Uutux$|PSVeO6@URXb&vJTDLKEClRNvnLky`p^o7s3e|uTT4Ubv)dz$@D z1xFs#Twdg)D_+(6rb~I87sa0hQG8iR`#8JHe0e~9m7?gi3q`os|PDo%-wNZQ`JQmFM_VwYKfzRq0+s? zz#|2jg^rUoL!P{D{z;84(#q8U1=!^*R>8{3Ed9HNJqJjC3v>8?igSzsY|g(ntN%jY zdqy?2h26qW_{ zpMVkl$`&}Qm$Sf1ju8elVBUG4O?Z*!_AjoW$tU~)rOmvq%k!sa=Rd71xi{2tIuFZ} zT&r0z0VIo{X#5Y^=~Yo4Cyzm58mz~!M^`J_I#uK<-BjbfOTb<} zlCPaY&bbPFL`Pu(_ICaXPEoQBD0)92prbXa|O z8MQb0UELZ(Jl<}gSiaOyoh1~ft4GvrcV8pRjkQtUj*=+%ccl%RS*U-UEQeE*OhSEn zW!mni1f|_cHB%k@SuHovVTp?)+2LYI<9L=4xj`_zSYc9RlW&!KUE@?+kgsK$b7HC) z@aDcK!DOs?G{xd?U9;Q1-1gtQKw~*{5fwF$Jv#$glf5G6X*1tbpFDA|fU+G&JqPJ3 z$43hdI1>JT)(y^po3slRpPK3#Kc?@n9{2OUJ5*Wo(X^xCc`K2;NaTSpUxCoSnKH$G zGb#G* z=`|+4=~Tw;wOj86roG%EXSC;R+B|~N@~sqyV(Lilt2c8BHgW5#W`@QcH4;OIZwiRu z4F1ob>kU>&2Hgg*n#|ym$|+p=@{=Tn;pxwBMl~Fk*NB zvpWt{lkM{dR}4_#)Ft(xWYGi9!7qRdfiqkN!Lm|-N&v=S2Pl9J8q9tLgCz;)ATFDN zql{Dw7HKbb6r0`;CFY5t2Pi~_;5M9b2kw2504C+3M}Zv{`)5($wk&pmtd*>N*7-0x zw=`)MHm-n_qv^C!!kCQscKium^L|1z(hyw)aW@TrmYlEv9 zDyp>d#@r^{ZM23OH5>B)$#ah+@Uq*%MOD(O>D{X)UUi(TrOR-cEWe9^Q(unwBbywb z&-%(~OWp>b9}6JjUD&f1F3w+iJ>n79Zmzm)#1q+hi)>duCof}IE6r{m|EHERw7sw- zmCxq$*?@tuw7dedztja|t0KwDzx+3nC&WGdmeH}Z!B*o-(#z+86X^+51PE^yy{ngZPsrR zqkr5BrR+TP4Jwo%lv?i%Jg8~Ny%>zhP;WzRJm#`*I>enwtqOZyAzlInqf$IhS=k!As7kNjR^by;f25$ zh6Hh~Q|>pDWf}i%c#IhMq$q%Uv!qwl>p=Dp1Y9e1sD$547QdOeOFQgA%iTA$*q#vz zJQpj#XeiwQAJ33LuZx}f&Ga_yDmz^ZJx;f06pg_((ep(E(2t*FyKMJAE~Jwu*x8d< z7uYHY4ve0xu8s)t1%;pSJLt$+scbTL29f%vazjsRRrE|Xl&&7FHlsuS0Q)&B-T++d z6Z0FPuwcp*Yrp$pCMp;u`!a;aU;W6_Xv1lLG3dD^Om`ZS2_#BCs$V%5;z%citi!@@8 z8q%>fGj5(On7;$rR-E2{96n!DpI|+37~Nz<3u_l-Z-0eSWQ@*=9hRz99PP-^zP$RF zZ#^A2C8}E-aY4IJtlEq6kJ2?LXaT5m3o%mZUn6wJYvZ=7?s_?)e8|*3`MW^T5~(7n zZ*^$@V|Dsap6F%s`+KNCL)-JoiL%<=Nuz>_n_t7M?-`Mv4Y@5;5_k)HH&(44W@i^K z3R;{jDl{8ODzh%02^!2$pF`j1>z6|{gpG^~-GV(0JY(C4&IT(e5d4DSvyLk{1y z{kC9OU9fR+sN`Zx@0DtXnG3_ktFeVxUr!v_k{$`wIf&b;(KTH8Qs)$1_(*OVftWEt z`2-LYi!#1b?0Sq`=}oY3k=($Y%*kJkMWL$lr0XQ`zLRM2fKjr=8KS zX07g`MXk?$!D)Cc<2o4=vj!8h)#+^iy0UFJl$yGla{z8?w?S zI~b+u;n#UK-q}#uSaMKKY&rRgRcMuPN{yq|NLiX^jjXx(kDR6Fg)-e1Wog;|yZ7X1 zF$@+D%0|EvW$r2c_})P}wG%^~yFo)fzW(Ac-r}quLyDG>xSvW&D&Cwj0}FoQT()Ei zYtP$p-|hpVR~dDQ!2*OB_^t1|H2*wu3mjY)PQ7xvdT-S-3y@;y3i&_=jDz zNGFeY1dd1MLGy5!osY^q(yPJ_bL2Zqy0P`%*QS;vH@k6N8H$IDb$VT5j>nf;AID`R zD=@VEDL{ANw#P$=c}yop*yj>(Pl60+@Q!_+_EdutHDojsL}(Yh@ngz_>SDx(D_YTb z_`vuW?epn>Q&kM)1BIeOJ%z)7?K}m2!jDf+lok`CwsmT4&yRK18zniodxq7a#`KjH zWu`Ku*J^fb?|9xR@jTv)W1OT5CQ&cbF$%B%mKtEd@6e>+Vx}1A7lV(*Y40>h6vBN> zR6@`9NEIr2S30WZW?x?^dERMNXu)OA8i$K1>sc=w$+zS74L0c3>nnegujh@w_~Y}e zOigIXb8uCbUwC%V>lKs@(>643#qr#c6)%E!R!jQZ$?_q|CgRbcAt;Ouw2|qxm#K7c2TNj ziGgfdF`+u&N;$`AR25TAU9B*I1(7)MT3341+lEpTf*#?{>JrH^hQ`ao-V6*< z@~s~J&C1Ud;ih+n?Mu3r7B1{=5uYnl>M=3sA2KWO-3>lQyvfEI9fCKrt3rbm9Wbeg z6oKATHWUqGtf_S*gh+UT*=ut_xkKRUSB&$zkRyEAWyKq!s}$`fpu_*rC2!H^WbE|KswaNmfsI zUSlNiKKaUGRTB7UI9jx6fpx1JXZ4`YZgbC$f!M!d`*xd6s9;ISQ&?25+-K%TX(~aV zGq?785dL_?dU+#g)<+B(ZP!~O+>y+O$-u=YyLA%Z-u$GKaT3y^c3IRrvswrvO^K<7 zL!mR*`-6||O)7M>P5J!1^wroij4eM{9T)g*rof5B({uQCG8kTsSbx8Oo|r_l&#gWN zL&8DxfxJ`lvjR}m{O8I*x^jv6F$U_@c-7w-G~XA885DQ_lQcIT+1k(*Yg=D(VQk2lV0fv`obC-(Ia3aES@V!_`HIaEa?W0c zt?*{CvzykPmEqF&3PX+gc7-@o4q2AMcG4NUf^Yb^T>gQ#li%L{Dn!3pE{Olxf70+~ zclUJk@#~)jj@|lkQapM7nU3J$?BP>}+!~P^b#i&!P2wY9f$fLSj3#w_8-jgsiOBfz zUL{Q_Ye6pWHx^p|nJnbyTi&?`efh&haxAu_Pcm>|U**T;{F zr6Ogg=8|N;8d>3hAf6GNZ(O}OUoBxFRd1(3oO2RJd8s>u=Tl}CNtvq ztB_^%HrD6koGI!J$X%cV%SCK7;!go3=KJ_JQ`dDQvkv!=o*0C<-Y`sdl=$(jnTAA6 z3MNgz6gKqou0CM3z0Y5+-p%L!D2y(EaAM4Wp8QY-0Oaqj$>WUI0cGC+6ubhvlyAiT zNmzkJb-`Svdu2Q;zE17lwN?8H%b#hhBWc+Y>($0$ZK9HPWr*bZj8MF6O0o2kMsjOiUqCt_jLw0Qebo zZ)`=gidqKcnQ?^nLl$1jn>KzDBgu)=6mmI}6vr<$w-Z-71o*gyJ8l1cKj#*Glxz2f zOG`x}>505PM&m=R3VTeb>UdsCu3pZ#?(}waaEnkLXI|*D{WRKFbcMV9*!@>nKmC>9 zxl?Y9(G`kL$y|&L0HFv-Dh3Y+XGH8I;UAQy_n4i=WrJdm|hgn@O^tJa>rf`OSpKo*Ca6 z1=lD#fF1`_?|=cC4O%eCg`fSveA1Aul3BjYvO}`pdiBNgoQkHL^C#;*TH22=2B}Us zlbqxKSmzX%cmxZEjO6~3DYq%k7uw*F`HUNWJ*EGc%05}>+(P}izC{bR9KM?}`@@2H z&PUm$eT#dnhjQxHfK>f6hlxA!l#^;&p8xT`JH{O8X&hU-+whG9uu%-toEe|?5sacN z1ym?0jcgzLK+yIA-n=q69po*@b>7U=j`ZYhEHS7azl(Z`?F*?A-o$BX+ThdfT1Qeg zF81+j4<#1tYkro(8e*1gZ7prj9=Ew!`sSAG+fPgnL2T&`-qHYFbZ`%yJGIGe{}xQu zD*)5QZ+hqJA;0;Ez|KqIR&oM#11Svm<;qmvqO9j%b?35G4Ge~Sv=gqK)pGaJIC@ks z;SHk;UA+{yqYL(F=2i^pL*>`NUl?#(4jhGCX43yrs+d{G=;Of z+~cM3%?Hexas8KFcucC_zwWe;EHktrJ7q{;YP(qifcAm!%`%pneAx$Ora!9}Byv^` zXy)2^c|wwXL1`iBU1h8m6--81JTNo8 z`n^oVe8o8elYo83K@`68kYFS$on!3~_#tJi#j%1t<_p_qnW69MuEt%Ixtu!}> zhISn{yyUo3@R{0Wm(PBC!S;6{bMCi>B>R}2Z6ra&Ro_-bSQLILuC%11!z3VA@IzLl zO94*N&1R8%W|CJ)vhNaiI`X0Q>sxQNN_}gUj>MC!f>Q!6*~(c(YJDq|DtuDIJ2aSM zd->Y7cnBLU)9@zkgG8*uSrL)zcS5BfRK4i_1e)cBmkH*URtbqIX?Jowc`LJgdsTOQ zDg>Vt_L+5*7}Q*>9yae)-OP#*d|Zq=WRP04N0C8Pq1F#;_SOi*SMSIE<>Q%`n}(-V zEkARlI7;-uk}n*8m14a4i16NT`zPa6FNhZvy9V?zA*Dl>@5H~$=>5I!f{%(l%{gvH zDntM3E88V{2pe4QR5rbqVk}X69jG%88oG-eGtD17$f0T)_gRId;pBBSWYmB9*G~P% z=J6@)I4Uq)j$x%t<6^Wi*nsS3Mx7*H_uGEbiumyo6N}yBqlPg`1EWU=jUZr~$c$m& z$T#HBT&%yD5Q_lle0~0_{;(QAA;2m5!4I@Bf5If1Yz@R}vGhFnh8O64R;DcgtN+aS zx0S)cho|Le9t&Ia9CR1@KnThAo2k^E?1~y5r*w7A{nGr+l>D1%PaOist_`8Bak-EV zLmWN_WPla5n1>h#;27ZtfMoZseD?X@@ZO`A{|yalH7u(~@GTSjT5JyS;Jf)klE0bU z0|8K5CbEr>KKvhF8-vgkQ%2Km;;!KPXmKbAd>({2G%i4;`k{ZoF$dyA7N8>hW}=yt z+eQR3jKD?T2_qvIScZ&kd^mw(#Au~r_v5Zz0$42b5Z!@ZHS<_hnhd^TiiNJhuFoB9 zkwpez%!XRdLvY(+J#OgZ{9M$of=b&Qm#PjsYj_b94dx&@8Qk6)DE;31=Oq_i?8*E13+_Eg~`mu8_nmjkjgf-tAsUF|HpjbUr;}Afq8swqReCA=Jou0l;AFkTo5nI%JQw{ z0{ZjiKRuUs76A9RpX6&hHZBPs6XQ(Kpm!iGssR?FpH*d|^ua72qYY5=EC0Ttz-QJ# zA)H0rzlK??0w>_k{BI@=i&CV>0Uu*v76uuI(Fi0Ii*x@m=av1wihKQ~D^%dYlm3Pk zvmtjVbmbATI`m}v`qugCXw9haIE4?D+5QhDYkl_7F|)kD2P}JDq^=7ar^h_R5D%~G z%2R2iW|G)r7&0wm@^p0u|Bym^izJN!(EY4;W9|^CWNJFx&-le^szdfzg9pAxp`o#Q zuRjt&wZ@7dFF*oC^z*}dLJ-<&45?Cx@CIh8)%%MxO?H=Vj9*?E*TzP}t2RAG19m0? z9^805mL2#=SXpB1-%dx#<^y#wfvC?=cBR`5R>LuHp2@)IS@CzHJ7+AMvPo{IhnJ|K zyNiic-l&W3>xbw{M;~PfR`X_zb0BcD>4(^eN4hg<{m0n(zBNQkw`Yw6uXy~p@!nGl z(J9GB@8{^f*!_P21g*fL=$QHRs&KDPE958QEfR(XinG9G7U3s%OkU>e%ylRI4p zXD^=2tn~$5qx>rrrl?ryo=OgyaI?<6ohO>}-nKBg-7~E@S)hkYFvzltywYIVG15N8 zei2Oa`EN}zlQTEe$k?bX>8A`~UpIjfBzI=u+cl@%+Sh)e9)UsbKHe}Ta?RjwlA!=y zd%I2Xd!|+N*ox$Eb*oq4y(&cc9B+6R*}h$g$p=^rFef18N>)V0Xq!**;n{71+nt$$ z>K}2w4}UZH)~RRZ7Nt&9(C@$anRRX3pv*y>B)OQz;o{sxtkVeIwh^J>3Fn_Fvb<@vH|qMX|uhV>++Lc8!U+wnm#)8~CE^73|;r!80(C(Ol! z5Q@=4XS8k4$Mh>#C7w65v32@jBW->;$;pq$+Z{*K1!#yMmWCw|sb*roIAIom17v#- zsy(5`!>fcIU@(B8$B9aVGCIZq%q=I)pz_Cm)wIw3u%rR-w2e5lXct=!10eLX&I|O{ zOj+=33LZhkz^PW~Uz#(_jDIoDh`Tya1)_fRPqV;r@J^{o1L*ZwNbWb2H8Fm4AN~~a z3q?>G>u4#FAA@QSUk5w_?%{ZFs_eG|!kul>l= zhAl!aX7qIe*K~@X^8)jF%z+;A5zPRf*diOwX~794_gt%?Zq&ZXlc6O?ix0^Y)XwNn zj!cH55kuug-kZD5D|&+pA7?aQUE5Z@+4gdt(KeouIag)zX`+1dR%1LIT%NJQqOqmo zx|+Xv=l;aRDOO$FV$)t72dAh2IYpXf`BQ`^V#zJ+)ptiDewvh=LYK#bFL#2!tY(f~ za>#;UH{4-e92+tRPF#3PLpziE->WNpq&*HmN!{~feLS-M$(dL4?;a&{Ix3n0xnWxE zw410^@?X#K))V$oCIOZo?`JBE&g9`2@Ee&2#~Hl{aFe|dvGB7kB9Mj^6}ZBR1QxB< z^1TbM>v-JTOfjom$#NaTzIA|79mf2##{69MeK<|8RX4?N3is+gPUv%i$!G)RY(<%e2Ki4X^24 z3wLePJ%ueYZ+1VRvpJho8tFIR(>uy;#A;&erXyxC*`0KtHU25hIjcS~J{C7V*KyZNKB9hX7!0AEU8P-z)s>d&ddS^zLgV>=i*S;(i z_M7QK9uR8X2GPq!m9zhax7dNVuyY>bz`IPh08V^@7b*lbm0<&-*As1mt|rp6GrQ&v zF3fSGcTbMX{VFG{DHUSraZo0s(?RLb;4NC=@Eq}*9|^ms1kR{cvvXjb?rSoh!1J-Z zsJ0dj=;MJT3M^=r9jq|qq2Ea5$ta{mIIV8>+h&`Me4{<+Et{yQwi_s)^L~f1tB-z! zPlA1x$Q_P56FesX_dp0hLX1HWq9>?#Q%mS>>RB0?WDB;H z$*98cqZDMXe}qSo}Fa}Jzj3qrqJYJ zW27yyQn?;}8vQ{<*dKNvnc*2rmQ1Pdio5?IhYGoTWH&k!6D_osq&=9ydOA}QR4}$*lsZ$)k z+U1tvN04U$eHy1H4D6fLAre_EDo>=5R$DQzE21{X77ir8%*o1{d~YY_*ib`L^f3t0 z{#V}+s~G3XZ5e_aSCDeUwZG4uu)xagUx9LI)_Z0xhY55Ga;_X$hJRJo{DefpLo7~z zU7ck~ZRda{*FM#bJxua?Lpnd|-(+!!3v_2~oaiWZo}ScK6qKqyp@`C=xRB0gvXj9h z>Jj^>&qr8FnlID3(5mt%yMSe4@tE{c45?!zV0oL zf$A}sfI88C!FemD!YiOw@U&HJi5JCw>@>&q!3k2y)yMx#t3J5)C2NikC@Nh);Z+*R zNToO73-i~09-Xg?^KUv&)|I|V4QZ^vCxo&ev%q^N6otDDKg8qx%F5KS{3!~0vwLmc zR(|+eQ)9`5A8bODoUWMC!DLsG*3QN6F76o8U4HcXdTo;6SEm%r`*xcQ_Lz6CV#kYV zX%T6KZkhou3Xelp^wSnt(B(At)AK6(ww|woc#X6h(5G$%@t?lh^E@)Uok%d(1;X zbd(tM+pYwpSxROMas5#m*i})&hs?3yz4H2r>tQT{;eO_Ql~&--y7vNpayKw)@{aQI?6E*De0vge z$K#PY<2`unl8NgHklUR4w;8On&{i;UGSMmPqbXjki6b_^Zei!+9_rm8L2O>5Rhl{; z5AfzeAe%-TJbHtC5E1-IjEfLjuX=TUhJWSK8efJO=gxspvz;9KhvLFuPY+KYHA=5! zO+}OZOX(luaUXidKvwJ=M-<-jZVo_wE&-fr1%O_dYBk}}biSM;{HCD*AJ^VsG?#P( zhMvIg^v9g-f?;A@PwPn)i8|-~Fv(-*vAb* z?eaRGM)nv5Cn5dr_vyn||Jo$L%Gu_Yoey;OfS#M*wdV|wyFbkfw9eF^j-Xqw-3GyO z8OFbL!j9W}#%4rtqj#7-;p~hwzx+R9UFd4&Y9iFHs zl@8xp}<%C&p$+G33SnuK3E*^FQ9j&N*IZr@E@{0@O1-VvV@cde1?gdsutEY+S~jG~JGrY4(Q6Jp5_RbsRPLZ>9$nq{uN z4WQj$=vDP|i~zVl{1*%jc)LE2g)T;g5|5?P2H-5DF$a#IviX7phsr39QYx1-{hR43 z%l~;z=tYxrbj$+d->o*{A)Ibi#)ANBXRbbl~c{39wZHkQ9w{`CwmQL(`gp}@opL3CV`S7`8CHz=W9 zyFY<<+@f~7rU$`(wQ!>24Q{i90#K~J7B3=tI8>#bzNH-OlURfdCz8(^% zpm}}scpyiw0{Z3A0GLwQbhvYy8}Ha=6VH4_p!2}#Jb7BjV#|9UKT27yka5b`DD3ur za{3D5-Dd6n6x}?Di$InhnJkJLxXuO+Ja%ZLTmz~h93klZ6` z=op6B=q6=&qsg||`|-W7yi0m2)Gw4B$WZPVl{6vml3HDA{ie)7vwMit?biMCd&sz= zVntTal2zL6$xxeG0%0`Or5meSGONTubjOzu8OzjS7oA@+o0l8uvFLr(x-Y0A>+QP-*4D?=Y{zP5l z!3x777in{#F!XUI7#C;x*?tF6tO2*5Wc~y93B({+RkdJhU|~?O2{5I6)5nv~eX=4} z)!sEd(a||7?-zQ8bWN`dKjB;+botuLsZLHcEoqW>L4)_L`wmX^@z6a{K6Zq_MGHQs zXwf9W`jX+LZy6VGnuei^Y>$4Jlou`Z>!^L%8g>>+Y#$;GwBM#_#`9nA({xfR8!afxnGX}kM)b5794UU*WU<3Y}n zR3U$-jE0PHb&7HAq_NB4(?7=~u+4f&K_BpkVh%ND##cp9pm1)5!GuboU~9LBl zdHOw9-?Z<93fw8FW{VwD@qByMly8s|?S4gc_m6#^H$S7CG)+`j4W>*xgZ#en{P#S> zK@#Exk!ppFe*C3Hii+=aHsD$Yyxwq&nBQk%YgnUeM{x~BH}LuhLE_}C=VpzmE+2;@ zf54uEp1N1@ZtD>*T%YPJ7WFBJ;VAFwJ(w)Vp44iYHd82Xejy==#lM-WOtqwKuW6Bg zbC8Ol0;rBu`BT`vzeb>y0dYz+v3_Jz2Oi2QN)ne zc9rhTQyx%A%7~)$b1v6zQchJDkJXhQD8_t}Y{P34g+oh!0tqd8tmdeT3HYHZ&4XY!$#*T`=S0 zRWsgi$ZrlJrQ`X(sI&hArBnR$F=G!VziPAiOWSkBx%DLp`aLp#;6LH|w$lo=?u_s! zSF&>@E+mU23#P3eB9!wJDk<4E?;xKiCN+2W5-+4zZagwkwtrv@W~so00jNE#htO-2 zN{8I(Q{&?Eeva~VmEtQGWmf{vo_og5@n-q&%0^=U@IezzX%fLO>si=3d>I%I-;eZ5 zr;pk1^3aspV!E(wNc4QrWoN8FxLk)G4IT4 zU)t|XDi05{Ar3TM#Q`39HuL^iV@Bp%eX8q3Uuh+;LlMiAWZXi1U8c8bf{%NB;wzjX zd{oQ`79g~mW187G;LsJ<>LGsRvurBqK(^Y`j^lB+>gvVL!lYj!Cdjd+BrwFU_rl?Z z(O7-3Xo(rwPE05&-IJHh!Wc_(7|zLfnQjtbRh(_^n``d;!(^fwh@LmZ`arGnzcStb zI~0wAxlH5A&BPa9ExJct-wwEY?$yS;mCWmt*DlVyxXdygePZdO0-c#%u%_|N8d2~_YD@_^~jT_KTdWmNMhO-BI14eG#`hf zZ?BpuMPDv)QpWcNgbC)6Z_5(uA?Q_*2sS;Rn0K_dLohRrnJO8N*x| zlZV6$>3V*_02jX*0T*%+J0iWkasUqQ&*RWfMu$ZVV&AiokW#h-dY{y&rCWy3(?X95_Pk5}-PUf`J-3sz@y6UUVyG-q6Wezhgtoj}7xy93lSA5RT{Axzb&GLA> ztKU3Au8S@c#M*x9IvyMEmz5#Ssx?$*9yF^+vrgLRHNT(r^UiC!90kS7^=zT?yhFTI z@q5kj#C$2Nf{UJ1j;wXbDMuMOjZ;qan)90Q$MT?s?C>OX_J2*cT|D~#iO+ib*Bi)5 zrX6#_bS0_RfvUCp$KE$@{2OodTtl!jRb19ou!<`{-Zemj=f~_dbH_WU4kg;6H4Cqf za@a6H4kRCqz!%*CJ$>Dz=56PIR6ok!}mZ#P`slZ{bX-)n5G^{Mu2X#e|PkPi9Yak~4hK$hm~zqMNF zTjK3QtzM3Lmzx^A-BoUYEOcY_1(RsSB&U?Bj}Idy)>8xX_fG`JlqG)Nc9A@}ZP(#h zzpl$)o09GXIaRN8)D<*+OP`Hpcz9Q!IlKjlkxf_2A?tR#B)lI(tOaX6dQbSepir#D z9nMav_)~YPKHBnWM@(H6bek|eGh({fU0fx1C`F=NyuEDM;a)mSh-tU_QxO=O=Q{oA zHz<;*#0hj1XU(Y4HwpT+yv;7^;2w?VTZQ;pmhcItl@6ySk~N!c3MGauO2GtU?Pc)K zKP(qZ>n>k^I5C0Iux>u=#NNZghNiFENrc8-y*|*kS>>W#c#>jw`_vH&Jte;APwqkd z)1JQJNJZWx6DWT7PN8|aY|w+8Z?m5z=u=h3wJ!%F+bGIkv-n|>0u*J>bBrO`(6HZ1F0Ep=!==Jf0+hL&61{i zqlSQ7WwzLICBiTqd4eU%Cf$VphMHiU4o*A7{Z!Fdl8iyo*a7Ima`AGo|NiqCaZy~1 z1HP^_zjbIcccyG7nyAqP1Y;TgKZT(?ni;)X4EeiqqhkP-_6}f~RX6UoI@%@TF6i}2 ziiKxmrYsm1VtXHeDe9~LFhw=(u(3Sw0nhmYV+_3)EcRyxH@r0dPYZP0|8*_Uvc!Oj zGcCNJ0>+BK9BE~HGgSPijX=kd5Msj0f#wX|kTyynodnYQU)3n~aRn4V2u{#QYI4Pe zi`k9tJo&JI=31E*rkx+Ao1ycYDLzi-H6^ROC~%Yc(joFjX+6DFTrhw$XUSUtHBf4a^Q+9VX*HpdH7o`-XEQ0ELs@4g!jL7X+4H#<^; z;ptfL(+sHTRWck_>LQ6zE$8CIL{MBvi}9Uap*=R>G%ShytAz{cHcIr_VgfbeP0;iJ zz%8b2EKez>Rr^HLg05JnDF0z*2wXTEPY(MBDMV&JzM}nN1csbF3yGYWsf&YIqn#QEFQe+tXW zSSI;e?^Pw1Iu-evvagc`Mhbi4zNO2|X4GRJhMZ$)X?`v`#H&e66Z-^E0OR=9$9^)ytPv+K~gygB1>`7IDWp+BS( zC!TS=qYoS8FW31c@>jr@QrEqw%|DUu8gwot(p~#YP@(Ph1Th6?1ntzVhIy{N?G)zb z2*QCK{SK%Wy|5Ey3-~TGA;b4H-U@0aIVOP~f%kUG?dYh?Et`S-j2cGfhqnU!uQWWH zT%6}Hm1pXXZGZDGPZu&6Is||A=tdB;hGq>nnRClFq>7P<{Jn2~GkHSU?J3LJhy;ex zS0s!UmH^_<$Z~#|v*DRnL2mb{-M~1(?ic5G0Mof~-xN~cLJwS!3e{#cl^x6milc>s*2 z06&0R7FD6%81t~jc2PDLTq2CD4~{umK2zY_-MTi`2)bolTzgE=YhK)v_B_dLS72+J zR(lfx(gKm4hBmY3%@slFG@qzFkCjQ9=SgFm!v2)`Jf7f^<89Mwj~$RwQh^6zviJ8i z*Y&t~qEoU+^~N^&No{?!0Oh5iw6qf2o6tBzBpnd_`+_wVbTEnl=-g#6ssw_Q7||8h zp!aw7JgAlT_f6Y~wVD6y>aw=}bh}91Bfjy=%nEy&O3BgIs-sCq>am4myNDn-{OKfH zLM7C;z~ESEGAs5I6QCGvPfd4}R0Ik!Ceds=QjmdS z!dnND`$DhLCnrLJ63o?uuwogm@d>3ltS8g&FG;TzjM&i*Ou9AF!U^?tE?pUO8yhy! z5!GMsmTq83m+kGA(a5bWGxkc0h#F0K(9JF6nVhP8S7@8oBm+nQ@ zwVHFGcEwlw>$HoCjVZud{Y#ejYl`eABX;CegUsWC{Zy@Dm*Qm#XR5plUpn#zTm9oh8`5)7bNsjuWeQL7gvVlSY6OO&=M|hI}S+%#_^ctTA$AM~H z9a5ubWh&0Oq9(V?g~iV@&xCr#TL-Cn3|#XVkH#|6zFqV*xM6WQ~*0MaWBw45vY%_NNUdb^6c zK&{LzVeM&VCdEl72bO;kw}2voVDIBl&oDwkUb^=bMCB;gn|OrJn52<&zrTo*)m(km z$Zu{dY!d%G?h+6-Q2(5HrAn~ z5&a<8veW%r<@9R9=T?h}k^3|Pbj#v2SiBX|3_kQ(;;(|j|8h^>9~Pnm7aYSurufflteIC(0X`S$>eQf*O^ZQP2D|?eflC!H0Nl(hTe-hDp zm5h%$xmS_ppLG_Lauau;Aj`$*q?-`tBj+5>=LUUe{Ru9n25PbTl(ZLxr=5) z^}DHz24pVSw~Mh8S_wz!rm2+E>e^zMC0Z2mS$T~G-|D36$Ubu`n!EEJU8J;X?NqSB zu#f0OA!9sGY*Ri4JSDsgY=>8BAwCmGs3-Z=(><4wQMgQilRDSfu1fr3iZG5 zYUKaOgDmtU*G`N*DbQ&|5tMqoepyCQ{z#pIRkqrR+E~nZ-H^0s2?su zLqOPvQ{G#>fT>iMiJyD_BH_}`vlcs$d?JZdh1P=EK2$mwdr|zHLuzWr<&^@KDGn0aDbDJ42X?PR%8(s=P+v-XH5 z%ZrCYv=lErxl>V{19?J1(Ig6Wl+*SSRH{njmX+8{Td_@C>6J<~v3%&e^bO#&R^^bC zbq`wc+q0?F>K`gom~UB3v7xH_p@&kLK;EeYa>(;sKyswYZ4xF4l>XS3ql{jxFiIu- zs=*-Ah(d@)oJP7Xz}dX@B%1z2_?G)U7JV7~q}XSFhVlA%U!fPy)y?R%{ZDoEalZRg zswvJS`O>#@JV<+h7bC;F+U$u(L$*%PVbqoi^upXk7YI)mi>sa7B$PX0VrDg$$L?Ms}}JSkTrH4SmbmNBRAPnEE^O%|cwU+$l= z{Jv=Gi>^9&7og&^wmDd#;XRb;QFjT=Jd_ z{r=ITxT}24X>5T_mDE;al4IZA($8*J!}1{-{n|`+#LF(Hq$`tudb*UnHkj}%O}B<6 z4K(zt2gQ)}*xN@6O)#v~ozmOq4RQRi1jR%=OLszo%hzkEiGJjzi|VBp?Qm+R4DAtW zXwrLC;=V{IoG!dZq`x+z>u{NZns^*kbgg|K0fJ87v1kPc*e*y5wSvUv6*LS6?D{G2 zbsFs>1(CFQPtCMvt^Y5&6Lf|aNS1EJ#v)i+Q)b8HimBLSy3Ydo^xC*RFV)qcJY3Bq z{yg`v$BNQ(J>8EGhql&6}{qGH|YSdo2ka5ps^|NoK>2|{_ zHm1_DjwZh=H`C4KC=TVeOQ%sPQv1XBe(8TS4W0kp-=TV=y0##)9PCEVnTwIx&n z^GLeG)hH+|>v_K5`$wWqIg+s;VQGZ26*adCm2##aj!og^%sEXd>@jmxb6`HvFAPJnDhbFnm^TctFIb^67wyc(arqV*KhEc4G2 z)w*;=_ES&uPb)IB#yQ3FtP1(9lcxNCbhy^e<^zFPc_0`FmYoR; zXSi-sf5ytYkEm9dk5T%5fVnj80atyhnGivrz@1#qe$#I|xP6X#W9x{kTT>qI*secY zYqC|>L9ko6JHLQK+T1$>h1942T=v^#YqjQXrypD(>sU5*m4d#Bm zT*G%AH+PkI5vy~Yv)iOPxMvO4Y-r~o@Dx&IY`4C~%<75UBf@z`w`t~xQpiWSzG=R* zcOOam+Eh(1T<$LYt|n(?aU{9pR=UqED*yCQK?O|B$uuL6{YZQnpY2o@3kPyL5y7S- z(Y@`fCU^Ido$G6>9o9}pgN`Q(SNA?3Q7qcT zqKT&&=dV(;$zq*zr^BCof{WB_B`~;0kY{KuU7Z#4&VfA82WN)A7@u7GiiJ3QasEySn++Kw;O4}P1!amM2sishTkoB{qRPsz7Mf|@$Ef) zEF3`@l3KPo@AzxheYS05M!zkcthA%ov`$N`xR-TBYx>#*1r(4*lYt>O506smcCWGf zWRlu$lR{~4XHIjq)}7tDUiAN;jWBFqX`_&~O`06A$;|eM=BZOFJ}2^KvU-d8P#S3_)#_XxGBZn<`krV2Q8*LlP^gA z*4vxy4X^`M6V)J9Z;E%HeMhB{yqYinYkj+1n663asv&n#vDJJZcUmDo70<3ATl2P6 z9;1NVy|gQBQu^G%*<888B*a5=maD?t#;4o%_Iy(?LnIFDG6QQRAdqIs72w+L2k6#I zAudv}+jVV30n ze;WHfRE9ry3p@?Qv^8Qk*cSxo@Ok3tneZziXFL^aBIK?P(oHE!yd)|W7uSM){Cc#jDaTBm%Vm=|x+@USC!OzeiLbp-ENZ+v*m3}x0!8ucb zdyaId8e@Rb5G*+`f#CLi#QU*fj@~)QqdjG%MWuGIDKPl|Veh@8np)R>QCt=j#7LJS zQIXz6L5d++!U6<@Nbh7JB7_J?lK>&H(VI$Jf)Eix3=oP^M1e?G5Roo5BvI*-NSi{) zx^ta#&-Zb>O?pg8F?$yp|@ zK*eu7m00(7z_BJvbqHI}QufZPdT*yT=}l}XDYM=B;M2xE$-i>49fpuR`1?{NX#X#M6hbTzV_`i7#eu-cY$JH}HL0FZ^ zbG+k$AUz8Y>eC+!AaHZdxrURotK3~sJ^BooWc<`@nE-ZKt714q_W8$UfR4W!QzM3{ z*VezsWg44*d03Y!X^l!m9c?n0oou#SH6N_&7eWODl3kb1O;3)SRH3h8{&?o9nWM2t zKZPCJ{0NZQ`Q2X9pt6+ATLQ$FvkxH)x?n=3u$k>%CpmllC82w8&Wx&~*n5|Nai5YI z^h-MSg2#)Dwib1Q1kPZjjvzjP4ef4g*czQN9IL3)fG-DXDliWYIr|_{{6gL( zWqjT4_?m0lS?4y8im1D3|Ktlx+=gWNFf<){bm8iY!$~9IPF7ilDS{i_;<>+9J|{GU zTDhry5A6*JXB?Z}u?%Nux;nR){Q+e!1>d8sZ9ONcFZvsJ_L5IZUJugSY-z@oLN}%nSxXoGxJL1uA zgQ*^;hWctAQu=iDdY4Iwj=?!w~y>gr^(W7(Dw^IarlLa1A4s^5dJDfxMWu1*pT zW2Z{Sj4m;z^$lw%QjFoRl1cYf`yngd3010uJ%n~@3ph*epD6;9b0IJXyp8 zuKya=Ge^f5*7?c#4ooZ+Rm!OLup^A>MK#`f2aI=5FsiZ9;s##nuysn8 z6Z-VX@(RUkbW~8yUqi#kg}TDJw<#?$&ZbZX*!f+SLu}{9ouP6sYwZ$pdBs;D z-&mVNVC~VpTLGT^28R(A2RV*n_zA)faBx#c+a)ha{`E6eof#RlA0dD8 zff%>tKsJg;LB&PvAW2Y!Ihle4s4JDR}KvkW$eunB?gTDAS*#8Z^y(ZE{B zwuWD!6ohL27yZ+3+y7M_)#KaT)A4oGvp@L^f7)+?jIxL2v$?x*O<*najC%p)_^;2o zgU!w)t!)lC=Nb;^I+nrc=Fu1+jxTB38bq23(K9+;t*1=!GPr*-t#a12Yz~0DKT25lSGZbrfE(E}Zf)>Ylj5QgN6 zz|4seYF~XhW_H&doN)``&tRdgocRZJL!U8{^ustuLKvl=6mULsp=N$7$B-Ti4s6~5 z@*K%oWIF;1BH)~tJJCBv*c5k8Q=#&3-41=CZEo{5XRJ%Z-oDoK4JSCgDK?Vb&bU7+ z9iI`nKOt^CO+}|S-<<$iaPzGzs*fMJiYV1_5+lA$yEJY+{LLneciN#$HCg+KfecyB z@z@~I=rmP{-_h*ZOx3D~Zus!=^F{nSjh0Vj4Za>)>z=T-v~fIDY#U~IChNq{Uy_we z_WU*L5agIJX!l#raP>L#whXz2ZYQ>LN5(c#ZC&D;kMbxA#)VS?&4@(?x9qBf=AmpS**To$>j*}W^TA+AYuwj3q5^oXl83^RX< z^?AEpN-Lj4=b4hZs#u%?tmR}AM_~v1#(9jZU5RFajr$40WGqe@41ZNWcp#4e9^+qdBYoq z@dZ?z$sk?>U3fYjwAW*I5oaA7Mow^~ZSBe})$;R5F3NQVrhoCdJ>IXG3FALH7OC|d zsa3UtM5kBy_w@wn1Xzr>r5W^f=bR07R5I3t)raO~TZSw6N|l9@4x3y_lX6UOF6Xt$ z9T*9Wm~`Q(bJ2t5soXuBNYKAlW&KT6+@UbmiXbbYLrWNU8Hfv`TEwvP0RPwZ#Y`=6 zOvvXMtT67RTz2VHcd&1ucxPSn6uyA98po3W9&)6$j?P1m;iA~R-E={4Fb4T$F$@RG zWz6SZW)nMpat)Y=5OpVkd+e?5^S5##O1Ep@tU&FCOz&^nPIyM8b9x-{-lOWn; z82r#kIOK>63LUN5er<@9_ML)>7{~W)Yf@ulcP;_1#~A(H{d`!z?i}8Md#$gLE5a23 z(H)43-sZm>VZ`tJVdfl%oZe)GCRbKg)aOL!y!tKe!lKgyg~H;)FGC${$@iX9u2}z0 zaqb*w@3hKx>a>#bsy&gb_Lbr;?zN~wR=4&qyJ=?=Ge|Lfm1kGrszn`(m!_g=u|s4e z|H0u*TrY9lo84pgoTXSj{Ikp-HC+v||9<35yAgVbS*j-CfZmeepDoYXm}qYXyW<`b%y((?o9QYWTQG7uGPA z<~OeH-o^{o7qen^oxOmEOy#CX&Nhq1DQCCx=i1ayWPa9a$II{jLBJe`uWlOC*pkUSRg9bxJD4lF z!y0RkwOK*&{ngOnCXlSF zWZZI2yzF9Z7tj%8SzzYCAvk(!k z6HvrjBHYoFI1k@5!j1A{pJVbTa*awR0SlagUR(qPWE`N}syU{GRnK~h=!*?A=La`d zC1z0m6B5-eC)0f*6^k}YQ%G*}Zf1|4jq_>fl*@R+CnYw|-)=_>m`LqtK1O?!-M>5R zYxnl`^OI80Sb3Uw8uP<>ne#MYMhqC*xw4SAdcQ>zJvMWa!^>o?TmYSbIeMuuYj!c} z6W4sUU*O`A>{-FIm`>^R%)oLi#$iYo)O3F_1k+|Ls0V7)PAaEPShwWwZx|P+S`0t& zwj)_MP?AXrT~|HGD}EcVKVz+g1+s%x9H?gH6yrJzIC_L^VD-4guieymG)~;?7}}|g zF-z%5b&@VXtDYsBwh>>D+@7FEi>+G?!^sCH&fhc@vvrjL(Hy_ZZlyHodb5y+0AlMz zNyDvezZ94e6^pSgY`b)o7T@kU6cqlZ@doMD-Nl&;uU5X7#GsYx8siil(7!+4YeqkW zA0)n%Ty9{|gt#hzEhmGe!v4h{bqMFm_ItY>RK?7=CjmdfF^}U~pl6|wCBxE84Ch>{ zoV-5_a}}n+n6yT8p&&P47b@qsq^DP?&BL~fqniV~1n0MiIv?D{!4_5Snb8*hd4hBx zUUOz*A#Y!c0@!o|C)cdm$mFJ@GpbgT{qY1DUAoA7g{}73Ba46X;mIK^+TKndWs)}gQZlnXrQPngQxqn8_`U|r+CxKiLp~V&J|W&v-xYp6lble95Lp~=>=sJww9J-u z^tBRnn~VMFFkA|Ntci=z|VXf|QxI2mgwNZ(J6)9Fu~fv~U5@SDB#W5Zfh3?&nF8sp{? zb>7fKr_U``cSjU^`d2r1R_t!pvD14&!n`O>jTIQlM5NVS1EQGMIjeDa8B090ouLK6 zOk~`Fxw0pWT#PdAQ1K_GS~b&{84|si;BxHDmQA4Bk8l1f6EkgtJ^gEtE@ztTMhrcj z09gkO)yu3!H=Tf#62PQwMj0>-VGnDGV& z2UM6EZv9Mkl<29PwJu3Rd>vS02Tt|HK4w-Ozf+Y#Ul${!U^kcKPb1c5`9 z=)cCyk~~!$k{t|wcW~}3R&^SvVGp8&m!RS}*#Vpj2hkq+`W5>tZ9*qDUX?SMO%5$rN(reFN8^8lb@*E-Dqu#Vc7TA6*%*dJMwFoDH@8bO&*ETg$@X7cL<-j~(rT+yb_5wS zDYu+mUW^fUyp|lE=)Wu+Z0o)=int2BZ`cibsIydp>axeUMin@Rk(|{l?A11;q>v3F z1$AtLkS^WzI1-xCatvD+zJ!8c+fkz{O(&mY{paQ62g8l*HV2nHL2HEAOT6E)4Gi*1 zK64cWjYvfh4*=zx9gh|v6>9C3 zwx^m)s#gCoc)yJGQ~Z39?QGddkgZFPzFU-&w+-o7kAVHNr8zNP)kn&(Bil6t=0DO} z7PkFW*yp!2K7r)tPx<&9_x!r&SJ3vBk8kf&z7U&d$$f9?XI7ouEdFXcJo@oh)8W@P zP{9vWHtS_qwbNzsV%&=Wg4x^WhXk$RG6WWRN5{09E`<1?D<{x-Bjw}M$dai=w_8=@ z)>v6PiH4lD%PkESd}rM)8|~R~^yTcGeg5 zk(J5OJuL^y9lmPa%C=BfFf1H|jZhQ5q#i%S^c6PGoNy$6cX(c8R`IhWw|Z0mvsS>< zk~vE)Q9F_QjcDHP``$@&h!iN}6;GQbBKG0VF`LahZ-FDnSo<9$lfOM9F%*jd2O)^( zSj*8J1`VWja^>qk>8ywWb_&((hLjuJFtFZ~#j>pG3zWw2^4JVlj;!;j#6;S+Y(1+I zUN?__5GFjE!3UO^OhP9lg?@;4Y;hAWKiG7LQ@_R_rZ#DZNG2@9EIhDO1xeDAB*@~9 zGY;$)nWw{5xyBsxM4SSeeT&t~(*ly1p1&-4aE>%T$GY2cYQ0oO;j-A|wYEWQq;-Ph zDD1f4l(|l{>=jU2#r)!KF~Wa!+_N$eER!+$9Hr$3<^j&Pm#qFYqOfK~%Q>7)15h*D zFOGG@3r{I^#56Ru5E)%bEZUL9vkTgQiTQA)?(VrZyu4NKAC=dJ^g6A?EelUIs8v+I zDqA1+Hw?9-+$On|%1FJp-qAhOzTbLwsdx98uJ##+qLqNFjRx!`K_{w!+=|LocKEev z|2~T=o&6BA774q&2!mpEhoY1cVof&eLN+=^tK5J`bK`YF1-N=FcLbEHKH7w0BRfDP ze9kYiqC9cWhW2LYublALkPdP`5NW~wMvSWPOfzvC_8zQY))|&I zK2Lng8ywSh^~tmyjZlnN8rHo~{-!(4>(<^`_lumMHC9GKpiYJme#j8bfu^<`#csXD zt8ySo+#oyz3)zgS2J^WXNFw1dT+=^tMu+xE3$GpE#>?P1jkg25PdR9RH)~~Onoo2d_P=KY$Gm9jw%!P_w0N>bjMW8{-~{~7@u=Ht&V^B z0)sFSD;N4xl-qgyaW{6E;OrV&7k4^VFv8 z-||k@U300#DOCj2KYaJd>jLD}^XK8SOS2rOdU>r5nAefA&{Q^%n@|ng|KbftQ8<`yc9Qgz(j-7y7Wj`f4o5?a$k-$JwB(n_(+REX=dNi@2r(;hu0vye+y=#1$4*&ea`xI`;Ha6N*`txRpmpf`P3r zfrUWzI(O?pH-FtF_Ji@Czgw?6ia*0qEnIc2giJ4JoV?#Y(B{2Tnn{Xpzw)e%Al#NE zMpmq}skeRe)s6}Z*A||lT5ix*#z(16#R+docGjjIKev}=C5X>a0MT(6XGI910L3iY zoff^RsZye!zyzYb`9iB3C@^*0KkjRme+?SXUxRs*cN1IH@*hsTa5d>*E5P7L#&^!ruW!scW+bnE&9%VNT z{&^p!UAQ=#lpiCp>86UV@-@A352OJ3_Dp7G6(_VYqUH?r3_d!XadG)*pGC5B$aYJ2 z^fh?jw>BK%>!&9!Sn@1O+`|;xrk!k?>SHhN3I69wn)yMJ&6!k7Y1{$v zEP%5m2a?dFP=?KK-wsaZdInRcvG(nH+aL4&m{8FPAV5tmLwE{^F@4pTVK++UA`Xzq z*3`bzNsn%lDZy7^=hxgTItBajIQ#?=!qZ+XJO`L_h_i$QKMo{=;Foa#=Lps z*s^3=SsCL1P&~U!9T|Kd>{G?{1ul?r?rih8)$g-8{gz|jmm?^7GuE1d&+W6k(bhdW zKWEEq&QKhO$SPgBsynK-1p#HLvSmF50uh+uR9WT0a;GcgQ-hILEbYcAArF}9POc_I6XG|tWUX1pPLF)&ikEo;kzR#Mo`clERqLS%IhElwQ&}TR zk!*oFcHv;Ly#os+3EM9)^5pk2o7|d0nM2M;i&Sj%FTwhrv&g zq$BT@ReyF&qb3wN*>8r-<#jO81CHJYo2+mA=HXx3@5N5wiV|j(;)1!7A+Z%W^S@e! zYS6gqfIadkpMlYDA$4`)8U-Er9BaV z5ceKv!UX&HM36dz=$_oOfHwnq9}jJ2=ib$@$!4IrNG1r{FFbyie)+`!?wnRiM1GZU zz&0Yjc2~`2h*~?nZ|QYBxy#G{;=8p@h4b1OM#fuqT(^n6WD!v4cKBAGq%!^oy0_fSa=|RE7-+vQ{o_OIjeU- zMS*BSMg?AC;UEoCOFRs;JG{t1&d!0gNPw#r;(F0j9Co)1<~-}lzr0a2PZOJCn|BbB zoP|Qz8BC4Qq#Zlp!e-nc%xcHRgar6F11V(_SaO@;SSnr#>&MEeVi1maO)T;baNL(# z4%EJ=TW+OQI6qN6Urz_DADE=Qy65#?SPGeQ%=gBr)X?O#<$ z^94C8)4ReM+C|0$nE-CU4c#+~H+gFJA<@)>iqZULzlSucC0eBrCfl zb42CzL~6yDj{PH>1q;$GFlR{qA1tB25e2|M82dJNr@$AS79``0bIwC#mf#Ym-tVR{ zVBdZvYiT3>+l2q*z=L~t4<7%EK(kgP){L)?SG00AaX})1bDgV=(;Ih z5bgixS^{L0cx|BF$DvuUQDEhs@2{f;ap_|qq<)+4k2s&tq5)$$T{(=#euTtWRM3S%-BVK)>Z=pU@EwH&m*es>W> z=X_OYcwP4@ZboIc(BM>#-nEBPF84Ujsa4kiNR;AZR&P=+W6)d#aPR)%PMC8a7^U{QrI$Ezhr5^=E;fNX16D0pmj=M z#gcJ^Vq-)W<&~M>R-i%P6^dPGpl#WRg^dk|WOpV_FJ?i&?!LSlSNnOHWnheH(D&qW z-xyzt>tuj*#58p`ZdusVmG0_c8sQfic=piASM`l|zQkh{(z2O3O4u*PQpfHJxm8}z z3)C61F3o#s?LUNc7@9tQs1&qx85wdbE$o9+z{cMt@BhUQ{TDwEn8h)6`P(KuTM`%r zqL~;))hwH<&VS`J6*Ld09zX27@0sV^P%N%>e(KIV!zE$pvLlzz*AH1 zJ@vJ9>1tT{sSlH<(j>z~Q!|~P7x_0jC9jP8S5B2o*T2akDQ-7feE)2OIydTM^>}eP z>uUDai`1)+#+5s7WGDX*df#6ZzyJQ90bLA0w0Ri}dfXF~go*k!=aAe1?6o~C-`|BW=$#&H6RuDYKDdJ)u|b`x;n~ zj&&MXS}$kW1vU&Qg!(FjE`M1*$I5%`0<8>xi-g*oUX}i6q)?>MyYAjAopCLq1!a6jyb;gnlu&gI=dh{yQX#+ z;e@z~0D+`3Y<0uRmq9+9^KTA4T0wbZu!YtO5)Rjn@_lsK86B!q_3ECEP|_bR8={@M ze~mI^4fhJhhn6)j>DuU}GVB!3G`qD-IvzB>LW&5&M@kx|Yj~PZrJ@nHmL@C*?^E9K zG(n3O#9tOKgpm(iu;(#CW|k@M)*-(9KwywN2E@O#fVqyQVFmo#l7Fx8yG#Dt>HEJF z8)gzS5E4y+?3hm4FEG;skIQ=5HmpvsvoC?QDu1{T2a;Y_u-5|4HwI`tu(OZmcgR)&u=5s z!qcD((@`RM>9zBnx;_(Te9lYP4B>@5zsWOi-LR=vj~rzcQi^SKrN7)uceE0AwtBC$ zyr?pm7gA;OEH7N;N?A?zYwZ_b+CMp^idC?%2!%Og#b<`Y66%WD`e(2&r0$Ozg2xwSGC14ic{OfZ!5F7u3M}aVNj!kYv@zO1)tx>%P@ArM0G7;;s zGgbcVYCEQHG`u#Z+l|5wnFF!xp0Q51iRpfH>3m*rHhH1OB&2+CYskG-C_SUt4QW&n z8C^*V#x+cF^@S z9AD0oc_Ww>`hC+S6@PW!nz3}jS?Dk(=4;A$L))w>Gczws#V#deGuwcwLXuz{Y&)A( zT6}skKxbqyi}_V-t>Y@g*i!aoxoyeR$(}}eHIhM3XsV9{b0jm{ygc=ER^A#B1mUrf z%8!1mIRXYOqBz$mXVLFfETS#1ZZ7_Jw98|`RW`5aStCK`XNtVYK;v1J!xmKqS_p3t zINc`oSffRkd-YYK&k?*PcGqG%1F*p?($BfW8q!)6MWU2=61g1??~QCebFU;e!d8BB zI4g2cR-ZaQ}w#J&I1G3POv4dV83ydr@y{Fh=!JH84ftVNjr^C6T+@F-zE0ss}> z66~4gfAZ<-{L_J0q%cTVP)AN9w6>Fea3$Yu4%6mQaXa9n{-OIFc*Q$tb=|uX$W#;% z*#u%&`bMDtd?+^LA1>6uKfd08neQI1L4DR}cwzC9tKjuI2bBlg<08B< z=(;Oho4}aiY=M%|4upR?&Ht#B@uxL_-VqLz1jcsrTH)ix_-7}cjyNwAxhM0 z#bpFq@!|kf%fD~Tf2`nt-GXXJ!A+%Knei zWnx|9EcYtV_Ll9~qKVzeN@rv@g*s-ftG>N^_TY)3a2lXU>{u099Bts~ag26{IW1ko z8!;Ix%{Kbi-e-epvE4|p=G_{l(5N>qHSbPmqCEd8XaDkwb6EX!J%`@$T*>5*uY@GI z^TXoDLJz$hshc)IK8YrcJmD0k-WO4^+UsS}bGrm_3>VCai9dR=q z_FeRTi$LwvlhcDXwp*-2UAE16Pvi;7uulQVPwh+!Q`GVtS$|2@(ZGS3kG7i1Vkq~F z*__L+&sEO^t8sYMq&*KQzX=Z5Up@vnfAWE3l_{p1!ZnotOlwHMd9v zz!e96e}u|_YXF4VNj22rrOjJ~iUqmda478wx=6#>@wI!PVNmy`0uaXTpM@rU=gNcb zpNB#~WqR|0mXjVb88SHQmN&a8B?EuVyV(>)K6A&`Z!r>9&>SmfC50?l41dh%Q?p=K zz9~gZ_a|y)6gf^WayzHV|MmGBgK?ds%iobu_AS6m6uCcFIJ*Q(aF3Hvhc$>Y;8oD3!oSq<- zwLu#aAs}W4$-TxN0|z*h4YR9>Tx$@znu3=EeAyQml5s2RAaar$!EU%iV4NEYuS!Bl z>C(jgqZ?nej5a|Tn*gyCt2O(B3mXh?{Jm~O7a@$zxHRJa77v|kr8sn49nqQ7?|Sx} zcs>iwP;q?Z)w*M0c7$A)rs7F47^7JK&Ty-ZsiB$(-kD2ydB1v%a_Dp(#d+zE&t*U6 zygrH~7cYCFCj@j4i32H^dr75wEggvbmP~^M9`4y^Izi2pu`$T=1Owx8yoZ< z)07d~U+Zs=;W3rmP`JfD?lN|5wEk2uvmp9OKkX&H3lU*>#VZi?+!nPL*kVSmD1qv? zg<89XJlTmQ;t^d}ypsP!WhUpPEg-}~is9gF+A<@Ujc9k{QL4~0hNI4x0X-HZ*===Y zpDr=}R?9Ca@qQKp0$6T?a8lmhO!I+d+{M^c;)~UEyb9M6*u$oELuFu+O6~OI!jtSZ zPwY^e$oxb@!nMJOOA#_l%H!)ow=iiwzZqty)s<3rGbu=o8RF*Zi(YvhSHq#*uPP%> zwsDp>Ev;3M6UL$6QXMDK4D1V}%W#=wFOgCPwx`EyxtPC##D6~1i)jO^$zJ|RmQsCq z<(p-GYAItyg|hP%#1Za~@=L^@;~KKN$~99eupzwTD#@T7-J2Ab>@^G}W*NYu%Cv=OV$ zKV+T~c4@fKb9QUY$Fd&Ol5@c;b~>hNA6u|F2D=c#sBdmjbtsP!P~A{1(@!$i)Cth{ zv2U%|FEv1RPGQ-Q@R4SsJw;N(X-cL}Pp+<6y$<*FN(~rpP*8C$mg+fMZjA)Fr2eQl z#QHh6Kl$jBD4s+u9rhNrae=+Th7xhR|j{^G${bHkdirjyj6f>Ll`k zQlrpcK(R|C?ZX-U zEQX5dr_OrwkN~uQpe;Y=>wlC@vs4bf)VX}gI^?T!;5BVMK z$uYRD3fiq?#Gmx$l?|(nc6#q-QDSh$b8(jy%)XTAu$27^1`?P^(fJn9A>Wa@rOy>N zybpW1N|_%_JDs*BzQ-p`>dP(POT!YkZ2O`f>=w0%2|r}U7(Q3+w=whZ+nI5c9~Sea){Ue{ovx!tlU8- z+I^V)Z3a&AK;;1(!4^aR`oscm2g$$LS|-L-Ft=I+QZ6PSm<{`)*77x^=@yO&p57|dCzdI0u& z{~=UC*`H_vg!$`WRHN0?xS1Brj8wcJJ2x$Yn6RBjORj^6`x6h zawM67fAXcl51@&A6xkmL;_Hai!e2PhxF!@Mg&+%m$bV#&We=8^k ze47+@n?uSlKP(skwX5N9z2KsE%VRDol_dQcdEjdjS?{8KxPGRjo1>SKM75YvwjoYW zH9NLaxBC#(Pl`}GOg6X7_V2=N;ADH}hc_KxwTYF5DZd)-DLPOxZ`VOmQXf!m^j>VM zb;$ch*7ToNj3NKGkvD~nVa4Wlp*MOj!Ns^(z&GNV8#?-S21~0AC4w`$=TbdVxOpLJ z-3WOlo@L(hrr}YS5ou2l*u`%TKTB4bR&9rV9sl~inRS$B|3GKSLxR>v(=)Kx_*#|v6 zJ+3aIl^@C~J{XtX{m^k6{0yfH`S`A7z7=QE1;Tr+As|CXYTep!f87wcf)gdqxsG>t zobRi`%D1(s43F+R=JJf_HWqQARV<*UE67#Y__o?;UGUHS0U#N=8JxkPF*~dAFUxRN z?YIjaS^f@f15EV<#s23EjO96MeDRa7uWt0@$W;{iTT-R5e+*HSE1mVx0Z@c}U)B0te=@Ym#7y6G%c-t*Ovo$1ZrGwtFBg2WPTzpE%&1E)B{g%B`2 zhwXs=CQLotO;8q1=NEPtiq;^juvAac4z5AQg=?$g44MGiK3-a?% zzB|tBRms?M=Xi3=%G2-86w3Xs?Q$c-sP9Fze%a6OilDORkEYlg0-X+Ir25Tbb@S@% zU>~VrhuCMNm9if(7Wlp_;9|mu(541$N{3xB49|ZkE&JHlhfn;Q90eSAA3a|?Xjs@k z5o(xzbPnD72znSF0`e0|*o0kC;)D{2c7DSGca}4t%#0lt!At620XMwFX-@l}e1BMO zUsy)&w(|(HjQiNG~D1RKM8+&D^L?BSTF;zVaX73X$N1+VCh@lN182jB;RP?cJ` zUSIqu>Ns%Err~v?p9-e5_H{~p@+op>(fT+`*6MCM;F&ub*1@O_aaj8hK2_t=tsCFo zHSSdxawN^y5-Rpnp{IE)=slo)hm6OoWCb1?#*KT3Q-;25W=4KkPc_IrHv#L}k)r?I zHO~M7ko;hrG}wJc;K;P-6V?u09t7cdhQj$5Aj|Ib6@=C@`@{RM(d3mawib9@M3sOr zW-OFRkFSsi=p=j}2j?^bms)y(JKhLPR<#raGFJBx+QLZnB=I<2GbLc+R$A$zTVML) zr!6mI(4`&$^;^z4Nr<9^?W@>?o6u+%4!> zv?IoGF2sS-RU{fT>y0rKiII}63xRcTEZ%ZW$(jwjsTF!Fg@sGX#1 znjD!9J+f$TRPFM>ViQz0Anqh^|KxjHo_~D(6YItc2k#7agJ!#xu$lOL7y0PbD!a5x zZ8yusW$V4ZUb3(exn-YPtURsLQ#||KJu%zGmf7f#eW?h{&-<0MR#0hyE5*{VF_M#kNCB8D1;=M!a^~(f zS!NiGVn^p)1AuA@2Oc z2flEHg&mjMmO1RIl;PPg4=f)YF-%umfEjJ0{F;2bnsnP<&FpzQduE)6Hc_Xfx6fho zMs8M#em8zyY_D-&wJbt?&iZ41mSz@nd5lN|tW6Z(zdSrhJZdEhJ92!?K_RE>QF*Vj z62!k|LsrJRcK6Bh`qv?3XeFw%@XRN!ILWGfsliwf%NEg{7!$3*vJUOL@&21Zl(6x= zoa+u(J}#1@6zoVD@lHBg0)iHIcx?hG@$^ITiY?7uddud!7_fk8Hbs7Cq4hn*}IGgEu8YVv} zEoGc;M16_6CAwpBwl{Rc);22M=T7g4pIn3~t0w$rS$Tp^6w7+VJ_JmHQ!FjsJZa|* zdkLBQqWExxM#t0Yn~0ZVU4h0Qe>b!M-56)fQ!c!c#SKik_3MaGAhM|?BJ_WLZc*m3 z;97k}=;sP#{AZ-(=OUHBTQpGD0|wnc`BK4AG{tRgg=Ry1P3RD91J797les-bVC`kE zg|cet{)qUyRsJ_|;=jCKiyfe`;sPE^mw0nPbOF!;d2HFemetuo&BS%$IsV%Yzjdz4e_s+kz zY!Khk^Qu+0v+q^uo^X2NQDmd{fv57^!n|qfcOtAvDj3Z6{yB6b{_cs#Efo=3&0s>| zFmWbSn%7USCASgpLq&LsN^M#RbSTWX7$-f(lf>qB>$MoX1|pw+o^j(U0CdhdCgJuv zO$5m4S)^a$Ks%xFyaViY?QZEMx59^!5q{{9gg-e5gi|#EsfxEo(9Dl%SRx1%P-tcM0 zU*b=`AdXSR#HsC<+nzv@O)=TN?a8yeR5eQyn&aVp{~3Fu8o-&#nw@uXyw}e3zZ#;C z)4ib(z0Psq=s$tWh5NYGDO)`TEV4*d;-fSpeKY9iY$Gb#G0iP&MlNHlxl&PAg;C>p z5(ZOkbEy8D_b@YFy0(b^ciZ6KUd!0yn@T(|jw0Bqa4@-x+Ss*F>P2PK&a9nkDw`6M`A z72S8bPd|!%Up2$syKVfL%rmPSNjGj_C|4;A)hiUUdj2CU zwb8gs4rxx0QW>hjk1Y|9F796B{hNQtI;xLLYakXFkEiNmXs0C&>x$<^;#2NtBKJCkWM zajV`9?uo6BL{F)SZ%H9W-t$<5dBrBoY|z7fPzbYdV)|69c?Zv+`3-hh<<)BtIM?s_ za;@aV-ND$r!HMJD1rMIBU*Vs^E-^>tTfd9-u5sl|LDIGOA9Gk-VU9@USr=(B$IFU( zGWNnwR2XOp_!B)#vJ@+}fen?FE}Ghh5Yt*cG~_h;Fup_G>MaF_g*|jyMrk%3d|Q8?_V9(3wnc_+#- z+e6XaL^AP%_CUPaAv26-t6guHWby|Orvvxd*gQvV;d0{=`S;FkvM=sW8$NM#FVxI? z6mMbJ^s;<;H%-OR<>&J;>Wa#1{aYgeWfjLk;%EvU>I68s4!x z`%|}lLq4L+Cs{a+9`+|}@@GeZDJv)OIcFg+(78<&>wJ5=@3N7)m#F0ZLd^mxZ=d8M z=fg`e(V=8X^H%PQrriQLHHw|3*qo+E!K+qBl4Z002yrt(LA6fViR>j7V5#eCRuS-A z-_1Pde}CKgyHM$c;v%7c?d0`6uL&Q(;_xfsXZH5)pL~(d+>0>Kh-$=;@S#i&wQ+W1 z{mpWe`CXnK_CnY8-36zdLbXr2Do2~#BGZ}_n2>#oFK)M*O+z~+q@60tI#9d`k*hrxLCM222U1&Lew!vF%b>L+`cqr)+%U%WspCbIxYT?UivBD6_AE!KH(A zi>%zKpcD*G?~UXei!uQ9YtMXPnV!&Z%qUe6W6C@x&%wz%LhoYF!4j;rqnNGL%}FT+E(`6|Q0KHd0NbDsa* z5zt|CHVgE{WYe}Yf7@ANLb(~6&`oo^G+_ZO&K<)d25}~!LK?3fblPl3f=-*3l|d~z z8SFEl$^!%}g@?|-q6i`ly96)_lgprg;B`2Vj@84wkrrJrippM;0}X$w@~`rLL>;1mcXM*CU?CKDAO-XS zsHp;+FU6pBFZ7vzN8M>To6Cf>q4r{XKpU9@0OdTbc(*b*5g~+Ar~pLY&L}_D{2oZ6 zu~+XknX*uc6LSgw7iaGs)pXXa0Xt(sK}32dqlk1+0jZJ^6$FGx??gaFKnMs(z(|zd zQK`}*QX&M1NEML?p^Q}Ng0v(eT@q` zJkP#8a9L!)M8%RpiXr~eP+Px-dNp+so`kIV3R+8gyRUv3#)vXdwAJMT`Yh8SA7ekH ztJt$64#{rF#R0WHl9pQehKlc89{`ZTrA}VK1K&V zgXF%oIp`TGJ-QNz9fgk($;bnZ;&gcmJ__#MgZ-6Z)7&FU?(&#H@}S+?tV7lN)h4x9 z>h3su)`lt!uGVNP`mNRktBJ;UEqN_az2;sg8r?o!&{9nwCUzIG4@n-Z>+|%~NV_>F zm*%@DR*5%F$Q86c6)1jCpD*8tP+U4_V{V%BdRC~u%|t)k43W-F_}cq5VEFPSJnYtL zkIe*_T>k2SA*%whCHniG^J;V0sl56{H zV$q^)Q@=p)qA9er8RSoc3x2d;3i(oA=s9&T{^Fa2W~zz#T&v9^KK+)xU zo~blVy;`^EMoDRPHqFAJ6QiHd*qm$MbXXNC;!Z9vtU4=gHHLgk)K)yH-xlfdmIbm> zGA^F?dK|LT6)Udy6=SPL2iM^ggUyib&}gQ7JFw;J0Tn!)hGns{BmhgfqktvIoL;}c zQV0I6M9S*#dvrork|2}7Fhp4~jUD(;&DbE;^G47Go`h9_vj11g^tR{#I0Q<5Sdft7 z;q+e$zQZ-g3J9orfB>Oa^)%AZPNh)N>%iQO(Q6L=VYH?MCbvG-)lNF zq{F*o(#3FlXCvUeXEzHYdyjgrj~^%-a$rXa7`kuEJ~@7xM(=}S+Ve!-45IY$#a+An zSWwGlvtqEI-Nyt}^xyZ)B^xl6zmw*pnV{flMv|vl=#BM8bqXw&sb*h(8@`9?cZXoJ z-_E>kq(DK{bEdRm$g_(RaSCsWj#J4_=j9n7M&Psy)I^3|bgv*q=u?W6iK?uCDT#s- zVKSG~&{k1&YQ9Jcx$`RC%*=ABMIh;TqG3yEYMO9Alg^l9@@|GpkLL8L7XeHf~ z=$QrU5RuLd5qxZoA^z=~#!tu!+}P5>HjGXb0XQ$9X73&a2>S1PAbIE>Fw>MshLCI6 zelBPls2@quBqn1i{xsdK&2c>gbAc zK5;n~jW^2S<4p}rt};uI7V@oNFPw|+@o2NmkIt8AuzfAPEbmI#F_1O$Pcuqsx176^ zVtv)j%-|Immqf(RV_TA!H`aH#Ae+R0v>YJnwl<-`qhEA(8w8djZ-8~BGdApN(kc`q zrVVKDAx`kwUgaIq`W#Dw6=J>n{5CM;Uj4lHD@3N)xJ^?@VK#$PZw~-K8dUzI?Sn)C zMqPa4c?QCIF7S_~MT8E8dzRuTSK-IvSQFctbf3U?6MK_7{g)epoz(@~g44Yb9M(l5 zX}ScY>~FrRi-?bw1R+i9uF6At<`$n5rMEtTCMp&KU)QsDybP^HBg1CKoq9hQpSXg%b+jP! z@i@8`OTi+hXQJDex7su4>j^u&3}Hiwh!+}*Y(~uJ1z`$YfPoX8{XTW+(P+<0?BZ80 z<=oq9SG3X;^aWv=!?{|I%I#BWA?OB`h$p_e3TvPc#J6+Z(<0oZidr?KuyKZw0}jnSyrbd zYLlJ$>8-WtLDNS8CO6Ha3K}Bw$io4N`YSi%Ivg1a0`i-v4H{pMc!SKHXYGP@p&Pj&0 zG(J3Cl*}}4pOJo;Cn%~lq?zg~JXAHVDnF2PwbJZ0y3gdt%$A!rnMJ?%lo_(*_q0IE1zNhBKKIL$D>C-);F8mcez%$k zjLP~6i?!p?%d-s9%v59ngwh`Zt_kgmuwUtwLpoM3CMG*xpbaj5D6d(ua2OW6R29bY ziO5@2sI|Z2lVnwG{k{6x*w9o~9}@8agd{Xz)BMlCyZ$%f011z$b0sg0%#nQ$sP8pS zmo>x37C1RCyzVTP6gT%V>3nbSMDA$VtpAr1-Ep0Jj|StdROYECK4+!UH=a{dJ=!kG z+1h&)Qx^@po;IXOcTx-oi;VxlmV3qwt^XwwI>vZ;nsOz}oxG8t?z#eN)pW9e2S1S& zQ!;9wV-L3JUu(S&r*U+LV7s~nx3G)KeRQXOBJ-lef9*j4IAySMmbRz+u8`RDk3-1} z>S?B~7M-|6Po{jr+OKZ>k9O2U$PbT>=>x_I=;A33PKS;rX_1`;-{3M;g8Vs26Z+<3 zd}Gyl&n;g2`G&LED8IYj-X^o%7L(Zy{_xbi#9+4Za;5U{+dG#U>8SRVyZ{r4NA9`ezaasfNA)j5q0nMj6euQ+r-9?&jh>8)m;` z6QyH`svb(^83fnJQ2b>bV zZ2f2>v3{n(3+7^iGlcw1Z-i5V;#+R&T*~<(v zPdN}5=a`DbSme4s_#5=sZ-2D=k|75q4{1K}6KJ;hgNwUc%I}8mJ~S>(Q-iMRr|3!- zP7)vKxf7$K>y~cn-|Q+_+3QjID7@7s(ea#>jCJHiqN#5JZ7`NLCDhucywXrjG_4VC zK#TeN7sTL~7n@5n4;2nxB^Vhh*;jk)T(XWQ=;3J{G4LD6Po*XnWNgjXC)#u3gCe=%l1BNVq|=!HG-d1M0>d4?%Js;h0dW9W=_N7f?8#;T1!p$@gt1&yAZ zLtXlyN|OB=U?*t)o{_xlz!)XtMAW9oeT+d>A*>^e$2J?SqhO1_@2RYNiIL0|>g_Y>N|=z{{r;sxdcbdDN738(HR*2Q3qsgrxH5I-YN;wYTbt*34|pXi6X zV=3MkBhV~W2YFm%4@g@<@3CbZN&{(w56E#ivZsH*WT&PC(OuE4E=vI5xAo}Yh)B27 z%NVH-i7!eq=1#T7r|UJrR0?7fd_Q#3E*wg-BGsJg;&-kQyM3Cwz&aV{5QkQ(5i=3Y z8i^j2Z*)FhcxtSC(d~w@dgK)cyp95$x#2md5w~&c9<0&ZgLd=IiGD-Ui2&e;tYOHrVkSu}L3PrOFBA4!}AVijIdS2?$J4ttkN&_e0`n)UQmBY zT#49}H+89{aj^O3*@*ne7K$RrwZU1xmUf>l%(uIqXU#VImKpQYPkuyi<&LiNM{?;4 zbTW*$y7D)1rZV;SJw{;9{?7-guxQN))rIi)C{F5D^uUZTRooFM3eGpN$mZNIT1?6s zy)v}^LiM+m&-Aac;;*!pcqVJ!)n{FhD!dwFtts{BJ}N5oX9nw1N27f1O-D5a0XF%b zm(a4cT(MiqP@;%!;EI&e6e-X8T|@$kC+7GD5+^VfCuoh~u*WxT6_niMJ|>vL zJ`NPV%$)Z(vMA1)BSb}N6!CqYC`>CTntZ&x@mcpwau4)o2X4^ULnVr?x1%8&+RfDt z&yB@3IBed2rM&>)twNBew%1#)cOk6}ZRr{QCu)~UrB(Ss+nkPatERp{&YXyEp0vt)M!lGhyScaC z(KJuGMNU?NTd?}|P}wxw$>6lv7#a~u* zqv-3sxlQys>iv&w`af%u3Dq!^_redXJnZ-dy}1719(e4QgJ;gsRptQ%`9HUe&G*;9 zm}{EqzRSZ8FtNU4tkqo;o$0A2B)FUz+>kpfst-gme`V$E2p}-+B7!vp6Nx|>5>ACj zIUhj9;<(pg`=M+b0JIZV-ingkw2}pa7 z?b|_!;6NSUmTvfQU49nmfYoF)qa;|ZSYavXtrr7f4mkx!VGjvzRUxx>2|)n3-kyAC2Wr#r6C z76w0mZe#Qvq}@-h>p3|E8#juN;#G-{^gtZ4k2?10kjHSdP4fUTGVziedud9!ezko9 z7N)bGPTbsP^tB}KW3}cEWp8#x=@KLOjkaWj(7J9oA8Ko-+ zJQmzh6_EUS#(RcA_{_kG!D?e*1nYDh`$73HR}btjckqTMq)iPy9+)mkR(M`3%5TFP z-+rwqOHTu1?Uq0YBgAwsTH)K)8PyE)?OYMFqNuhH?<@+x+k zn1m55lMd9K6-Ybix-gh;bf!~WbI`2A)gk>P|B_)R=kV@?=KZQaQVD}U_I)FQB{14b z+?Koxs#-_jUAuP3;4k-Q)A{?N%qxSe)9i2IU)&!7AovHHZFT3ZG88kR0*Xg>(BrYo z$k^2j4&|6#!Hv=SNm9U0?)wtuPB4nWt@$0$p??+I0MH)ZvOEeLuv7AUkeVmbV_dW& zsI;i^Ta?SpS-HX)0ru7-dMVAxl-}^N`3CEfK;rw`fyq2jvNOYKqo(i>v!n*IEX`+D%KZs2en)(H1Rx&jZ2nxhIw&m z7~NnSD?{EJ*V=g+&u)`ix}!QVlixiw1lMJ-#oZ~}VauR=TXw88&yOM46L)k^CS1$a z&{IdpslLw1PD|A+d-nY`if?D(KmD%P$`3a65K$u9lqPSl4(Vs0VA^V(Do`7JIZ^hy zeOB_E-{XYQSV-Oq%BI(u4(Uk2!dG+xi#jTn>}%r*N^kw2ohwSJdx0p?O_9oR79Dwl zt#Y1la+F$VOfod5JG?E!-mv=`y0gIb&TbAy54Fpe7;D|?m+SJg!!J9lJ*>(K%xx2- zZk6aKxSPr9M+`iPc=9AJCGqQh?LRKXf@pn|__6J$GKej1EpV#HVh+)70! zdWW>3x`xG0ZUb28qK`0m9{|~EQRwPotfdT0Xjz}8 zBpB(Hbob42dcZVa6HK}l#m+oh32BxrImtR?}ayp}$@UBeTw2P+h8la-QhJQ~n*Zwufo*T`ERn>3f$l6^;Z)^w2++ z@-6gJwKVVB7!_lmy7|^r+KOx}P)i3AjcR-YWGRw!t{Q`pr`5bn?SO+|>oD26jY4_Y z)}T>ZZ-lm2#oLU}*2o*|;`T?9d->%0@mc|r(p+BVHHNHf^RR>1+kInIAU}Rp*G7yY zz3PY#J&T^6nFhsrJnofE8$h&y$s3(SIax)8?*n7C1i-lQor_F$hI(A%rJ$LE8_E)6 z7?moJ%_QiGqY14I)-@-PJcT0ZuWwLS4>`>@^erOa5qX#vV8Z9%MFy%J6_$aknoh3K zF2CgoXIJBbfm;18p36(WVhE(eDSc$u6fpil~XEJFOIGxcFv< zSQlC6%4!)EE_9X~RpDxZ-J(7-gDLZ$as|*`{+tK@b>+jF5?LobK#~*bj9XLr4GS z9L(P_sJGcdK4i*f(UH-b`WJGqvGOxNw0=ojayeHk@uo=3+9if)*jXV^9>O_PBx6}E z*8?zoObuT?tW|@o(lRG@A@in?R&SFCY1m4xBG=xrGo6h?FLd^nMG3!1_1ylRgKIKt z`V7Amndfo4x4%CkX29J^-{I5ouvN$n%`TY$B7t3IWYe$mA2?yPphc@ya(ThA)TJL=z>iyd4^t(tlY!6zFB5?9ecH8B1}1l5|$aT!{e=@GS}*G1^upi8%b{1Vj$Mj-Ie>tY2d z(_t9zdlYd5CFmjCo$Ck`M2&jl#)wLzb{S8{3*vY$t@PpxmrkFj5O$>v>7x;$Ymn1S z4=-izN5PJkC*!!7AeFm7|B~4dnoVD$1imf8gOAu9$_9;8NgNIz(a7ezz6){RsyowJ z0{xO}#hLM^44)ucaY;=)jJg$VM%3?nXdn-a#MU{jE-#%+uX>ISr}ixqcl;omO)~~Q zS&1cqC_`UcoH_sGq+1BMDA-X9is3-nn2Wfr+$hE1r6S@R4QM0@G|`~R!)Ij!4)}*{%Pi@U znmaeW?mgWg@8)wos!b_XYic{?pLko%ew`vDYkc7ILP`B_1TAsc>>H;T z6%2k&cRPzV>qyNJB094(@s`V+xp|fqQel>(^ko!}M$y6Z4PIlN0WS8cX=)~=0942s z$0H`@pT`aTCf}uVfq|opMyc5aIJvc+P2Y|bA&*gvz@9^>b%? zf_Nipubw)^Mgt>s32?T0b|%o@);Q9^lFQpooRrA6*R9|1Np={I8F|`V3>sTj$Ief$$GVF+kB5CpyW*tY zy(lH5@6%J5OZ>moMWapa(~~SD#o!O7{&#tnGy!M`3!EZA&Y|Xw`U-r}!jYR&)GcKqRcXw;RG0uYjnV#QRN5<6z0Tl$ptrf?P zQ3fQEk%lQQDEtNHG()}B8&0V*q!aWR)+smK?w4K&rm+ndd~(N=OYF*rsUE$tK+01d zX5hbb8jQ(sUqR9ZDH$ug^d%#Pe&P%+u3;}4L__yPh3Z`GHi5hHFQz@B6mNF@u#+qN zG#R{&>E-Eg7;^b$e{yZBNk_>j5>1?>X6sVPlbBX+6hgQ-%KD{(-&A#)zecm&A^}@T3lh>Y%gJEM zc?((}+Wg`$HVyxi^z7DOmv&?I-?}lg&9kgk5aZdq{+I0nu8gC`T!8a;1rS{Fbdf(2 z*JN+ZDw!nQBo5)Nqc8L~UhwcQL5RNRug{vOy!cK*&vd!eE{*@G-cwR; z)x=$zu?>XFUiy+oQ;zO)xnmhzODu(GWR^JT7V@V@6Z6}>1#U_M>K6i!pFMy4ZPR~u z&z2B-nO3+29nn~7)@#A-w?8ynci2Df&%}D@1^S{76HeQf+Gd2mdv8H7I9tGG6rh=J zo#tSfBj)|xUD&siqCgck?@(y7dgsERw<^Gg?IS}zmcd`8DWhT>=_L^_CerkCZgBE8 zoMIYM?+dns+-|6SdyhXc;aGf^qzr|-@NtvtGX=i_ouuv(k+9xQP2w3?wR%g~86Cu} z^Ya&L3t=5Asu<$|Z#5zeBlM~%!qEXAr`B^(Z=%4-LqcG<#!1(}BFztlb@#sW@Zrz4 zZhp~LE=$vHKMtfao!Eo9vu$ic50CQ|Qx2OMZndh^R69)LP9Wy$RHO+}D>EAv#m>oD ze(P?rDr_n;FS37?dm`^(6N_2_x2%D? zD7x%SSnYA{1oPbQH>9Ns5&z_bzrFWwp$-2Rq%d&E)7r(cgwbzkTy3PI_z0E+S`-27 z`y}cECLQdvrsN4rCQ2YjO@acDTrxxl^X!f+Y51iYF;)(dtbbMqKGBrVHm-b5uZJ#w7Yz{& zJE|+{{F26;GeuWS3~k7i{KM+JuBVR_VXP&&BJ+Wd>pTAhk~5SWeR zfkdf-kr+4)bpVR%)Q%}vo8~~{jjBi1Ii1JlyY6}Y<}w6EeLB=r-qhALMBd<@Xg=J@ zAmU=SJs3B64@GxS8)25G&_uW8(`slOFT0g)rM^ZEya~F~kIqp|mp_c}WC+=8WU&{q zi9}!25$jsC4mj3+H0@T&`$4-aVp&BR7G)q$W>3exEHPJI`lfl0Jtac!n~|A0jr8YR z@1Kg&8aLJ#d%hAWKKukiQCm%l*JJ}?*U{r_-sasm{bQ0?D9ln3&#$_TlujfTFYTBG z1=%>93mB=0BzicX81&xo7Wt~(C7Q-5R{1F8!MjuYW>$_xpE&dCRl4=QWu84Ja(;R* z{Y;{`(S~CB)FU4Zj0H;QG4r>!<3M z#|-zXJ^l0PRa0(0r=>>UU&d&OSDcP%^i%6@<}>y?4o$b7(qA*I!<6$kp8C}4l-Yqg ze5&~4^NUEk{|MK47(s&urzlf$R9%S{G|E6U`nRg?!ISs|Vk?*+?H4cKVfC)j^5yzB zk$!U7#TN~oVMM3?gx&0NtBzb&M=Ba_e5Ui;L_3~Gj<;jRH*@T2l67f&^2iJGijsRa znS+7iY8H=&HUqxrIHn$dJNQ@i;{Tc>U|uugH=on-&8sm}FvuKyWnh6~!sv^^h~B4t zRK-TXxp3{}cr+3*@{Gd-E(bu^yzT&K$_=--SWcufrL4Q zT$y$&f;o909$@nZt5!t&ToDha&Z5hDvhNFb1^@4y;d@srtj-{^5#S#NkO9yjvqtK4 z&oTt!sYG7%-3|mKw{>@WH44L7f>t(QU}FNhDye))_od0>xK_meu|@U6lISmt{V_?h zdoNpzhVrq7UWZVHtT+(YQgNZz5plc*M|D!DBBuD539>@n3NCw-FDtK$=bM@rnuaIKWwd@Wn#NBq@qWq(&@l-+fHH)i4)bvEgNF-Qwh+PclA4R2boS&wBjF zb`JhXDqiKD-Ix*-rzq;2JgGWwl@8-=6UtJ{NAT(;os7VnVl;)xC)y9)khyUx)ynal$5rBy8(C^SMm1Wgb2nFH3_;TbK+}I#SEC?z z&{G4If#4$U~Dky0VOL8OVf@%Q$+u z&lS&;@zirUhG##Vu*K6!aap$E4}>nsllQ)txU2I>Z@K7s0&xhsw@&V0;6;4r*j<_0 zMd|&0YjVO~-7*XXhDCE9_t(KP`rvPL2Jv7|n<;KYrpeC8EXD6?Mg|TtMo7J9d@t8M zzCH9(RUAv`Qn0kT)qd4Hth9=~BIot>31n54k52iCiHt@YWon<$tx)!XqT!8C`THBu zheTGqVm~(eMTDKbz9!ZflYhQTMNY;&&|=6Y24fWI({uvE@#^u#M~+ZcD^c~zPllo< zpkW%AeexRm$ z6W5(5p!QmBN7hwrL#-s%$*Ipj$AYJvXhux zDWyjOJs4;?Vfp;?^MNNmb7ugEAQ)~oX7dgP`)Xy#aSm|T7}-~=GLJlQK-xlb)ZVXb zFx4P|s-AtN7dj+m_tpJXv0>9sx{g8&VHuY9AHRizM5WCJ2y}s_=Y8umkn|9lmro~u z$1Yko?)Wqz|2M%I@*fJ$9C0iAcdW;lhoF4A`%Kegx(W>m+RoGBs_-~xDLOVX^hh7w z!1UfZju~bsM@qX$a*P@=2`j404&CXo!UR9(JTpSJ8(Lj`svJG$^6j{~@YLSjx=?oJ zul*bMv4~nmMPk7`sp{_2ovY4MQ1ZpWYN`&05|Od@Jj41yt*=*ILl~MyX(!Uxu2wRd z()>IQBp=QijV`25$LJXD?=4VDTQ*pT?-=ZwzxjxcS1Ji>)w8-aRMZ++YMCqJ>W5ah zqU1kFKgl;6+f^lES!$Z#Vr?ttRwUcO;WYRqBmDby`7%|g!mMmk=3AGnS@Jm#7n&)q zIykPd)P(wS1mCx!82jwIw|RC3AWQs1AqFkmyUA!XD~};J_-Lk>2flD242L0}4uJS*4SanLs*4f1}t!^G!RKF3n{DZ`(1l z;tBW7P_iFhUM=vNvc9>F5q@VAR$?6IuAylv)+HOaRbm-XdI|sZWR9Gk?-LJuL*gsU zir~tnnb#*QGv1NJtD*Nlt*YT3-~n}DU>Y}-tMeIv4~f=p4>UeMLA)kT==`suILCjB;ynK?ilYEgoGlQ= zl?&@zPOIL4CD1~D!nbH#IU<*SdyPMyXdY40b>L-hcBIBo!ce+0cQ>vv$I6;1 zwfBb}^T+KZ{5STdMprkitE2C;_;g+j@dCnisMjSuw489lwzyY}eIGb_-b1&?!}|!W z_cyZ;nY}B_y9_VxnJxAk-&tJ8qp)$^Ro%J><3l#W3Y_e6#k``4B{2l+JQ%MzrkZY= zDyyFpjJGlwiYunUMZ@atbsVxrx&ZceUrR`&er#kDW}Et2o-(hW>d`R7b0__nVb9Q( z+ksSvAjjyxinD>Y&!1&-e-m`Sq5hEh{zn`H_@U_`yYqjqhr9E4qOHI8Gf-bnT-Z)# z$u$a6rB@{BDwGU>JV{_&Mp-A&MqBT2oF|M?!k$gy41q|ZIL!RX*YWmqBC`sC21(+c z#@Y49la2ChQBFDgBXaikM{RriMV%?v(m-;&6w0cR>(6Ho@Nc|tXj*%`Q1)tJ>K*** z2tmp;H7I9JsC}jIB2)0)Kzy#LtZ#ywtR_Mp&sT8Jzt{fDJ$>%f&#Z3C!sX}VKnL{? zof+0+nq-*@8T$0(7(^@fr{?F-wrx3+x5N=5lV94QUw!l7+4qt@JCv1KH?rE+8tXX{ z;+WxI-gS^YxKJ@fc}#S00|o{sAd6Q8K45AZPjxNm1`pO;S%b0l85!}rtllu5!fUtq zP4Rf1F`Q3>A2`MDCTu~)o1cl0)4$p!``(D3C-r1j_w*Z$$#w_TPbP(!*?%0x*HM4` zd)U7(TdRz}U+uxa5kKnSo3Wye;?l{&|tq7-vQ8_9-3)n1gQj>$L)(WNJL8 zytS2UCy$+ixuCL;;h`s|rkate%pk+tjV?Q9OgMz{)@UF5zd9ZKzl2~l{}F;o?CsJZ z3;qy-3H>1ii%&oMXCauSZ<=zSB=Hp2j*Q@w;TTYRMm&TcKB< zR@5>o4-{mxBST5d#*xJDVCs?0qI7R0=Jn1w;4#Kx+5$fpGHkmnRD5(O@EaKH@cSO= z9fsR3@VLh=Rzg9J^{ZPt20uLM7Pe2;W4ChP(A@8PisfP5xD|j;OF!PR$BzBK&C0;M zU%|~Ik?}AX_A`y84ICOi&5(A@N$g9Tc_aZ{UGNkg%pgGXt82z?7lrbVUV>3Ta=R=6 z-_D3URnEMkyo=3X6}TQTHetb9-b!9x#6`0n$oH&IQ<(Ats!1gfcK+wrJ$>h^=1G@W zf#2{eKol)$)2zG$f-68np}S3l|MjCEoJ$_$1`Ra8UJNIkhLMwZe^8AVO?X#{fAQn% ze)ZMb+*jCWZt$0cQ5Qz&l!hRrTB-DZR_rxicn9e7(*OrS`cU@up{X<+|A zR>I?<=+;3y$!e2h14?Nas^z8MM*9a6JSj5%N}hqf{oyuH!9My1Z%x+LLQlZmVIMHa zXtLZH?#aKX{&^AZ&Bc6D21~g+AL`8%+f5*OpdNt#$^41#P8nV4cwvX;rQjmhi3cE~ zKC9iFP$AFpw2Ft%9TfAj_kYEoEfK5RI_=ONt2h*stn%~nvlOINXgBQV+t{0^2P96` z{)MTNLpnD+=xdi72YK5>$|LhKUU->LFKOk~`QP)$FD!3b-`=t>{Oys0ivHv0dklN- z4f8jOMrxYJXPlTdy<_g<-I?mC&z0w6VQu9eP?-A3)YJ5|=aLl&=vo{0Y4%kB+%!wz z!&^J9r6lmV1SwiQPxToxHz@}DFroebla8t{Pk;g1{kn%df+P;ThSV6+RJ90^FK`CM(x@vz1Tg-+r@#xg~7i~1GF!~pR6Cq7SB|>@aOO})S9-WBwF)KhcvmQGN<}#*# znmL0BS4wwJYGF9rWet(_DkKt`2p8n~eAVRiZL_L9IecWE<(kr%#Yc7pT^PGN5Na!N8DDfRLe{LQnfPdTN^{uU@`{@Su-y$l|Y5zB) z9RmO?PIv{jpTHV~L7a3LaQt`+qYrM+?TV0AKtA(e`lsLbeBT*mXl-jjX?UhfeG_g? zI1T8jiY2_h!d-zyPBIy}u67^(g-r$U@$|6d=|03GxI5~jC&-3?`^+uGxH9N#a$`NQ z2R+AeE0-98tt?*1rkII=9_F+9@)YQa?GGv1oUqmmpdnh{MY4{1U-LTSFfB@0+SOiB z-#Tm7b4R^dnAm=7M}#%P!}^U>34~8vA}sPaB9Tg0Uv{U8%XL|dHXURhhb9@4WNdZX zG&lev=ZIvlE@T3Zr@C)1hVMf@{oHPHd^t$ZU(q*}40-JOvl5Y;nZUT^$NpySF0HnbjsSN%!+u?YkX@-KENiWYg_co@u*2gSH_k^4)L#WXt zNbJD1VLO_?n39{hO4Fgb{R*n57_+Hz_YN5iCfcySWOe|ohby)LxdPvTF%cu+jq+fA zh3an5QJa2rSSu=qBnZq)FSLhX$y}WCI8NqO98aSQowOMPDkS$L)?ubWIAHdSR42oj zy7hyNF2xw@q{;l0^L4KoPWZTG4N@5CWkxJ;GTLGtiUgL3(|eV5co}jX)U6e9t`#h8 zMbNZ*km>S*slrHZSxpL>68I3R3_TgYG0e1>vDH0hNMB)!QxfghtUfT+2^GytwPZPFCBMcVLX%0lnS^7ou(J^kFYawYo z`T7ZtbfNE^YBC*1^5YYV!cvlCwNB6GXdV;Qzls-mMX)CJPybs{R(JNTL>aHf}^4~qjl82=3pIiFi_WK?i*bYF;p2g9*cpy+mV?_hHQ2Wo*QB*iN zQOo-txuK#Q42Q(-*R$v1T(WkSs*X3Rqr>Bwetc~k=T5dM;mk?>QyRun)q-DaxbN@z zZw=Szwiewv=v@w5^7}PrgPpTF|GzX`oLV142DU3CfriU+(a6@brlhU2)+xs5h$rT8 z#Dt~iB~pjRmR7U-*oMO0pWn1z#ivqE`4kj6C$IiX!xa}967Xdp>SXp8vsv%=(gA&H z38cQ}393!hJV)f-1(l@^ae1Q#FnTB0D6cz&9Z(PVgYjI@ za@qNn*Os)>@U~Ec^;mjxJoG7%Eidz|UbfIHRA%yH z6$JJDaxC-ZYkGPPNo_d()HbGnDb>~2F6xY}{a|+~)dfayCCCnxL^ThZo0IzqeBb9y zmCpF3_x2d7tq1*~Ev3LA8R=%g6Xpr!1ANw?=2b2yuxM1a<=LGJOo`p1091JTc6%)4 zwR2(lXP5(8d z_Ww=f4Lz0t?WKk~B{kpV(@<(8T&uLjILTPI&E6@}q$~~)gsc)&QhQn3H|BbQV9OY)|sU{GyW1DE{x1ziXV48T2rPoK;AMg%dsE0J(yP^ zpZpkaEY2E4imD#Lh28^fQ;birXOGMH$HZv=sA7+?bkOOTlaebo_@}EJC zjgm#qJ}yKTF5J0w271;0b*VKgBVMuWi#Pkol4EUsW0>~Wl+Bj_m$iL%SXJ$~rd?+&4*~l5}jMu3T~y=`h?gIYJlyhOQo6!IYgLMoYj3boNse zb;)fkjST(jez#z?LmO|M7r$(7&VcmrpB-M8F#2E{vraI@rVA~)7;p#Er_h})k}fS9 z-_b}E&2XcCyd8QxPb=3m)yZ)`dtk2c)smQe(^NkdqIH72gbq{{;niL@QkC`b=}6*@ zK8yXC-v)9+j^>+}ix{&lQ_dEwq}r#+xB|0R{am3TO9~L9*C;0;EAg%HPZ!8q*kuBP zw3z@C!QSHZ+Kh*|sngLm66{)nNkkxe6>Qczn@{iP$dhKkVgr^C5rY_Q1|k9xxr{NP zA*IQKXb~*eqIJ*y^yC)?f}dcO#O7%}CjV}F=sxCY#)S1e(L!y;!56RgIUxVp{=;mlyaOPgU#8GC zz}b@R_rAfw9fMD9HYz^$`StBrWqUuDWc4P>yLg#e4QqLgQ(-zzaz=bE^9ITF9z%R+U4 zKahl|$blrp;5+fPI1(rVyjW30JoDX`A1dz`21RwOq|M;{*D;mp4q%FWb9 zEjh9NtFc7_jjdpSV0&?KSF_ZB(y`oium&rE&hc6;y66;@al^gPBTr&LLBb|(vO=n+ zKj6OB6m_AzT;$sq*{?n2q`c!ugSQVW%D%3oh1IlG)8)k;l`9~GT-h}Czw+yq-&LHM zsN#M?4?6g{zFt31`6R_ztn+jLMPn#Z$J#FC+DnZXy+YB%%lb8k+WC)9xbqbW-b|jm zJ;;6drdJkCwsyhI-?2;B@V&#}CwFF&L4p)xwy<3nQ*j$Gb)pq#3|FLUM$~qV zoe~2%P-5u8cjX=mdo2Zgu{ZlF3{JnTHt;lI>;WpIS5;Y+q0+>pcUl)#c0!D?e)0 z%ccD?a;;p$qSff?TUw9MnzU#^foMmLeCD;qnM&b;H1@S-)3VTlr@DdR9W!eO2ZLJd zTFU5;iYy3tb4=UoE`dKCwG1#U7mj za%vv9D+lmo^Vt5150rPFTFR3iXcB`)&5d7M+q^|_E!GL1DSLB~lttoU{S-Ply&sUS zY&KtkVvKEZ>g;ZQ4QbqghHK$KaTy2W7mh;Fn<3Bwi29t#hcJe3>a)JUYSH&7HtmyK z&A6jVD}|4XvRm#tYuD7qBN|A_)~|M*{^=Y0(A6lyu=&Y?Q~F(1+dxse>nn^tj63OF zsqHcemTTSXH+1?;epY{Jx)klSkfv#(kwxU8($u2ESB}}Ac1Wsst`Pqa<)7=-vOKNf$azJAr|_7~Uh`B|xm+saRHS{NY;TQ-A3?Z3b)l<+1X8cDXQ90k8c^R% zBG>sLg-|gM=cBthI#M$TZlr_#1?hxr5bQXW)=~WEsISIqi73qZ7f_ht29kgA%muOW zjF>>mK(zTowGM3b{!$(N-BlhOjDNtAa~E2CounRdI`A|)#w9I;D4OPP zRdRtdn%po5I;dP!t4+@$V=0LsB`cvZMcJqNX}tyhPtu%Im{11oBjL0Ta}kJL=lKUbDE9} z$ZX=l=t1r-lxaQ(2=Gm=LP=AchA8tvmNubMyvoM;!#i-*_QvIeuI5xnAcG41cRZR%ms1Jl6JFiHEx+z88&* zxs#x%X{t)mG4)8H`D&nh{XLIH5L|!3YpMz9fAjf`X)ND*fh}v+wzIrFokO+DFU`>+ zHBI5<*{I_M304zD?@b*m9XdM~x6bYSS>&UGae}fR;=(HTCjH8oY~Hig4B8C8T)#Kt z{=p0obAza;U2a<-mukT zNNJDU0KnqaJY?8+jO>nIC|N>vw+!wz{jsjK(ra1-;4a}5B$+t=1_1=y+B4GaJF5?; z;PkH~8l-zj`a!_Hkw!(TqG(4*ULv)Mg5o_{;*oE=7^0_?c93y6U0yeLGf#W-({7kf z5HWdd@2|V5m}BlP^woFcs07jtc%lURU|^46%ck}8D^$lPr!Z;W8_>IFz;8N}d=eSXmrs0i@dRPIDUKe^2Fh%*3!B;N zW4}nX6ML#}+Al9Ua|127br0K!`1+_U-63YKS+))0*{rVl!#vJ^z(?8!e@JOo6Lwje zdHHeN^LdF0b$&1x1PLia3>SUx=2fVNzP0%UdMrVpul^gu%l?x z`6U=H_SStBiZ;e*K(IOMF5&DON)hB=hE}0kW`z<L9 zkBo(>_xq_k-ZBJzT^#eGv(RQ{T)f&WI>%W>RHI|>QSsekU`iGiaQNv*+=C2==Dyc~Br778_>RSEFs^6LCOPxHE z@vXgIzZu;0No>6Hn#WM=*0+a}8ZgqXSfebp>F2)sXcekh_KsYXx=j8Ps0WP=t4WT7aRlsMXfZ>dJd~r2nZoTI!K~`8%W~a z=li~U=e$S1`@VPPo&VhXpTkUMLXx%j+Ut4Nv!42UUilmoQY?)`C8!GC@2EKD9Fe;W z*1<%L#7gLy1Q~SgG`M%Up}bEzTcGj4^aM zQY>*(4^#-+S1`<5U@CKDGfj;dQ-J{-VIV$%2}Tf)!^CD|x=-5_)8Br9fT#V)@H+-r zdAa&WVewz9iF)zthSX?K{}P0{!4pVqp%9>ZkNhnW9YWpf)D@)mCsdqmtZyFh57B=^ zAv7gXx>tHXA4~y-;GJytl6-Zyl-D;4g?7F$@}aZYSP^CXM9=ov0NP|vi5^1z)`{@)%$GJ6f0)9$k&1^X(6p>J^9*lj}0AyxSqY zy(#NT7&{aHOjBU}@XL`Lv+`5FDuT(tDF4g2QjL3ePI|to|MGzK7tjmm~LEAAWRp5XGYgDKj0H^f7!m!X4 zKvd*dU8&ICF;&b9)#h332~;wZ`-AI<_LWLc5jGk$BJ@HB#YC-(DcuGrI9GYpe?iH2 z4_i>TiKTB`k3w6a|7QY&@?QHW%~;bG>Gi7a-cSdOZ|O8BNA$8|Ncq2{kxDuVQC+=a*RAbb(odr6sW@<6cZ11AHHr75F=V&z} zIT6{C5wop?rU*i7SKemOFOCx*+xt1XDyZ*xYRY4U(nNOdS>;Tc7aC#`N36_u+7k^z z>OKzzkqKR9#;85JWI9*Ta>JKATiHMo4w?=B*P65K;HIDhZ9feYuIq%JLx+54NlOMc z{?IDIzO!r@(PgXRpdFyh_@Is1Qebno2Oh)0(p?3He&?yof2f4ZS^kGQIp3W8aJRwG zc>3x*Y!buN$A`hU`Dk!vJas+9=D+y@8O;k@MeA1q*JMjI>)>dVtjl1+dZX%YAq0R=e3Wg z_}CXFlCDp)Nzgsy_@)SbjcOC4eW|!hoCX4dQ!RtM7)8ad2NGU5c{Z6o^MBg++~fW$ zXU}*?*}XfjKkE*jyD)KiU{Z1=IuiQHZh*LUq8N^#NoX`m<`(RvKByFGVJ*zr7spBDsbw!InFOVx;9(3J9K-HCMPylLI zm*Bn*E5sLDBmLT7-LgRH;_dCmFQY@7E2JH+6J%XLG#*|>Y zCouC>u3$fNR{q*on1Lw}b?`id{uBsj3Mr5S7%X%Q=rPri1iRU=LCG~te#`(TsO$h@ zLztbX_y*?G9$2zD&_IFFFMx0>J2QjZqz{YCNFq0eoC<(P#QW{!iaXd%P{r0p0q5k! zNWv`H(ml#Rf+)w+?!aTf*WxC6*e`l#@_CPn~0B|Blv$`S7fVz-|Z4Iz^9odNmZnvEW z*SHn8Dp1TgLi{ogCNeHO+(vQk3Ss8E_kU+ehaAWJND>3OD!hGTcOU4d2g8R!;DN5p zyA4ABU|g`fd~|RoQO0wi)YY2Q{b4D$5{8)(q#e&En6DRuwOJV)#4mIEzO(dl#{57D z+>g}S!>kiu^fTB)#X(LvON;)_lD7`|>pJdsBS4-&(g|;gJ5P1;_cNnNJ3bG;v&^%0 z{y+z_pAajA_#?f4TtgRSa0%6zb_;O&fJi_12v?Bwm#;8i!YQ18C4$t?NYzIA3B7-^ zf-kZ$13BMW( zX)KS9u(${5n`#{eB97*Vi*uT@s(fSpQ9I-KwsvoyWJkU@%hA-(BXnn$eIuZn&Gzk~ z)PJvpE^vaj4FV#Bwu?aV&w^nJ0^`uQgA$^tt)b$Q$BbxGO>^S9NS{IdkoT6Xj<9@0 z!JCS{5?D!(w}`BkoF?u0h}?G;V-Tr@IPn{k9k_{#hBt&kxmNkYlnyvQtf~LH-+<+E zS!slxkkv)Ahb$-YZh%fX^IfRuD88;ginBnMSa^kZdLb*2%y8=n8sYoA7JEM%8S57! z$aLo#DM+(_eeRx?Xa){(Ty6L%3;3tba+F1fMOgMtk9F7k0tBVh_}FrJ&#~qAS!c3g zdEJF8hVO2CeQ)lqnqU_e=aj^qFL>Vn{Fe1;lS!-5YnBV29?Y8;WvG`l7ax`?lx>t$ z1MQ}MgKf~Woc24T61uh!s*PD0rdjcXPGY|VLI}l--2R?qhFz%4^OvUouR`I3X40K$ z`L%q>)NkbaSZP8HO)?7ZK&vW51O&L1-*C6Ha34en>ZOkj>CGEZru8<~2pa6yTnFkZ zJzxYCay_Nv0GABd#G`~QrMoQV=|PsG zHa0X)ECliD%Zb^Ij*usd$R~KT!B@~$40!wHIVr*W%iMHceDVcaPb@54n|F@$B!}jr ztu7C>Ax7IsIbH#vj~orStTbO!( z{y3cGC3|*c5T5;lIR!n=lmgslKZ0Q15p5i+7%sZ~p28BA(9l)M*#$k}pJ)FXv{KQW z6k*AZ23;Z*V^x4+S3$qgMukuDbyT@G8j80EbHIOEY;RM$`5eukk^K>uq^0zMvX zHHYV2x?)U&#L(3w2%cmx>!hizvJ_=tViIa1qQK)6gr%Pbjo^W=v}Q250W#PBM<)k5 z_B<^u^8CrS`p1-&?jlE5dedL1YwL2`dZdNVV{0O8MurC4co=J0H!#!2Mab=0KIlx#7N?jVtm|OxESj!2t8iSm5P^KQ8k7}Zm*)#h>xjNMMzmIL z)t|B%uGrGj;Ip@1MO8Wb-RvEF8@F=h80S&hO(!ABU3^^4cb4^2RsN1rqZw=?p2L=_ zEuwON2wW_8KmZ~OMG5Fkaq9>JrA?_TuGjsh_Uh~(t3MU zW*$aEL6Z!y$eB?L}>LGx@;1n0ykgPkUeMNhTdcv9|AiOQ1XANlJ2= z(PZpVM1b8`bNS2#*$Y#MNym)QPxDrf_1KRW(BN5Z2OEK}w7A1e8MGTvJhyT51M0Oi z&EO%Em98_or4ac5a|8^g$-4V%L0Eys&b9-8EX$l<|alTGb1J`M4TiTm1#1T;}_%iN2R;*mAEJJE2L0aDjY!^{& zlkUPdFOC&a6OMjmk@)MCjAF@?m$?>lCu_50ycO##Oij(>Y>d|Hu&ZAGD$7y9rma6P zNpaRsxa8l=blz%VIGKwyQumhlaRH1wGHEY8_ME%;B1FDt>_uf9o2L5@BoA%z(&2y-c|h7MNh9vr@lMvLG3+1?bm%d>paC4)i zWN&Rl>T0)|btW_vqc3OZR64xVtMZtI_QhqHlYfURsoF5YP8aRt(EO=2aMU zA@Z8hWEG-2cNTlCKmS7Ns?0sG%~-qIQOi?)R+h`}?iThPv5d&J)3}n-EjKfmJ8rQP z@h_tP<>=u4e}n$l0!sLAHe*?tg27USjQr?wN5pP&%n$|6X$!ai;9!gh#v35~ zUHl~yJv9wIkW%Q`UXGhyNu~+#%R&Qq6^CLo;j;;$koRU_esIoMvxpp3yn{evb8e)rVTSD$~B8t=GSGEk|lEq6JAHhRz2 z%`P8)&Pg&8SF$pj=g(PT`7E(K&L_tV)th{-GNJOal3AXIdV-b52=fKlz$(P_WcCVo zcY7qn^m&|gCXbmO%U@r-6lh&PJqNQ61~hIPP>9T@L0iH8sN{8}?<^9ey^CPhA{T%M z)0IV9jqITYJIA!}Ry$Z7gH!jqQ?ADHn4Rf4UCbLi&ocJSlB{% z#{Cin4F$}&ZcI;yJwFrn_U&8nn^y-?5QMB!o;C zC(0ANWKG89RD^|YjM5drew@)ACWxrgA}55b7ll^P)WEm zU)YuuYV;qTH&>P#?7F0<>F*j-869bBknbmUL%L_G+matXdRD+x<@VF%L4S{eLh0+? zM!C{shQYP|lBP;=3+X&Vo|BR$p$UUfbAVY!29B-kzV z0aUkdWA{@RS@veRrb?hArP!!a!*>v`A2f`=T$oyF^nC1sq4IFVh?{`E`WR00#J#-y zII~>1vdksAigX|1vaws+a-sOpPWg80Ei8++L+`ylJX%q7mkaDaCoag40#1naQL(QT z#P}Z!_|a=mr7@F*Lp_*a=GYhHjKX)8>WvNshF#kc{1E0L@rxmv>pRO$VK6pmIEP>6 z+)w;u2!?k)fHiO{5+~^WwPgGbKbUx5{RXp~Em>{DNyfyIIM6;N9(J&>w$ms`*KSo( zAt6Mmoq29R`%($Qs5a-;WJZ2kiQ~r)C5?wZ=9Ex=xpzzQ?Lp6%_-_2f_$!EjA6QdJ ztZ8`IqDXD%%I5*5sNAb_SWpF{Kt+Jdd+lukgPbwioO_p1!R`6S;m17(mpi)anG!U7 zQroH32F-v-i%utjXxPx%v8@pO4y!@sm_kNVL53!;=cJXZVYzdu-uu>T>Q=cc7IDp~ z1xa5AY0(qGkFj~JOqHLeS2oaL`*?UFXwwl2M~ zV)x+bx4{qa3AkaAQ(I1{ft&xqzUAG7d-l_Y&gkmj*AYRP;}04XJnxC+-E3@l+%1-^ zitHpswVhxz>z+hQjkcXZA@&0oEjb>F(6KjarMY!+Mr$@i`a8n9 zo3hq9D-RP$>;PuY94$txFA5d*$ii^w@&No-fqF1g>e=uniPy`D63^mssDm~D4JhV5T53r)ZPI{79v<;upZAV-7 zQv^2g$={loIU3NTDv*;s!rxhvH(#L9=hl{_M=KKw)!Wn0zq~eH9OM6V$wijoCR=F1 z8HwpCAsaw6>*mc9Pu_Ah&6P4Wx9tk=ZOM?Dbv+2?x}&n|Jj@fNgB^s`Z+_L*(|kz? zH8S$Qr(7};SE_N{zfk&haU=@=!ASP2?DkD+^txIha}*<>4Wrp~*?j?&Y;!2{g~bsB z9fR#e+OgOYz+;$lCy{?`waOh%&2}I$-!Tuhfkw>hW=!?!Zoq5I8blD7v!ux}U(l-h zI-Q`@?ZVP!Xi?oW`5?bCJ2U^&@5w5N%U@E>vYYFMjPs$lyswXEJ^7e*Zjrs)A$5Ks z-uuG0TOXgV;SWvueQMK0*VZ}Yo&jy*&OeK2v<=uL5De(1qe7=i+isXTJhPN2V9j0j zyzES*)jliBgH~22*`D6~!xzQEa?^T)&XdM8Bku)ZCi0j=#N8sgtF1qsHbUYSnKpE>8hBlTkve{;I|JR;3awPD|@Z<_X{JoQ8&)Fv@~R7Ug|o1>g@&zGp>!(Rpdvsa5m+{Zc`0kL$%Mrn%{E z>LYQv&p!&9K%wFwV!W&QeY~KuyXEsTJ!FRlzie zSWMmcdVdATqI$Y)bSQwM1#BnL{wULr5yW%}t93U)y5J}1muZ-&#psIwl*ZaM1IbsM zvu`yTHz3y2bR%(Z4l=?grcKqBH_lZ%wkT{)EJoE)Z-h#oe|<+8w{=QFme(oLgJkRf zEV^*L(S0Ne!udw+u$4niv5IssG)Vdl-sU{n{d$L0=RjvW)COlqF!GlPwox%UwOuSV zT-ZBlVeONO%(4nVelBYh1YH#gN|I~M_gAjDGM*A|jhSnPfo1#?^e~;*_(o51G9X2w zXHSnaayi%Rzq3?6qyEyy8VREK7LiGNBJ+^l>JF?*#&?$A`{cnhVNH@*U;(|O%hzl; z3t?}5amP#IZKk9+_KYrpG>%1s$w#RnWcc2J8=(IqW_7@GuLyD!(@qo*P4}IVq#+}< zPZS#BQT?4v5o%I24`}{W09dYv#xL8|@dbI~?@T6vCy$A9fRAu%QfYBHe0ltSjs46- zxud<|IhL1Hc>GxH66O@>8^1oLEk=R!p-!|r9R}W!b9T^jWJg#}c63CG|D1{2EO-Eh zqb<>=$$KU?okQK(tl^=eC^V4Vu`5y>{EYLsN@CB4&Hm%?ez++8DxgHOaR8cWO5NBa z=p#BsDSOsH1K1rlR2oRL8}imx3U;M(!y+)X+lA01LZZTrWFs119%gpqs5_*txC8l% zo#V3kN|0I?DRxGre$4N{U=lvK$W40GFj&(Fml1Gjj~4wRy%e_crEFnTjqL;0<;Z(0 zsi6*XSwF8i4g|b5))T~ddt=Y(lzU&u&OL3eJ7JLFTRPlPHeAf+M^jN$j~Qxj&pm6W zBDQO#(20+}?$IVRP(=F{_H2yq;&P~zEGz=&bvIjSlNpxB1$q%69LpP_@>_jEk8!Ej zzJoxsWF?hD|qMBCDIBHMqb!coD$ zrtTp9%QZ5k?PT***&pAw{dD`m;ZF2^DzY8I70R_ttli4`4O9dKd*%{BO0JQ};KpQc zv7z=`WRX7w1w`ly1HK)0q&Q`G{OU7Awp#?)?%qr0Jw-rU37U*He735l`D>H)6Y6eX zm*9F&th^)DpdG`dd%`xfe-aa}OcJmM`W%6PJx!Fw+_#Qoe!9ZAJen6-1YCL5#2Mue z_FN5~I}qfZ@f>B*HFI=uwpq=Iua%Y@x7ixHp%?z^0G$hmO0yp!tlK6U8u?|(BzU-Z zINPVEW@L>v%9Iq#UI&9FMpZJ_#io`%rv}VAY%(;`10Ao;d_H;YmVDa$Vp>U_JVL72 zY)?(f%*52JGV2FHB619g}4vF^fOZv{@ z+lh(7GO^D+L31>)11zV-?B04of5ZGCV3GWjOh%ix`4h~@E#L+18`BgzM`R?nv4f!A zveYUf;%6}ber8M&1?KBHup$Lz!A9x?W!pH2`=%=TsqW7xCoQCZfI!LpxGAI?zX@N2 z%Y+Vtnx_hy8x$A~abWKOc(mtA^MC&x8cI9+oyAEJzME*P77Xg{{?+<+ z&?l5h#Ns?AN9u#}aUv;2@Rd}=t~}PK+*9q1v!~qD#ImO2bHjjAj{sw?unri(;AKk_ zs*j;!*NZv1%_S;0j?sDNIQZLl8wa#a$^rXML3p(P>}`wZo(;Pu(?u!eQ8CI- zmzyH$zdcE`yYy*(-(9v{R*m6T-F|b@BT;O* z#`=_=6};ayk}xkZ;=&q}nqDxJW+*Y`aRDJ)Fcbat*&H_U0&^%-mIeZP^-mDD8$mhk zv4R7Qr*Pyol^2J`wV8n$OZ_a5fkxx4U^hKy=sL91htrPN0 ziXI)2@LboIjZag4Xegcb_MSjYRf&EEw8{+UlzApm@B`c>?s@SR8mXdfDpDlI`9Akn zYbHCpH9^xf;>-)%K;vV%l(~LMtG*+ebslEr=9x;910~BwRUF+_e0BG>=Jg$FLx*`| ziuDVa)r(tpD<_{w!LS6-eCPYKUid%X|J-~JBzD8tJe;(ED>R!|yULl5R+tw6TQjdFy9k+)3*<=eIDrW#xM zae^Hp0O&Jtf~4QH0}7g#Lsh5>F_m-bgz=Ws6i*$Ciz9Pjj^Y?reEUAkRj#$OEf2o9 z?xAvTw2ZvkZdrc4RMQIaPW^qJ-TiyH;`wD}sfemXNV+L^v4%yqPpA5oq%e1;SQf~d+-E);Lag#&u#}3bhO-{~8k;8bdQS|hzL?VA3tMssP zvCEZos%bZ)H%~z-!h0)JJxZPi@(Cn5cF)6oo z>CfZlx+tqIo|>b%RyaYw<>9zUL7tn9h(VkQg3WTy_2kM-O>Uuvk$F1-F^<( z?PI$aSLbXhd#zpihTKe*$(wqnI|Fn>eIm7hs;)CB3cgD~pMZl&(EBi-QUNjMD@_bD ztp}cksYk|a#zP{GkdLUa^U^?TLI|xrh=x2hzJJ1E{IfD%1n}%+o(@qR<@St^*#wn^ zrtugR0lw1*RI`1>hdacnNQN;W$=9IUA{& z^1H8QY6On$Nd+S}*DhfMv{v7|ZWYHV_!ImJvZs~XhNCEBj#hO;nHRj}$|W)cr3up# z*-b0i(@Kwl6j}*g9cZNXytd2CDklMM-AopsnPR-tqA&}A&@a*S>KkTtf@eSHJ?lXh zqgah-2d6WmeO%`@j;p>1E^)swIdxN8@l#1y<0y6SXN>N&5j-DiK0oM{# zUw4!Di#bjaJCvtoHPs%_B7Y(Yhn_(TQS%$f8_{iABn(8Vp)jdmwU8|_ZyTzn<;9nB!B4srr;xjL#l9)!I$KzGG}8fs@I z^j6Zm@+x*+cH;nW3sW~e2@7;%JemLCVAl~`Vh})SJIP3EI|!VCarVs;IZ#=zzW{E( zwhsDDpyg;VN>6kF)__PWBGQh9$U26Ifwdr%N)2rn3LZ?^$aIp_>}t#RZ`E&a*Ydis zU@W$$l?bo6o}ImY-e1@b^Y!a=eDM)kH7U&$f{kgB$n!$!?!0U7N_&>)G}(_h+>U;2kVQ zcKXWoYxeDJVuWg1B0rN&hk|A8qMhMPfE-<4({{sw4Fz%JK1{U29BH4sAU3@11X>L6 zqRPT)UE6t;B)EYq&9m!)lQ>XY+(TAiM;WowU`gx#tI)Mo_G_EX8?}s-g3wMUq_!oO8x$IpP4on*J?k@NpX{~r z=sSmxDwjNbKO}e$c`&x)7UGi1+D=V^+^@P4g&#A}CLZb@ex;VE$SyOhQb#TQd=Y^H zpW~65X;x>mwBvcB@&yZW#fz;4X3m_Wf*=xUu5M&C&S9na=>CPw(TrhlbW2gIK1g459dKBdL%l7IRGb@;K%*!ozK-gm(Q7l z9j#pwIYYRec-zFl_yHkgo+mT0To)dme<(!KZm=?~Yf`5nrp1IaL8Y&sgKh+*k-Y*e zXh${gU~!E4)lTLqns*k(ASwiER2{WvB3LrC#oxfMwr!&ZJGW6wogx#%LXQKG4iI7P zlsg}jp9+gS_vyuevaEgl>hBeDMa?hUa)nw=SH77wXH&L6FMM8D+5WPyLZJlS$wr7j za5-;uJ~h2iykABA0!G4_{tMXB4YNjk1$`!g6R(P9*0woHKD z>eUI1C%7lt&H(d!lA*(xgDt0h3MYYDutXj#OpGRHMR^k%KUdayVveI$$=_noin#uA zhYu4TYW2H!?V?J(zYW_VzKtu|pTi$4d|Osc>?0jzin?P?&`&%C`Yttvx%(Rn`Idet z7<>~T}h7kVR2AwjvQC>oB;1YZw8u1tx>FrrafC=irO|!ljm;QRO9u zL($un(j$gn^b28YJC~2yOPeZ}sTX}7IqUW0%SSW5<=NY5J_$4#(@9Bn@<)qcdDkOT zMA>P1yEl?xaSN~7lfTSoD|qSY7n)E`xCq^@n&%}=U@Rj z0dMp9TkeO`PvgJxfl}fdqY1OLiSbmRU+YhR&_9gtX4jOLi^#1Ud#q#72F6881VqGXfTYK41hGS^ks4N!~as;7^BJ_?`kLn1{zEMKU z-#B&EM;%%jjIc--E^Robr*d}h_g2V-Af2(+e)8g6G@Z|vg6V|pr{5lEJ5ju@1hzQG z2!@^pFg;Dl37;`u_}Eab8US-Bxe~xSwo;?pAxE!(X?O?KI`C|sN~kAwQ+Zgr``w#(q8Sb>8emWO)`vs$tDT{giE5;3Skbad>NObRy)jc?J8tCz*AddjUbCR8) z!^~)#1Oqr}nqQ5vjsyPvTq~M7Z&h_qM;kO%XI&@w)sNj8mTb7)W^4nQP@roqZXX7_ zJ)LGeBGSN`bufR0-zk)jMpBx640c zSvKBYaZYB>Zf3H{()pyizLL@AoVShSS&}`pPlS^IAO(9<&>m(98TbC zfBy69aVFwJ->fR8+*-yvAXGErj!H9rPaX21;X8}{mDz(K{ctwS>x*Z@J0VBV!c?qj zYqf|H5J~Hpm8gBX9Kg@b{-h2WjuB)+(4MsX;%$55;JywwFY*SsQ zU|0cPkz-Sz(k2_RJW}3uN=NWshT*x@b0u>JK8;AbxQ~{~$^|-`a_8pvDtav?V|#JZ zcLIaryehl}P0j}E^FGsnUh9@O*)(i;v8O`CGY!8*V%E6az(ReoivEO9_0cvF0L+9s z6pt)+baJA@fYY<3w?_mQ_8LX3M3I8@y&cVAU^z;_QHM(^Y)Pkdt=s8TTx~ z;;1d0NERLlZ{N*m!;?9=mqiq)GlkB0z{YaWpK;-*JXag+Wt)Q>;X|t<0RMIpqe}N2T@`ra0&)aC zqM`*EjHLN)`%=RIb0K!&6K0^hix&P0Q)fynS*``99B3*tDaAb!<<#;Hru7Rjp!Pm# zMyPHNlRYD$neMaPR!+uDuG)a$OKA4lcM7xb@yCdzOZgJxOqo369^DhwgKeA>XdNJh z0*N4TTkqLrB;w->sr`v)s7~u|16Fc$y#nQ?cZ9CO)>;F}z$#|XcNUfwlMrP2YMUUK z9)+Jog}=&|si$29`xLY(Ci7pRK%ySxYgSWLEAr)%d)`pY0?2y`u}&&=4K8IFjrC*P z>|4#rMuSM#!MqwK?KOMq$MY;5&G5x zoJNrTc~?4TB*(VA+-zxl#XkNN+~SDsKDK$08`eIyan?TN#tT^1(2tW@iF9Q=c*ur7$Ug(5h0t`z$yMRA(1Yl^ zfa_cyr*)bo2;{L5FR0rn*~NLxaT=v$TAyP`g~qHAbW|4}jMOz&%r<^Oo*wZdv{Ige zu83H>rJ(i2C~Y&coSNm>CMbb<(9)D<6KJQd5cLjjHaQyX1Q;{(OYN9)Kc^>Cc6_Tp zQRJ*=Ux+ny4HGr?rVJNEhWc)R9-?4-m3Qb-mVIx$RE2qSQaSr011j+=n68Vgwz zIfxlevJ)07qTE(JstiAXDkgWQ4om}mT}_*yJu*xen1i2K8k^K=UZN#hZS8YrlzO^` zc$xNWy8>zjp5soE1AYqJfSc2Zwi(#VVP!HaQda^Dp%qMiZk|IP$MT{QTdw8{)AFBi z#?+N#kLz?7yO7^`gI?=n?iO$lpCwJxCx=Q)y8KC|gQfC;n(4Wg7V`;r8hJJJ&1`%k z$}JPxs&|S;HPoG_B_+i&ora8ZjJVPr4GpE=6|HFK={28Kzh2n8gXlRK&mu4hu=k%_wbl5CQ**r_3F25!qchd0E%a?kNQpJaT z^(3WxdyAn8%8Qc6tUu-W#Om=_9RI6#^Z$F#<|YIH12jn={b|Us-@ieqAarv=awpf; zA<)J7b!z)1z)JBlw!l)xJB;n+jP06DE=+Zc@QvW@O$lRS!|P{<*9p^V*Q2_b!Cv=e z)%`*)Py{GhrcFbeOR9RFf$aVMgVD8C%Z>WSDtQlUwAp^uCkWQ3=clwF-bhN|-h#fp zX=kV9!R&;BQme)6xP{b&QmbNr-ik)YtCMl}=V2L!6VOEEKYLHxu0VOTF@+9qJbkH2 z8}A!ZaE}yXYxaxr+~lN-y%fFhh%;#ET$?uVe*9qDu@%k)KicJF@_u5pPRT9-wg9?- z$=3W}!EYcl=!WB-|hhW&LXWl{lDO)bq(le;Rm7unPapYJ?Owt;f2ymd&a0H zQ6;L4r8t;M!f~J^Rw`ykf+%lH)t= ze!sWl*@U%?<4w)>o_>7W+x68K!vyX$Hu*7gcZx}kLkXNO}!(WXY$`lj4x4b45?=p0OhLc0u zAZp=tY(Ux^CYlQsI&?8fIyJtFj3)?{U;Sw3zG=UGGwi@u4ZA(x zE;y$$UI29zkhS)V!SQAL)DdeQU&0i5WE)RSBbth^_Xc}kIDVL!x}xoLSIPb@Z{_Fp z&!A7WA?wRGiad_CG22#JI*H05Zzs=faOx>l{YFvX^t|BSR5+TTeQri{cwu;BEz8Vr z(k(>J>s(O>>lpGkWDz5w$7lhtUuR) zc>;`L&$~@n+H@1CqaxCv+Htl5L$=}sJBQa{IxJDe%$Q8@+>Nw^(%%oRgS%xwUkEhB z-7#NY)q#cU@5R2e94QAK_qA(fvU702Bbf&TFX0}8Qp>=g!;?uUxlG&QJIhsL5XGv! z48nAC->$XjH2z_*tX)O;pr*{ahRo8PY7Uj1q57Khx{A20J@@pl$oA23%O^qgg2UCrQNYs>0xR*W~gBlpJphYiqv~vqYeG>-Vo}m zd^wOs8MJWl^d0X^X1aTR{E@|eAVLLZbOtmCpTRgWWK}TA{5y!X9BK`>0oU;&JGj1I zzIznE;~p1VH^CgZl0qZL$92r^`m%a z?`_^}bT&$KP|>^>w|w}rPl8Rlxs!gWp}wM}Y`3Cyf=guO$*u=R%BjYKkbjYD?f3rP zxBu4CI>;3kpwj+&j2X4fBUz~@Tyi%GCmes-P4iG1zQettETef3Dk^2em8$qZ(4lqsH2t+3_Po%4$zPc{*43(*eOFC^Yx0sPqy(l&VOMG z^nsEA1(w`s>-cccUjrQEbYUP+nnH=bA7as{+~Reqgp!_ki>Uv96(=w2|T0ZOo53`s0B(p$uO;} zO?GrEnFlB{5$XMGyefR8#gHQV&fo@&({`rcH_(L)AjJmP@bXok*Y7@m+4M3MX7nSg z{q^8#I^U>&DXe^*iaM9WZlBRJNhUvhW|1*TTP*C8x4HchdFPv*tb??E&i&$u3=L(< zJZ!%?cP(LD*}d4!)!%o`{dv;iA*pn6pOUNB&+}T|+_(8l!N0`xc#D7F`pCbPhJUZp z@PCW&`8Oz#=)67I6+Wg6qd`{+m2BwWI9#PL`A+_{YbHPPsC&LJ)xR^PPe}W|o(d_t z>TJ~22R5;TuZ8OIk3jIV#q}dJ__bG=eI0sbQl1x?&*n3^f+V`ISS(C385n>x(q|r*b#lKI5`-DX+ zDCcG;I~_|jw6ZF@Y)L+-a(Kj{Hh2E>*Ds`O#RLsX`cQiAMsK=B7Oi>D1M}un=oog} z7b*hXLI7oPP%qeycJkyf^S#?#53>@=Yvv@d0=6%@)?2|=eY802@Bv7Pa^3YqJozW7 zn^i-G&k^2h#!5Pg<%*K2hKk&VpAFv>VcMn1_}zU7D4+mp`Ex1*W*0$hDf*dwP(OXj zmj-%w_FzmUJ3c$pC&jlvEN?%ESd^HVJZbn|>8*R~J*dn8SSN+|L3loh(kgeCKc2vw zEuL{NB+u3=PHI0^mzO&6Y01t|w;1-v#V!A}n;HD|?v4j}_Vt6R|3A!B`R|MUi9mm^ z*`JvBZ`Szp-u;_3{!Ofr>_uiAd)JxSWSVy%9M|QB6xzSSrhg10_<`jZ%d1VWiW#!_ z>|c@67a>b?p;SVUEMzoRr}u#RTfpf za6w*C?WaT4|H)7lWfghF^U6QFtHwVYs;DY|K~d=^Lp9F-v!Uk|<<(Txel}F`pA1!2 zSCdz|p!l<)7yj8$wF~m5B6E<7dupu2)3=k;63p%$&cvdb|0#i(XJwRTlk^KX8tSyWm5B zQ@IPy{Hm)9^0wJOMhX=uw_dRD#ZQyupXMy~up$W?_>ZHZ^HpG*za9pO!D$l8I+9TP+=@r6TPn7ak(-lB!m1dM6zAN{OMm>5Q{n3yV#PHzs1zCs3kq{3<=B zLwGekpZtFDX^NE=f%bf>ZH)tVsuEt~MB~0;=2w<=BfxGK>;eChXyrH|Vwq)?L&ARF z7u>deS@NjZj6DeU;?ts9a+EtD8S)qi^DO%~n=YQxYI)|XUG{#98>H2iw+S+ttSVO+ z&znRNcnU;L8cGaEb=N$#?RqQ8$UNeCsi5*;ino@C4PRN-{MX!V)7|BXLk%sLX0jkA z>n!W*Z;N!|A73esX8g8i@2J`%Uw^`Mxb*Tfa}F{iJ z+Br6v-pg?Uiw_<;)rTV)lpuutm}K~X@ViLmoK+6xdR>L^k9!S7^xZ*Y9d?^dBtl53uulB(QxVB}y;p zScF?{=U5I7_u5qLS+)qksDa;EQcaP4&(itC>D86%uN7YER=`8%t*ch+D}LW6rV%&s ztHi3RQ_YO*4a)Lj!!enC*Z6-;Ip+7pvFGc7qcbzmX`MGQ&%FHIZeOQk4ozD(O^A(% z|H6JvAgVq+L_ov9^4HF*I%*dVHS(;pKT_+Ks*$?--Sbrf&t?9FeaLUqBUMk{wg^vs zTCcNoy@4YsWT>4f#Qt`6K8+ zqE4*&ZJ0PpPZXu+)9l3QSXIH!=kfXZT}Mi_KWw_zLTj}25ScvH_Iq@U(_2)@*(b9aWk(11H}8Z&4^NS{9VSsr?}QIc)g9v=&i!pYFk<^{#pzO)n`byQ+M*NM zIa=rNEEE2PN#U;T(*vI#i>&?9z!`8s_6ElhrNR4e9QO&tomM+sM+-8zXp>a}JN}UE zoqwp5{b%jZMQ(>QPR7v&PqX`XZ#?@5G3C`a9CkIqCTilOR(~zGFl8xq8jri7fHDu? z#6I3wm}cDaZgvdo5Ze08+`eEc=#$cas*y%7$HnM>v0%&BNS3_EtU2iBc&oeu7k_ID1rw-}u$r z+NdLu_r}uHZq*8xbnYu-JxT*oc5o6#V)`}$s- z-ySD=SAV#4ljGxnplUijwLH^U`L-F$udcrzQj_aBzs9P4%wbnhF+HPbWuThc+4%eC zSh?goAs=;b>zpX$3L6*I3;Q3uy$M`QZ~H%vXdx|BB9tU4)j2cgoH=8wq$q7lL`k#S z+O#S}NQJUSRI){~wTVRbB1K8GSj!qEl0@;p&eVLKe5OafPxJdfpXc>_=9!x2zR$VO zb-%Co^}gP>gW=XgXEQQO!pF%Tt>2;V;FK~>N5NiAdfZB#4~r(mel2(zB2h)NoBl+$ zXhx&Eclfx?r4=fV^fyLNPl{DKpl~h;i>FSnAJflDMD_Bx z^;i{i4&2<5Hg~+9s`{a*f?<{l*YxA3y;=Xou5Z}IXJ+wBtd5;v#1^JXFIBMpDq%Rz z#b$=LZ|VgTz1^33Jp_k)UU(Ru#mqF5kCeiA31C_Y=nq ze0FHC!th^87Qx$W3Li`>{1`vQJi7Nuol|pvtX=&|Zqc@a8QL0tP1F6FJVK>Ddw)Dp zuqyt_I(-F0%h`jaUj8^fJ93X#UVYN{8_joJ9tNIL9&SV43y@2tZC$HMt6b#~q_;D4 zMVx0t*?N~~`##=325pKtStB`Sxr?KtzVEj_WBeZ8(YUa8?KoG50j(q6`syYSrA z;PYA;6Yc?iEms@s8zLn!vDM+|wH;PF?kyQ3bI6y}R4Gdg4OH2do@jA;U8$8Rv&j4X z{y9Onc6jvhpS|?Xu}Xi_Wk+^a_lZo4)%5&z_O^V2r_Qu(zFX#c)|AbX=f4}DmU`to z`uyfgn`q9by{z~8BV;YBE?~QEDrLybexBpQyG3!m#an$};r)-S7RbpFwOyZd6%Z@4ZZYcLu%FZ^ZP2B414$Ao#ewd zK0EY?UgoeHW)+B+QpOzxhkFyQXK1QVthn;@#ub--h7r+xb9Ivg^BWYsz9=3Tf8S}0 z%Gc(f3j@C)dv3)HNwK})=4P#vRQobUGRZw*SNVi@7ayA4i6%GQtaq8d#>#C*NpJ11 zy+UW{jZrch*@v}#npTYN+tpfWb5Y-e^Y<%FQM}Z*T593mt3%6fo8`uuM|m#0;a_Wf z<6wSo`*lkKB#(HH-hAu3tAls6jA5#bVZ*)g>sG%B9Op(Xt?#Qd_v@7Q%4A6J>u%s92>G6Kv&$##G!HoKdjn!)x$9_s{)Uv;E^=HB6r1%pf&EKqW ztQdPlVb>K)=1J$TxbI2zT~3!(Og(MmOWy97_}N(}dZD+Q-2+x_#rON^#F#dj4NXtO z=5lrK9@(*XOh(Aa?UTmXXRKNLcKeOzn-5KroN~OhdC*;(p(76#wj4UbZdFUce(vO7 zW*BLe=my*`Zz}#~QvGd~>{tcnal>E9lUqMOIrHq<&kc`coj$*~+g4Nm>H1bbixCOB z{?|PGEQWus8d8=Zdm(G)i{fqJ238?Q8=CLUO*}K{dw%hCqhIT8$*foXWzbp|80gqb zP042+Ht*67yGxrME992dzEfMH<(Yt4%r$wwf+N?WBY(m+#b8uRO=-f&g?fv&zX(fB z{&@Z6vk-+>pVcC!ui}h3n3wzD>7t@5XCvIxtIou(h#y($(xlNpp}g@!bxEPOTk5ag z{z=MYS7{X!2lOjUt7Zpz^C-ljrL_cyfX`Fi>LaCn0E1c17~ zp*4@Ygf-P`g@!Ygvk)jvgTbUN0PxK6fltGS=>nYI-*CE*m%q0LPW_hsZ`m1BBs&v~ z2@rX=i5-&~M91KJm^*ZxFBqDWILrZnJe4B+A$$10f)}>Y^`i)AXsP<7W0+xM?;IlSSg$xbaeU~in{%{ag{{=hQVlp9P+Pc}Pp zsCMQ4!RW&2xYonfLya%i(b^=#jv5yPY_J+xsyp+J{h;I{Kb9|^xxLIW$GQ^BzMK7ahBGu^Hp1|PG1@JbU>_}ey_n^SNC1)cje$Yr5&v`Qm3CxZH&7howjH$ zv}epu&fRjX;L!I>@4Zn^hCDJWdnmP3Dd$V?nRgyJnqF`_G=A*YcN@Q5`q2BCx1096 zs)*Hl`6+LF4^N!>a#}C*pLrZ@pV!l7jVRul5Vq{q_}D}DT!rg2S>)U<+-Nsgs~`%W8g8}!pP^Hecy zqJk!Q^`GM7pFMd|hx>9yd%-~p8&A@%>x0gqcvd_Og27fbj*!oeN zNp5QsW|jUl9#G^|_SAUfFDtKGOJ!%Cu)nILnXlVVop_SFz-Gnl%mI_1o>Ba9UfNOd zyX)d-mNb>G@9O&)JD%%{Rr}7xcCCBRA{{s2!qwpsi7qZHq}Mr1$G>(B+;@DbdJU(! zX>O9N-`Rk>PAMk)%V|$!{L3<`*vE90UYn$inmv7^#t7w!y$Td2RHzK{pTm=X(EMyM z=eftmA@2K3`YfJ2V+C!b)(ex>)x^U$8%8~N<8yc4!NshUStWhE6?_Ymv@jxQ>GL^# ztuA^=j_z~aIw1D4t5M*k+tT^UW1g<~@Ktue>Rst(CE=z%Mf1*%Xui07z?I!e6L)>s zl;y=X^DTaBe?f7Jnvyz0=hm_Ga^*Ii^*GOdF>T!N34<7Odu<5NlxXUoeRzyNcT!B& zu)~+1a}vIf`ZD_aRB4}eg!ZZM<$*UWJpC1;cG=IHSd+f|?6+A5QeMTKE<^&t>o>&J zsg!*kt*)#&d$;Au(6!cjgO=#Xg!0cnyn5{juJATf@}yA*8#2dNKE1JFtMwNDGq$LD@%Y%1l+4i%4#s`(Cbhe$#ht2*_xNbL zF&S60{j8)U(k4qinc8dL1*4IVe{l_*O7}B{UAGLMIHsxI`|GiJa~RBlcV~_GvdB?K z@rvEPW$S9sJ1N{Rk?*snRf9~9nt9T0d)9&s?~<@hw+C#0Z61E-kdjY54|V36AadhR zwiLSb)hzIN92URgz%h4)x1;VIU*Yy7!sh7r=(Y1UO&X~}F1cc1-)QeRJT?e9e0AI8 z%tTYywdQIi&y$ROE3hU{1Mjn&RJF#xlEe~I#9+co8oP2HQs!^JT}M1ur8}P zxbsHjtMxmy*SKEJxvvtrAoNk9T-Cw&&0Eyg7QZms6fhtwzDDZS-k`NE17-{|o3n&%`QJYXV6hWp z-a|~*8G8YX+lkR7NkC;l>k5e5PW&$5HG;xx6sQ#|UhBLHgm?|7GyfK^opqY$6=$gw z(tPH59s9U;;g!_K=ae3Kcve?F3Mg|;ay0u?ZgSPw>He!n&4t&ZtCH)$DCD*GZm+u& zywj>qZuco1d%X+ISyTNSeBDmzo#v0bG24Cp;#=u4&#~&(8*Q-t z)-ep&uD z8^u{hTYVOecXu|K;VX0XzN8Pn^Zd12_0fIY51p9#u_y;S9JD{8Eg(c+Wyx-&ZtIKv zuh;ox=k7RRx}$Acuk@ya_48H_VWqKa-sp=Av#o zEvfFJRjHQV=gT>>);X|0_&Mh0$NFV^E;@RmQNeP+^kEXo)x zt}UNj`)muhVY`_PqF25B=CnydZjkg|1^@TAlWkt@$(mK_*nCY>i z;SF!l_!QkcS~?vWF|96g%!jt~lQuRDcv`?)GD2(HvvK=tk?a|TQ|FkfhMT>e{(>>z zS$Tlr?TujzEJip>Mcm^)MNX|5$Z|keN9emTqZTXc&5MwAHZaLk*JG zUFaKJbY@&jtGx5a1og-4Z!HPstM*^p^2zk}&LJTtKk6>4$kt?zuT71SHS&{h@d=J3MCj6C>*dr3t}rIjTR9GXG0U_{F1x z_iQoGzH3`J14lfU71Lx-?u~XI8pFS?rwxaw1w3*)r{$>hmg3p2wZw>uiaFIgd39 z-^@CBe~on&Z#nADDny3+r_Hml)C^Hnk>7nLH?3G_bTQ+KU4 z;kkaJaberFGsTus>sZ9bgqa?fU-z3F`eDLhlbI?xyDzqpb2$CJsjNLBBeAlwKO?~1 z8&Up3d(-q$dI(}!wqnG)vF3wYuFuHXr1hTH*Qad1hcCG*^r2d=@eGxp{Ge53jvZ7*6pdByE_TJwH*O~OC&e&TWlrM5e4)#7|F#Cspv>%D?|?bD}+2|iU1pA5*F z8PL2=`^PSsu?t69aMr~ZE!ec>@vhs?k6NWvnL3=^5g+mFX}@$`*Qu4eQ#MO|QId!o zn3`qjo2h3RzCa~R{c&ixv-REdvTdx)@%W@9#mOa3k3F7;o{yRL;gk;d@QqZzB{7rT zPhPWeyQ3Fy+aXkH{{CI_j3)+r{LGcSXK$o;?ZB2*7WI!^UIZyUZS;=HExlN7JyJVj zO}5F0n$0Fy>GMPnPouX5BX2#ID)JujioR&VxV}qG=W6n*z8|=5^wO_!t<$~%7H+D; zQkFJ1<(zHRHL)Fa!+oJ-gU>?V_LAM^+m1`-9f+T~>Cw$`J`c9rs_l8NmsVaZp=`C> zZngENnnA5sYz*XNk_=Sh8bA6=qPW_@g7Z(74<|oNJY)C#Ru|9$gL3FU?1kZfgBF6V z{x|9cLny-Je})!@CZ3*NKIZk za0c*8Gyo!)fH*P{)N&m(45xd|_R@e#zYYsQ5C(JMA{I_(aacSW4dD_v${?9+HjdyN zoX%m;c^p2CJ&s~%;J-r6K>M`BGjJ4V8sJd-2AO~X9mWgehx-SdjRArYFugwvo5rQn zF%sdBOoG88*eni{#8Da#;b067!A2OO!|q&7ZeJMx>-DBn$_9*~ff9%T6U8(z7(PzW zU>bCTZidZaA$)|(VG}4u$G8j{gN`9wE|-V%Q98ePs zh<@-7`V0*HH&FfUJDD^C97F*ai5f8Bsrk1ugrESVaCvm~z0?O8fHnX9K@|3{LQ-uZe7K|38D-oF%O6gfHj#*q|gcU!cu;qLsBenVrQ4CxX6eXp_pv&6J~0WIApOoIxAEPKx8wKSiwIcIlp1h~ zRd=yDDL*ZA{I)dy;e&Yti!dF{_+_^*1in7A_qFmR#-$;%KDxWpq7=BkHfP^j(hEmb zKX_oZRC93E{LBYa-p+c4eaZ3IeIsa%G4o-dqi&d%RX>4*@V~pelf1BcWY;dgS{NVe?obGKtn!SC&oe#^htnN&C5j+N0my*~w z)NCVfoYS1OeK;%6PPH+96{Ym(rq7-eqpH#smWKVb{Q98o!-*%_$1~WYpGLS2Nk3=# z{>fMFOF}=RPFLS-n2o!B#*~=aJN=uahBrj!qP<2%sz!v$a&$P}hDYn)o5eo#45_gg zp^oM^D1_2Vcd{E5Il-6o!fJM@-5)W^SaR|&L*_{21XxwVCdTX>ZM~Ade)rWZSR=x|6S+y2ZGjKXPr+<=PFOI~YHO=;u7%vI9HkL4ajPp7Z?m39 z7d316T&sMUDs^m$y5t6@;r$;gd>ku1rg2N<5Hz85s1vF{-U-7AFIdxZ+!3*B!!{*8H*_0qam>^?x3erJX0m%nWZmYCev&fI;;~%l2Q59^YT&)4 zN#VuMUm4kN7n&z|_oBM8BIe|G{P94kUvP|_@Zy5=Vd`}12lvUfmM3$qT#kEo+ z_fM<5uwuq-4I9g}CMDa5-IGSvpSd%8+QpWwij14RH9gCRMjyJB7;hNA_j0W5`mmay z##yTS_bE5_&H40f#TIn=^XSI>l3tem))!RwRShS)3dhglUqg_C1qyGXs~|TCQk@SUGZYW;g1~Yt>v~2 zrHEhUukXCRIB`HFIU*9(TPe>x-U>I&mD=RmZZvAG&CS z_(>@;Vr7n=w_UfvNO^nSfV`%=&#RdW-1@I589MFSxvQm-pSjbnY`&|Z`Bi7_%e{*4 z?&l4@oAA8lL}bGu`nGQlpQbmp4mkGdFg-c`Uh5L6+7sS~onybPy{#4OnLcB#c2R;$ zpv_s|a}w{RFRu6&?55O|`(=i&y5$WIotj&2N6*W)HOMTR`8K5AD75OnXPn8hDAN^A zch06Zzjobx(O~krSo!T975l!~di`=6`;njWAnE=1am1Yk_Ct6p%Y6MREM*bPaXNc0 zZ>WEjqd$!w>6?AwaQ{n>#;##De$kCtPefiD9l^*Uy)^fjOwBri{Q8-wRU=80SrX+u z{Yr1QUwte$j1TAv>C!vzmj4Cm3ZnJzBpN2H9sX}5T4$t-3NSMuT~xr!Q7_s_G!&yz zu!RD_Ad2jGK@C^%PCLT{KN13Enh+?{+JQ3sNcfj&!oLigH}&z~F|=R+!tJ+bm<~k{ z101$7z~ulwLGy?MB&E?bFch#IPX1RgN+U!en`jF1zamYPh8SRgJLr_s6C*U}1YtlD zB>bBOumbz9qY@x{K1QR{I4nA!PjZ+%7M)A7=^Qo>1F%eRNd`-F;GGG%9$rr2z-c%P zT!RL{4aTfNr!x$gjQ@T$1>;7zERxS>FfqW@Yz$+gIETxEWQECOb4Y?gii}&Z*u=C9 zgyRM+ForWAg+dHS8r%RBE;xg#!T%vA;qh@cpGSk_iN)Y?c`ywE&EoUmUrZXG$!D;5bUs06GguhT z5xwG~v8Sg?oQA^{$6%>+TyYw;#Bt`I2M!CGWHA{SkB0LJ6zB60SZNF>R!F1PI8Oibz_|#AAP^elBseu-l1-vq22SS@3=WIUVKPOp zcqdgx2d~lND^9I(*hMIs02JCV7y~B8`182=d=3HGD}%=)5TF@wHUiV&vIzvTSDa*s z=C6X-F5V@MQcE1R3gHq*sU_Y`EJO$nENK`qmq8#1N@H*k79ZiEKp1dH;jlwOdjec{D5+2@oZijAfz3cr21F8^L8p-b_E>P8**rGNMiqHIm)M?+-T@WnV>cLuC2Vu{c_TzFPQaBa5B=j==;^pW?P=Yjy~hAA~A!;EPJox zxsWT7XlusC@6RmS|zU9VhHLYEn$2iPD!<0*OTo25g7_ciSFwZ67# z<2$)>8SlT{sd?BW9a5J2ELvkY{nV`Rpz>D_$XvD+;W~ZKh=Y-BtnIFf*U}3Z+VeHm z^?N(Ed~_dWw>CCfdC4_pg3-sD^k)aZzAaR@aV={;QtGQX#%Zwi%mgbp^I73O4&L8y6k8Q{(R(Cs*Gq5Hs{?3FyOr3pe(kz*!%ITN z&Sd_2Ew`VA>IuDfHBalKJKvN$;hj0!pp(|R$X?k-b+{|}x%h(2`iH$f<*?MEci5Ys zuyHby%UgYA(51kp4;!YPdO?e>+-7j#kYk0v`gXpH)kK~ z?jC#m?2pTQvvAX?(r5M#G0C#t?0zO;-t2rnePN1M)? zdEjbQ{T##~?Rkp(HG?xU^RIj!^6kFM%D0d1E{-~|eEsq;?c)7qu2yjmHMAC-oE$~w z&pxkxq;zxYciS0{?r7apX}(Pu63WlacTVr;Uf@);;)AVW{Q=oY6Q8($F0k-gto;N* zcIsTRh?=!~_D%Z!Ma@@IwOH#mXc0T#*4b>E8LFJr^!h^YL2KK3d+o9-?JDmlJBOn7 z4gTNaVodOy{44Jl^m>Y=!bKM*_YkAob9!8u+(WL~@s2RLhvw0acZA73#JP@lsHd5t z1aKj3K(znm-?Mzd*9mtU@gN$4Nf;2Y57AJ__CfFrU6clBH-Lsl=ki!gl!b9P1kR!Vf*14@+y9j-Xh4TPkj3>q8d;~W~3&EO(z zKEmfgp2`6@iSxJ^U3B1`v6y(4I8H5bjKYwR-GTIspq4n^4TuE72)GM`;1N6qRFL^B z8jp_CSU4MpcL7?84!koG6w|<|H4fmEh7gcXLzgkN#<6aQ04@|#ae`072q>bm89XM` zSRwx>_#Bi(STw%qz&j&JF%6tr<3LzKEggF1X&OKqQWN-dLe1u~aXyERplmvgM>3ft z9|8*wF9{CL;h>@l~-nIK3MtfJ0}YY%XL(KmgF0ARVC5 z*l-Wn7|th2lGnvj>5SdPbHyR<8W3o^(1CKNZtN}@&$RC0Q3A!X4=`%;{Q?3(>b#NY3%Q!S;zs~@eWm1|1YKp|F?=e zT%b-@qa)`bfz0^ZoTtcXfmbD>wEEte29BmOXCh%S@~g3gUuI^KLZ*#JSh|AKv?+@R zRXUdb{1M;R?z&S_%AO^*F3h{x`FmClS7#)YY&&FjR3X#2Z^F2(n>2>U(X?+})7K(t z47)ZZoV)L|4HKa8#12pP|A1zD!=jVKkBLSspKs>%45g~bWAizVkSoD=$H zfs0fqzE+nElbrbJIc}J>L?ub|Alcw~gKv&y%wv2ellJld^~} z)A+h(j9CqCwv?4;B{Ks_I@IUlBC7|+#S7LuPiw02pB=?vFQ10&!8OXYh9~({tFJ%& zxd<#ys`ZbBnwOPf0i)OK`&ct1BP4f7d;x30ob^8Q4h?KcntVRX@MxQ((L-`pBBni? zAEseN#4Tz(ywnymwdVv zU@qa4&eKY?*O+ryYaPqXWL~yn=)s#BOPZ!Ke#l+lZ2GyDE}!gD&B?5#O?2)jqi{xr zo1J&hc(Rvq`uFrgmeag#Ck-4l<|z494Lj54z}-Vi>96V@NE}Ks-My^(D0B8D5<~k> zvs#<-DM+&H+ku>EMxS=5M#RckoUAj`({NQeqWS8aV)9khw~EImOH__iP1dNJw9U15 zZ9_lZ+tC9YQ9Co0WAP!fnez}k>&p7t^%r%vyh)zvL&jc7O{qMwY0U_~tfKuDy733h z#?PNHKl*s)i-GUrZf@MAUw14o;=)O970=w|kHdyM+1oHrxoD@~opdJ)M!@B;pK=GY zmpsroF|cOxGgn5%z&!NujzKXu>Cwy5Idd<3So0#aPOB;D#o6nxT(y;JU1}vieJWnL z#Anh=8#|YYlSYg)X`UW(@q+BeM|-6<>VMTeJj-Fh@YXNJkw3N_Y2=P>tv*zE?`(pL z>m`OQ{=}{=|E&5-!+i?1zec~a(yf$VZMeqa!OEET6ABJbz2iJ{{^NPIeSD8|4ejek zO7FYJ1*0|#3{St;Oss7&ce znT)f0#x4mOlC@@xWwup8Y+u7?7cGlZ!hkjEWA5~hC8C(-^6^l5gy5dP&3*BBIbN8yiz ze;K$Y!7t;2J`NZmF6hb-yy*C4T&TkYIiv8GJB9&loA8~EVE}O_c<1-T^S6eL9wHVL z1!@u!HcIITb4CHVpcE>nw2s}C=V*{-0%gu4XnY0~0@yT=Ym%VJWAmUu28dU5=NDgj zj)YzoKqvt6pjH9-8-oerJ!&2xbwvLR$``S^8#oI^xm*Ne(3wmwWOSfDr4z8RFoAA| zS^hD5&|j!76zo(-KNxkF*Ae(vw|iEKd}t3hCp!FE%%`HP96Y$hL+w>*-Ivtc$U&f+sb zmcZuGIV_UF5f$G4rjZoS#865g45~~5H=sejLeLT5`~RGi|HaHmCXPc98Q~yw8k2)# z91LW-ID*g-DB%-)(H!!(LWX!|1{F3On!TvfAOW3F@cjlP{m+`>znB?xfJ#Gv*=!>LwX5bvi04Wf{1R)kYW1t|z*<3zg7ZOw;d{p!?6M#bT&J225fcJozkw7E^)dMAc zSeZS&XB;R}GvHaH@n8$Xc^D;sVzGdK;}a|zmo2(Y3;JWlJ2R?Fhf-DGbOR>1wBQue z+)cIrZ|)h&;j=*^!UW+Q15`U)J~W;%_^`V%5SXDTu_%D;Vx1Y3p@1!dm`}jW1XXS- zqVza|&_44lhluqoLM6I6&$wxH3wh#z7HAQ(hK3Vz`5jA>vP1QW8yfDxW5j1Ss05X*sV zn8V<6NszOG&JuWB9%w~HZ(w zAi+RkyG98%9~YUJfR+&NN~Dl4RB=#Pfdu4dpsWMT-{YClAR8libRMM6bT-Cf^C2(- ztillj17#Rf6n&7L6jTP0KuK5r%;&5XL0xhlyPx{PDeWa`W0;r$fT@wHw^sRuBpgV-e13n!T z-T(<90poKyKzwjT)E4brv3LgF5&5XjbsBX`n3w@hwTnQEXLeJGUIfJ1p9juDxjcvj zAiRJ`%3~umoJ)m2KD84wQKo3F40lf4NEc8I>{74|1My3v+A~0l41FctEVgcE2Fh@t z^+6s3+9?`~&BRzZmjzJ+2ipN)r$hioJDV@wdj`A)(D3jW(GBQS{|1CWq#JSgU)(bU zg#tIhCK(jU#keTU4kTlApgdq7#z~RT*Iu3w@63SGrcz~y|M znpO}dlf{L~Jr6YCG!o^IB!dl^2@_|DL_Rm%SPqx$6_#Dl(~r%xG+4UmaM z`6vVp4j5*z2`;p}pa>7*DwBhWBVfr<_od-|Vce}D0evA|vp zyfaV))OCD<#w7>{hB%3l7*xr4hzJAFUfvVW9TRR&j2af`^>AFRLFnUIQJX6J?nJ-@)@7K<;NQZ zyRcZJ;x8=KyAhTd)&IyCi*qN++CDNOm-IVQH_~E!-2mC>$&H^1AIUAZx%5f;md8od zXQnE%bR@o6ruINl#7wmtgKntAuj47MNlN%SM|;Wi%DHtJ#}WcOcJ{J+lumx~j7U{` zP)(#|TYJ175Kl4w$YCD+|Ij17umGs%mX&tp>ep_RO zTQIsT@WLg-1U-J5JCwGPmc^MypI1&HkT~BHSFW7 zWe?>BdcLzQduyfa*H(gjJ+VA8`24=QIYHZBJfDDn)pmHp{Iqp;`6!hKquZAFen|U# zyCD3;j7Poa?#1VECC0cviRv94awny6x!I~$0a2QX$y?=9mdMRsBA2pEZuTjMLH|EqE;RP&UH{(Oh@GuGyp`u~_u%ny6`^?1SJQ^s>jSARhkzRH|)pk`CbJj-SM zF6_&EI3m%pcvy5U_mR@&**czyr?yl-eR1NwN$#2{@xf!ZPX3(MlohN0d5_DsIX3Hi9X9YCHPO~oHPw0p?f951si0H5 zmum6vn%~~?e7pV&lRkd+=gC&9B*wQHM7&DUEXhMWCL?`Iy#~4k=e_b?9W%x2YH^Y0 zp2suKH|@^5IyJyTvm#ykv}(IRJM z|JvHz#Potm&5~TJ_3lso43okRTNvm_eUWwYb-s{W{dmI3WbeHrPnMRY-QPaHA&qR# z=;!%tVB0vCzH#$D@8*V#d_MxeYL%0^EBsXBo|R^e^@$4&_XT|X_+(<T{nYh3*D>ZMbAxC4)(Iz6M(AI286nxL)%?OG6OGCHUQ7w+HzZHZ z`Em1Vl)vf^Csl7r^#_u}^U5=|O)loWtUes;k{q!leJgw4_|5YimpYlT-fj0AoOD~F zcFH-gmm6DSC71mQci(gv^ZIfwr#2vC;7(-zFCyLLpzf!{g2H^G;7@tK>~>4F)UK#J zEZH&>yXAUtuZnWA*_xyUuJrUP{EJWQO8sv&Uo73^Bz@)94y(*FXIx)S%(~R#Jg|0x z0czdf@a#_?bcaQJ-xI}KA8vkNd&oL|`H0+u5}_u{>2F$YnJf$bw9RN&-{lweA0Jt5 z5cN8FY}9h^!MAMQ@9`P<`n_Ln;Ua^vkuAIOzI}LdfA6(}S>s&SNqpeR(Z8w(TU-h0 zO2pII4*kCvis6DN^)C^R;PfVOv|GS70egTw;8cMxli)>%<_7OLnF)T>p^O17sPLDC zVi%!H1L*&SKkCrj;6j%M5CC`l2qY@)-vvob#|zUxLYD?y=+Xd4Q25KjzbtGK zf$qbOA9XYr0@@b-WkCZXH61}aq2PsZ%z}11!8^jg48`#F@nFKM0owNVcZA9zT)=2i zpAssEfP)qOj&K(LD2D_}QNb?@#X#*%GrwC*v|oJT1|*icD!~K}iOQ%z{0j}qf75#c zE^XZa2NoaYk^u5KETE&gC=Vkc^FSe41iKHMgCZgY-4@Cv|zR^o^zRKMAXiRYFP3@8u-e5H)v zVATU7LN%E7bY^U*>4Vn-0B7XT35QCKdlWLrx zQ(`=5_=ZT<)0u%xoI&DzCJ7QX4)~1ncsvf5!$;uAP9`7jpJ)UTdx8({B~UqN_gJ8| zGbmtyGPK80p9`WHsCh#f73D)!m5(s_7@N+91{k1Cfe00ynb<3kI8{;wk_ps>2(+Z& z;7ZV4%i`Z`)YKA0z$=9X&N^&1Xz{4+n$M#XP(I*_*23FCnpgt}cq>4WxV^)UddzUp zX8`Zt)5XZ-v%$j#iayZVM5j?wLncAM9R#OS27|^Fear-?Q@k?+XA&sF{PURM7{#Y` zM}2l1^ugo?wtO}dI+zd?3`{^k!v*Cbhs7c=s=y_(7zHp|yfYJc&bBjyg2#*w0j0+gkZP%g5D#(%oXbZ*paIHj4heYOobPX3#we_0^s(MwAUg zP%Z&(9!w}daw#1p+(0M_!A`)Tks^hDsN;!yH-lD6s1idliwW9)5aq#62JxiFF`o?< z&p4Oh<52N}ia!%Wp}UyF;qd`-0n!&SdVw0H*k?xJUublLK4(EwG*qJi`S*A=vLRAH zQGpHp)+n?F5G=s>R3|l`WWf#~YL48ViHdbKQii{D5WQ0E-ca=f0Tcw3ZcMTMBItvq z8dO$E5M;1HNrUjYRKtON7VZ5-cX41`Xc`^w@(|4J1OK zsDYMX0q>w+uYufg`00)N4J)j6A@d(CGz{91Lb?Bn;5XVBQ;H6paTn?I zZ-#nenHh~jze1)F(rO?)L2BM(rV%m~pcKKPgla+L0=vT}L01kq66g>%bX)Pz{wFj1_d&=o->Vb)(pmgXvhK*jtAx|;F1g=k_9eLJUYUqiGoH!%}1=; z8RagFQ%oaD8Ek_mD|GPzm)hgl2vTwo4^eQRhhR(|fO{IBGEv4M_XOA|a?b=sEb-0^ zVj*;A1BVLE+f8{hY3Nl%mjKH1myfK zHY-62rwZKwSpNk(e8M}ZfC}T^#ol|Ts}ZT=v#)S6C7-92|h$X9?VRX z`W3${o@(X=4IV5t>VPWB_JI5g)oZsiqgqa&uMlL(U=j|`5=1|U58%WHd@$rKqTxY| zvUuTB1dZRo*-)U60OyHz`D=HFZIO^oF)-*DhjfYo9eg}GmksC^Y@JXP#Nr|jPPm}T zF5b09YX?M>OF3l|4`-sn*3@0V?rz{Lg4$K#(E)EhUXnI@18 zh<9c%Bd|Gy8`3c|D4*lqc1dvgCI)*gO6v~sbvrS*R~Sh90o?IHSq;5*u*Wi>BNXR?t`%z6B73Yr*CC!; zCVYsX9f$-M7YY|qKHbp$iK2f7d@MEr-E83N$A!)cFbAPQmnRGUffSxiK(nXlGXyp3 z|CCn+(ocaD>2IW;0_{=9jKwJZ{C_U@q#C>bGiRaP6E^F=^*Sq?x4^cBR9gMb;#h>q1Nt?dX@}^^Y1oliIJAwRK#6@c!>9FS3ijqb(Oz zhm9T|yHRm{8j_Bd4qDMr{W{rjde)rjQ-}?r$rfihOGlS#EPY$??s@f>&2+!@Z?X8O z!Dsf|INSSdoaQzo$4SQ|Ry3DZWIJG|Pbb)%3k-PL7R}6?JH2+qs(yQ3&S?5v>&;5# zTvOnzGIe`$Om^6ho91##aoMA?uD&v>ts63I^_yai#DoLZ*+0)OTxC9aO4-kxySG0# zA6XPP?CK0R6RjEzgFYXOv?Z%g9{K*t&5ky4%O&FGcdG?9Z68%jb#ARAr>X@nva^u1 za%@<4PquR7z>7yC;&{!^DzzUz(ehrCCG*hK$m7G(3f`q@5yrcwZdDpR)pBIWv0Z0I z=l7K)M|~sxGBkFbE!ePMY8KyaY!$v%H=_d*1OO8(1o1qlTWhuH2aPLq1zQ=$^;jrr=?&w=D4Sq3@j3qm&~;C0eIQJLPVv zAJ@1udB?L~bei2qslz4C+bfRyO|)omLdw$pD>PheO=O>*TKh|9O#UHCh*{88_^s{PlA1OW?HXZl5<#U8TNo$s6)PnKFmP22Kd&d5Va!*s#<_4ah zGx^E{7s90P*C_n__cQD7m~Tuql07YHtfLaaS*HF|p?7QOD-g7XmX67)-Qo! zGGMpfh5kkFGschQ)p46=kNDupOo{9*Tj8@lkUV#A$HegcBeofhwZ1s8dfN)GPpaF7 zhNTQYUNT2_ZqWM^&u;0-o8Bi4>#yJyw>MOMOqqRYmO2eByl%d9sO0$gIit@$cz-cq z68GBobo;~Qi)(j{X6d_re|NO#K6dZA{*w9hB?vn0WuMEn_rIGRy|Utoc0tXZ{=rZ4 zgY@Rr>T6hmoGrT3hbt-piU{g`{B(tNhh4CB0MK~s0?%BepI5Ai=gck_Owv5_m~E}vee(efZ=YvQeI^HpLF zr~FjOin4nYC&#J%wr`vIg_Rr5%HBS6`|Fu=NzxM}EAT+e-cxwD@~w*@`FS%NjE zJ2AKT%g<1iwH)Fc_TpVx)1^w@ktHqx_s7{5Pg$`4cwTZt`sbvZ=@b19Kh_`4VOp$p z!p-BXV|u^O=A|z6p89BVu~8xW;-xNxxWLSH+8f%5n}56MweKIl3vt1q7C!eELR={E zxBudFA1{AzsMfasKLG|3oa_rc9Q79HOX0+Uju%1=Dzig3O9<#C_#Mi-bTJJQb|Dgzt37cY!(hYT*DU%jeMOC_<85WP-rH z{RbI|g|>wC-XUs*B0eS8{VP#xw=?6gq0t**v4LF!RV#}D&8j$X=b*U&Mhc8}MBusL z(Gl-G6N*})iw>T(ztgmKJ25_s38E%G$%mW8z<_FIfi?jyBBUUENQOo7j`mO>o{3Se zCDa)#kZ4d{`c&T|EECY$ff>@DYxvL)M{>DLQ1iekbbRoVgZ@bn`cRf>;3J81L^9m= zz$M;cQ|6ISS%YE`+z;p(qs$|_BksUVf(v3Ys9oW7E>s;cJ``d=7>$731thp4mBIEn zE8cMnlm$S0Q~k8Ckf6{-x%2&zBxVsHFoKp?>YOoff`O_!#hJ1=C>%e=0O6kqQ%ZUC zi|ff2o-~F*=P#vGr$GkJfXD$ERJRTRV1$BzA_W0uES*n>vI>}pK+`#8k^Qkg3Bmj`h5J7YTzm>Niu4C}OsHjpLj=&5RPhZ|o-h$QwBP=j5A3#! zM)Znz=3siZ>w>%mC}rwOQ=AbD7!j4No2MdAmL>jdzBPX$4agcd|4)LFX3r={04B@W^-W`k) zx#EH(LOj6`Oi6X?MZz2H=iCguxH*(y*civr*U3S0yBeoAZc=Oqq@<+4FLj3VHj2$VYT=X`hr zh;=r&q44>lcvg^8ifQ1K=L!HH5Rt*&4MDtJsDx4{(RO1o%i;j40vjn%4S-x2N;i-T zg1(!}10zy6gjQ4~+@1o9Y1|zvoLb=2xuS4hBo3K6tZ%R`@8)uopu2>2KY_3aQX&!@ zRYA=Mo_cVO3!NvD7g5K2{b!v}{C_(G@)!I+n6>}$qYz4{3l0f|$a#~{^3~l(!2k&C zL%RBC=Y=ggVE9&0)=@4eU3_b2MAqd;slG&b;=A~0XHeATN2#?2rIRi`+8LH~`B6Y~ zOxQZQ_-JQf(B(%#egTekU3^r)M|CX6{~wAohUULHc8LFg8aPX@(~=PuZ75%M!GF5< z=qQ5&YO0}V^JcD7?N6~ewKB(Q9esfo!nQs>#3D}T+weG;w`x{eBE6RR0Uy2@K zsgs!$R#I=O_9*RR_LMi@pH{_3Z57p7s%2|U z_v$-vauz6Gvpi9F_T_c^yb}4z36I|{;_RO080Qq&#@jfv*c%IMTa3qX)YiIf8ZcL4 zK~UL~FUmC)u`7LSp7eHGbM#XmA}H z9aXVlVvKy;jHPwB;!9iqwcH7z=#zq{+RJWQsH!|(+}Cd3wmaXwr+sNSI!&$k+rlvs zKi${8{S|RwPeeu41?8)&v(@rnxTxgDoO=CnWyAN;E+3b#8RDaT*vckF?@KG8ZW9q! zV5u1U=4ZZH$tFLkHnT6K)lDm7%^Y=4dVRlVVV`v4LfM)x>+?!Rw@CNR8ksTr>54Ry z1GBS6()Z@Qa35XxblTIwTH*6=BX4qVS-eE}BU4bd0r{oLb=a2K%7q7A?iDP5_}oo5 zd(RTraYH7$70g$(+w5jpl(tTNv(??RHS0a+${$S`7M}5L;r;W`9tR7LOEsiemB+Sd zXG-eEUsEz*ZnFx!su#A$ii{0*=;l6B5!jfGU`S|r% zogkaWfHw)Zc;Cl0BN zxMIOr&&v*GzB;|0&XXVZ#CP)BFE$UW22H-3d%G;%##a9N&Agnsn+rAPy>N_q_b-L3yos%g+8E>z?n|DQyK1Z9*qT-PGG=7MrBf}|`?kEeu+{N?<ewU0PaqU?RbAD{px`Ec(URw_jF%_c)mR)Ad^Fy;1#@FYQlxdEju1y}gmw zDdP2=J5TM-#;BZ#ne}6uL~8Z%UHT9DsYFJNuj>4o7;gfa{qcTgld-NuK9v-zznfjPQ~joAK8s%jyp7 z+nRm-?7N9Yt5>J*Wt7AcVde0C0rABP?79 z>nGHS&Fz0sz-m*~l7H$a?Y1eu*DKlwAZ7#y7OsM<5C|M9OQcSO1+MGQ7!Fjc4Cwd= zKR=Sr0$)mK-~wU~#PApk&PyajgsX6d4NO$b0~atiKuQV+4h#-B5&U`JD8eD(A8^Y6 z=@){r5QK*UYsLn_6Lk2CdiS;8U@;FIMEeLuUQ>D}s=1$HRl6}{g&qUI`;bc^TqtsZ z3ZKmetsDmkJ_en~0YQ^Ub|pr|oS^Lr);_qgw+|fbjY&Yhe>L##>=Z=@-WiPckooAy zuAmPaG;+YZ12=|)lN@EI0R1O_UMe`0IS`x&C*>Fb%XW4c~ywkwo5F=rsGpQ4opyLY;J%V!`KnAxQ=)Eac-k$G3WZl2_!&sSfv*8kD|NYncS5@9d5880Fad@}eGm-O2oBWJ zDA_6sM;(J%Gt`$vFSi&=#*}RdWu{G0kkr7T^XvcP?n|Jl?AowPM9GjL$&ges#hC|` zC?X^(W6I$;Aw%XNQK?iK5mF>7L?u%pg`&w&qzoBK8mK6#4Ap->2fcKTXm!4St^a@5 zx7H`zp1t?8&))ZS57!0hf{BfLyn;@KZNkEYFd714aBo1hFr0jl5QCCfXjF#)8322D z?SI@U6JVzQTh4tb9$?ug7tVMTLWK*w5YWs*pAiZYIY45|3SFGPpV`Qa13e%zNQx(= zY-Vl&iqcYWssSl6o=HFi_S1jI8KWu0jer#w@{$auz^O2fArcn_4GG5h4S0NiHU$kQ zh~F>EDgy-Vd(K-;&Wq-ZDBEP%#(~N50RnHyY&b-FPcDX<~ZUI~N zp=q;cXiQ(TYu<5zPgP=)k>WDhUn{nq@V_;sWT!FC7^9=Sb4zfT=oj3%`O#e55FYojV+6 zki9tA>$mW)(cJgb>D5bag^OA0b5>S|emoie(Jf>#b7g~2p ze25miG!wa;iIyU_U&WOBxbO+g-w~hQD4o22hgg2E5vD0Q#TjpKOtimtzgI(-YJx=Q z&K8|(dkf>aEKi?4>Am6Lr%!onZ!h(4`eJV>o%Qlodh3>}I$H|lq@U$oc4_2Y;&`+k zbyPgJZr7sz=LXuXKZ9eZ8yWw&TIY61fA98pGUh)N-kjCERATrjyW*#~RqYX_-r4UT z^_i5k4k#NHIjn5YDyCAe_rjdi#q;t?$7s<_^$PiE9L4B8hK(@ z)IHMLntkF-Ma8r-r@p(Ad&GFImL~|jduG?kt@F;)>+*~9irM8xd+oC(R~$R=knFon z#Vd22aYXm^OSF!F_05X?O>FW`Mx`GrXCG=ly^+{$eq}?>dh>z!G}N?n2<&)s6zrc2tLKQok-(K;r^}U(%`zWW@W>bdJuH1^NbM3Z)cks9i0Be}T=tuBPmsT#*+}P*HSY}iTY;ADKcGb~ogBCQ zeB7!1t>`q7g&e>`ha-a3f$-+nOM?zxIR5`!|1^Q^`1dno9fSlvANq|3J-mo!@vj>V zI!7l!0zB?Z`&&Kj!5f^VpEO`y#X+Cu@P&p3Iwls#S^o9J=sfGg6GPzCp#L>~DF+;X z|A7WZL!1;iLa3@j%Nz7ax~0|!E(@NAKHB^1lALQ&m#dh%S2&_qgdO9 zpfpfb{{@uRbFE({wC5{8X)oKeeVERbJ{KLN7quB})jNwjaMVru@s72U_odr|I!jv5 zF59r;xZ<1?1?^j9C$L{nik_y`ccR@3xMXu>BE&h>*|Zj#Ijw!MOmN};W8%@q3r1FAOHCNG!Jz4KDd=}3lOa9z6niVcs_j|U}2 zRLVTiMW^~7aKe{weqdDRbu3QJ!a-8^@#`I*yf4n3cIG~Zw2KD!E0Lp8MR;j#IiBW& z1p$YvO84p#KX@y(8a96OOgCx5>l=jyXFXBa?o5(A>|)ZJzgX<%do!&Wq~+##QRg-9 z6c#o2lb6LV5Lyr!y6aq6ncJuEiXRr`P8ZC19?uq9T$0n#MNF`qHQ%AoU4VQvFQd00 zt<=t#s#;R|v&jTsAMtR`DNoBA-Xd}rEpHz9+#1;Drur><%HDFFY5Xq1l8HUv4sX-_ zs$MY1|IXF$T|cm&YbE`47j2hdk7Zk2rzf~>>h9w~n$cyEAjy05y z7M{*h&PKIExhp%qS0-KkvAZdpEl?kAaRp;?#Vfg3cK#mo%@?}4SC!Uo-(@+oA}rti zVT3jBt!6PyVOCH4i?va8FO$0tT|LY-S>LfU-s4Gko#Ro%Mc>ZMy_{i(-t;(@O~%cr zV(ypP!#7VgM*1z@ql{7Tet708AlIuM)Xnc~ zDN?m-=8>ntDHrckN&+X>8CyMI2E3VYDgNHYIJm!m1Kv=M3V4?V*?f=|NB$B5s)vF1 zu=)qm1vdDjVf7E-lo|fcu+^~o2NF&n`sKm={%GLMLfU&e)dz%P{{!BT<$*Fn76I?S zm2e(hx8d9T-`wWkfj4lpB5h-=0p5Q-F&6T155Kctz#Bpe$U9>Z@Fqh=J{fpe5n5*| z76;^>Af*8eWuS9xL!>dL9tU?eOW)Zq;63!tSOmQPer6;6ynow`kcx!tHDvjQfH(MD zNYI2u!254Cfd|hXmcFxJz#9SJkQZVR@c#Rmjr3Xn@tqAtxc*1L8z|psHXv^g9Aji6 z&6WV=2*3e_Pzn)&1fNk97&>C%R$%E%`yT=Czn|Gi2mBvj+W!`K)6jM#8#oL}C!y+RmCNPt;`lbDEfcIZdY!nYN9jFZnlZ}^^hWfPswlSmOAA$FH zregx{ks(xO&-?@M9?x_v;5~|_k=ZlH2Hv9@$C#Op1-$7pZNoOuJ+5!d zd%LypZW|{4W!Rce^S;fLl`nb`BfuFWt9$TeVT5$A;+rqDUE*cI%0>COHR%#~8YusLJUlhPLO(6Q+ii5^;ZisFhV7qdNC!Dn8hZh;myS8cR2g%s7$+zszvU6Q6Kkv9X z^G<8fJ=(IJDo(-+9FG8YSM2HvE`L7tqJ}^?+qTy{kssuJ*&M^ow1u}`s6SuRN_M6e z-TV?)%T7Lo3kwXnYcaF)>-~jXS1j#_0cy(y@~i|BsX@^<1dOZ=BRWJxIKr*7wg{HU zTBdC@6BfIB=bk24dc}uGt&)9yhZW1_erVL_^x9X9X<1#6CDY}RSe(<;ZYtOp{m~)7 zExUjLIB7<)*o3eQzPG(yBGtA1K;*&o-Upq_W?M+fm6e9Z#u;Hs z-_Li_YDo&T;wb0pBM!udv0K&i9Prsf+je+WaOUBbB}Hey2nVQ$`Wi+GW$G?fzS9wa z-=x^Eu5f`&+f_p+b;;Nb>z7_OQ`(XmB`)4y@+1DW$gLL%T^e>9zg-M8xs>Tplj|yw z^it%O^Gv)R0?Dr`am`I~es%Opvy_HI7c)pcDw;QVu`@t&`p*9ilGC-BSpvz2B{89u zaR^fnOJX7<2!kjak}5-A48mTSW-NpXFg1jJWq4{BP+kHeT6l>pNg0C>P6L|_d;1EUDA8=*lf z5lsNOir?!z2e&qBUK%18hytWK2wag40~8dIun4$9c`y+)+HI(yvIMFCI0A5rK+iPD zJ>t+dSX+h;Z>Z&l&m(IlHnbam0oS2m1B-y`-_LBMZ~JebGbmaB3=X^!L&aKqUYq(j;i!0CrXAav@NF!^RFMa48@w$SA)tc$%{2r48p*e_`^WN+A{{!-3(3 zj8IZwK;RKnVu3lIN(L;Bv7-UEG+;f3589~0(|>zwL;LYROg>mC#G+*Q-_MMN6%7Ad zOb(<~6g$v-L{Z698(@e4W(yn8B&ES=X$QiO41^+sS2%0-@QN@R{t1(hYAIl5Iu<4$)lSCDaBNIIs@9*G>6n;&tBs{O#cyedAzfZN)?T!&d06){w)TB6zE= z)%Zd?6m{seuI<%}9FyZsETvVFxLm~j7xx|PW><6#3Jd)jB zKD$yphoFId>uFgK*!@PUyX!H)|XutcJ+4^A|yg#1XRi1f^iCK?}+!0SUTfcwPkzqr6twXlqCPhs*ihA^uTaq*V!~jZIJ6WkuJVU!${3KX;>6g=o}< zw(BuTG@`1R#<{Hfw;Z2VC=|~r__VTyS0-xa4x{LOn${X*VM_TzO4)&RyA6Gk67s8# zDJVXZPCu-5;1chvtZ3J@WnyhOm-Q_QZ}*1Rb>*qYea$Swy;r{4zF*;~=hf@{UcGDf z9gN?hN`4V7dOTVCW?h2!w3Fv{087!qBRf7mTC8(9@PihI_p4aN2*q!t=z}XQ-LBl{ zf;QljOH5{P=T850#_!v^vhUw#N9k=L=LpQWeKdr!)yPa%evh~Aw@*Ct=Lc-x5yrLU zZqoJY2y6e+{@tf+v^SplmbT$clFc(zq_ya-gG)+ULj?_A<6;koWIB1Ou6XOxUSWNd zB)6&miF2G)naI3ose;@S!cyBpZhU-qwpFa)vsJsQ-7V?uKAd&$t-~%qQx>SyX@2v* zIVJ7rUdJm-o0pkJEHAk+g-`X~5@vyikxVekV+0=PAMf7+4}<;qtf&Q`7m3jW2E%~| zI*%Fhondle;xM@|G;j@t8HUM)iOk4_5h)8+p`YP|BmrtI@xZqP9y~oG3H)}@_xaE5 zd(a0843`jGzyRYI9{e-ljl|jk6)z}3QP3DBJ{ht~!yX7r9BBfO(xH$_s-cV|GEeo=8xMkSpwjWLQ=@=bDJW_I%^D~W0>7XQXlqev z&=XApAC87*j17&-Q~cZ1h8$@e8KH2*{3->;L!bShnIk4p2>kc+Vj=b!N+M&S=@H?- z8-8Vo$R`@}XTpF9ObrMG2*4iz0$A|Df^ZN9Xj-Wh3=R~;Nboa;&iuiXhNYh~Bwzx? zkx1V!(j|*b6bRP-bua$?#8`MX4k!NcK!A#H{tdNB0Pii(T>kS3LZ(q{5zT6#BEUj} zISt6??9fzD{REnDP|0MF4;s7+So+Q|IA~>tw#ng_2ACP4v-sDS_V+Vm0Ic+jdjf#< z&xU^ltPI#_)H88X2)73_0>4r9g>+E$n;{+ubD@imp-dRZ(1r~ph4c%5Fjo#W*g%Dk z0uCPr(^2)f%$|vejpHF3%3uj6>4+PZnJJy(fk~A*QkebUUCh)HXjDlMP-J*0=xL5) zifELQz~5jn9hE|1_E1E15yE^-EJwNy%q-Dxxqu)$qa{Kx`RAD;>;_CtM=9?zedt)0 z_))1|22&t%#K7(VR(ht*2S}g)uOa_mN7)EHH2AN`&VtXVHn61tITLd5BL5oM01myU z@QZY^Ok*k5m8TO%9`Zwo90=4WEMj#r+9*6mMd(g*uaIBFi8+FrQqPE~9o`L%`6i7H zn^h!2G@f7-tY_voxCR+=<)wzQUs_6v4+{z;lV86S_s_f+ozPY)Wxt;*j}Rz!s@AM< ziH4*%|J7q#ETi2I@2Y*%czXuV+Sb&MZnV$ZO3Nc`j(BjFOU@Kz=YB5r)cuN{8qP9) zZfq)5Eab%Ll@2!Ei&v{)tFu*uo}TF==ui2key?VV`sUMLrSiA9uCV iuc~Ty=)m z;>jWx&il=I*2H(CEGdY{DZS&(4T+AtT6fuj^)u$ARki54DH=3=>=uy!*xEHW^MUN! z9a2k;)k@{?`HxF;%K5g+IBW==Kl{r*`<+vEshl!i`$Ipw3kyTd)cb2 z>GoNlh&Me~MAnpQ?x9XukYcgN)0Sh7n0d77MsDepWDetm^~;`i$X;CZiR%?%i^e*g z_M@w6u0sG~C@?{{39D>t$YQtl2X6ocW&amd&{Y1&hZH zlh^4G?rFqZ2yb#%D^L?~-s34`Tgh#3bmtzwlUCseETk4%oJgu}Nwy|6XzzI`N@(Z& z*q5=w_~Q&IlHZ$>N{oN0`IAlTd5_;bBJ^-_5p)h2XUbPh^<&R=*xt34?L=G-*-CG{ z#M9N+?yp|1{xHt|Qq8Vu>?cpz$NODi&(&>jZSF=1uYMrSz9QRhU)4o5odK`Fx=$AJ zaYmbtxX$%dE8b!|pI>pS|NP|JvbTjpF03c>g+$Kq4i$WdKF@wevp7;tBGj|^_U?}j zW``oT&#`XUkr*ST{k2I@=V0zB&p>+vwuO7HACLOF<(PLouc_7SY`&8PxKyXM)pLaB zXiw*{eVS0a$F|QpyjpR$j8nS*1-`ZN2j17#c^ACzQQsah*Y0s`W#*f6J|d+Kv-X_i zqg>+I7fZD}^m^s~S=0LLSAWrQ-)AGIc*&5@(Q|#%oD=b3=knz5Kkt9MH0IgbN15*= z=ku&uNKVhNTHDQ=sNR#Z!?rS`N&jMG!9M*^pC4K28glFQxc7v0yyHt;oT097y)1g+ zk5vZh_M`%#uX3A2X6}XOyRN)$g&VVQ|z}gG~w}T*ZW~_ zR4^g$w;jGy#`m&6G8Qjov)mn1$#tZl68kRb)d_=Z(}M>N>fcg|cDNopufMfU{F%e+ z7PmyM#K^4jz|hVd<#Vpb9ork`-%ZIkigXOV5usN9+Q;`$6<^50`YiOuloTyRyCa{f zPO?w4;_cHK%r zzb{6#b1Gl+(-XQ{i=H`E8B@P$HGaNBY^Mn<-P?X<0hf>Eai@9SZTD-WGZz{Y!#l$F z(sBwvq@GD3rHh-rQ_o(Fo69Uv0SD@@<9w_@1^v|fEl@!x6P`eY1i(V%c%H62N_q)S zWIGos;!?sP&2W9v$27hV1|b6mFKndg@J$Ag4$ug*+n2#ZVP+15Wdv{z7#s$Wb{(;F z^m~+F|(NhEg9U<_!GbL}p_LE^}ZIV`e@wvM}b#LFXwmvLZB|{;cs9 z9tRafNTWF8%8hcL#(Fwk7zQdcnV3&x)8Rn-3UtMonNMWXfg};oh%sF{249`Y%Ht62 zVu+nG8T>>x9S#J72~gg`#C#%~4mkhm3Mx#@C$j6Xpk|J=Krxy#P-8LMbx_F#ZS%~m z|G4R3wXpEcfZLJLlwKk?=6*vgL2?DyS{W@7{-ysewz2ja28buf0Hv7Bcp~Q`Fg-v( zhUwEMvQ;q<-$6l*Et98DWUC@ID4-q!q20jHImX8gxoB|)g!meFs?>HbcFuj_|@mx(FPug zBw$b*m@ZLXlrcwl>S1%$)plV{YP=~i7f#5TPHjz7AT0QCw_>2*`KWd9w3#{grcn~3 zRyMkFzuAZqo#OY*?4X)}wo;mi9!6t{IQB*L(qp@>6`zq>IL*|g)4k*#>dFm^APy7P zm+oxKw#!Kflui3OyXX2+H;JgcvPDG)13FLL$zPupSgRCP`#~kli>*O8R=x6=ikQs2 zA9G!6IMjocIdlTw9V)bQb**mGuUfju>dvhx$qi~e6{SU<=>ai^og%CjrM~U?<}Dbx zU}o+1RCYGm?tlU1>T7l1P1fW_s+>%Wo?~~w)6o62l1Ry9VNJ*Dna|_(lQ`#g?=;;0 z#`IfO?b0Uu*xAps5_WYwEsFiwv^V+ZJh9i0d&Dy3wC2anTHM-r+JMb_#pg9M1{}S) zb8TNF;ScQ~@`d_ZPe1cnZg~i9>f;1$or?{q14qhc)F){c&pVb?)Ilw#nVqvzit39n z3<)*GDyl2KmvvOl=yv>SmoyUO*#_ZWVZ&FVC=uSt9rar&jvH{6kp zDpQ^`EtfS_?Uh@w<>MStKS5ta_QQ_IJVG?&bj<0uRc7k;t6*qY`(Cl zak)U?QDSxghloXwOjh6CbtS5gPIiel?S4`)?V|tMn6T{!h|jC9`w?$Axiy#7Saj2b zJB3`IJdb@@p2sEGS>7ibcg^*QOuxNr;L)z?0&>g-@m7PC#+4$%k2k!Z?do{X{haB? zm;z5WFAiMkmVt^C>Vui$8#XmIajtw!NG&yVkg%-NpZ##o(;n5%qRvDKf>jFym_aput6WFVRI9$)EAxK$j>>w1;66KXG}(u&epU=2QYEoCzj`irbL`_Z)6u- zXH4RvY*mcHgbc{wr4N+^VIw_F>I8yiYOZF1;?X{>m`<3s-_GGUyihW;oq06KGPRZFr$JJ7Ug2X!R zY|FCPt*h3s=UQ6%&zalv7q%M2N}nYL_q$fg?>l*jx2&;i)m6hgQI4qnua4!(@+Jmk z9`@mT;MC@LtYc-u)bLP?o0PQkfZ^)P?fOZLtvF$-w<3S$QVazoa+C`$szu$2qo;++m z7TP61Qgj05W1(FFz`zNZkA-$YQgkBbW1(G0A5X-5EVN6Y^B9hHLzyhySZJ33>4x#= zJ|@~l@W2Gj$3nZ1O`3@LSZJ3(=Vu&m?qi`{0-e-xT>Mk7L%iHOtcGP2oo6mm}r+k=SmrG>Bd642(7~SD+h`TN+e)lVSob! zIxWk%4~Kj?bgD2jpU6o^7yM)v(*&B(i3}ccsEFJqlfh5qq{AZG70hCqP%$-ur(>Yl z9Rxd>J^ha-9RNTC?xP@h$S`mqX8FTALoAUZE@pTYM7RHBI&`f9qX}f4nM6XNcxEEz zAV!FVazTc16BtwFOg<zRZmb#{QD2};J5lbk4gCY~ghYmVZ zL#F@lLe^37rT-u+YNC*}#zfEcg%1CXZiPNBPM^F!X9wl{1;8ps*?C<1{y~1s>RBA` z4$eJM7j)C^Di7h~vZ;1_9tl^hHf>*T)wAAeF>S3BpTm)3oW*yqea%jaK0B-O;J0-M zQQ4_o#tUkDE;YSp&po^?sON{-=S$k!{FB-hO76Xg(nej!^*GHRWCN5HccTrp`G zq_=z10xxS@n+fvxv3>eXT4Sq_cIWw>dtJBOam#Xa)pUb(<8~G}PoA5}MoAHx-kf`M zv!ICcsi`O5=e%tD@w#qpTrSq8YW~Cd-Wz#3CYQ?h9O-rI{W8GcUH)y_7x@3omp=F~ zv*1(G5ngFRCKvf`hsW%C$!lz^)l)d7)J*;0)WW{r3Ud)*#bo7UGcClHb9BY%q#p{t z)8>-BKeFhH+XEEqSkoD4{X$1MThFj&G*ALuWzqJ05`~5=~w?C843r+s~>afG9 zMH+7^I=Zm7YW3IOMVlm9w?yvRkr9(i_-VOWXm?1%9Y29}J^`;Bw@;7nu=jbLP+<5X zR#kZY)=NLcy-mMMm^B4dc5RJ0TipI|fGv!xY2ee0=KJLiH|8eQ;x@lorHH?_;{!o* zYt7GDCf`3l{?TB6)ng}#Eon;1{Z{|(0GT-kdp;_s@e|h*w8Sf87k>DxA!WFU{HZoM zZ_VP#>+IKe1b@hq@sZrIzFdy>PT_p*6?wGNLhXgs+kAxN$^MdD`rm2q zci755dr+x=MXBb+hBYqb9aQdgHnFlvJ=0%1*u|K9P}zN4;ldZCqtmVxRvy~243G0Y zD!ZUq)Q~g2FO{0jor%)5?9DfC`7yce!{m}z^U{uZm%MQMy5|^vY2c9?yIt>H{gfzS zq`!8WR1?J;6cpCk3svH%&c@Yf}E%yQAK8 z|C5-HdYu_ruk{BGZa?wRTUcb7)>R#nr}SkNbn}W6Eq0&2#FBV3A32q!3$E1tmi=gN zoN?Zxe3QG{Yp**-Eyfik_3XuPXR7V5auIHybBE)!cxt@;`5=YKH!tsTD|_Z(_RQsL z+&bG;%eTAm)$u1~8ojMjjyQB{ua^el<%xRP9?Xw(j<%YrJ2&cF)+|9MH5h!byr}*5 zA?j4;Ql;CPEh-z!Z>p;sNSyS$*1+zTRWaq6O4Ti=E%H(-ZT*e!yuW=-N^+0i<6v>G zVW2NqbztfC*SzO6Bj>(f|H)gpE!9~xmmA|J^#LXJb1QMC>`m>v_2kWWZndkM`X^*E z1FNI7A;ti!^i$}!)GR$%&yv(EBS$HHOcMdC^mg}A_rpljUuY8ovXB&FaxpQuG0e;% zZGd7{@J3+F8!$5mCkhYWXYzCgH)fvuyCyJGr!!PWoGKmE-TDGkOl%f>MB_Wo`OTN%yNvt=X@`pp!o@tD_}{_(?$_=fOI zW;s?IBj)|>kz?jTM($u1I+bJA6pq$j9$kp|dzIn{nHtumOh$1W4>t$G`7=@fv)rV? zr5*@-UMqZg6xnXqnIEXHyWf3_>^$SMLi4DRTpaJFHl%#|8k@~iJ1uuv%mu@Zk+CPR zn=2|-Z+qBjW;O-I?>huEuRkX5FT65uq>f!x}EFn>s7Owcd z&9?qTvscuY8w1^oj@{-vyRIp?a(`5rOrZ6(t^JQDJNl&1_PL8szbL_fN%o2Ml)G%j z<%)5YHmZu11hfK&3C-)%0~4RE)a@_Mmr-h^c3I0bR&5twY;6KLr42R)3%dktidLy_ zKiT$x3@J|ccOYv(oTa`ob5#N9*soH35=k1uDs;WrlZe#B(V7jbnf!abPuB<9Wb2Wx6QjzTpWhnRs|@cgF%>ywFj zgwDtK?>9Zm&m_b=U8nTt_}EzO3C{DT;cO1 zUnlX%43B5l32isUUTtjG6X5=#eOhG8!pqm4a$Bl2c1V_>g=@b52y9ePaB~pJl-<9` zFJj>X&-slO+xYn-E@t~S<>vj!{+#mBDMsda_^oPpw}+}qBHLB7+s=?O+Me{1D(-Yk zcVq6gEtVJgo>;%Td3VL04g(wEQ;(8j2lz@O-@Ie$w~ajh#`4>S5(*=e40LvO*7$9V z{tGgu3HWV{1?hDD_F>CE^feF|x!-;>gJnH@XOu5@$W2nC*u`EtER>(u94zlTNx=hFXOR=aYOm#<}pFV_zS^&ZfDM4raH_>*sFO{hq0)xY@|!V5%vf&uI}yx#v}q z?^~a(dXgH(8Gl@ONy}dLQ{+d9$+EArTTX9R`tD||?Wfm%NA+{a`WvW@{nLev@;<%% zvD05L;HRk9u4&gd3D=Z`ubWwfj}z>i^?gIzqO#eBat0Ab`BW*dWu9yFlBI={*9S#E zzCHV`91nY8ZugcAySK}HkGdZm*;ckydZFjKM&5 zd>Obpi}I;yX$s0!jr8n>xBF4c*^PLzu)5ZZDc@4RaVgq}ZStJdYRiFM&MuQS{jk&l z)RVcWRVk+%?(?9fRJtCJ7Vl7>_2KE;=BG(fM_wC!{OIErbDbBxt=cn_ zy?yh?v+7*SYQL6gf1=*SeVxreA7x}eX@^Yw#ZAY5^pgx;;QY5{Ia?mrRH|MRSS!n` z`+3*PCURHHvJ5eIwxF$PUng_v&-N@mb$iwF`A2r1x}8KFAl;pori5C55i>7V)#&uv zpFT6otKBjryc-|8?zYm~7EOKLVYEZFHp82CRLt9>lU=-Y?`)aFoTtvQ-8Y?dXG`G{ zJM)a`=?%%V4_CaMwR^GD+a2-WE=am8&?v*NNuSxgTkaI)zGCv6h!3%b8wA9|oztJy zIvsx9YQ9@IM{EI`;PiFxc@|;e4OJ_2Rs<^)pl) zH%{5dB`>0_wJuH=@Y8yOm}`=eZTzT`<5B%dx{lFYVysmboeI!jgWlm9W^tNN%tE4lLYQHn<|O*v@0b0_~w9@M2PL2`aVWo*l5oZsF0 zH35CTF4HNi&$zFDsyUIHz?Ts@?bsn|roD-ox%rP5o)1>Q|V zKfZK#5OxPCq@70DFLh;#hIjADhW5%!-CLc1dfXB=xfwv3q!f@|c!=j)YnJ22I@`#Q z9&+Cm4xhBVI}aOYm45w(Imop^eWv|4H&K#fgP@JmaaXU*#T(miZT0+qN&i6ElGC;g z)QUV<|D`}CT~*_>G^^4F^vD<*Ymb~jy?g$&Vq*cPuEr#rYi}( zyW~=)v{LWur40STPb!X`@(l*vuXrC;1%$7#Rb9Jtm->gYuQ_-=5ly`SQ#11rf%Qk^g-fj+Yv~H8nZ8{UEWswzV4jrfS<>6 z-oy)~ibivu7_>Q_itJeu;^F?|UG6P=(H&mZ8nqn8iZ_hDseJp%c};8znwiHp3Mr4_ z@zIa`-y?O1JHQf;kC6nIKBi&6?GGBgpl|r!bvsy#Kgtw>U3e&xWm@>iP!jUHCDN14 ztge^HSWWVqDYQn8(fGg+METtkJU*t`E+S(M%5SDv$g>fdrBxwj@mu`mcS}4{b+to$|&_l9p2YptUYbQ&V<& zg{GpoSb$vD*VvC~^Hyu@N|LC27ngfVwDQBd0QowrB?9jbPxB`Ei;K?enoTVdki-b4 z%dXil=T=pZ{a5>ob%EqQeBV;B_p7DL&%WG`K2Yvr9*;NGD3%ufsI$N+hick1SKX*7 z;r=XQZqJ?jT&_3u8?qP2XoVl&6SSoNV|%{3&!Wkbwn(z?*J!zNIE>dX%|_Bu_wM8) zP20;al^T~$RSVgr)^F?^`&ITwmQTsN)Y%2xTNV6j7qPqKe!gSO_56EJcGrFJbpLMz zg*g{r@oaA_H$2~+sS;}_-@mUSp8AM(Z<3{gy*H&GV|Au@u~KaBtl}-s$NH*#l|9=Wj-OM=2;2O= zCTCvBq5MoA_ng;yoxXwVsO9&B1R9GLpcO#W6cEVK3Tlns zop^e=;sx9)?j+IOKUe!Jc`uGg-QPhWHNUv#n{uXEN6#oe%gP!rF8RE4`E_0yozT9- zt71FfdD=djj9VUm^~%tfvE z8D5oQvO40@i8{1YHl&>0bx&?qiJSQLd3FZ z11l$A-{zP1wg1zZPj>I>^1Ov}Yt?0*H+i}Yh)Ag6@~-FT+$KO=4wMr$NTLq?PXnS~!l(n7NEG8%d1{C6?2 z>`EbxDw!Nc_gDzcOc33dn>BmXllTK)Uw&9t5Pc#+CxhdQe&;-%1>xrxh%d-(IxE3f zH2q^q?WLe)s*DlvQ{Osjq#j;h+jy^YQ9Ql+&rW`o$5F9Tj{pRe)i$(kQ zIL{V**+O_Rt36u0+MCmWb||>|#Qr>^)+6TvdIz@Fkw`iED^zRI=3-V&_fp<_i(wly zqa^dthdg+Da2nJv0~~A2i+U>@TmS z?_};?pqfi^I&-mRNBQGRyId?H>YrUZidwwtV6O6X9>;ThhgBt3$a#}C&k3HX?{tC4 zk2gaRKe))#XS&kB@mg?VsE1FjSkK<4 z1(zDs#bYl9>%LXqE!aD+x$|W+=bfB_3&d8!dG=)y_d6-izplEJr>>3WEVf5;o1C>c zXj)d7Zo9bT$0f^@Lk609)6SXan_#(BcfU`LE>JExn=2Lfuky zlxi`HyQDheXYCfdDqf!WntSJ#y(x(!ESzohe%_JBTE4HFD@0E4 z;(R^M3t%4FT;<~PQM+eyxH8Hj^l52Rk)TX?t;dqXcXYqZn$csQl)`1j<(nwG?!1eM z&-w4qgxSkh>YoT%tYcH}$QNw>A#UH+@7uaW})(2Q8^q3 z-;0$!W21c#E30RtyRg3Re?ck+4B#n5s&4{3ZD?$cSlj^-F_#>`dgfZqM8n2+bv3JS7%ynF!68< zxc0{6fwa{NcCBk=TJd`FKUXigoNw{Ng#D3C|GFNJrM&L*Zar_TUr%+eFXr3j?hxy> zHn|G({5er%#?srGTpA}|e(Ydhv9LcOV4T$ zn-wIGN?6hvC*3=zc|gF)=Z14kAA2)FLp{p3GQZ)?j{`1`g$z#Ss!TObE^T%UZt?wm zPh!KQ)SvHo8b5S>o+H^M8e6>GV|j@6W~sy=-zN>}j#s3sB@_GPPV#@QGkv`&^jS?p zj%UaW{+?hAY*=7%}d zZ=>9Kqp7W_EZ#iT=3%4Il&w+uJy=sg-QCJ=CVm2Qc~_?{;WpaQQM%H{*DKI7Ai=MF z^TP0_q@{hwiY2Z!MHM_Ky*hQVf8M$rI|JTJigWUao2Gqg=~;;iep_pA#8uAE8O|>h z|7ca}Rr`-7v9}v)uSig1q9b$r2fCLjETAp5f7uqUxXQS3IYvP#XHvxCe3S2qsg-E< zWS(;x7Z#)2;}&mAbVLdHXinQBSkUu>RCcB+Le=ynJ6~$iR*!%ak%v^zuiusF=r?0l zzsMW3{Z1xM2WeC(JaeaG@bDgu(@FFS{0TT6Bzlk@esL7pfwG2+i>s%HlqH0mp=uU! zG2n~A*fV@Vj{(4U=mI#%kLap2^e+%MgZ>4AdFfva-n;NSbk_*^WqKkB`C|Al)4LJr zzdZDpN%VXLe1}92=hMF!`pZa7D*Z2$=rEQ3qoKb{qBlJlON|zqppSpJ(qnK1>9d=z zyw=Oc({HPtl(N2q%a-ZNny&6tJ9kT@I2L84tfQ=}yxbB=37~-E%h=A=6O@uMC@6&i zjRGhXg$7_LEFv_4{2REzFzB%f+(@WRn<=)ocpDPUj%Ej6p=eNEOQr&inT;J8D7UFp z8kT`5oxa{I8aOlzkRV-xP+uW^EkJ$;?eZijQvvEE(l`T06JR$1wILB_YYRMVh>!vq z6us~u;AKZc+1WA6K9`ietZ#ujS}LX1>2 zhDM^{Kna3CqN33l3Xw*okf;m;A0_C-qJcw;4K#Zr>~VOgXU0J>6^1xAS15hlHh3x| z9SI;_LBZLQfleQXB7)e14V4P|0R#$}aoi&_SF9N~5Fri{`9Zdf44+RN3KpC=Cfgl- z+&~&hApxNxh+g8L&l-*vJLsw)Vu5x9WHHGY#&M4XCI2>V5^QLwC+6XUo#^@`4n+%{z2r8Okidp*JDe>*^sDqXfy@RCM<=)Kt5;6O7HO96%j6b(bP1i#6%)C^e$5O{0jMeb=iP`S;Os~gTPiREpeP__15={fqDT}p3QMM;7;h5# zjmz4Zq4Ch(0o<&^pEI}-p+V+fXGX=LskSr}#Fs%c68M&BWCD$ZN7-O(?6714h4HEx z#e(o}yAeHf)nK6U1x_otYJheT92=xa0mvKvI5i3t)OT;*S&c;Hwd*&sr#-9!eydEAi$#VUblhojip;X!KE#txXT zQ8*d~6m8I08XkvZa;mfb`bStxuu%VskgkGY6m&%)Vo~GxEmRVoK(PT=5^HBeCPRb? zg9p}UTPi4?5aDiO*i3^?Crdvjz*h@#9Jd8t$v6Y204KPOEeeOB zkntD{8f$~c5^ywITOyujgR#Tm861Q`FPgP8gH48m_z==2MnGl=tTsSw`NvPm1ZIXN z5$uQ{+l8lqh#L(YdJ2t7B9XCZJcR}|%1ma)au)(2nL$A|<=4zWiibcR?`RwMoq@U( zc%w9MTyZ3l9R)=s+Q2{Ts1zG2=oi^AxDbOlhqdnv_;2vwjQ*M#z!?aG{_8sfQ9K%m zFT>}Oh`ca_vK~jp;K6N0lc`ud?)S5A5V)~sX2XaKVPz&E2Lx~lprH{M;pRvE**T)% z2?Q$D4$cH{?PxYM8VO4R&y@haE6xti3LD08kIcLM+nJ3;!T7-87#=qk;Xr`)jPb^e z0U$|2!)KmEp#Vkz>T*!2!h=!(1vW)gJT@`$h5(~jT;dY!%HEk5xCkgP#uXt ze}D>P^q;p8(079dAC?4c`9xS+87Ob>fA5T)xaCL)#_XBUq79t~OfugjhL-=|ABuz*;9WB&%?G1ALo@xy zYy#`DbjgR3MIh>V%5`u|lB^u3tWhLgv zk%e?~23kKVEjaN-X+Jl$>O|HpQjVq?p1>h^{^xenNGFuF(mf5$4;&A)t3Cuae^{ju zJHvmeR-$=m{7mUL2|bx5`}bJc3%;a?xp9+c-HPxKI&8VoZQ2*3$>OyDq@tcNM%w{)po>ri=3DVq6Hn*F}+__|% z$m?;%*%)6#EcJCJ<#m`zI#hm=IP3!R=@w=?;RX2}|+b6+r^w3Oc2 zh!$);`+ie%T+iM{-&xNV6?b0Z4!627bG6K)uB8aa z+D0KU(Ux;gtA96ijDgZg9bHr9z^gtUUjBh+nwBLy-cFJ1PVVmsDlb+n;aO$2EPVgs z2d#59IGs>xk6xDA>WKG`LWQ^H+;Wm%_kgIlqbmM-!KA(ehDoBet7`3+a@@}JOuZ(v zIweGON=lb!bWhH~xN`zkm-xd2+0+fLM<#5%wa7Jo?O8p-e7Su4@~{ha`WIfX&q=C# zjEc=Uy5TXoiR+Z{{OR_jP5}a^Ri4o!~=@tbp>G%bwuv!~DcdA{E> z!yPZD?os^y<@SD@a)w@Pnr@El&BK}Ym4|N{7XGMdcm}T zJzBu;cWlaneXn&<{tv#FB-d_yEk@ZGe}17L_Gp3joq`~e!FkS=R~|{+TESlJGu6%T zQtj+!S6;_*;%ULQx#gRVaJCEo{I1Tneap4)+%OBwiLcaE5zedf7eyRZXrJ77M4@1!MSj*UU6G^1J(K)1u%ICsXqr{RbLz zw%cF6yy}H5B}_e3$DFv-VYI{UsB2 zhTW!L3sYK@EY(-x#pLPM4cz)?(7oClAf$v}Py^JX{ z4_7|d+2p74C(Sk~!XK1*v~~U73_;g9_nli}3!XhxsYb=T zlPm~*%jVpG-h>Iv?{?X_X*Hku?N=)A{H0GDR@XhzSyomQ;oI_2NU>&$_16sVqg8I{ z9h&ks;`!&l=WVziSR-Rw5*g(wfB(Yu4iVc0A@ZB=Yx{4h4Y=o|9_%0tYcgMX)Iv&VgZW8cKmTqo;}&TeR)X;TLv!D*_zh%#%&r5g*9%!qeXFK3{lW2L z>Dm{xFZcR3?{4wdPZhfHihD<`>$wdBF3eH|qu6-|ule7Vqmi671kXp+Cjh=4{GNdw z)q$ev>MKPLfg?mVL^7h>v4-Mq=i&*m`0-K&h)BU;Xr8W2fQ06UC8SAo@_+hwhNAxn z-RjUU59Jj|!xGY@VF_u{u!J*YlAPrnWFzm5)Wd`Hub|C$Xf&hjMjG15qoYoKlB%zUD z0G?_~W()w(Hz|vT4I0oG#9IP(T|^KEk+(*)Gw=|>7%z@apiqfK&}O9qLd2qo1b|im zhlzMB&XxcOg+U37G^%&}A9u;{xS=!#7KS(G-XQF${bZO~F47oQ`23UJJuULWvMsgp?>~(JR3w z{bMkM0;wOe9Yi*4sVEB84m!zfZ19j61<^N1eNnLt8O6a1j71w|XuYu{Sa0Y*#KOPf zAcM>ttoJzS5(?1ga*ZU6w)tT77d)f-tdWnVM}2l zst$k`i|p?4&Ow|FnFjLq6bd4kj)G+-LA;Dc0Xhjp^~;XQYL6_mXT>H#1d8F-1tYlERsXt4fpEP_5Djl>w~7{zzMl2IdD8$O9}?kN!f zrDKt;jUMOhhHxb0^zG1CGSLPFXSNLr^0+t@4kV=^6U`)APWQW5xkI23L5Utoh65Zz zV@PoY+?s#>K*BzzV!+L$5Q!Kx9!1025-|`wrqIxM5-dAoRCQFhFe?@t&T7c)!?_KM z4PlBkpy^@gfHR+!oAD z5zz6c@xs1f)?YmczlIF|F^2bF3~n_4`RGX9e~~`cMe0To%nV2BpoV0kk-Bm-OV@fG zp}^OsX7wVL)5CN7GF;2UOdL+`W^1?@p?$YV&}MP%<7n#F=1$Sd+S<}Hx*GLrQkzH~ z6~yM;!-k6z=UsW#A(``PR?gDTfuGr5-3{61#Bn#9{i@pPnKO%H7H>-u(WCKHHAOYm zo%QK!vYjNovEr zJNKRZIAS+iZ9YAXZ`x^#?d|iUW?t-3J(qChS>D_3Nex@h`AyC7wN_UVYH$#DAn%^y zLb**5nbMWJolx)uDlDTHN zo|Y^mr@z!tuh0~n<#TBL0ashU@REDap6TUc_EsesQzDj%KC-*ur^zGlosjZ!#}32m zQFn|>`X*ob{QUjxDx>AvoXeXIAMY=FUc5SL<)LVzsMIcBP9ZUk0p}}Zy~Il6-fkm} zFXRMg zmdEYfREM9_R3sj18*radl6P=?p)d2iQS%1r&1CkSrBC+txrw&4%u8~xR98CC;vZ2; zjb72S&+0+WZ2zg#Lk{2Hv3r@&^SjUEdLMGg2^@4(WP4OmvTXXiWj%JJ2M4yYhsU|{ zS-*~!x$?HSy_`EQy?8Q#qeqx`#(s^XlT22z?;~yBh0X~I%?eEMx;Zx}u6?WIL8TkT z9D%K&9SYxFMf3gLtz}z|241LOU!{8c@ROk457mCz>HMz6^Bm>m&K)=QPvGJAD7oLT zO|9v?DEIB{8@t56x#a5QM7{1_A&j@ia9@44T3n>z=cSjAqG`g_Rka<`54-v2XHnV1 zcow9_wJfVS`aW*QOyPDDE0y+mK5whZ*49&nrk4375V@;0o|@!XN=sf+2=+T#sFqB4 z)hl!6holnmp+NYtQ>_KUb$mzpw&7Jzrb<>ds(Zdx@Ly?Z%Wc^F=5d?$yGhb3Iah3) za<_5viX*)HB&Y6^klTxFv3awkuhf&A*t>8`RnUZze_ z>u_*>$}LnHT8Q1qZ>gL#9m@Jtw?TBZ%X2ZD^E) zgmP+E-&yX?%S}+r9c!5Nz^Ztjdihzp;E>&Ahon>MHuDpC4GXm|Fbw257_T+)qsyu`C;1h+)y2o`*=S^9s)U(Ay5uK-IaoH@r zxJTjVv)lKiSHEVGu9Bt$o1sUF69Ht(TK zyUtg$EN5Q-!G%9D`K{rehgAY*S8~!z3zUz~`repv#VC2*t6NY4D>V^+}@ucL@%{ezs&tJTrJqbjAB&H{#z$jd-L0?x3@4Fi?qw zIw5e#CNk{t3L+VXO@Cdi88#w4i^LV6&Jv2{0L2g?AOZlL0Ce#nF!pCWfU&is5z)wk zlLfQ zK?EEk4K-L~1$z~m=pmp@7!QEqZ3t8x!48MD0q|l&!2|TAkU^-M0Ks8UC1YH0ByP{5 z1qX&}BRN#UoF0uwdh)SIG#*Ztaij5nJ?@cx{ev)$eo;*TJThqhkw#gv2Y4iUN zcV8Y)^|$p;gCtWWLs2Q2hcgd`lu8sCicsi`r81;J$(*@KQKVF8GBqg?DTxLliG-qv zP==7A^jn{U?-xh7xAVJyJokA$uY2yvK4uYcQ8-#4r8RND^D4gdtyw zaD%|=;o#XMk0kU!Vr!Hz;&6CEM)d93`Xr1v8i+|KfC=mlK3kuJ5r;!^2@&=mVfd(< zJg{^i45Pu0jxcJ)W(s-)?Q)AFE;S)zzl}+bGC1XJl|+bhu<5GMWpZ8 z20{>YFqC1NHZl@wkszvii%yt)M$hd}WAY z9_K<`5KQ~`Y!0ZefGaZYP}ll@Rc!SbZ+br75RhIKe?cU0c98C|iKidUC=)Sg?~&Rs zkR^y)GW(U-p6zuvH#5I|PJPp|N8a_!YhKsolcGvIN}%PQmqADlpT764O%bbt{6nqU z-5#1K#kpT|Z+IPPpFjO#*{Y|;zqzNbxgM>DJ&eC`MO){<`Fnu@c43b?c|v8jEXvM# zlw%ih|Lzyt#D_DU(`A`?mUWib_B%KwVO|R`Z%|&PH@}MGe`rbC5qCO&^}97aUI*&L zxA-rS@HV?5v(?fjLP_3)4y`>Bn3@FItOk=F~ZPYb;uSe$;i z?5uQb=?-Jr>zLUJ`RMw&{_R2X_NVG3v{7{O?sekNEy=a7@?#o8Py5kqPX^HI{OT`n z`CglYYfCto-E%|foz^U!%O&?R)$PvxxZES}F0fSb%A$&SI%y7@R1z28a!AwS{;2ht zNUZgL#BAPIdZJwJcGJroW!0|t&MTZ`5Ria*Vb1YEpE0}iVW!5y~^iC)2*NLw1Z?i z{lgR^jx?X&=n^MnFl)on=ZCUN>6<;1un83td4rR7J;18C*|p}}m=$sToH+^tt=@XW^Yr56kB)PusQ0Q0S6Uv`Xcf&#|9YaYgg)kxBWWakIoO zLnh(m&f<6C9`R>7sm#=}0@4`?k3l|9UJaz?-C`wT8X*(ZNVFeHxzCu@QajTQYVx zy^%RmV0;F8$CHMXBeSeDYfj@pwInt@6hv4bVMD1|SXv?MTPQYyt9YbKh3!TgHWIRY zswAkNWWNmIiu?B$W1lbNVE`>bMwV?TW{9T9NFx!_I}YMI>|e^(GJN<-A$QFxOC3HG z!%iC?wo(M?Ak|XCM?&QZ8-;uLQ25djMgrGjjGqVy8mdG9#Up&@m_wn^4l@Fngc5); zz7%O`4(U|(?_{0jek}RFzp}wM0~&l^m~WV>E$8m&A%)!icQhHiw*Tag2C-WAuJx@& z6BhV)t*$EHJ>%BFUH6WN34~1Yr=o2p-VD24+tKAB|Ln*|d9if+7vUk%On*)6X2FJ< zwk>)^iJzzAmQ9N4>}}FtaNV1{R8&c3@=G6z;-W}Z*T?6?Bn5)sRoyeHdDj+K7ihZu zB7KWnz$Cdc;|r&9BQ0=iip+lRI$@Ns@7PLo=ml;0O9_5?2^VbcN_f86z8V$k(HMZ8 zXX&`|NSgWLUC)&Xhx}h;2R`$-X&`#lIq&AIFLZv=mc!nzlb*>Z?mArE=zm_M^!@{z z%Gn+FQmp3di-^8@sJTqc%dukK+HJ>7XDcPx1ap`2Y%5-V1xtTL5M0){ToJBm?iCXn z_Bmxg5q@AQ<$h(ytpcN_j(Mq`8b{&AKII^F{^uPFhTWCIq-nGn{?2C$Z!+U7{C8L> zJJjz>{w|D`Uz%c7QR%nC+4j3o)m!J|>Mq&}*x#=gcIF9XIvk$j!M9#fMcXR7rwNhy(c)wogV-xdYH5%^lNdXyNKM!=a#d-D8 z#6LvdU0s@ceA7}3)Jcm?QaNG5wyJ_FB73OYis$uqeu2{1r_uZUk9i4Ysv0LRji}9P zjMSTvV;bMP_3ZjHhVj?&X505WGRdEls$Zy>?=0NPyS&@%bG2}I3&Wnjy zmZ@iPI{dKju3hO}ntW@^Q=0i(G>O*1UGbzvMym=oED}4q$n#iN1+IGAY~Fi@6LrsB z_O6y(or-rFRe}@SZ#Ki!sI?D_#B^P%^o-=W z=RV80T%g&W`XNN8_gVG^%`~}m2VK&E8@#gv_+|-y?Q|AzbbT+{Zg=c*f}NS~<9E%D zCW@QZrr4#nYxkyQ*y_IOu(lL649Pl2`MTpf*+93sU~;TmIqH+<)Ajn+IXtz_{txLL z5eMGJ?%Zo>m#M&mO)o6^%`I#+O1<6;M)TpDujqSVIwh8PY_R{YwYCZGC8D=t`l&3Ycjle(6|4k@DUVNFf*q zs0_zWL%$O+62Ptzfx%cq5odm+HbKCK8skfWU<&ytwqFQM8Z0>Te-#)EKD7VvR3KEy z`p?)Z_HQjV>@3$scq*T!GoQP*glFE)Dv+_C9sE=VdnCYQiR|nH%a=a-^+PLN$=7$$ zc5jU@9g~k-*!gDXH@Er?4bGagk8jFssNOWUy4t?J@W=y6yO155Lii^MiChlkoo)~w zmFKcrl>cSHyeQk;sJv}C6LdnR`|#BCcwrCWriz&9QDz?6oMqqTdfFhFWa#Ry=;RWz z=1!jcQyrHkho70e2dB?6zvbzewAt)i<>pmZuidwXTzZr~_gmm6|0X91Mv?2eGGX4uE^%TRizDNl&oqlUQqUugLx@MaMukv&`p4t^o6MvleG94`&Vk&J{i=KBr z>DbG(DeI+G^7e@{+Czh-bKPc`%<$N-T+1h2Ogl|PakbpluX6rZPlh-h7B@Rl{l<09 zkHbO4UTxbWp`mZD=ErRLc+sppHl{~BseMW>CapEx?wgjCcJAp)4^hWNYzIz7nsUyr z=T`iFzS7DoYr9^(p&2_+TAn?Nu2=Ah-@3uPDNy_o<(#$tWFLpY4pC`RkU@C|# zsXx(ZD=+EXvBk~mlaI^so^{os(%I3gx4Rh2;H%=^=0f&ACS5(i)aTe6JDXRo6K9!S zsq9v)+~+knfE+Mw5xF`l`)YD2N$f@C_0*l4RI6=;yZKOetkShKfYp0>F3l=6TNBNT6*7qgrr#U7tgrxhWmnq z=psF=@=iU^<{ek6mvz{6${J=;4eD)P*I3PX`8HQS_mfOQ4k1?fV3X9Ilke-^$B34` zsK4c9QFDb$hneVwH*oxLsq4Ws{ix02s*4H_PmC>$(=F#(G?Sb7`(xTt`KA2LZ_<{! zB-jD*H(=pWQj6QB&0-haZnaBH3<<2uT3Y=n=alc4MO?9_!0$Lz{IIm}kaO8eBH!H4 zYFy{+ir!xR`5Wi>`AfM}4WYN${q8p(Z50cUWQpnqcz3$hmLe(~CDcZ@y79=}VO1v!-`fBjwDR?wZZvNmOuZB@1Np`|VkL z)J}xQYpT=5kkS<@l8@e7lvd&|=Ui=xT-ADcA=j2oyOi~jc1ik3sOtrdNPey$qUe$R z<~Ix5i!bFCUY}7M_(bgb48OYbYLrD2{8cQcw%E1tD(&#WE$^|tKBMINi<*{|g@O{# z1QrBm2&$Oa%`XXWdl}^2w9;Ua6Dgmn!R1l5%F29xt|6CFgZdty`m<3>p1heV;_`VC zap#^1Pm^bAsw9WvE0Wh<6m)c(^ExqZi*_Eq~8W%2~9 z-i%uLs@FX-a#?rpoT+wJOGbiaBj_lxQBzooM8o1W;OjwPgd?zw4RNsMG!S$d6_&Bx z#6yMxEc=5@JydiIzr%-*{F6*Q62uvXIff1WlT1B^Jux?YB=E>bl&L2TZe`b(svR~I z3rQk`Tfhd(*zD6kd8DgL^o_GqT~VpKf;%?MO}uE1iXxe_l8dwiuMK# z{lAHk$U}NX4PYb;2sMurBjsBdBAtHj^{j7|pEy0+(e$1@!Tv>aO1`?P)q^>cf^#nM zY*$cvYVX-AxI#ZglAKhldR=Zc|K_^3{O2|D_3dx)D}-`<&rezYqNMg*kj#WRdu8Px z4x~9wo__kHNnmC8o7!tnbKIPJSM6M`aO47gORvO0o+Idm=F)@JB?Rk}3CdCa2FI&0 z8ytuPm71*$dwMSkK=`D&=+{Pap=;I7C%2uiE)%6>UvY8Qx`+vE-%DG4T1?7Ot=>t{ zD$4urO!?UBFH5G1-kG%MdM^*P?a6t^lB)v8ms6H5+-nm%hg6lRb;-mx?4`wuM)JJt z6`Sn03e8%k`{mq$8AsAkdUoGG_KGLqZmiYyY1yn6kKJ{>IJo=RjdV#<3TpG+nLSyT z2uEKpCLgW%iB?MV)c=6_W|X#DQ#+Aw)0=DS0x4fKJoqdW^`z$rrk+;4E_!USinY^5 z{rsQ?pH-quPPI3jl-zveRJ|!)vH93Ksoc(=;g+GNVxBwq7>N2)8<<(qT)``u+@JAB z%7oj4`M4t=qEHmcSp`DeN>t5hGbS$DI@?r7&zK}_xn`N_^6SEyY1uW z=4U6d8*&pmZhkm2P2#7a%nrAGUp|m#EYW&IIreBd%FEPm-}6ghld86ROi^(TH;SA3 zc_QhWavZfsSmDe9(_3i!^lZoGxhsvI?=j)xPqN;ca#XgKYvr=vzUv;wRNb?<*krcV zvFE3v*Zi2=7RzgE%VH{2rWL+T3eT_43C9GJ6S=)3R=8d7UgdDz)G zRaW6r(&frGx91mhQv){ccz)~C7Bew!z2yA%FEvIBUZ6C*?wcEJt63&){cxeURhr$Q z=H%^fnLaKv2$y=&Xu_MMyXTFlX<~-i&Uocecg-8xUpCFBsXVv2pMl%qB39a z3Hdt7B7q!Xx4ZmPPMp`wGXz%&lAq3*>B<&bTp!n7J+MY=?yS!{c)KU3A9(-a7eizJ zo14e@_x?DZv~7{M71b;#@4<=q*GF$3cKOojy zNV1YEn;0TK3qRfzidG31&HEioSNGApy4~NjG;a20WqGZnt+?&gpC1JYyG;*{phU>u zP8a#<_rg{w_QN-^)pE@NF%r6wLYhZwH7#e&ifQ(8PE7fLBbOOZeS|$F>3ZHlF)OmI zC|j#+b!uwP70VmH!b>veFJ-jNtF-+Pl#sb}rs_6fLaI>Q6>LXy@$JnWxen%e%7!Vc z#ib9vU3cpoh4)#x+PypXJ->HY2vJu=y*-_${WII~^|fEwiH$q&C|H+Tdd#_8Q8q1H zTc#t;GvW&`$yab5*`j@q%=_BbXTQZn?uD)%5seJKTSpEO^;ys1XaoTQ<3J;bKhOHZ zKZ)^@SW12f2^J#zNaklK<&}dve^A2dK{2Yn1d1^~)YQBdU${~MtNjUlKxrluSl zMUAzZf!NpIv0kwR0+1Y_pb5epNFWIG>OedP$|WJxf*q?Y^*`mPk=eQpl348>$Ab<5 z4GjlsLxV#E;AIk_@M|~-L1JoSjKlz84B|k;M?#2atf4sAcPt>Q;X{%8fAlW}$}JSs zvX6wbItT~$n&!l*2k-BTwDI650`<_n|qJ|jXzSRz537;Of3C6y?IhXO9 zSS7*zk@AonxjnBm6z!??8s}YMz`aZG{nKdAH3v@bJ;g8AJEse$r#1I$m%0+}jOKwW zfjaAOODD-iN#E{Xx%iSWIjF3ceBZ5eW2;omtPd99)#xM@U=xe(F4vb!Q$Q*C|wTl@Itl0v4c-lY$<)=ZDkb#H!~ zS+=Xm+RU4Ax;C{w?f$oWQ{CKLaNAYyA86k9JFw){0{0VYxF2fz&ThEEU*|h7t}vY% zDWixvFj01OXMKWDS!DK?JJnGV_U?vLR8+h}d3J95aWt%9-7!^vYd!j{8{*68RdxO? zk0oTZH>y_OJJ-UjFw1zFE}|rJ_`up#T~S-BH)h%{>&D}b<@DE5?BKH#OMIRRi-a*netath_61>C0U&tT#-LPmfi<^S{4T~?r zdclHT$U6g{%*u_i{%PQo(F32%O6;)yY2cGt5gX(kRuqNx0-=+zUJQIP>)vL)Gcacs zH<$I!z$dequdH|aK6wbh>svKvaMFNsCLXx_h@w8|ld9sN>H`rQB#&10fd&amEEaSh z(ReZlwNgO|7*C;rCI*P@Qi&)$1+-x1E>T8QkpOo=*a|v=!8)U z?~#eqFi^*V1<7_anu^0fH8*fZ0opP_@El}kiEK(nWFQFt3w!Hla z889HC^FknvKJBsRO+iF<&?r2K%3z=%kw*tlfe0$+K+eWuKtG60!lv&j;mnQvGb6hwyrPsgA}SlQsz zDQC6}6impV`2+eeuvc)@03;Jfk%}4L!a&H9fv3?JL<|VXfD$SJO93GZC|)BmNmMM8 zO--TCjB$3$kcKAU-CH;qaOyzJ84KEGAg>D&Y(ypn zEF_z}NI;;#0MOQ@7|3MfUqqIvH=gQC&6pD%kO(Ry*4p2~` zQEYIkPe_g`oce*BN3t=EDUHVWLrFWX88;6o}q{ zUJ?q_z(5m?j3Y9rG*HZe9+e;@&BU;2D3OQom^iaAr2PZnyn%(`;F>1(S+D1TJ4Auz|rN2FjpdAI$ zme8>XdPLB0L^75Dx>-0ns4&s+co6+&KZ=7%Rh-!|0D@#FS3_=TFwGG0gIjvE4msmH ziy%k`r~}R+;1DY49TAue8Wp+#F+pCCKqHJ`J~)m)kj@@Zw}se61Xv;B@mTC%Lq<9p zYzBz|nujPjjdTz#gU0Fvz*QtNjsd-7*tcv@98ZlSTL!JLppY0KBT`Td0Dp_YpfNE__{|7ZEQ$fr229Y9heI*K!iMDZIkPY@gdiITTSi3M;(&k{6#A0? z8Zv?&B%TSf4bZTSN`sz1&|QlR_7M66&_Ep$#cmjf5C=H3GN>9RKnewlS)u$FE^DL) z8TZ$a5n4{s(IE9k#F8m!92R>0fod)VI=PW4L@zXWWzMV&EFox#!k$6BK1(6t3PyCdw`;Oq!TRt9MkkPm@V2%WV+ zh7rOXa8INE8Zy#Q3^JVt8kK-Rpgkgk0RWhQ!7`xUorD=dF_t`}eEjcL20t826B(j5 z;K3k)0+7Lg;|P6&R57D<*nx|hLIXWbFz6&26%V_Cf@_KZ?Pf3xJQIKhlgXx}gCGG; zAt&T|!a@Dkz{Ih@y~Y8A8sEhK(~#4U;`hJ&#RnReBF-;hS)^hfJs&i*p@@9cOP0dK z&_SS=4&fvU_^{BXhE4^NlBK*WOTIp{hw#c^~k}8nti%JDg0BLnZDS2eS=OL5k zXmEd(Dv->QUvNevc}1+&#=UDCOZFChS8}*D<>5}=rQG$ef?6bvs4^E@cojn)c+ad- zZ>j8czN6PpKb>?kU-VlOa1}3$vdlc zu7T({{@VSIQu9uqbt*Qzi*LQN@7tpM!%6%;Zw;UNE;z*N`pRzqgH3jP+STO}6Dua# z&RtO%o*RDjQ*3CIi;9kn%gU$9j;5clK8Ol&P;)>4mmT=zI{RC!hvpZ*?DfB#_&keRncF?wkCM#=^F>|dH|fZ` zDZ2ZjxwU6|zDjEhpV?VsroP-z<(&EX4xt*Z3Zn@Jx{VB{{P5wD6-I4}>G+m#-YD_I z-I-eF{7obcAMelX3CVU{8F9-sV=K=q!@Bg2Lb+FAmDA;gBSfgHd?^M-y^o(SC2bPa z<)1M3p#)Yg;HfshKcAsw%yf(6{B-HuB9GD~Nlx1*Oa9=WG)=f&rO4=_VsRVJb+P25 zXIIrVWmk&N@GzEk`5b5AwI}EKf!|h!saK~&CZm^0)h+&Pv7tq|djIn&!nKo6*0pp8 zaDDaDn35g+bPc|fmhx7-$>GEt8p?ap`DA6om&?Sy&=ej~ zp5Kz^xqa%z(m;1g-L9{iKbVa=mz;5@Hdht9(R4GJ6;o(ZAup@i-IIzEew?8C9L)>t zD#pCDjla3uVudvN!={H2ZsmEjWQugi$}Q7%iz=?{$ChRh-=~yTMuDg$!%kQR2B~_#C1p6c z#N4To-I3lSEu}es(j(OaW%yqU?PoN<+k85y{O4A_wO0)~xlb2=&~p}A*I^7*{V;22-{f@UP2X@Y1chqN%`u%P0W{Vd5xX^n!>1}yPIaByoqcE51t~~AC zw8QrmznJWu`=!VsGv6xYOv7|bu1wOKEf`HTHIWBv553S2DiTPKEV}m9d!iciqc=D6 zoMOo2_?!FQOs%=gH`V8RuiM4xYgCy$@sXGKj-AO5+UUF1a+Bls14*wZ$ycqn@N?2F zT5oK&CaQUh>7BDvYeR3U?LPU`ZMUtkW#Y_Yj8W1Ko}Pf*Dwf|s3(3CYRyMRR=j0d)2qd%-}#HqZx83IuC>7EY0p*guetknrE4J8 zdG8gjL!l=yn^tO+oqDucp~SHCDgRYgsN==gvvWo067dhO4om>KxwCrtsZs#Z{-oB<@H34*xE4?pJDC+R~2Qr>}H5 z&0WcVq&j&;&D$xTD<7gZ+E$k;MP2KT_RGFux~+NnVX;NQ`%KoqzqlpKp8x9o3l^2% z^B)NZzg)p{!NCP!LqC zZ!VFyY<~Xsohs(Oi(Z@adj)+h*<-EGB3k1etCxVvWJ%2Q`jK+RL(1#>V9jtUf1gPo zmNV{?cNv??KPGvO%+gOla;yF2=RGt>92v3yIM>HoFK3bv;2Wa=y5j+|Bh7JHUJ3k9SSaXL{m&Q1R`^P0owBjf8}uF+ z4#9?u1Rw<LTV#$=mukC7J3{0rGFR+sC2M{IpR%N zZ2o^VatO;~q>(sK76k#Q;aowOEgQfcwonj21($DxpJ)h?Zp82W!$|m2Hf%ijOZ#=V z5MC?jQjRp|QMYwqI3!4+q3}q5yXnH_GtGZ%4}S!CH_ID#D@Pgc_5ZRNNuDe&uSbu)~SI;5g^|?(oYBBy0L#cG?L{8 zPmg`=tX6hoemleiAx=Kh@bR2FH1r^WK*R`NKB_tHKQ$ycG(b=qVf1*;91+e81jmMt zhNiot{cMN|6y^^$X0WQ#Uq%21cbfQ9cM0ea3(yB-kYT=XBM<2v3rdg>PwRVsh>9P_ zG=RuMBs2TN1BjBvc*6sSO%0EpMhV|*SE|z!H27)1bNlHFdhh|Z`FD8}O zyl~Z@=XmS)*}TI}Ws-h@jH6OJl3ZH@CDxsBb@KaQEnK!TY&Kc$b)EJTErn%wjyC8A z#l~K_WGyN6a>eqg((hX)%TcUr=c-$_lr~T*y0)*~=uuv`cg^RLl-@3(1^Pyx1Zu>m zCGB^sJ1JdW#NV3l6M6mqE_u~}MvL-gO=>1Nb@B2$A|oQMT00!_==PX=(Zo(YUtM~a zNKCz&SewPd^)F}f`$=>~5uAjG3neo1)LRNft9I{*x+`2Hz2w$Wp>X-s=Hg^e>5%kq z3x#WMcxz`HPgUK~teIW#ajQ<-kGpFXX63G#Q?KXub;kY0j`z+-sT@qa`c-vXQ(ZUn zTZO%%qyjB;meILPZCl;EYn{9|yFVNhs+eLc^R1*iS}{4Zb628zOJ^#5=LB1scA2-U z>mQ!4yF;w`SwOBYvu%1__Gv^%Wbo7XCr5;JIEP5Rkoykuf9r@0(trbM9@`^~%xP@c z3gDCdz8O3e!L87b2-+vH+YNSuH@=ZT2^wVWIA}NqhY^Qqcm8W1IA;)s6e;%`1f+A* zcnw0-Izz|POIQXWP$;phr>3mbFl=4i#sIxzF_IfIWc9c=K4`3JdGJB#jH0P?`Qxp1 z_x53vpBI-LU;0j0#QD6F)UP0s6OR^Lst)8?l%=;O!ZhSW(a%dF*RRCd7QMzr+DdRA zntoX%VAnKLW2%I>LtwPvO99QC^*xGB!FMAUZ7kYrvbpEw`nRsBu4^2R-VAdp$?i>U zK9x`2x!_Q9+|1^{kIKesn+I%Gmp$8hU18(sJ8M4H1z^{+kRoiL28)(}WVP^G_@1U9jBgM!VsS z{cZW1>UKM!!5+vg49z_)K=~bTay~SV|_q!TT;st<>}=)4F`q7Gt0xd zlWy3|sn14b8=BWx9E{gm&g{H&bN@l>&zgi3DC_)#a3hFH9fG95W5D z@)WbSh_!wzdikPaZt|RG>*OSMOUJG=ct^0X+o;~##$zPLpBzU$XN`JZKZ(5fxThQW zalrmuIgw)zGmPY_jDKz3941?E_Z?Z|(EIut46f^4_~aw*$%S{-H6P?@PY9I{&c7(# z-dvGg<4`79mC~tq^ZWD%;U7W8;eMy|m1ns@?~)RJeJA-ml?~uBzs$4oq^i@~!y!|4 zNUM2H|IM{2S)MkcF&T{8|6oj52XA;(13_;8bxGi0V=|=mLLZ3hW4Vv18wE7)f9((l zpX+}g-l0w6Irk_JDRdkN{X?E@ypQtj_4Y=!1mWJy`wQmHjB7ePQ$x+nEa-r#wUXf7 z3p3=JZ(;PyF0A@s|M@{%i{sDZ?%qz4dTv2lHBX;oYuff^JUJ;=W_j>bOQKZOkDcFs zAL^d82-OuOvO;jt8c9>%*s`Y;Z$8lGsLQ#1D>V0z)TSrrC`^@-jK%RUkV`kauWRD# z<4dx9zcKystcoW$yXuynNxjj9@7dNvbgScDfxBql@o7O%3GduwfxK;&RVVxlG9J17 z2-#oek}wg(U3k_9@|rX{o=|C(zj=k~D|egpdgq~;jc2PAo^1MjRaML2ZI6CKj-8~2 zcx>3q2j#qOzBfAM5=6RXoC}ZqzE|FHQMmF&xSZ$drFl6}zwx_yjcE2J zIrqg1+x^_Uigr>4)o-0Oj^(b&I9$Hw74M;<1pXg6motO$hsvC+Z1@k)s=Kq{#m{Ami4z;p=aX!Dr|k? zhKhY}EzcGe+^tlVcYO6Eamkyow9oq};Y5MZJ+8JV-Y&E(&D)CMX*w%p&21`T@b&7% zsEe{ed5*mkpDD*JX|gt*rhYW@#-8G^`&@EslH{xkgdWUN+Ty`$Ej=%CX@sHaPb=|W z0dd>)rH|ZIl)h;4)(VK3FO(_0b2sVZ0WlM?eeLpI_u{j@Wru0#=!o$7DXvmh)N$Fc zgm=4R@;R@EPN$}esBD{^?XA_IbtZLN!ZK&2Hxk{?f=}7MJUd~1PSB|ndqO5|S|yyqgqWDmlUmH&_B=_S*tNa+>Dk>M%wuJRr&yxxm_1uM`PMHq_fWVgv3~zD z&lJxu50p&3U!&Lh8kVGdu3bnu7`j~XK3_VorOcfxiNOwA9CZ(d+?!cpwoHq0vn~p? zS?HzE8kwef{C15KZY!457OcHH`)TRPtgmOMwdpk`OMI&=&ii(uD%5tuDQ z^UIb0>LU7nftPwqPqW;+JFy>V@8Ym&a}Ca%XbiFvD$}Rptxs}IzFg)z^Kx(K@g&i% ztzIZovf6Wzbb-QCstbdK6ygudKFu@{UdAoGY2%z<_Iwt6E{^J}mblxf6c#2cFs45c zTA5X4yY6=C`$V-z)Kz8?^Mi}e1$4guxn&>bV&JB*48B*Cu=PFMHcMnz|3c#>x2o!r z1OyLeH~I&ud#=qqTe@|Q=c_}SxktD)WjRlGudYxJ>_|2s8vPBj@FCWTx{_?O3JzA1d6oGqUxeh!j}egC!aYRgA6?x3&VB8u1hZhSD= z%%!RAh^gAdM)MT0$s#S{jrFGVx()z}d4r+<5sNYc7 z#Vv6seECS%834zBeeyqCXDs78>^d9J;2Eoj{MbSIfqqZWa-0Q;K|8n4frJ-*cokms zxsF5Q_pE^f@qoXK-=jdBAHs=PM8Obf9!Oyegqg4qgMzx6KSsIP8%6gmfJBPHDM|j{EJQO(rOAtD3 zl1IsSj50a)lepBu!EqO#+S=XJUHhCE^VJOO9JcYP={P#m8O|F}a9U8-YI|#8kIj=t4N*v9`(g;eL7WK; z$VkCEHqU?fm;PZS(3Uypgb#MShk$h!7q8Fm4u$Rzy#pzYK10kY7!SF_!J*6n7!QT1 zm3j zOkN1yI$G|Rgulkmhw>M#pH$Z6C81vXh>Hh(-dlN-+5_wSt8)^+rS7ROh<`UNrm46q8jUFg?^Q%KmQPy!Lv|iX4!n%R|@76G(4nME1czxDiioAFGu~YIQ{VD zz%n1-2-EpK;yV|$&Xm@an^cL9@Z0*(NV7!fSPqwuK{?mbnP}l>-rBp4+>|Rmm0wq& ze)iYBJx!@8Q&J_`j7+jD_N=v=`uxH^Lba!LivPFm!Twi0^&anMFg5jmZ?`$cED#RV z*?KAOOpvRbepTF#eFvuVr(Janh<>WJB5O_rO5w2mi%v=PtAbMDht?Dvao8SkEVSsy z(p^?k>M9aZW&s7Obn8o^+t6GRRm1nbE#ZBavXP>%93#7!@4eld)ONVCaPlqlIZ&o>@z7Jj>FrPDQo zXA22*!a|anY(DeMolD1<&c7^tDGMBZTYjd^_!v%1>-^$SBGBx}crSNZ_|5K_B|h}t z7vxFCN}p{$N!^;~!$y={%T{M5WWC1uEGMn{>0@!AN+VWZJ63T<$#I@J9Ty@B z7UXt@=ljixJK0#3^J)%X=k|8$oJNz+kMwapzQVgt7X23gTEkzv^`o-w_2;y7MxlcS zZ9gh4WAV{0t)~JxMcoIm$L)9O?MQTf8QAMKTj7ZLD%tmin~#5>FOr#QBOm_R+5fKD z^!Reih)d}g@`zzZ3r-ouKVKlG-|_t`!{D_O=B%|r?wv*IuW!AM6lLnoi+^#{w8Zh9 zA4Vwn;uODTXN8BS#FTwAv|9?gzjUH_Ym{vjZfnJL${pORgZD&P+VH$9pBhEtwY@sW zNU_`ZN3-gUNqL$wzowtQU+kwpPo)}HXBj2ib7)81jSX@cmu9%RVro{Fd^dJ6>cW}1 ze^H&+OS*pk;;b1{cBN#?Y4sY0ymQm2TerC@X0OKce2e?R^R~VVecO;heiGU&b4Gru zpWM&ADZ)ziZI{>F+MInP$-T&F&)wkSWV2_|p=YsOx6%uFmFZnm;v%eMzn>KN$`vlm zoA|(@Cp9!i&~_)GTK$&6G-JND(mCD5(KkO>>}}R;6??j|E^JG3{xOZaIR=W0=pgo; ze#YRnbDP1B2C2z&q`%}(i^G48&(*7(hJSL-QS^HK_n_ow%&W2r_VKf0YXWYDoqBP8 zy9@I|y|SFjw|UyL6y~$zW3X6*b5e^P)VgS|!~ z#Q=g?5hUcrfT%%#8&otIIKF*Yq&X`hv;Jqo55QBX z?H_C6AQVO=py+fAl!(*OXkePtprs@h1x18VZvpyO>?=dg4QE$|5DS3k^UunFbv{~~ z*>SFn1~q4N29rQVihZD73fL*o<(NVs5|~i5fMe^6O8)=RrL#{V{-2eBi$TPU>Qann z&!8k6N@8#r3Y1lo$tc)2G!BZYu?#5SgVI*^J!4rT&h8mP$3W^a22LXuJh@RcG{(7S zfZDN8z(gg}C=@)CPA1XNbTCjv95CIOcm{**G!Bt)kKRmX{80Eq)|=!I7}m! zL1$p0h?Pbof!qj)(?Izu5fPa{!z~JRpzJFf>_~HF%Mj)d_El1XpHkQ10xP4 zVHhTgf<@CvP(ld$Cm0lzP@!nh+L_5-#L9wPoIQ;QUkWVlKPyA3{72Ey80X4hF#tKp zINu?s#8?j`bNa1`E#^9?vA;D8OE1f{|oGX)c&axB}P@;_46~{#yfr z)PKVL#iY@hAjAOL9|S4}M~8w|6xd7me<13#|($C^B`P0ureI9Ng|CRi#yIe zLt$tX=uJ)oEq)qfcGo1x*2AFR1AqL7$A}S8{gG0RI9z>feXpD2uurxf8 z1R^{n3djlJsW_xe7Bn^ROhBjz@*lQm;QMk8g7jrJ`qZsJ_5>=b0X{EWy-Juj#{mW$ZI)MwaKrl=iH@G}0QY39 zSyLEjDjh?hkcbQ#V$P`m4)G`wo-yA2Lw70 zm6N|+83PCQ05C0$2)hQZ787<6gz+eN8U=28Hia%&*&yN)XV3)}IfCF)V!)+DBeFR5+qlg@#=M7oSXn|6`KKR3w_l=2rDb zjyZ}QSbGI#0jYsPS}Y-HdhD1EWQwjDQ1I80-TWJeCeHtdKmW!#L*3NH{u)4we8>{{+nzKzL{j1uBt{a2kVwW=9vC z7rMg$ZHEY)A>=e-5p5tSjDfntu`a7I3kZyai=yfa3tY!S4l~ z8{+U-JA6CG;R6(AK%p4e2c%sJ03!?vfDvI-QWk*OF;_;0Ukv2l@Q8942+z{UM8GFZ zL~R?SBS9dIea|=t9|q1E_@1ydczj@KNI4stghlGhMn7!epEIavkOHI7u{dz_@HiSg zCm;<@z+o8}8vB-TUZ@VV3}L?T_(Rt^pr?V`2l1j&1ho2q&sYT0IC+33;7$TW#O_~kUZ@T%4KSPF=?7#7vh5J-1Kcx45^*!cAV=R4&|Ia^p*$GF zK;vk16y&PtAjpdbu}cW?L7;7fJ>wjJ_~)=e=Vyd82|gR>x1ZmLHZm0`sE}O5ry5LO)tX64Ve+MlMm1k$~g_Ts&hrd}FQ) z1~EGrzKv7~#~dfu{t@+JAL3xZ!}FTSwHG}Zp)t358$F8D=T z9a^~Vq^b4BTL*u2Nd-?7 zCk>T*GC=ZR#mVam3(jn)v8%a2WSA4}GPY%i6V?<=PUc-YxBk`acNQs5!j~V;SU2BW zYn!;m>wL=Uviug8_hm<|?4RvOesW>%A$M{2*_rXyG+(WXhfjEH#nZ}CO!r>jmHgXu zpQ!eJ2kcSlM&krS>snNdwP(W_8-bN#FAIa$?P1h<-Z$uVNK0)9_;H5TbeI2=k(8Ln z?~U4T)g@6cWeGR!GYfWnJQ?NkE~CKX{h7}#m2Ya#I6AL6`l+&__RPK4uRZI@l&f;} zS83A^X?~vWMl3$Y`ji%xF4I)Q_LC53gd})r-s>r^V2>_Z zcjGlR-ecC5{gjQ`4c}dibXU9RPof6TPbtDptu4ygbz-@R+PBC1%3?CeYvYcIRqxHR zZ%6Gv{&mkOd7~!cv4E%5;apI#2a_;wjSR4LBaRjxKN6h6Khm$%$c-0*~- ze9Qijj`h#)9ewOya3<@fmJO!NAH6f$=u#l?09}fm%p+$y?7TGb**eVx{;Q!pZNe8f zg~!)AhUCuk{uziq{L!PEG%rJ9_nM`1`R;qPTubid?d7?5Cp=+OPayf-8>99Q9i4Ax zCtO_7U8(nABf3(i(NW2K9ivvxv@%<2-IgmUYoonaf?CcY7tbLbBc;D+NyDKfS@1VTS0?gqsM}nPaUBI#5WQ_5<2JD*j^4AmEJ(kop zz6edyP>xg9-u4D{u1M#tIb*Wvi2kPO_32&$zvjobZ_19pbz|vaR{;yV`*$}N-2T{@w;@`3rEtN+ z^B=qx$Ao7WVt&hBJQcRgGs!qJDUeb3SU=sPzK{fSC^KaU-a3<&>bnHao5t$-0J>as6IyOPi$-P1*H|9ZCj zO}Nynd=$0v)JlD|#Fq({XX6TA7EW8(c=?ba@wwn+!2oph{w-}>)u>utqXRS>gHwLL zWSxRNvqONa5?8)!RKYWkTvNzL&Y0ue;6HL|REE2rAv=IqY(K z)~_fJk7&WK8w-7`4B}tMgwMMg|IKCgLvI=R+KczlVu`A+oygH$!=o=sr^6m^Jrf@+N`m$NF@H{5L>GgldaE zqG4ZGD)^`VN+WnNKw<2!G=g^qM)lJ!;T^=)LfC};v`cs~Ks4;9UBWv9Milb2`=yCwm5eU^nw*l}f=7XZQDtas!ITqXk9vT5lBSNx= z0LsOH_c0VAjto=sh%Ts5SwW90DR%J7%tD%#|^KkwC?h zsTjx`5W$g#a4sDjI4mR;8NdY^&XIvF<9J8|TtQ%tKqvze2CS7qzb(+`AJrAxJSIe@ zFo-w;3QMA+pyLH};DV=~0!|YZNRc$8Sp<8!bclZ0-$DO=mj+VK&}Rm5*7{clAyDX( z^|vd7h!%-}gBTQKxA7DTiVQI+I&_EtLJ$U8I}K-S^_v^coW=qFnE=5Kh@^r&gn%HN zMregG7JU$?A!J}rQ1L`)MuMS{u&^&U$kd}De@X`>e)do;%Q|vq-UB-Vg4)pC3t~)2 z%MLO~7(vK(6h-j9Sj|`yhvXsr_-G1&%!Gz-Sm>z*?LeRr1hg7JVSy?*yjv6O$Y2kJ zBWDc{GJtJj4MZ0sYU)p!{nhZdEmJrl3}YbOd1#K0H#yiX?mb_Y5-K<6sAlxiTCCaF`SlgtVaH4;k8BFd)@U0GV|XkOQ!c5l$oL zgSo&JfTbb9T)2;+{0>nhMd8K>=0ej7CNM>)Sjfp^hzt~#3XxANbm~I-)?tb4Q7F!H z*?~3$h5^#^P)C8ZQ$e~^LKCzxO!in4rx1au3EdKbhYALoOoq!FY#xCGy#^o-1qnvB z_&Vpg?9hP{XpK-v1BpdA%D{#~dZ7L7!tl`25(Vvw7?3A`^azkEfLnowSST>Tf#%45 z7jd4-4&Me%5&%Cy2p5G^k0Pm&F_S%GZW)b2q@#i42jl}NL?FZRz$`F`Nbv|3nyaus zMI5BE58Sdiq-_z>8w{epXejeVc#gzT`l%pB9c&r{&J-l+i5Lor1Rp`fQfX8$*+is~ z6k&vkb3B%dsICH$oRtZMEIRZah1~BLshqJV4lUWBk1BA;YevSI60vAzg_e#S05W>;rJUz<`fV?Hk9IK?_7cr#LKR7h&5_I0_ST zzy!#}g7*Xg`4P5^bID-f+OWVWECcwLa2iRlG`NePE)J+yqdOQBs26~%lL593kAdDb zR49jl^M_+XZ)Yl*IfDDZK_WULasd~>z`UWsBHT#`Rc!Qmlm3gwB>h1E&YUFhu~>a~ zun7Gc(d0z9Zjf9<+SouwdDMplk~6>tro!bx1r7`YFeWsF!J-hx1C0XZDZ?qr5Pm>H z3!IraB3KMy8F)l}69*~@2y4R*j6LxYB~M^-oMUqh{HjQ13~(xxbV4Etj4E=R0iull ztHO_n5a*yL7^EucR3aK~XmEr9#RFLoh#G9bgBAGU%&+>-#39FuL2|8tt)O2h>sA11 z{Lv>)r6PAO)Qmx45~RWbeIvzy!0tu^vxiJ$cNRIw<3^f%VUfmc{S(K+^$Xo^$20Li z9S6=`v1lM4!c@R<#UQQ&bXo&nlf}#d-(~dQ6j+ncA{i)pNKrS`HV~kW5}J(>C{Pi_ zL=o77J*-e4hYtg*Gy@O~PzoSN2nBWU zG&TDn=A3&CykKCVzz^IvZ{S|R-2uP8;v}7tXL<~wG(R0 zfKUe>)98mAO9Jy%m_ao<9XjXH5xy{v3MEt!Z5e(_`eN!FdMf))339fP z7MlpU3@H#p4mW13`x;M%Cz8Pe;6PD=no25gdVq)p&6w-T!+dryH@; z2(=WjD{{EUsAr=9VTXz$gXO?7pbsG(*j3Pm6AMH|h!g`rWkcF<+YEvkpuq$=jlR^% zShA<^hl8v>2dNbR1^>}B2R{Im&-*8&JA`xr!Hd54IY+Djhv#3&H9)LD`jm{5SdnkJ zVP{3k43F2>syl)r&3Tu!M%on`Y{T1?KiWat-MFT_?S+W)4xM9)Q&)Yt-=eA-ruVeX zFzT$>vU2^ZT0G{l1gELz8sX z-X*4DlXSI@W}ZH5lM$SnEw1nLU?=m=5hDc(<->BDvNxM;wzIZ>m{)ZVkT%r<2Dm%IokHJPBoGeKgZAy}1Km%?eTc~M7a zYDYI4n$7CgiVkWq{*aB94~lDfg=(w*IOX;XyhhJA>YiU#hfXPNu8Fv7c3P#xgvZpW zxdnHAYi8t+E~{!8W6iSlm9#LYd6Og#D|}>HwYYKXSNomX6-xM!aJk{!#clgkOq!a= zSKL+h2Me8`DKFUZef~$P#?(80p~)JRq5*tO>A|#b-3HlD{TCPpC!?{B|qWfjm zJd``X^y!v%)0?g37DizWhSFC{_r1}qlveK07yp{MOqF4kry&)+vMFBvt20H!?yhv^ zxv&y*?Ihog<~yAOR2R4M$Yq|Jv`TDVu4ZnT>{9VNa=5sB>?}*&Sr(}KVyBhU~pNe8R z89$VrEOj(Pw7C}_wEXJW7Ll8KjWvWz=$wtZB&#d)swB4Q(O1x7u^|JSyjYmJ4 z3b?tPt~0%F6)mr8&84zADBU{P)Cj%vfO|;XFQ*Lds)omkd8iFub6yFyIY@o3w92Z; zkbf?pf9zW4vJKBNKE;WqCU@OG^Xq&-XT-|+g_oNbILdr=OZt6`Sem@|!;*Oxg=^|( zR}ep>V46yH9xy4+@jmYV|CoF4c&hvVf7}jP$qLDi6vsIS2iaRu_KssFd+%8?qo`yx zh>Wa^P*z5=Ba$7W2t`ID-{(<%F0OM@@6X%kcl%xcTrO9Sp3n1qJ8288heo>uy z=o^QfMOA5X_|yxT6{PO&6OC0{t7^_KI5{>y#C?g{f#Qu}ZCa&T(d9Q+jh_>4K6r%;pN(3aYuY{XsK}Kk@cFORFCmf zq_GngS|25SXkBy~u2NOVIHZ&nC6pKKEi-hN3Hff-pC>1>UxSGLq3yhThmb1^)FuS` zVXm48?foP;pR4-_?54WRW`G3tg;EK8#1RWtctM`a1@`a;=~u5kr|76eV;(Suo$Q;T z65_C146-|5rSDz+F^wz{HW`eXIG5qs za#bXOWvzb6m@q5OQ>CGa+gFfU{Z-CWs>ae&2HlK!LA900ug;?pIgvtB@gvnG$+BWx zMA3NU*QwM+i{3AH>AX89qR3+7pqcu$f3ADylVugo_#HDkHoc<91ib>isL%C$&up3k?#We`_8YiYA9&OBTSn>lit zTco&^_t-JoXR2q?YwADW@YluublN{iek! zf#yaA0d6|A~tdy5=`}~>sFpH%wU$?K>71(wa^p*mG;pUye>vwMF?MIy5kQJ~Ew|D=Nvsl?> zsPbKYb8rm1J2i-|JKc5l(!rWzl(OIO6d-Fncxz0T92l{w{KnSbsx1!djjXgXu-7eo zmlSe%9bZ-SbpB0z#ng?~qP)${6a66zZF#N`zSA5FAs6OVbBcOmLPe^EKl~UDY%6O%Pb@eQK`)wyw;V1WE-$dfnWsoC^tE{qApOsAelP_!p>VOL%7w$jCq>^^>a$h>35(NC4#dC-?+}*uQ@B6MOo>pt9uO&Q;bZ{+!ml5G0%pLJ|F7Tt`kO&2^79Y>`kS{DiPV{Q zpjm!wa*qOBkU#*8KR|i>gtGvu1~?e1{0le>(gO4}g#}GPeHCIRj3Qz%w-iFncK{qT z6x2{K1m@eLy8kYJ1I@av_Svs}gDf4)M!^1J-&=(f^jv3$*4lr!w_oKbfB^@yOh0mA z2*5B1g8uTKh`7MOCIq0c%|JH=f-nVbE`XCULjXQ8Bmk6vZUkB#?T1_Ltuvr{s(=;+ zB=Ml<0BSxUU*r|sy~PgzB4$uPV`&Kn7eHARlv2UQAm%W0l&HCdF#0Svs>}3Gi2vUo zILe6uh5)n_K-~|OW`b`rsuKyYXS=2INK1YJ7??vx^?N`u79wB@yc$qT!7VJ2faX~c z4ZZW@OTS0w4aD=dx^1A|E&$R>)F2X=@dE_E0D^_swZ?`7nI#w;6#}IaGcbY;1r2z> zs{u;YV8#SEj1WIsGQUGm@4r0nU;74r0fut7{6qk?Z_xh$xWZk}8)_~H`lx_a&D<2U z?S)a?aY!&24@O@Fkzj5QhQ9ATEO7tYH)u(NOdOPZfFdSP+=PSiqumEYk!Gmk38270 zSb|cC0MZov7w8#SAc2EJ%>nTT`nLCQln4}i0E^%j>jEGy0mqH%tOFislzR*ATCGBY zL0Hg9hMPm+;GBg~7$I<@0M|2W%)e%{+kApa$S0zU$v=RnE(*T$iMTMHDN{$}GyK%rwM4902AAuv#1vxJ&j z0P;r*7@!410KNhNGyo^?sXae$RLu%##eqk^Rgy(@_W*o!w{PX22e;7Ad+#dWP{4Ws zI^Ccr{bS#NVIREKx4M#l*f$ae2XTif;CTTv=WuXq1FRJw1_W;+0dScEzzF)bw-?#| zyIb&=d!sHB6dn#lBq&q}D9-`_((e82KY~ip_q}&-OX$|MAq-d=er_Bf41@v4!C!0~ z6g2^`13(kNjmZy)F+g7j(6xZh85oVX06c{l&U^1_-#^cLi>Lrq@fdV+-2SV(6 z2U`8dcu>$5*t0Jss)-8b8-MUCfNg`0EiW95YyZW@!ALaVI|Duro(_Q1fu<^ea+zAd z`2qbN7;hIuf9Y)T+5d`7wo1rb8P#7*=k18b&@DlN06NvEp<@i%0o&hn7)_x7y9+LU zG}Ep8>G#`3DJA^I5&+7!Jt0hs0umoUs)u2}V2b(=rl5rksLwE(ZofS+t%_>of`$hA zN9Erk#{M6gZvPIUnF3A}UXXDB#{yqt44S&&>%QHa(d>cG0A6f>SHU3e0B{w%o)uu_ z0=Xh;dK*Q}g!X(<5{UoA_!w6P(-RQj1{h4Y$02B@sK^Zfp_x&zHB1X{SK|FYYKQ>L z_|LY-zl9~XH%CzE9}K(|P-*>6`PjW{mTb-K{Q4UGYL*Cqc>iykCAtO<1A_ zl!r3uhF$j;g!1Y|HwHD2!j z>cf`{(#aOEXYF0J?JX~-uEhvPm_((};YW9*T-A{E9Z}Lr>(=3!G}KJOqx-&yY=hnIdLrMJ5e~M#|0N|1PU|qTb@{6VX?&?oBmX3vC)0E z@Hycyt7>DhOg!xiH{^qv`-XES4NUi%xCZ$V__Mdv=p3n@zwI6UF2wMy^jJi`{(w-d z@$vhaQev)Vfi!R$SnfLK~7` z6jO^(;%z(l+{r}Sm;YcK>FJGI@0uMwyfQZSweWUqdJf(4cx|fIqpiahr+>`V5#dJv z?7&ozo9a}!dejY82FSo7mqX^_x3HW2H zp5o)|{z`IY!8xv5Y@LE~`ecLUrbOt$M7Q}|xVNl69rH&CZ8}_JM>MLKYo(?ZNj1|w`y z_Xy+s0$;LWO))8nxclwwG%Z0HpQr&&F z<*jnp1Vjf-Z;7$XUzexH#^S%6`s&C>Cvne{jdN~V)Rs(0t^hixQ8~KQ8=?Zo2a}$5 ziE6zlqiu6-y<42yc=q#MC-VCF?|0702R(9%GD|h8JHT-acC{n-ifSWCrqWPE9IRVL z^W`ho^cfDp3f=RmE$);XgT)P(Z%g(R=1yS^ye#=j<}M-BaWwM&dBI~mom%;e^7+!_ z^lxUOv8=~KC3>0u~y(X3 z$cMBzA<@7+KIDmcjDL*cz}+t`L8DCC%cC4V#-)}IE-rW}9t*htiZ`c}$ghd;dtH2s zU!ieK+ek)Q2V1Iy^noR!h+4O}km>!eLeKQQFrmMSH5-*&a<15U)bW!sVqRfhP`yEkgRsq}!y`DCf%cl`s=?r=6li%{++ z;h%T+k4y<|!1c$(=yp+QP;S^NX79m(EB}`M9jdDS^Zf~a_*FyyHO|WabDVW&eSFLC z*9-B#OY%^)TvTZhzzG5H2F2A3VA%ix2%r!kaM?Af2E;8eOTcdqhJFB~7ZenQLDfqL z1`tCCP#*>LC$uDQ>vQh$zQOn$P+&n3>YxBlFgOI@O?ORBkpiF|2g=O?fTI@yisdk% zh5*V)5I}W=gjot&V%YW`mR^2V=ukjNpuhlpz?}5@e&`l)*?6lpk=J z001Jua|*+R!BGnXFg5yVZ%-lqOE3AkZ785%0TX#376)9LC|WSEeb7zWt@Hv$Ss(%e zU~~cs$o&D?ni&*K#3G^Q0;WQMR^Akf1}FRB@_XbZKP!uXX&2-UC|-QPt&S?73!u0| zcP-I@k~0KoNg#zmrw24DP)KUv8$hT44zUonFttEWPIt^uZAJ83SIl1|r$8uR+g*fi zx{Hh-0KDyHikf=?0|;B{F9M(Wp81qNn)jzk!Px$;q_k(HB2-iSpKs%@QV}4L|JzbgkM4l;fFOn6 zYaPc7zKPR>^BrmM~E$ZuZV?3-cYUcy;dK63cnOr!FfHuL~wIW32(#6 zp1^m%xc%X*I|>gN&(e(z(sD$!WIhgZ%93VcBamnHcPw=qr%b)%cSt@ zi0SEZxp&&uUTJJp*ali6!6)KZN5lKQ1H;BCqY5`#S|8@O^*@M<{P5y4*RAE}Q`O{+ z8mlVpp*k6hH2V$54hbAuD8K%0p)-{3Gqz>Xw|Ac()VhyZdUi9Yt2PY0eV6^^q7-w_ z!Hd_fR|`#l)R09wQ80eJ>(QY8G;@*Jov_qHhO8!N{Oz%cA+8gp$}`0CSA*4q1Bhak25(zqlw29Wz8K+ z`zZTH`LfzfT8Z!)J+xmnz;7LS?qgI*cxmz)ZfB+SaA<+a9HZ0j`%)*{c0jucUqdWC$SNPeKXCeDZVVv)IVVq%yCmWMT zY|J`8x9Y}&DW$@j zU&pWlHxb@3pN&?t+%%BZH7M;N4$9%A+t<>KOCZJ0M5Cle8f;NV zuEjpK@pirs_jZbcA*-SN!20t8>#v`y5zLRs305}T3R(QP>2X{|@cSL!y04Mtif<)p zZG8qdL~h~L@g1!`JXmvuJ;TmbYTp}@;NcjiH@+-v?^gIZP4>sVn<`9l=t~Ux$`+ z#)*|0Z5*Q+nuAOOaKAb)#bc;y0H)+^7a-=U#*a&KC&CaQih$k!8es@7a0`2L)Qbcp z(*F(;e(dRQ(x4rjp{Q5=PE!<$4^S_FF@CfcHW(H9=idL1EO!WcVYEce#DIn-+L{nB z=<|m~K>`9Olh94k!cDXf`a4U=4jdoaez&I71epa;O<7P(!m#Im2R1ua{$&n=b0C0; z$^75J4MuY?@s853#jyInBOHw8pz8*turQdT1^5`vf$A7)Y8ZWWv@i*yIjGD4EFdQH zU4885(V>VXQ1J$a)pz|~{4xivSs{=fVKD!90F7z$C=vyf(i#TyU48Y}>L70hQcsx7 z{~bzWTpf%h1I!jC^S|*rz=;Hg+c8=Hjnjc)MZo8b@#Ox-=}^=FD1t!rliPun_}v!{ z;J<*N2_~1{-l^sLtvLW6fQeE}tMBS`z*7Kv0(@IAtc;eJ?s#MRTSt2jwvw* zxWCvC2>wX=4j`&dmK6*IJWwb?^XQ$ zuPcZ39tB;(>zZWYdmk*YHktHQzAIcP$@D~%K?cqF4EL97!>Jip7~dx)MvBmv2v`~r zYGj`n?|s3{sV`)=F|;;XqS}7wB}AS#{pRG*`Fj3qQVVUfG`P5ReA${K~2R>vdR3he^iPb^JAiIIm)BE%?NH?{#pC5E^cI%Bl zTi0L4IC(?v&1MHY{K#E}j3eWDR~{E$u}u=k^}TR5@UYwv{B6GE>@Dpp-Xe#{p2RuL zlOp%eP`XbY>bosc99okk&Tjv2>EGqbWbQ>c7ln4}o~9s2%7N>EmNkzN8LX`)m$ zw%FGPLP#U|H3b?8>Hu+Ts4?{k|<3>ZS?ZwQtm9pB~dDhpii~W4{v0=Ip-$MfSrl0 zi?Be}Gg>8Wl3RRtWFMfr3dua#Tzx7pv$C3$O-s`E%|j{vW5f{)76}$-byGt{5Yu(X zNujr=0$w2X2Nynyx85kRsNaanC$rGGVspm5P2s_!StXlE!!#VHOpDocQ-MzAX%2R0 zKpPByS-#Zeo${>-0iv5(BZp{uHXsZ6Hum;i7g+L?h^0S=w|dDvkI>P08$18#d3Agh zYq4So?PyMu=(3{vvmuGk-|I^cL02XO{M^pzSR0umDL&aAc)(M|H7uw9WFTmBF#d)p zPqsSO%V?~REy7q6Ca-hFHU{&%g?SR0b0CV5$K-0}YT30iRv3k>te-CP%o~T?P;;yZ zZ?+y&u)gcsP3KGWF^;5`_dcPMX2hsUw5$IKg=h7~H1~9!kNU5Ug?2XiC?B#~VW)IV zXPUyXmLRdOW{k!iEW)#CUM$bXCBZe4{>H<9mYSS1GCQxP-dBXqc=@t3P4vD~7Msr~*f`j2fqpHQ!9;w}q3brDC3p8wNXCT5F=)8!G(@$F6ODVrme` z4qV8q5mMb0V01#z?29OjG#n({Z$BD9s4#OXbd=~cW8Ral=G%C~jhbw%Q&x3nzGBy2 zj895}K71Ics4IJT0(#(?7KhQT%vPrQ?BhqmZ+z#}UUS#|!18d;!PG70x&C7@^4lF{ z-Xdn79XTDtkDh*-RP7Hdp*|ger$+JmJF;1b}k!=|4xo{=o@nA7hBj?)Z_a84*-6U>b)I9XTKQ^WNgQUCVx63Ut zv1|5-g@aDqRJTtZEs~5&dDBqDcyK@}3eI~dz1U2zdTWU`itBTf(GIPc)x#4f5sew!YF1sOz|xmkPo9d0KQ|6c+h}+7|p@F z6Xqxl%vk(86U4B2fI}7nIS6{l0(w=un1i4}5VW;X?=m#g9qdRyqRVXyqbxy*8#SDO zW(nEiI@n$`#`KYaG$7`_5(KU9h|wI7>Y%3WFs#12r=Vt?!0ZYfLxi@2R|(Tch64^N zevAn_7(v?QoPQ-jAb$iCD46z)9%gMj>|f>}f5iNh(VBQWP7D>0gB%3&Q{L6RP?i8L ziuoy{_3(Bq`YV(d27Diwx`&V*NE5Wf{$&o>S5f4O=udeE-~-JZY#a)pwP0Kd-E@m2 z{kPtUvIIjFf|$||{vA@IXp8>bGe1gd4ufNY>CV6K5g<|q8grP;cZg>Gc5%R`hXAv% zXa@!u3jbzxfY|{GwV2G&v&C&53Qi3*ngm86&=v-Oj(-;3!%onSV4qKZdo$>tCehv{ z0Xt6rR}vrqa6x~Y1Z+bJLy5u;os;{(ecDKdRy zek`ADae83v^=#>LE5-sYoJ>hGm4q(>eb)(^tm=c6*w2ot5H-^6<=k$~iYF%~T(%!Fo1 zhU_SJS_N0AV%pT_L)Xg42^v{UlDRn)34$8d{Jo*ZgTB3AEE9(;KF8A%3Mo%tyK?y{ zq1A>iFz)EUAxc^X+jRQyLLjO@J^N|o5tsPele5oPghRYT|9k+gO-Shoz^1*$M?wc zBOYHPce@C<%0iEdcK!6`Eq#|WgtUm;QwK6%lE)D07-7BMkhj8S#hxeg*7MY0x@@13 zn$3OVW?^#@F+^MQ*m_oUl}%CBH$w5%S8W3v{U>i6PAvC+DSyL5E+LD~Ixe!t=%E2X zS;x^|80Gpzzwavp9;Gc4hnGcVa&edb%sX!roR4Ka1C*S>W*_sr&%1CrW=T>G7O>Tc z91K3h-0II%$`oQ6sAN^SND`eWTcSK4bed}j9^71@y+(x`^>4sAn)8(Fgx;!nXywNy z_Wh@s-7lDr(3B_kDrIEIkzK8FteqqYwZCDmqOVYXEU~#CLPfZ!#%oU^5;u5a?#n^S zLLVo)BMfH9jr4O0C4N@P5B434vDZkkS_@qcOnL2JdHas>IltAm@2e5~TGqA?`r20O zUUjqPx3HENV;{zGxuIEdOe}gS93fa%o?_I~kRbwn@NE2QX3|1!x7*8@kjHXC)jY)T zd3J}I#!y_T)v!W4=GI)z^GDSmA5T0f$RhdBX{qHM%|IiuR}=NP+4S)6KtgGK`iQ|v zoD7`$o__xx`BEi3zwoPRgnbof2PXQ(i#t5_bH)?eSo3>-Wsb*_km19P4jho=8$a$H zqJ5d}K>MamZn9DG@spfGP`zBuuRc|uq#eDAUhOkHvg}U5`s~|WaUi=syUkeM!H3vR zeg#pbCZae_tqXo?5}}Nl+@$2OPw)$vR79f|1a%io*G@0HKej56Q0lIm;JB_#&&cj@ z$+l(Ve3O?e70=zaOa;c}36iGJR67QQ>DdDhqFCN8@{)f`$w}cmTY0zc!w{Bv!4$!# zS1MRZ%uA0|B4QMiTqRBtJXbZ1v?cMmos_58AAxg2dv&?)*Fk}dYgMmwn)yZw4%Yc(THnnLTI_CZW(@MH4_{WEHmnUPsva(jBrKy3 zcAu>ce1rYoAkrl7VUUF4u_l${SS_JV8O2k2ZT>Zhw+ue^(;iS~6V7}zU{sqAYHB9P(S>+J?c{P%G z_f{K0|7Uy~SEl4*U#B#=U+H#hg8# zu0t#QItf=ZcHN$AQbsOJcUl}uy zapMvlBNiE@=pt^Htq>nw@V1wkRJI_hS0i!K(0$3cnJbSFJod7y8nLnH-Tgg9tK_Yx zz`FUqql=4qi`5$L>Q%N4A*eVUx#_0>+roN+%)qAvZ&?&Y}a@tIAmTkyAc zF{V@7BZ1$fQ(G^bf2UKZDDyAUDZqUA;}0r0JGeQ5fOqS^wztwSL<6Wj{Y5&32ATn5 zdD|>^0~7*0%)f)=ZKglNC!lE$GARrp9{|(-jX5Y~@?+}J02Ri+F-M6`V1yKc?!#Yf z9-vn-Mo;KD$+k}pq9@eM9mY5r)N^-P7G()iM@)SgRGzYn<>~S zKbCu#i2Rdn?94*`ouF(RdPGfkq6kR9e!z_jUNip?MDAh6vi%GED`SC!Wcn{NmRwyu z7XVm85kaQCB04arAUb>cf`C2QgX|M$x}8ok$p^Ema;v9jns*tG;7cC9KG7#~n^3LK zf$!s(LDfg&6-D^%$Byu5N7pxNk2xR;^t}kEqI|GIgof;;FoN#h79kB9x5(Z*xyI|c z@%hw|gi`W^^h*i{tmv#MxwEf6d$K$uC!X2GI$y6j>i(GSc!pp;<>qwNrh7UK z+p)neG2X+E`emaNV#!1@2&|QHT=QjQMY-d$a&3hj?r!w%lL%W0LXh9Tsd#L@c1SGx z@~7`7-kZuR7;ofRvlqxF)9G5hBwc;X9TUcX?K-g%TXiV*69M%K`A-MBoV&@T5zlZ6 zsAQt>g~X4#%v^DxQ}Jz$(d;K-dP~orO|Q&%ZfT8$17?s>6n-M^__yWBRV^svO?PpH zCyhizUa6rBuUm-}UDBf4!sDM8e8uuQ!boOylQry$d##gXJu{itLJ)Qg#Y{b(+2Hps zWSP-@8N$#madYzc(&>J+M@`w0tecnk4~I%fAcKsOrIjG&hzYDw?vOs2Fh|KF>_yYa zv!}#0OD^?Y?<7%6i^nOr7GfmZrSgPG-f)3>u8Xd^b~|9Eu=cD1>SKk zS((tG4JDEJdXl8&^IFeIX514WXeF9|2q^vjB(bjm>y*e~2-$`1n`duHnOKjep1#SI zA(r3Va996&$@|yEiI;|yLPZFP-=$c-n0h!2d8~C~(hPBpe`0@B&ItKzrp)M5Y3*QN ziZ+^F4}3?l%N65KRh`uPk0ez-@Ru%$P-IDiII+}L4Sf=!lJMk09K(C2%$#LPy{0A- z9Z*;f`;yO|n-{@C$N3g(!!V~-5ljC=@uhc57CaS;2J%YU@ta-5HQ!#$MA%q3(p{sO zJOEo2hpjc3LcEN9-QEUduw0Fd``AbPoSBDU)3uxLgQMNW0179cU>_}!l7QoPS82R@ zE~P&{sw>L#$d>lt$nE5?m?HR{J6IJKR$3Y3g(o~z>EP1u@x$skNYCF|r<#9icy#^9 zNbC3Sl{(k80&o{W4le4?DLOJi^yM}m%dH_wZQCpu2zzC#9(9VcW)C?Rhd38kR?Z+uP_zF%=RKEd-@}7_*%H`^qTG+xN**{D zPApyaR~TDF>Dzo-&JalhTPx#8sDe#vs+SUnu%c)YW}8X`%Gi7TSygJkXBlf>)p=R`xUw#WtCRjkKPWvoN?~){dxAW zvp$L8f$muiFOSGRJxROprAmdQfVlm&pTS9SUzz;5^0$;t-X=G5UWn32ix1^xnK}l) zTC}uT)_r16wt5vm!rH9LqYf`Bi(I4N^R;$TU*a_;y$BVXV^5}dM@_9<1G3$mWhfLI zPBWjLs7;A0t5d2908pz-`Oqg~3POCIK?t9*;E|AijgvTYl%!9|oXe6YBz!-Hc$XJ; zQ>}JTl&KyV9QZD%#C+4%FaMm;pnvj>!>I$Evllqsy8UQr^1U6NjrB*{_FX5RX023S zz%N#ztr=x6m@Oz9{&uw!o+35GQ(6%$JfK>$$!UQfj46NE5!(GOf7p7v>=-ZvQ-G*9 z&YuSiG3O6_Qug)^t}e_5D1IDNtOEuN0dL>0AAXbr!Ed(uKs$N&e*F1gp~8U@1u3nB7%zUSrmO>D9Hb(O6!1^ z2b}yKeKas1ha$#95uFKue?zxAUO!}q0RP%(ywMQ#sdw01QGO+S=OCI)O3YzI0ReNj}S z5o8gV&`Cf8?+=TjM0Fq-@Ovndnt%Kg)1oi{H$_Qspl3a>-46+Lfj|NR#Q-&hp`(y@ z951j0)cio70e#UQ_wP@q+rtcGd+%d=F2VO3vUxuFebSikZS!0h(7`N$CHpp3~=0T@-(ayoPFQJ3nI_HioLb4yc+ZfG~lH|-~j zB);6Ap|$DP;b~hlN-=P@WIFD-qrFRe!o2A1oR@W1Q}z1U&R-_1nAsSPlQqG$2|li^ zNPHi^PE#4Kx12W@&p7nZyZVbi<5HfzfWmcC9uAo_T6RS3W!5%$Z++@ZDzPO8q0j6| z`D0Qw>>oxRawb_&Ss}l7FTU^Yoqc}5GoxH5as9qYC}4+(d#s*ft;b9TMy(!FJ>G4c%FoR%-E zvIuwklI_-z1Gxn=TJqjNA{ML-6<+vmh$%k{3FsJqq4U-?QPw&lCM_<)q_JN?Co7^` z`$5S_UWBD?t$RlfaV;L+^~)#KrWcD0RnBOp$Gz6cel+j)rBcz4{zPh}ws9p@iT(+i zMbAlN!?2?lx&<3A*hw1H7$3HYn~Bq&_KLuh#Hzc>GOj-H5fT&v6&I!Fc}RfGfk!zJ zyx0j(eoqYp9TVBzq3}v6mcmD&KzF~2;hh%!I8U9%ScM~VY8&& zhLj^V%-I%yg7lk99wg*yn8)S~in)eN({(55&GWg+`~m(oD?WUNLkA!C(W^DvPdYWe zLF5SV+|w&uBFp?3s#F$r*W`oJ$@mDfXYciz zSny2>O=xRfxC008;tn@1+Mk=bmNvG;>NzY@aX}03IYGtyhuJk=LdwZ{)DieWhIM!> z53_FHS<7}^SX0(ouC)Jr(!)4tfso=t=(|PA^lvk#s4N*WsR>$f*bnih+8u~{&!PMc zYVdXH$!B&$tMS|`PWGbS9;uynLWU>c=Xp3p<1ThvDW`OhN#n987Mktzt08OcCl;k3 zUlUp^j%G?Oez1=yhv16||LeB*L5q!FOlnTacthlcM)%2C;QCWg9c{r6Xf{~KExr%3Atg$uy|>TaL{jh( zwwUXpzVY>l*9X5q+$fgvKg-M<*4a;5V9P$!O0H_G=r1neXlcTFPt+e0YD}IyFG(|e zOJU;)*TBLvwlMpy0lD|9rZ>G)#@M6K0daZRWlitrjllX>~a2-~pLwQwQ(sGmn} zMk4QUldeq}4MPxq!RICyE-S6r2EH3g?yYOA>W-B3niqv z_KM zdiiE!$bp!)&?z`q-3>wf)L!(gk7`o9kNw>Jzx!StWod+SxZwWq%cDnQk7H&YNAfH@dp3}eB7=)+uB&?5Si zAwWyP0pS1NmLReE(;1_HR>A$o|8kfO!wa5Q%0905aPi=^h3$JA;?)Va<*r z>+dV6|5u^Q_LKS*x(EP}|6i6;OLPb92M`o~9Xf=5S){VZ`B*u`N>vPsBi)O__v0zz z%3M2S5O&^L4J^;eV0YgUSmB57cG05I!w}79mIP;VK^nBQcc#nd$|*sQE)}Q61!({T@vF zSy?^%G}br=13%{XYiU$BU<+oybuB5`SdCP_);eS6xj*eCF~x<)54(gaoX@5kty(5><^X27 z<9B#69+ELOdgxWa)h=Yj2W~&=>5~Z!#a54oK;;uE7(|`33~=pMEdl z@4xx!1BnvR3BiXZ&NZ2rrVNF&B?)<`XBX&?$2rShK3_ZkjrHE!u*YF>!edW)Pn{O# zQaY@r+IZmb4ZDbw{Ab-%>t^On^J`FL;IoX8Z zkQ$HL9LJ1N-D{~=OInI94l`?NNJsowz9)5(>)0wiEj)TnH4>T>FV?<_ z(p|5+E>3a%MEXr@j%B1)5{}A@xKocx`JrG98#(yWTZ&mb8$Q`G{g8de)~((r%QiKT z(S}LT#*K&lr=G$vo}c2>g1@Z$s|lkC{J`h*=V7v6P}`}s zLu7D<54f2`Z)TUm=4dJkE>8AG$uN*Y63e|P$j+HBNq0ntilm#DG#A0&d}~*35%kcz z$?NJp(_~q9Dz62%{Gnn0O}SoIzL^~NJ7xAl12EzI$it&rTJ43e5L7FJx@SaYghMh; zRfy-?p4JH4B#X_6Yc;)EVU==X;HeU^QK>zim<7kcb7zEs{pho{zzN~fjmfoxliGP! zE0e;PniFCr&yAj&O|YxLx7NFFr}ZKA#by+C?>!x&vb*{YsdU6$Des~Te9zM+KkD@F zS`8mDKPA@WxuVZph73CzcCt+2nN0D19rN@1-p)1mlCCsl3CtMs!TLUsMCj=CBoVki z`52^LB|b1B@%^c;R#Q2JZ~XD8qXBwvH$Ld_Bq!M5svc91hm6FfhFr$?uou#66XQv? z^DVHc2ux&%aLZwA8p`-Ap;;|Dh%pA-9wYoN2K-szRkv_)aC0`Za6y4tQSr>)#DHkk zA?S}<@1JG%&tj2WVM- z3@j}$bg1sXwu_nx#Z(;vi=u~*I~RqbJ}Cx(Fl-0J^hb#OFH7)A1uzW||9Hm#KP)W$ zAH`!kGK!z^m;iW=e;bdbYqr=AKq#Kh@GPG{@|2}v1we6`xz)>}iRlQP&$2htm?-6$ zr&SxPYZ|s#tM>_8D>+$Nxi?iRPQr*=1X&C4aD-mwD^f)FH{g*dQ!rA+TqDNTJ7hF? zlO)6K3)@<(EbZ$E?DX@O!dSep+X*iaAJfhZ3t|s_{&Fd=xm3>R%F#mRH0zXEWdplz z`x)-?fp`wO{YTb1t|(}SHXY2kA9}lQG2Y(i$=i)ON%7e!@Y-7c$F8Q z7TQHRyde7E8*%2S(3g4(mo)LI@Ho!Q@7Irhw^Vw4nEZo>uaE5w@%&j+4b9p0-ej%y z!!~4*M{|Y)<>s$iy_5^mW}=bX%qY!C-K@-dJ(H^MZ_<}_J@m5IqxLH>QkSp9D!$5V z{)mrO-Pnu=o8AQEkq6)|ksrJyn??$`e7(h_cBSEX@t4}0a@smyc?Wutjf~`C%|>dk z4Je`W`To3uZMUYc`G~lYLpNxGTi)0aPA)fGmeJ}>^1GugxyKcGNzccKgZ8jAPb8DougD3M=>q-y+@Id1R zl>W~moh|HLQH`=cLGsWu)~!ALMTU*iSN)$mau3t7?FrcS)NIc%DyYGd|JgX-ufz&m zkbnC{?$H}^0i(46Q~8~(mAA@euGzjg5`&jaW*1m5Xzi_Z*{M*myiRaQ!MU>fyLk)i zXrEndg7Z7<;+xw2LnC>;z0>tBypXapqJ)FEc*Aa0?p&;$6L1`wSJ)giom}-5*fhu~ zbE*p_bRN8qzdaIL5;bQV;?7<90O#G+KPPw(d! zi@F|4J!6`EQqcKM@*#p6I{oX}H%O?ZqIlXW`J!@Eu0fev^Mr^_b?csdQb!)#$L>_P zR(H%K>-}fBgX}qZ&HEx=QgRMIj^bgBo8e`LCzFjc8|!R*UfAc1tDRS+UqDr=yUZv? ztv*C`^U8)?msa`RFHfp6$1k49%$^iie4MSkvJueDMx*Y(zwd(%ECvSIzs?zeL@eCyRs0NJPb}~hzq}(1@jB($r^PMp4#ixZi?Pty) zg9Ot&azsWb-;I!pk&*pC#(C)&b+2B}BzKP236oP2##Uo*uYC>JpMCZLd)2C1!A(_T zxq(0~=~xBxHZ!* zelCCFc<5K?AZLY5obvJW6p{JITcs3p`j$^W=o~vteNg`WH>JV(GwSI~3oEQ1E1IM- z5pHjt@|15RrovAx$dx|!=x*&;`10bsl-TObQ`UG^)n}D@E2*#%%}TYi#w^Ws9dd*w z55MWNI5x=CxDUQ`?V`fHw@G`(5RY4n@lwwD`L8b56c^T|BLfo0(+uwk2p%V;r}tN~ zy%nqUK(jk3E$zC_`997L*0V)XI^i1Dkp&eW_{bI7?smePJnEd19L>jcUwFt-E3G@+ zFy^l#neBcf%4D=y>pG_DHOADhlNKblB5u^T_`=mxh{F-?V@D8=rD~dRkEf&Q1YP>) zif?2HGILA6=Qh42ZNsbf41&0<%lUU69n*QSdh8v;gkq;i_4&F}elF~llm*HmY{9LE zqY^2)$wKK~k1D#S`H0YwC3dogN}tMfWOPhP8F+p1gXbU~ofwsgdbp0H<@bQASNbV$ z`ExzhcJ%d&D&M>?St@D8$Qws`pI!lXz!QF`&EOu4oM`A8%anhzpp@ldVkqw>BCx{t z>$95ol1B>TtGo8ek2tL{W}R{I`0`-LwK?x_N_S87@pHWds@ZGx?zW=-7w68oeYXv( zlVY@AIDM1Pb;FzBkonD_=T2${T9jm8Q zi^#qemuJa!ye01ZgR4F2f_aZiUw`edeTR?3JBI~t-q0Lo8XRPy_%ye|h~EaSHG4sY z(|kb6gmf^)CuBkVp0@GJdlveV-OQZcZl2Xund*%Db$ng+ePxY|E6#2|@597tn3>(Y z7R43kQJ4k4-n>rBCWWwlfu~V?)y+?$dBZLI5+qhsmqOLY)4KlBW%>J#rXN{e99Sn` zikOMQ@J52|n6Jb9M*4Ao?tCKw?YRFY-ro-L*eYkDKptB)#;qT=atYLLenh6=hpmhQ z^_w64Lh!@Z8xj0wi&F<>^-J0jCA zqH5@lQtOY?`CkJ{Ko$T8#3TYxpectUtOjaZa4_{N06Nxyy!yBOdj!`7bAjbs$C5RL@7eeFm{c)Ci1U&e)aX>kOQmsPK;)3@yO1lpbZtuQt zAU0@jX=)0@&0yw0gb0QdG8GasGc_|8hQMKfF%u2uvLl1rn*)afB(@-El%w>Y;Gm?8 z67Pfk9tyH`-UvXC2}2+O_cOnMDZ~t@UBS%wk$^DMwF@9yIKNC+H!8G&O60G3=!A)o^Vx3shnvIGR& zNFWr7e&XB0=>Jv-@8?$&1ziD(RjBj+DT4?6cK2-ynxeGW1T4XY0vsJ7Y>pHVv=l%f z0R|0j0mL5Bw!MS9Y;PO|20UB{Od$hb-I4=E3E_dF$nG153PLTw@Ux|`nFYUvCEUz% z%VjKt;ljXufVLd^#g9mXyYgk2Uj2r4&@aFff;JX2D*!K z?2jnLoF5Jq6y`UB0YOs)h*%J&K&QeC=;49;1qctLpExSM+uJ)FrR)F!VH*q(PznMN z9VnH8jsM{e7lH!`3Ns-y2pq^IfUpXVFbBtt5CXpf>H%gLTxIXyn*1pEA%LucCl44U zfYbxZ#RdO#i-TYn2^FvuHWvo(9te;c1~;O)DR}Dw#!pir^w<5CfcYMsH~^xeWF7$y zaZ7jwP@4*XWWy@2LNZ=XZGPDptm?4FL&zJ%jv?Ua%gP56HK!nk*lphLh zzxIu)TK`B~{-SJ!DuVyxWay^9A0-9CD*tQC?N>LZH3b3Y8q8*)w-bIORzE-Ke_3VQ z0cY4oW4^u02Byij0UZD;97M{fglO-oZ#(#IepTP#p!c!$4>6y-wXLg#GeCseB3&(H zEzBIuEr`MDF0RfNNIPQB{*ojEjR6GJ^N~gFZ-lVOLh~2#p?;9k*fQPH!sMG|1D^|C zS=j-xS3&W!E<5 zgbNuE>WF)zym4LS6-kU!9#wIeC50{K5JB6 zTtDSi72#gsrhW9Nymsbu*cvR{lZreOD z+mtVru~{FhW)iI9EB``P(N_1ajzzT3zG7zSf={*An{RXJ5&@-lmPgj_%|0oBQGHzh z9$I*R*0MCswaEWXzC)fr-ujZ`>L>rNdYKBJGUQAr@vcZ&%h^pQ-woeXiIZSV66wf^ zmREj{1*4}4d~HuR!fLG_e5eW^HpLwjRU<9aZJOB`)yi3yeMAgO@Ve@HtZHLzSz-B? zdnfgmZUsJTPl_@WCZbkOb7e&|)ald2wY_*5Vv^X)PG)oFv~;r)NyrCR`5HuTA{~8W z0aSQw1`)vU+>9$@ljd{^Zv;H80Ov^DJ0+C^9KLt0)0e^|#<2UoUb8tQ-5_wpNuJTh zTwP|Gps#7d&0gkA#QTdURC?L(w=Eaq=<6KOlzCeqb@v!G2M>;D!qPW3{5palJ6Lz5 zOGIeNfV@HFskqCJ?%>#z?$3qG)#=X^T|03?kh0ZP+Rm~tI7|M#?u%lGSlV^NF}4Ue zH#f5`uR*h^@@JaDQ;Fw$u11F4=txz4PRWby8K2<3UuNC?P%ys0QCiBUMlTk)xtRR;?jM(i?N(U??P}M+Npg|oIGG-M8kF{Sn}D8%~>$ zAL{PHGJN;_(^ducgACcN2VrNDVg&SZiQbMEn|+MB_fd}ysc}L)EAX>H+2v?8YSxeU zZ)(|GWQQI(V5Z{UyXoIld2!aQLf>Bdv6vje^NSIxZ9cl=DT5;;!-k}xIEgLq+#EI^ z`!j#-qqx>y0VPjnNZ@2AH9C@}Luu1F({TMha@Nb>M3D>g@iwA;A z#KNu58KKGC{jmdSXn6>1Hp?KTI7jr4{ zGr=(jI;~u4Ei^P@hlvdN#SAh-=!N;3*ai0sGamB3GQLme>|!DrLoKzY)~!5o4mSyt z(KNepSx4o&&=Y!xO-3(V(2p7|pw&`sdPL@PETgK7F1`Q7Ya)g@x5A-ZUgL^q<|e8p%PA@rtHs-=alTUSPm?zjxBema%H+dls~^ti}-p`@OXE9MW@`N9Q=>f$pt zyXKAc&n>P0Ki>X4pr-HpAIA-uNkXQODWT!cgL%rBjFC*;(O6U(h{#apOeIB8Dv_j2 zmB>6KMM)%7#w0@uk-m?8>%N|HPU`o*_w#!`|H-X=&)H}1v-Vn#^;nNJx)gTjLg!Hz zyB;~1RkrW-B@@pd-R(!r8+gU^>yp<6+sYdFG&wD$0}ZRFwpBQsf481vE6o01prJw{ zwiYA-KmgjL7kfVhEDpV%`|0#5e3C361Q5iod;lIiw4WB6Czsimt_(Tc+ zDRgfY!D!1wxalnT%v;dOny+_a|J<~e4_m3 z3Th1bMET7MT>`~$|oB~VUE7b|X6 z36!I=VC5%Dpd7KC@)PAZbCmb22r0=oD}i#h5-11Cq#UJu8p?N&t$YUoFI4`G@*PA> zrTj$soR#k&Tlo&MmG2SH@&Y(1MV`!#^Y_$VAUg9zkOe_)%v@Hobb5L^(5nqS&#DiD5JHi0C`--+)&w zCMJ-gry|;IvZW$a(27|siAWB#mnoIVd0gZ>s1qaGR=pEr6QBb^Bt&!*778s2p+~Rk ziXk~76^jvFBk9Kl{KOaX84`r^a*m9_k;&N9iILY(y%R&PP6!p@7=bnm><~|(l>cwc ztQJeg7E4$%i3FWqOT9B=aE*bGVaZ@Ea1ioP9D=t^ z)inc(!VyX(Tsgo&23G_X52;8b;sNgjjt^!gbaBpe`%LLrknGh+GGJ2TX^5Z{u>wQ^7i ztdP)R|2vsgi<$9|2#~UYpv%QDt>{C~)7)Y3NMJQFkUJ_$H-0h&>Z!L;C@tFuffL;{z?rL?84h+fsY8#&NK;lgr| z-AMEgxR@a>qw1RR7!o#9!iS3=<^% z;5fuYGFA2(lP}59RN(9*Qj?(~j2* zayD2x)lV}9pDp3ZkbRdjVDa(Ffj=V=vzQdyaL++)P z)`KbG%HT{1r3^Uw;IDu0^q3k9fS8vPz9vH6do1_-Pe%0RdvN= z9I)y{Y&gGMnTW|2bHT+CG6Z5dQwD8(In7z7cK-u@A}Y-Z3IMwZ^oKNtLmjWGGZV0w z3<*wV8H2}^lVmA~84S6QgATd_##q z2xng?L9Y`oSHhLZp_0H8hJ0;L7653~xL4=@r043%v@LKP|G zdj&%1vT+0wmXs&t@YtYYN*Q3wF=%F{b_@hCFq(tFXJkTWn#>Gr7QmybzGpJ7m=B*` z3hYe)8X6aEMrABMusNAT#>25jInAnYC)BfMgpUKH5yWe-a=}Dn5t69NKBG)3g#`h# zScDf?D&xW17l9CipSeQV5UMwf7eUSE8S)q4*1R zLa@F043>-`XE5YksZ=E6&}>GvJ0KuJ5#lj0RLqPp*WrlNKz6J}G|gt?wH3)^LaB@+ zVoDi8HlNRc&q&C694UiJ_*JC6)arpC?Pe?h(nz{ta*@1(g+@H1vdw2o@xO=*PVwliXsGwhy%4s;#%?e zu!zW4$i!TsSi%)hu^mNXQoS>SB@_~*k<1Jaj>W|}tvb@k79&ZBvrNtriC`H8upoRw zUF1qZiUvcPVi^^gR`t#dluIrwA`_bPI9p*MaZalqn<02%!4%2_3>H%^lY-mGXYvri z@K6gO1@cIpnc8zOr1Am)AbcxO1d*Xc!Wt2c%3dR1$`cD&ERmQc;^2^$$=UEg#4NE4 zhzRJ()ZHjhdlH7kWDu)V_`^b=!{oeXtBy2sSxgZ}3^G0h5_zzN9Ht1&YqaKu{b!2V zRC2x|xv$pUNY)Co!Fxv5iqKJUPOIvFAZte4MR2lF3&i2b*)o6!`)XIX9P|_a8nT*h`x{qk4hi~h=q~|lvAMsk6Lwy_dw0gFoG74!->y`8R5Ax zAxFYf8393@!p9sKERg`@aWO-Hyfk{tfb1e*;Mk^l%hZm5ppy+3Fe3|u53H1e{SJsn zRl^9*61W30Ip`m#IgoM$Jgz_ruo&^D=_`dMLD<{U+gA>A61I$RU zI2H~v0^9=~Kt&~hStf&zx-AeVsL=}zvrt9&16B~to?s1;3q;U|H;t`2$jIY}xr7nH zV#^pJsXzpeM#M%bgA_$|9FTS>PE{2Wyjs=^elO{@s>D9fKvkeR#0Z&rDUz@#jny#=#KgEf4tgRmSQ2F7unSoX zYRFQheVJOOWrViA2p9h6alqQE4lJTj3*I1V%tTTG zF#`O?fHthclqySJ4DHTdU(FFSgKn~ihpvavMGK+v>>nmvIYCXb?kW@zo94{Gs4scnF z2UQgmFe6ek0i^>)v8NGo zx7-@4YiqF?WjM!x`LckQ%HSFbfKv(Z0AW5D;DGQckyzF480y)KOw#wB6bu8#7l5E| zM5xF}0+8=)g9 z>2a#6;TLd`x@C)*uozOF9NsWOP9W;Q6?t5s9~7vns<^tE<_3evK(z)FnOx8(F*mfG zWvlLAfuYMlq=|zc8yqZtu?%N47nnZQjVq>x(N#6v)igH{kpM;Gbwh>|#UVy0craGo z__IJh0%T9Xdoch4{EQezBE^X&;UeovHIZsZKHzxZyhhQEvg80R7fHCQjOu`*2{R&> zNjOp-94szKV_YehA!0ze1*^y)?!aK*hfoH|Wh9uAxTjeb&#wyAATq!XpY%YUK zFkv1OR*Heia#_@~t%~Y^nx+PuNTeky^PEO36e4hIkRhqXvCUwLiA|L9_&892Ux}rl zLkne)(O`kzLd6*?%J0;>BT-dnjD*&Iz7`sjA;MAJ{-eBy1@f2xl~|BP5}~X@!V*eR z93$kj=-f!;O4N*@8WD~J>7fM%wlSP@JtO%22y#@8uf>2tI8qc)$OyWKd^?Ud00?Y` z6zW?{Di5`yNK(z~2A>$^MT%S?19VVKmJl{oP70E3h%A|e#ba=xgeFC!LxBMFI8-w+ zkpHCmTWah+SV_1tLR62cKf<^|H4LzIBhnU|tvdWIB6t-D)&L)&%>(5tf}-+SIKUBc zOR2?K@W<4@Ct)1{xx@r693nFJJx zxQc>f^}cU-iV7Qo+z=2|gg0mV@uX<{-3&_>f%67P0{;NT73u5<(#uNLp?1i&_zNx&&bYMRMGNC-29iV>XOIB#S;YUZ<| zDqPJ|gH>cHfXGTH0X_uc9hIF)mRu&su?-Fx4mUXzmv}6`0H7p3$HS9TL$^PBF{!Ac zr!^9kCi=n2c^Lmp57iZL~GQ`GLO zdEH6`h;SX!&8p4~4N9emxRIxkfw_iKa|AnJUJ1kkyl?PND2{TKewga< zCgF-0!z&>jl7+CsMhFOqMx3fs6QXd0iN)gcWH<_7rwEe*j+YQIj!43#I?7d=#i?g% z92i9gfg~|EkW`Ie&=Bva;{afaQ6VFh2}LX!%KG^zY63#e zs1!oVK+lMSYTcSt|7sE7fb=Z~>s5$Zk?I&Z>1a;X|>E0P3SM9-o2r!ZjE?ka|Faq_L9fhf07!8e{vw;ev6* zNnnKHBSbtZJClHXP$a`gDpVrju>=4m@xFZ5cp6b9P09VWkq8cb4fkk1WMS}iu!v6#3j#VPYA_UzBv+2@$l&u(h(S5ssRCXmr zTqLvkAjP0Y0o-@KSc1w6gmTE4!t0{Ra44ji)cdk=;S~cXgdrgeEf`5qs1fd{>`Hm(x1{=k5-v(4ArDcJ-~h+dhzsp!7)w>%WE9&G zfqVdxDBDGM0zO{`4GJb$kYX;#O*G4fD4Vn-Ouq zsgr|;067~0bi2WaMDhgdiN*`mbyL4j!h=;L2`?1nqo|O~4T8)()qzR8ZEQKoY)b?p z;39Aj1TrR)z$m&#gu|qee}FWoJq94E!+ZivqCAKQHh`Fbb6a&_5@#6~y3-<-hzW5X zHY%-QC&dT|!0SiP66*V=#%2OaQvw16l;JCr+&JZofRiHNQQ4Oia6~BlN5wxMq&l#i zpeO=(0lg}a=0<@bbx$hf_0)R=z~=*rm5?GUPHt4ABHmH?1b_?2B~o*ciGmCf7y@Xh zfT)`Tni`7(qCe$zQwRpCd1|nVAU|VEq8=0}4jx`Rj_R-^glwSBCI;OT{ZBytWI{<_ z%HyHog*7dIhO@YH*6-m?#p#C^Ny_csRIKHj?PQ0JI+lQ3$ygbmEbNl7=P$ z;J<^F0}VRrs;QmKYJ`et(EH&^!Z#6u{%OP`uc7L&qy+hT7VsZv3KGkRvp~S%Gq`}v z83=T!1KIgqC83SNmGF_z2Gt&ff9!e@^7u$7ic!WU zrF-4f@01|Xhx#XAK;-@;hyxUQ6-WaxsPVQM zTP!HgHa^^9K9ZzLIu_NJU`d$*G~68YNTz zH#ZnX`2Psq5Z<6L50pZj+p6P|=p#i$R3!|Ml3_U*M1~ZNb|8a`GD^9KiUFx#Rn-Ws zc2FKld`ZH;AbS#J8ba0ik^)qAf&ar20dv7gEn%>DVs!kHvKe3&vT3M53SnL~n-W+> zSTme%N-8L6Xs0?Z2?!ag4FK&RcK}eCh1MWgLY(Ci8QyT3BS4`wtlqhyLJ7oO_>!cm z0otlYkU=G74ywjCd?v(KAU=m;MxcQx+W-?391H;)MF1#Cp*mdf)zwWZ0mX@wo?zX$ z1Vzv@0>}k}sj@SP$`iIo%mj-Zf@VZaM1mX+WPDgqt03|>6t_g72(9LILs<>@txDAc zBakH!@2H%aVngmxCImpvV1xYw&>a1FaBgFA&~gLynmRT0dnE$+#V}mhl4$h?Wf&s? z>Byw&h6F1OC$vls+#jN&D9gjqEd*T#bRtglxZNF2aijLLUS0GL5RBDILVLWf+<8xp4+U%{7zL4i(y5pwJZcT`?C z7BEQYH-JP=WWqs~2Z0`@1xTJ;ghQR0zE?=At9fp)iNIT7NJy$1U;@~wq&8O7Jt<>> z8VR8)Hnt@+63IEhK$$j#N5I4o&@f6AYVqow8!BN?u8fzhLcq-kRf33jRJQ+MkE1&z z=-hk|lBG!fiops3*$H$778Eb3{+9Z6R*gXQK;<&*e`P_e5%gs7-l>dBB87f6wsOo&QEY9CCt`ju9VV8S2_Kzzvxv1Uvb=eFv&BtigW+eAnvk`xCI zI{{Dv3c@5f7XSrOsR@cM1!~@su!?vsfVrYsCMha{5M_uD^WdguuvJB1b#K;e zD?x<}j&6hi#Pdg14>eQJ`T>(n)pg^7G!K3PI$_As8=DP+iJ}-CdVDNYWXh@e8=OPx zjsdXyads1Eva%BaW(Nv}>Xk)=swD+#$3rt@=p#Vvk^?#y$agZPT#Af3b>-Bbz#+8= zs3RrimzAeCri#} zkFRFVTKZ&68@D$zET6RNu~BrRe3!nHZ=>e08=I>T{o7p^p#yrkQ@!LS$-RKHOyeLa?UX?oG)Is>fY)OSr@JRhVKfunlhfbD^feB zps1(g14E%#@REfsQ$oYqE`9LRXZWdKi`nIRamF3Xr*~@`_#q&Cyhe{^vo;Lq*|9t0 zsm|n954W6Zvh>QGfwqgLHN2F&*tY?j`BuZC;CaVQLESd4ol~zqZ$oy=EyJC6E!K%@ zJbmMjHP_y|rrjL9&Z7R)1!K~_Hl0<{(_xNJPv>Rax3dpC-m`H2O74%feIip=-5aj+ zvvBj<%{haFD-8P2t`lrwouGd>I?rU$uu)w$F7b?JI$W51-tyr(v+&lB4aSdtBLW}63Q|nr^yZFfchv-g`p|!}WndJ%dsI<^=vLV)!r?(VX^*%4!dv)tU zMy%X!$c1geD^|H`COIZwn=y0B#E4GC(W#D8=68+Eb68n-#-RykwO2iz)?$CiOx-OX zZC{=``1IoE;9;U^b)!s8Ppvza;#~tXbJ9!H4LLX+6Ij+jCK5zI$#j&s<^A)oB)YQj1^A zKOXItIdjTL=QDdcT`y=eyeOvZ<~i4xnLFIJj^A;r@q#c$!V7EDqW)X9?%cj^U4EOP z4?A5LIH9z#6=#IYmNtvSMi(|~+Tz~u`Dd-mK4*=nclo&1xVX))9!9^Yn{I7)z$i_U zX_6oOX%^#xG_qyUXglYEOFe_)-M-v4JDE4z<#$)R1kLdA zjrPl$THW}~Xx6329j9M-7!4Bzu@-~&9G$cyWI*;Ktw)Eh>Ag!DH#u?3AkjOou$-4Z z-B&qXaxpSLJnqIm!>_-V{rQX0B9mpiQ_jqPJK)F4TgGQQ zUA?#Y<|)yg3w2xhC5D!KA9TX)T$dp$w#_Kp*}3=drC)9g-q@gQ0i%734~6H;-#pIr zxHNVRXW)@r>j!O4+gUc~hH?J=7}nEB9lYF>M~``sh43^4ZD8^l5|XDO;CI_xl_eY!$z0 z!rckMg2#NfAosKrn+7GUJa^ZmndOwi9{SnM?!TQ~Vk)^Cx&LjOIMaij1ftiQ-uGaR zx%DK7IXEJyX+fuB)B8V)XzGxdxyJ3z$7q_4kbt58JFY6r1ODy^S#dU0?Fh-O=tbAm zWP(h(P&9n0i{319?x78i9$$fyOcL44Y?ni=ucnTWp!ZNp> zZ$t5e@{g5`{J>VL{FSni9~flHPe}fhj8d?&6+bAyS=q=B7_Rbfl;5mu;CxK=vgF%s_`kb07(d*#7nqE0$Be6GL!`iV!^zjY-ZLJ>dkT z4yA^fF?ax=__%Q}ib3N?jT+htfXWZ~3pP~w(I^5yhfD^ZDi2~s$Yv1%5)hg|hL_{f zQN?j9_NRJh2G19)H|PiweiqvJA(e*eA(dx_3U3)|d-6FKobc{ zO(j?TwRtE{LPZxqtBR^a9)Ny;Fse?Bhx7y=2r94+DJj#I@rdlImzR+M`~hKof%{TN}vG@qCY7<0WFt0Gqo!X4wJSu(0Op`r>T7nTqhyB?F2 z7?PQRb%57H)tQ0n2;LkdIk@PA3RnOvHl6@Gk|#!`FOs~}B~yDsmkZ3Bw1OilBRn!Q zBnt7fsxt#Y6>|Kb(4#d32#~P-0*KVfv2>_Dfg}QTX6j>^Aw2Dz6Y~I} zswM)Jh~Tj#&rb*`U^gSf1#Lqfcv5mSxP)XV^?|Do&V-DS!b62h7;YRdH%jH8a*uvX zc;KvmzuYJ+6-z}>5k|ENIuWA@GM+SA4RK_0uoaM^rQW#u&VGdzf|I1YaiYh9!l&BZ zI0Qc-(*#Q@L-tT6lA@m>3WCwa1&nc`2SmMb^&RcXC(b5sCbo$3i9=(fMvnad?@wG2 zLaFEERz7j%jiVDJvYDO2Vd~vlG4zdH|GsL`BG_rW>!^ z;ud#mf|M0}scx)2i237@Lh~ma8S{&ft zwYhO*N+A!A8ZfY(p;w6d1~h{e@gRVKWFk5cQLKs@tHJ*F#EBgzT29I*PV9IMoreAo zPh5>Br}Bwc-grfB9vzZubK`{9BIjdIL$C;iKx`--NFWwQG)mD#lUjdAI;W^@kHbl1 zcv%xg%L;mF6kiNqe-hGC1y_&7XK#EN#vcsvB0@W-QQ|FH6gvg zLAH;?_(Z1$5LNv3RSxs!Hd61Gqle~V$T`!E{3C2v zV`>*G1&vUG9-E9JL{YY)$u9X7Bm}i%6p{cOnb+k$-hfGciG)* zmbriT32QCeaCO}d{1C8T{+2E`jmU~ve_~d%S-lS~?YzV0@Gd*zfwazp8b#8auqN8-?$%z}Y5R zODTSnA#{H128~Ami6+>%a=>ON57D--x_Rx`_QrLE%yX8yH`Hrdi>ny zPGcs_Jg&d<@D`&f8D7Ql_P?Fi#BN!~Pry@eZMr4mSNgn$iA@t8&3=}7tj=bwlTR1_ z7VkQ1GgjVX+_=NC7dPcAg`2JRCwCC1vomrR+vqP^k^OSi#~~A}-!9N<|Lna+M$n>f ziTi$bc@>o~yu3e4(sj?34TBc)hum{K_oU~i^sLe2-=1jHt?1O7PmxcZBB$2>e8kST z(bn*Kj|VpW^;Pd~46j-7_?(9GhR3?Z&*pF=&Ac28Jw zXj|#Lj9=?6*>qIn>Mzf=L)+yScPNRw`JmgKCaH5ImYbIk8p>Z)W_^0ii8rnM%^$Yj z&HuEXYiBSt5W?M-eL1FF0GDzv~Ici z5QvB8XMGyfNTXm@&x04XUm0~J#IX%)%?^*=tesxZd^|iy_7QAz>L&7wd;c!A$Em9; zOTLc#(IyA*^aPpDkXD9D>E^|5M zlX1eHrT2a=JE!#3w3)5EpSuY@#_v9)^|6U<=&Wr9`zHKI?XzKB_?(#ETYI*&YO;7( zU9&@V8m6rMxot$otexKeKAQ`hwy$^QIxDSgYtP>?qhG}T_8WG__ekvT(Cq`fwixc6 z{B`cdjz*JnO-}DT+l~`GaBOkObn{!=q!zDl-M(`7n&`W=R%~{bLF{yQ-Tv;u!~Asm zt=F4jvA}z5iynsqlGm@enDbF?=h3nLL3y$1;)m_rziN;6iu-nUZDJFxZ96ksXisL% zXnc67w|;cPsZJqh^3C$yPan}wnWlA(+cMqT$i1Iv&4`rllk_zYFFn~}W!tNTzm^|n z&lvmM(6aP+{E?N}eVg69TI4>#|BUtOkcWexI5}E4_#Ipp9B8Na^VhUj*SD`bWwP_V z_MxD)?Yqw{G>Gaj*Gv@asBI@L5Er*zd(6ztN8?jm&rN6VHHbdDuD-!8yX|`Z<)aGn z-VN*LbnEWAJ@c*=4mFdXTsvpR5u419)77pY8kVZ2!?K1wFFgxwteo^zA&? z+=O>8@riij>Fq`unSJ+{yB=ux+&u4Fd7ox|8oWx_EqU)6@+C6-#HFK#&HCBucJ>{w zb(1?olre7Hy2g2qp0up(GCqPwj;afSByE!mA0J~Mc&cX07%!#caJm)__(lhgJHYiw!Dkh9%+D+|kx zPWo|rfBSRhtEY9|7T9$_z|AgoWqZZjk9ZxK+{v}gO1+)U-h9!iH@0(p->i{ zyY3*_>M+FkP=rsvM_V;IzQ3`+IaRjPR^zyFuVbz4WT(pOM&;MtOP7~Nt>=FZPKAsN zB`>cyu>Z=-S9k?#$jc+6pztq%jFZg%6ul~G>x$p12`ND^0D%MI_<&yv7!!p~=%0yb z668O|zmpguRCq$f02(hy1|vhp=W~&=K!QmG{6R>g8CWqJb=^2_7>RaLW(=Q=sK%mU zy&k&NBWn0}CQt-XQK%CLIDqMeNMb_bN-PERDdURR2ok6joXAi7u^|+0t741P#?7wM zfR{QH9xtjUpej$}@}dOhzimi26q!6lAWHSKtF(}%8;Y2MpuIF#tT*?{P2hW`1Y(+-7p1fC_$NJ{in zWk(=-0vv;QpKj>CHxq^;WCRD8W~jozRK7p|kAk2-!ydHTgk2!dhy*^cc>e`Ke}qcv z3xcZju~P;?kn*c-5R_~=%j?M~1rPSvv<|%j4#wZ~GK^}{qM(79A8)f(vwJs;e7Cpo z=iKr7xPR;AXWZNRQ;rSRyD|S>*(!&7nY}-M-|fOTZRX1FQW9`x@M(?LV=i}eZM8e# z#oSwcx(|2R>iAw7C7-)AEpE}l8z=U}>|C+o(3r;g*FO#n?cQ_2%Z;3o-F94Xd6!T! zy2$jI{+;Ki>-UX%KK_}tS0h!MXQ0`*;}k-Q4q9XRRHAcGI_6Zwm|Q z(ZYMugC6Zo1>JA-9Ie67((>4FG~It|^s`ytF0FlHU+}!wj|}bCB})%RPG7hyvE+fa z?Vf?zhVQS`(aT90;^XJEKh2Im?}K)N=b~qW6Pvx-RL^SdHPi2DsaF~ow;0En%~|4? zD!$Mtk#WqgPO#%Z9mc4K%)86Xc3%53%eR$5Q;XZuMN3x;gy+Q9u=r(ox ziyg2i|`sa4`G{2!2I;Q=#WtQv)b*J_`68+n8`nw6C1KJw2@LuyM^u_pq zdndCrejdLzWnb~{hI`LwHnZfL2EUUW>eVW!&A>zLTXlEqvf%R7u^+E58M89m{M(8( z867kO4)VLT%iXx@jb@nn-DNYJ97C25*s$%u1do^)x84Ceem44W^>XY+?V)vJw8uQ~ zcof+DcR`AI`KF&kGGVBu%jVb(4gEP-l<78T*~etv`eUx!Pfb}L+tYqdwh+55;*+yFX1`gI^?uNT4PLQt7Y<1bU3;&Q zn>A|UZ`^uTSL4wAsmJUGZ(EeTcxB$@q~9(&UIX6jVOxGR4h_5dyWpGKo9jy$7o)d@ zO07&J22%&0db+r1f`HmZ1W!^0ut-~X8Bf5B+NkS)Up#l$=*I&<$z z;VAzf>212^tZcKPc-5l$$6`67cD$NAviN6Fk1qxrt?gZ+im$!u_j1h6Te_>?-v4PX znVo9a*}>g&)1Ht0dbN#?-TQEZMbZyzL(@r(e7luKIlL;J8WF#F?P-&uY2UsL$QJFM zoi^sjq|=+1YMu)X+4w3jYsjee@0Tw=7oFWvaB`3RlQF}7Ir~3l&0V^DK)i{4yx&sy z>ld_h#OIb7I?O*3fBW3)XXUpCdo8Kge5;#RYP0U!`#V29KK013*mt`&x^_Ld{7jtW z;FKo5db>0ZWj4HS{dPm4TS(dy?P8&P)*6ngpkbCPzmf^hNg=V=@$LB8+Rs>G6 zJWyzB_{y+sTK+T7u%vfaB&9|ZFB?BKjOh7F?`W^FZw*GCT|ddB`{+r99r&ZNy=HZ_ zy0%JNuXKH#){HL0%LctDo>gGv-h8f}PM?p#A}iN=i`Pb882f(F$?I;+qnF(3?5%5` zI(^K{gGDp;oz>hUJ(%C=r|qfimm5deesHayW4*UYS29jfCr%DLe7BNKs_69lw-c`Tdv*D; zIPgJ@Qj$lBBs?irVmZ`z<3cPi zdfO`GO2N1z{men#5`en(@8klC(V;$pdj_*rCSjxQQz*yHqxKUDz^DnOyjI3eLXf{}8#{SV&)Sj2(^~y)hL(ZWtpy{C`cC$mJ1c%t-Ymlv z@$clK^Jg=-Q9e_X%=fsh_6&;Lym5HTdVTC&UXQwWVA$!|lTJ@`)%ehASj&V>n#5ftVg&C0kTO#H zL~3U8sot|^ywBMn+BZ3>+f+tpw@*py_q(JS9`o{f&~a}9zv;-q+LlL3({AoEI=W~7 zQU}3NmscJaKHSK>G;7e>9x=-Xe|{t>XSVJgmS`1AB>Cml4d#NT(>`zETb&+f?c-&&WCeLlKJ@2p)5 zXS_VLB{EGjDelVP$Sc~9Y;L}qV;6SW(DG4~#elPKw{-qJnBRTwfCXFk@+$bHJ&{TDb}Tldgi|ABuY{_|^LyXk`8JAd#FXta!(-l)UfIp>ytS{&GFu|wg2 zYb#HR8l2-T^<4cWY;L*R<~0AhYe&6o{AAl&-r-Mg{f?|{_3>_gx7e4FGXK~+xoyt5 zC3kijSRmAl4&R_TsjXcZ*XF@!o4CGt-VI9TcyAb&FgH9r#eZ7_zr!fq^9=J>&3m6) zePc^}@#_c2Uae_iyt{em`QpJXx@lRCIq0w;r0>%7wB(XiEThuhURjrTw+Hizws+7w zrai@ZyZ`PVg})D-yHpZ>I`^94Wm7|~XHAE66gW0amDba3mNV9ddE3l;N8iZamsT_% zl(n~SlLPe&XWkZm9kKM4uFcc>a7?=Pdh_D^(fj-QGmfl}DSoAM{Lqu!{LNv9e))C0 zA9%W5aM!x!K^?vY`K_pXSbJHgwJw7$X`Nm#GZ^XX+O%v>lg_Pna@#+j)Oc^iP-c3> zF8gZ7g2=3-cL%0NP74dK6SB=)W8vwQrS^qWW!D$_ z&8u^?shl-iLms_to}|a#B}JVW**za6&(f)z_i}~TUFpn}k!j0~+c>T0F#2}KRvR{z zHEQwt;7M99`A=(D4KNMvEbL5GFBxjliqHOU1!FK$GFvIJr05U!M}>6-#HZ*=U9(S6 zwe?iRaYtsO9QhBIfY2%aKG&-b?H{{Hjj_p}$(z5Tjz2?{|446Yi$9>#|Horg=5FxlY8!tf?4RtAZ_wuE z^RhMr^Vhejr?Kkpu`|zXY)_nuDO_GCnB(97V8Wu4XJcZXyS40Q^}%=OroyRF6MmO> z?cGSQ;rMNrk@v+}dJEhecjUNnHF7M6`5)5M-1TD2mpW6srLKB>zN2x6{1ctNZGW!6 z{rKYBS|R6qj9ja4vZ>EH@20z&_w+fkUO(mSsmJqH8>S_v{d~Xj;i5+CIK7Q-`|CJK0aN4Tb;9cV8hUnGU(SksJ?aTw%xU7J`=$7`)MT*h zh+e`r>mQBEIrDDt*pO`*&&Q79XV%GFvNQ5Q^7~(7iu<){QSWa3*!Ocv4y|}Nw0K4w z^TR&JXO=H_KW^E&Nm{_kz%--Z;^GG96WEKVYW`>yF!bWZ?Ylb-h&mLRI4Y{4v1Qx5 zXFGP^kJ8--A&`6LN2RvrpXv*Q!)E+*F-a8!axJHc*zh{K=W*4pCd8`xZGoTJwN0UAAy> zipEjho_o3XE;#1;ySA-AYyQhcv6BSdW+ZKxdL+&69#{JxtP4cXyh zJ+}VQx%J z#4mif-ls@+-iyc&*11kGYw{*@ws64E$3`jzmIEkEmyQnuzI}bxr^1=dsi1sGrxCu z`E+kyiq(VGkA1yIUvO=Av&3(T$N3TcOlQB(c(&l>S=sfdUJskNZ=QO7-J>xdpB~kC zDB4%IIKbZX#F=Eq^y>~|`NH=P!d|WFv3Sl;kKmk$`FXN#@_QB=eV(ok`P7iVLv}n# z5Z!jfHc|I}ZAR=5GCBFVZ^JIxW%s+z+QN62y}gjbSa&hLhs0uAR{66b@6QfSi%&o6 zw!A1zcHwe<*Zz%k(pP!TdOdX@)9l9j@1jMwtu*(4)O|GjK7aA{8Mhf*MF9n)B;zM^ zvpzlD;AKhB#aBB|_50Dj)wetc<97R>38!zJ8ue}dZ{Dgkx0hZ`5cGfVykuv5n?=v> z9b>e+=RNb1W`tc|q28uo7wef_+b@X?kI=F@@wVQD_>$h+=e6)Vcyr3?j!7D?&GN5i z-t4L|=xX4lZuQt6!^@?7qg}TyZu>QIY@XXm@s7n_siH)uX^k#-AM1QF|0l;JdC&46 z{pXzw81nk#`{a@$t)i$;jnb?yF|O#(FZDTS9h&uFpwI`|hRO-AppjU|avZrb#J|=25}7hF$wz-(<{{0W|R!K=wb39DV#%VF;_n zU*MZy96nP+{PnkYS8-3uDC(c$SbzIyRfhstRrDvI@m#9#p@# zd7`^OckO@fQsu3qNKb&I3uW0jjDPOb%HbTM9{|P|&G6dnITAjRCLA=wYqRG#D1|4A z9W=vhv*%FQf-)AG9S_z0T0D0}kugm~j{5J~>^aEa1E{Cj@hJGN&DD_$ftVl7+@U~J z^QM6$C7gJ=g`;F)==L1o3IP6eJ04A}YjJh7&LLKbx^M~!q(8$J+N%S)L7ItXbZs^r z8|{|3bR;&k0I1EqqY()@*w9_QHk%H5^&sxiu3K$39oUv2@6l~}3id?zH8!3K+))3wB+88>>ej>i6vsFmb*W%{UL{dm=R;R*ycn9+4eX9A4aQ z@tkjiJPfY8YiZu;qE*zSWOch0J3I$zA1dgw+U8q^XV|I%&xh!|zvta!%z*bU7s?jz zxjHXf+-=Cbk2%erM|<_&nfKl?aOF#9|9+!1oI1qWulh0k^6S89<8+<)S-DaBi#-$K z4KA$i)?1YD+`^z4qgCXuh`5V!T047w`nIZBo@Y>(uQOJ7ZYfz4vZVM%4;>A5;eq-sf_yU1 z%waq6H*IoYA9^E-- zwy@u@S+k=S-RLZnOz?1EwmjNrNuT-tIf+L*aPRAAU4!-*5=A>EvU&PB>Y zUbRI;Dnb|Qv!}r%CjT@)E z13q-G-`lZw_{S^l_2(`#Z@FS@yN2@Q{oTgK#a+{T&*R!A_8pXET_^1IkIv3qZ+DH% zup1{HH8?oK=Ewqh+}NWhTI`)%bgy3%69dhVsq7`XA$}_yqn2%(IbN8xqCpRfJx7-= zOv#rG{gmLhKf0jjXPb!RrdMvdJ5T2hxbwtzd1921-TcaOtqB*mIsRnt*uUaA!}p_` z;n_<(*TqYs(h4`sUwEv~tt;2vyB>(`6e+d2e|gfW=KBlpZV=CFAC^1io>PZ)yUvdA zpUh=AGaSm;uADxLHqXi8N}lgv#r7(gz)5e@+V;Q)bHB5xFETD@#jo((UGi)5CVG0D$gq&M$m%R7G4 z)bY@O{U`Uu{#p`0Bdw8fXv&I^xEFQ_)1D^&dR+gRrBBAn?@z|;nm9Z=V1sDUvn@XM zXMbpHYcuSA%i^2YpPs+F*S?)@JBPysL%;UUN_R;9={4T`?D*iUJ)=XsmnXkoMUV8?3|i0~ZChU*D-*b7fYfuQglvq`JMLV{*czb?31g$loxjz_U+3D zuT9oE-`_sZA3t%-&MyTEHa^G*+tc(H@5S{N>79i7{(gBqpFVq-FxhX&pgWqSzoRnS zWzD%=T)MBp-tkl4oZdP<=v}rv>#*B^=O4D@iBje<8ha&4b`N>^DWo{aHhIsFQpP+B zXH9OiKJph29xp}JNL>*259W;8S8%}lBX@&#PLGw(y;Z)a&W;oOU(Laf9 zIQiq6b{zq9z!#&LdTllx(Y2$a;6bXSHk%G&y`9_#m=+Zg_1r z9YJ&;H%K?UHk%Hx5s^Qn8(y1TM-Ux84HE|IUYlJ9HV7@ZmQ$riRdve_9a=>8bWg5I zAE;`Fqf02E-B4e+Dy^2P9S%fCNNeTsIBR~}*+6tSv{t@K!=P%f4kQjLjdV9(n@vX$ z9W-jE8(y1Dhn8btpVL0N+H5+7wizuLR;77Mb#sS$El5dpTb@F+KpShUMWtg<8Mb1JR)cbgER9R5x`3=zttfck$Y6I?~4&F{Z-JcTYu zH(ZEKLu=$Iyg$0pfOJTSJN4En1U|aafOODmjyjt6XSPL+kWLlo2Gzs=6IxOqj8i4u zpoDSQAo(c%YicrT;gaPtdz3CO5iOUElu4FKWh8=dSZ*&9*)(-|k}z|QgMWW;-cP=` z@3yIXH;vr;moq~y->CaQ9-1av)j9Vw$0L15*q$|O+HKf#{*j*P*uvId8ofC%$7x3V z+kxBPT(kVJGSjj{rtep`Z|%=&d2)HPrJe4wbdyGIU`k@&9cn@rRm2>IqTHLe*O)HZZX|B%fyL$*wQ2^YnA8k+@G^b+&^!# zytVHA!ff~bW0tqx5c@-(-8M4P_Oik9ETcHj9wy^|&D6f0{bkOkR&SE?>&`Lk*mS~p zjTQ0T7BY(S-Yw%D_^!aKnA4H^cS;v0>U3?{+;2MP=?8gFH{IqVxC7c-x3UtPxPJ!%VKoi#@+AcGGO?xtzA8`pG^2ve@4p>9Vbn-9r4iEIe#KM zWYuy3>!EY6wPib{ODqTMW{#OMmKT@W!=PQsvIN)kd!sL>8}r6_XrJJTeUB|V@nU&M z)1Z2%)kD5qqh1%rdmNpk(5(C8&~kM@Z@obNMGv&>)G<*qdQVOD-|zx0%2X>&PW28})F z;Tmp#`}lIBGw)9yTC{I~nRv6+@K(JG2Rxk4nR2SdRM*d&9(+cD_5L=(vt{Mqr%!Uc zZl~2Nhd(x0!(iIXPj#o9o2o6HlXbRtvqp{VinE^-Ef2{U*x4xi?!=hmV_5yInv15c zXuaY_`rfBej-0mlM?T4M2r78i)cn%*lbfD|G)#{_@8j}Fy2<)g{|vo+eg7AWPPUk_ zx!suV`x2*zKh=2X5_hVfZ~wkm%`#@Qu7yWuG&SdIX-tk!Kfbv9N!QDv;i*G1^Y0yO z^l?$wxJ8)*OABuB{Ium)Z5Q^LAz8cXlI`Wp&$-NT53CLQBx&0F z+F!-(`N%Fm%y~OW|C^00_QubM=vB-wz0^js053TYX zHx24KtIhCcb^9+BKOEI2;KD}Hl^5$a^k^U0=unru-$83vJiS&HHeqJ4@Iqj_`s;rF zO#U3+sQJ@}(Q&^XZDD5y92+f2;xU(p#domqd;x2)L#+;tz1^b0PNEN^GB z#lm=Or}K~8u1+=nmh77FC6XER`slu`t?Mp3U%K$4vsZf9jwAgYjx_&rD}0CUnqSv$ z7_BO6yL(;S)9+Ku7fKkjWkm_QzD5R2zIOQKt_F8U89TSg9Czz-qZt3!vI)DFW+X+Q z&o=4i>eT(DmlvnWOZMsx`$L}eV+xTo5HKNRjc@6Vx1_@!F#hr%MK9bPT?L*awd4zCvcp|HDYhgS>!Pa{< zD2!{`;njja6z(+b@M^&y3JaQUc=g~9g(6Hlyjt)_QDIpHy`kAQq!k1`_)|sOMB&)e z4X+OTL9FmsFiAJMTJVQbj!QfBYQY~$NiOa1YQY~$SuWl1>cJl>g^XtI)q_7&3K`w- zYQY~Wg^X@^wcrnxLPj^dI`D@}rI67LuMYg-QYmC~!>a>-xK-$m)$Q48!5_3as>P4C82k`NyDu5b6kUve05(FXX zuUdj2Av0$>q>a&XOF5YuJiBd6hjGE9eY6@ko7Pyq_;~cRal3l&=o>9vu65<)^MDc2 zy^=JY=6;fLR-1L5=iKt7e8#?Ov!?aVoaK_~>ii{dr1g%T5vST6PHg?Onb34(W0RQy z7vd~gA0q@m?7uuJc=>R}t%1WQ_0%YqJ9nAier#@qWZL7W@g*t#1H;q02bzT^{+_vu zGv52rsmG;*f2MA>Su^k89<8OUgRE{l>llSj3tHPMsU)Z0rv=CSx30Q!s+@iA!H@U$ zBA0KO>$c?R^RH-ZG4NdKkvzcrIBPZE0CC>Px=2p{aQ zu2oA**ZlX7pYsEA4f|;yFIZ#w;`X#ni60u*@}qt2uI)D{kTB*i+i_)GcjJ&jgW{J; z!pb%_Kd6;cZuaKR#wj0iPb`{#JSd`(h`Z)ap3HA;w?N&fMSE^Ij!&4D6tq0h?^w3Y zXT8mJ9m77`_`8KXxYF*uf$6ljlkN}El4*5mbNXD|y!>Fh4ki{S?hM|PaBx_Qo}s^6 z%_-B^KB;GTq}!wCr}&;_Efe>eK5*rP`2-}^%^m4KsOaKwo2N%cYy9e#=-a+%^}rXW zM;>0TYv$-Ztfg7b0FMLOJ=gX(hSsX4wKfGkLNxUbjK8)Zb6@|(oqfYsO@4mv zb@}}xuTnpc&zo}kL~!Fo=GSGNe_nJyz4vE$U~vnt#=AK#yOv6=Ek7BIoITS2_9Ojg zN7wIYJ*e zs02pxT3gL~<3l`7T)wEkVMCj3=4az~ihiap9x>ffI6b}3h;E0k1`WRDbE4x!yG@N> znzV8KRDZyEbC%}aO{-ezu#cv;+B(;#Ph$Q0eoWtXrngr5bTrM$+pr^e*~A5&SNvC- z&1%0iKC;(TyEBcvTTVauvF#yEFXNpa2R^U&3;g`_FyrkQ-3SNI4|fl;y|0JPUp;4y zMq2vwVBlDCuHCL4#>R?bD<81WjA| zwS67!F1F9gyGS(IR^L}`ZDBKD=#gbcXOHLY)92|=9o4;k_M&sgKIWCS@iAN*Qkc+T z*YEd9&3?A+`07q%myc<8cS%i8MfYPreYcUf?Xu0yMFG#=?QSeNx3C>2CHi()X<4GS z!Ou3keKx)`IIvUpi*c&;Hj5K;1g!AljCQ=d$2WGy_qEtPJwLtptMdm9kyEydHRp7~ zV6(7D&lTypV!qwWahD@Z^xx}U?+d|wyF2w+Wp{b zURM`PbdNa`xMZ4*ru&3|{_WZsZ?HaOxb^?z?#<(=T%)&fNr{9cNf`@K*z+J{%2bp} zn$RAN88S8)qM|`UX3~IWbEF9wGgU-`sSuTtMrqJ*Jsam+ZHLac{d?cf=l$cHv(L7l zz1Mv|_jBKCt?OFXGLc`d)js2V@XN;ovxRBK*4>LIHHtONAy40=d-g%Vs=KGBNJ_mp zb5&)fg!e;p^;i85)5Kg360Ah-b{0R2l@hi%{yfn~LgDe(_FcO@&&K=O9^hIYD`_n(EH)A@m=|+9%RTb)4BDUfpnZ+FjQWuW z_Qz8TzG!`so6^_OI=$(|A|gq|%P{@Y_nTps79od1vi&R?yR*U!ZvFWDDLG&IfHm*D zIr3a@v_(GmsBe1hZui8ptlqrVRB|v*;k^3g_7nY&VuiQ@#0D;FdiU0;C0sPKjC3Xu&Hn7T%OSIO48m#G?o>{S}?&+ot6{m8}&hB~mLSeGu)}srZ&(9E< zJe8JlZFA?8g#x>nf<3)4lPVamOu0_+%I!hxKeq0AUl=2{|EBU;snw+T6xAw+q=*C` zUR`_Q7T=xkU$;jMw#!&pq~`=nHL0##kv7ND*f*%(Ct7{uJ@-R?eXd z-b-!1&VA7769Wr8PxQxRX`KkKF>`P~M=1Z6gF}3S-*a#X%Ep--9J{mo6V3{)TZj-| z5kWJS&GmwIi~r+#jYMZZT{=+?4mdJ@a{0gI;P5ON+h0>TWBmHR<>2tFh9MJP{%<)r zJpN~gZ8)kPAg6=v%cVS0T+2vP$|4|H3 z%WzOA^t-trRK_0l{(4`KQU(y)W4n}He&zQcMMGa%{1|ft8s0x4=KrT7m|q20;noHg2 z^0kK(?p2Cxb5}M`H%hx*_@+aLKgIr0L~ESXPPr+nURgo`?FTayI^9KDyENyw>XUXp z_%$aiW89Ot~ESIQT4`_XCTM4g44^7j_62`@EF-)YS7^j@o z<*&F$pK0}JRh5%2cW9UGwYq zFHNoA_n<-W)qi)wXOx#I#`<&>cUg$A3uSvk;Gts`-+K*Io96PAA5nbt$|0`n@@%4m z?}9$R-g%g|t#b?(9FMwif!>+Y^x#rlzlK0g<1x$5Lmpc0)-jE{Tts{9xSInXs_4}0KdS%e)ZQ6xgEI`LiwDau5bJ&J zviR~rp6HNB@xGXQQ=DR4wdCC99}wrC=inPGc(lpLKBL%~q*7ukf-RLlu+VA4dEc`y z12zjuELQZAmbNP>(Byj%V%~_enp5`T^V-wudms4?L@!uK59}*UG*GT_Qhj*xT;_Z9 zmVwyzC8v)(5x;y;Zi(-faz4?FcF%TR(eq9l6|OC=DK%JDtYTDLGP|6w-bCZVt!ewa z>{h29E%H14ZO5b@@3>WJRf8J0-ycywq$HC0xkT))GOjX=T014NN#0rSQtD#CHj{4%FkX8!_WK1JX$%sraMa=XW^x_-{Up9egA>U;&G^im_wSv zS2`Ab5h+Y)V zSaa|0>{!`+YK(o}%ABsvF}g~WHCv3OJRK&_v^ccoD%Vbi%Kk2euX`u0yk$|Lv)f4? zleK)dLjG}y@=5D*qpr7+ig(|5w^r-``Bvc>*P8ipGq+Ve%I15%A(fcFFY?;EcNeyA zO!2NxVU%iaQD>B|$*#F3uzCMC^yWSL_ZJA7WbZbiSxll|{F>wRbpQN{z)7lhKVniM zJeJPnnU^?Kh-L#7)`9QiX3}1sOZ1O@=3M6zt9AJOfVCNRc7H?&dRgrI+TEmi*75I8 z4(J4)4I(Ei?|C?@-NubI;^ zcw&{u;aCA98?AjGE+1Vb>#Oa4(Xn2dG3oh_<6`+e2_83$r@ueacs|gt>^RqV(GKNf zH+jDsCLJ}K+3cktKdslHK;?2`ux3rGg63jND~aIwe!@>uWoHUU|FB!Cb2giF*G^GO zy)+`le|qM&Uh8@liDIAA8Ba8db_sv*of2~S3;*rjo7|=6Z*q5~U*CP#GC=#GWl_mj z-{lP``%kka7pg5mTB)FPK3lCpML z1y1?mk1J*!cPd;k?T4h!RP6HJrGXyb_el!fTwnxmwDhW#9{My#s$0rw9#6-s@E?hv z#jrP`Rg3oK@YT(o`eaM$_gB3Io8K+ZHaYoR?Ai4V*9d9f$wypK{7+to~wGlntQB-!B9o?k5+SgFf8< z@a@KHZ1|ho_Wzfo_LG~cHtMJ$8d!hos6mSQF{G#mAVqzaKe8aKqIAzi?^zX-B21zp zPoXOF9QO6UtStZGw+px6D2lXY^N!oIO3r3v?7H6|eSQ9nbR*Z8625MBV6O`)wsc9PTnKmQCg(V zw?81`Tv!Nt&oO@E1<5&+>Lrw3tE`(_pM9VNRVvSyLJdI&%bCf3xww0gGS{Ud}Co}b> zEd_!|4SRAGULUEwttVy^{r+_-sq%I78r*kl##^~VhYVwW%v(C*1^;&t8`07)WFHHNwKlf_b&TGq^Dlc9(dAj($ zv84X;IH>-+p?7lQLdJ(k10B?wr6&TvY|~7*C7klv+%R%$Xv)+T{AiTL$-!OIw&m1R zlx$ZIeYd-0E?$(cSnz$Jm%$7T+igGQymlYBtDaT8HvZak=jw$!TD;zUXp_xzphrzn ztY|dSNnfa$ZY0{baJqqgrc$%TOK;J{eA14zmAv~h3(RV#eQCTbu6XZaD{*~jO5fMF z(Fdz+ukLSK*5~zBJHgn??ya`;-4$73eo;ih$b+>@xm=TUS09P(x0J={rl?y5qPuRJ zDXu-#UP~01E4YzYB~_T=g*orB-SYV@&`#%FByGiFpKB zky{tm-erioT}mns#%0YgiRkx>s1w=MCqJY8qt-y%%>2R{`M_+~zP97byLsN1X>6zF zRJKXC)1GfrdnK6fV&46_w)5K8Ten+%26x1XzSVo3Ie4nLq;zi1@ly-^U&iijlpLaA zc&A%f%S^T^swnWUaO{yN;o72NU8Rz1Zkp=wTsyy6uTN=CtKgmw@hzkL)i_ zZLllVO3M6l5MBGuVzXKP;*C$vdM!uGp+dhXY2_^{5O9&LoZTE4x^LcRT&XRw)WjcWT$Tx~=y{94@Sj z5V(JnqO(>UQ);LGXXlnTHza%|K9t8Kw-xryD3|_1>+#?1MwamJOA6Bx*xy&@u*~32qDIdY3%OrQ6*Mg@3`F(KNV8ggH|>M#t;$zdYRyc==aw72FX3Cz zB4||KIcyT zHgG`UaPaWsFywOUig(NFjy(j6;8_OKL|^pyJ3b6Wt*H^d)SMxIc;}p3Az6>ZQESrT z_rBFQpzz2d7{tPqlyA7tNk<CP_G<{f$^KIN&Tn?LBW_Q-=o?~Lb5zIe$Tio$EGyYoV_K9rH0x@S<=RvE z+4fp{1=IE{Md>^6#Mq>7sVuRRDmj@D)j)k3+x4aWB+sVVL0ON8MFTy_H#O*zr}V_V zHTs?=6t;9UHtyRjaH_eIFLiD5nSsdM#480wdusZ)^TjW2&y-T{{B+9Zll{pDXCD6G zvL&izkLefvoIU(Ezi1>&`ctnF0tWF8IO7)~si9voh%6bAKk|!yHlCF|{N+;ci=aG% zI7V#=yAmzo_bWlU6#C(@`zUx;Q{;cQ7kY|A*8u3P&2}lf8tv~t%IX;c`5|`4h&A0K zKl=ZuV+4g`|FaH89V0|2_75GSvJEScuFp5WT0Xa%?6}`0yrMOYuYS4r+U6GI@P$5)0Y4iK^PlU0}x7H)`IF#jU={4GcP4zs$Q@k)SO zB-152Q#Q~?Q~lbeoF^L6z7pTF#FMP{E|KA}m$l2hB19@N3YSTd<Hkf%a?2#{Me}{vh4G-##fOx>k58^uAMb|mTdX+f^=Qo=g;3>{Zztpe@pP0 z{kQMYuI%RVmG+)|JjaQwlQOBbW}WA~6N5c_xw#r1U+31E{@5my|JHlV=V=BK_x+}S zp0?gdd8>SQb5{56WIffUXZbrME7F$mqRuL{FcpT+Ar4nC{*Yd(D?zO#oQ zBiqz_qEJmV5W8^0S|w2tqg81pCSgN}uOf`6nqdJczPBiSgCA!b59p zJfC(g7W2W(*40g-_#`Sm*;#g_Rk11DcFw|-NfaaZ5a~tQvRm(7(=k;1p>Vr?AvS-= z*~U_7kBN)R5TQ~qeedh2c`iC7uQs|XgkbrnAH3oqQHWhKWi`rFQ~ee7nbU_}!8NaJ zkEwh=#kDd#>q55X<14ECK1)9f6*a0W7rH1d(Xce;6Y%wq-@o$6vY7rC%cGhPEqj@Q z3YD2oy|Xy~!?MWKWwK@p8~B@6@fjwra9O+fzT7p%`>$Ji%2elWF${3#d8<8NYE?fj z@S$j4<13dsRm0-Y1-p|sCSTUh6n?n>+BUTlyz2cP+3I>F_mp1|F8T#KY%E?8TC`%D ziR{zirdO8RPt-~XSr}hCbx!V+ zMbKAsiM!?j>M2t`9*W};UGrV&K&g_Rcw4Ym_^~sw*F-MF>z_F28F=csmcY}`=yOuX z%{^v1hpB5kRLvZE<*fVmO8U2r(Zr4)=c<|2bgi7=V}bWONltCinMvBpYNq#hA3I*D zP^GfgG~NsKhF|q}w_*fEKJvD!>k1LouQz z8_0SV-7ys@*%54dMXl=TJiqFlIk#5?znN5bgBy2L&3l#0${?G)&-dK>`1#3)JxWSz z_9kmw+qBc{$d^OZ!lZ%E=t(=?Ro%UvoFyRpQe$?veO{6NuK7}!`FcaY zzPAS4Qs1a~?nO6W9&R>HgKqb4Vxs*g<)U}DjpZ}^BKY(#J_Bnv|1FmSfX`p}3~D;g z&MqGAGMi;ENV8T1=7SdqjK_Mx%G1C*EG?|x`jZWRe)P;9wwU#8{Az1GsUACA88T`s z?VTO@)pT99(;3@0BV;&~m70;7v6|jy#A+1u!CJ$hdB|)A)i+h53=WYI19m3-o+=sW zctEj*O3VqGPSI!-EQx_*pwVRgkchB3>=M2$N%1RYIt-UG8^j3kpu^5 z%tPrT^aw^Yv2ZvUEYPjdc+B{1zW%%6Kjm>oI@tYh=Nm%?#Wir@MhyoYQ&8g|{+Z!8 zCILWV9Fc@#kQfvOk%%GCi4-COjbh@c7z&zg#(z;#`^$v_mBl1*=3tS+Qh{#L@c%f- zL?JUz#F59J@&9f(n=8zEO8>}m)mM@)^R`ZmOEj!*h_bD|D`EH^Tkvz zdMsU9_-K1?cKr9Y3}WY<>PLf@@2KRtQv3EbZBNxNL3v!S%#V)yXlLUTvFRYwuUkcK z>2ZJSq52gig;+J$K+=iS>V)PCU!~n%1g)%EV&8q-(YDg~Li*mMI>ya?-#2W{-*qiX z!d$2C^G$WzRKY0?x@*+D9(JiVb}p~L0`__n0DP_ z`Ci=Dv}&@D)9X2!?dvyM-pzOT7@C7UxvFyQJ$1#4OFzlDi^r~1{V>$H?}1fZ)pcd` zK}X5@^B0=m4A3h-sq7yNPY!tCRXTrROh$EzUHjQM zmmWr|vAXD*0!JR-fNv=ub*rv#=}fsGbR;q;qFFy}9wU!X%^SSmZvCdHjp?{s(@vCV z^6nO%8?3j{AgDyvQC7+7!A&I{Ri$@@k;P?a#f9T42bT5T2%BG{SrOI|9^_m1D(XP| zk%-Rno6pq!go}Pue!MjGmS^ep2RZ`_J)C|8@e|}wqXRywcImegl^#9m!t0*GpT!{VqHohH7>)o}3Qx?Q@uxA;ALh&J&_FWKN4aHL&&k-Vvr_c`~Wbpp9F zvd=e9=8`0zAkH(n;j~L&it9$nX`$u>mGd7mV#G2G%MOK1-uLCEg1&>zrc7QOXNd<%_{p2= zRsHU5a%$&#<>r(gn~griJvXTAU~5Q5k{#biTF|EwKBxWw?(o#X!bXvOuC=+l^X|5p#WUny1Smc$ zPI+#0y>*?Hj-u~O=CP$GO{`U1jSpc;$SEJzU2n(zAgbyXXH1n)06N;ozNCvI@|O>ibS`$TrqEw5K4h`-+00M+{Gst`G@-~gDhjNq*X|&FLTfDzf{Ex|fJ-C-RlUJtJvhqw@M40M^*x0~E zT#MVmcTTUi+iz|yQ2pY2q)b-Fp4U!tul{z2=?;Dcx5&b$#NwJgt<5&ZrF5YMZ{M@| z91>oU8n19)d-n?$%kY37ZLtdaB8|)Tp8t4Nm`6B`eDCE=+@9|@lMO=G4Q|a3i+oww zes|A6{5rwwH`d+MW|%2pmz<$bHBrPKt_pRSFM@q?tf441&rGeTRaQ%OI`7A8>v7CU z+fuK+X~dcdUNgB>UF;@2CHzv@m)nhPvxt`DhaQ)Wc_PbCe{P7Z)%USm`gF}+TgPVa z`?l`n9-Fml`@`duQS<)-8(t;l~9&TCD(coJr zx2t1tsG)U+$N*K|)jTe~eO^R%iC56!y@&NWhCW0leC>bIeUq%=F`&UK^_5rNwjgLM z{~tQ5{Ld-#FaAI4B>K(&2R725`Ts0RBV7E8+}+RqKbDn|M7-}|UKxhKs@y>W0Z>yJ zjtD?vc;v-sXuwi?Lq5aOZTme&V0|ZtoNW+RA;PJP!$GAGV47$spa#uhP=Er_62iZF zerSx1Ermt_R}}&TDl|_ZkSJ6XnnH#&CY?cK&?zV^k=Lhif%;6u!jgu(18j+iN*hvwNt$5384xnlsYEmrL!>dNcnX1z##87dI+{qM z(g{Qq`+Wb*tzh9ntfT4=f?xt{&;N6#v0wV{9XZ*X;1PcL(ebWi9b06w*Rf%KOw-VTkYk$5J2RjfMYI>p33?P2&+#*B_bNm~O^wI!!`51`<_PX4k zf0@+@f-HkHRe-IJ?Q$%;T*c28W_+>N(&UcUkVN1{u*lSXLz7)hLLD>Thepjgb2_{V zWoeU=yXMpSZ6a6aMO!R*ZBU7~*2c$fy=HImv9PCRenMI45=zdAv#Z=uoo|-LxYYTi zEq!j|j;Y&p_%Pps!aS|ZxV86r6T$M!n4(kWTZTF60pXA=Fz8Wyd5EO|0+EgdakKCP*uIAQk3?uR#4 z2dJG}vxo3mQhu-e^J&RfBNpgrGZyd{^t$#rx)w3Q1bzHoaEWi0)TTbEzeOw+5GQ+H zI2sWC&MO#Oo@gCwxUDXcPq%zqdQxG@Be^WVDqS@7xE4;a@ZE!Y6vBw{&Fh12-4-O&@}ffM?e@v;Yq*a@ zH{{)|vr&k3sNY22_+pc~?pk$YiG4dXFtQ2a1%dJQhtW0YnKuiMPeWNvTKoE#rRh2T zvjMm$taDwP;8#n_AcaM9M3gTaD15c| zYs6}8S>;8nI)gnMSa!BIyJWK2<=&F2tw;CbcN|=E{6XQs>e$7h8mm&2`!5;Qig$2T z>2Hje7J4BvV}+f-!jy8MyC&xd?}N<~Btst`&VrX0(+Mkr9k9$)!iO|-8xw~O89QC} zd0yPR)I?{xz`Aqv{Fn3@`chG0xF0SbU-#WfbUdG${RN$!`+UyYwIyf1?rtRuw(Tie z8fdPqe{7em#|)mw*Z5=I@5CBashZ6_CLqS`WO4Y*%5eFW-(OPNZ#oiA1TUGZ``!zs zdr4CLk;}64^R0vimelBNVG;+kL*7w$6g|hTczgZ%Q_a5E%3!ZU;s!5T7P@QHcwgzg zwihdr88FX#->E=7<%J&d9%A|W+w%2G)^>=kc#o<%^CGeDP1at`JYG|Soe$?NO5Kdx zc!zoBUD)Il@54@h{!)!E8~AYcmkU(FKO3&+e~l&wSHJn>g3WwZzKN8auZg{grnaleYx7+xV!fFy4+vG3W zF2-W_6DcBH;Si}IovU^E$_<6? z?uZQzpN9r&B;U3g8W{9{p24F$IeB+pBvW7S?%{=9X&?Re1?E@cm*uXQyi8`z8td7g z^MxKfuQJt0xP`XksYvpOi!#68ccOrMP1e;-uaesQHfT?NpG2yz7wLMXB9W1ycCV;e z32QGE_0>}?aSnyLphD*4e!CC((i>CWol~?}-q&O)N`EyyF3KlttQ5g7r|qx(;AdJ9%Rl%NTG8+pK2C~&#hFHeeHM?I^Mq;oKml^~JF4d*E+6a>U+|M!4-x-Hy zO&oyCIEW%Zb{dJU$?%|Jp>UZn&U3&@#9)|ICZ5KmK&Fp|MN?>K0uw5B33LJpQZ$ec zW1rQZSf^Zu2aAP0Y&v7MZMh2BFpaAyIz<|C$B#gTU{K>#*I3|sW!7|Z!CWV3n z`EU}M!6edXG#s5kqhi<-BEJZkb7Wu`*kK9Kz3iWnfz;#pkHMb|j7%rcu_z{i0#a5a zItoRm(Md!mg^Aon8f^@U$uAn~e>bqvhX?~HDulWMIbX=a%3u)1Dl~4qoe{F$P*;y4 zP-)0NL_8TqW1tyWI+}{3GKpB&SJ_q?>k#J5894F`K_g#yb};bl!_E#j1_N4IB*M5m zI^2DW8azW1lZK*EaiH+Q zJ~GZZ2BRZ`wx1YqRz^pLCZmvcSbsY*EQvzI;t6!f%+pB}JOz*hB7;n4kePG_26k=s zk#XE}Ktm3X1PY!1j12TDEoC_Ir0Km!UMDiVbWn;@MA_nR@sYUH@* zfX2Z?0~q5!BLm0>e51b|8G!<9NDKo5rzwF3o)C&e!2+rRyiOvGgknnPojaZ&pK`~Oa_Vy+c+IhrDNEge^yw^ z(G43y4Co7mz=bGi7=z@W0k6g4$FCw~(eX4YnF9L_6FeT+v_UzBjK%}U zmK`!@#n~Jk88m%D0Zj1Ez#yo>j304_1O{|bqoRP{O@pTl zM?_)Sk!^N_hLt$`6Tp%kWBKJ$z*zpJp%rLUL%L~n^|QdgKfDqm&2cY=u8%Ts8?bwn0-mjVg90QGD{r@x@qo5I@fc1xD z-P(;-t2#-dzHc)6X$#Cd@9friHMwDrx$T_&_Sg&ITSfQXKX#Dt0>_tgx^ZaW#(_v( z-RY)}<)lumr+z)&yQ}Z*W%pNtYD)2?l7R{#CsrjTOB(a!oisffWV&!lHc!bq6VaNb z6+vV}>C<%&>Ui_rcQf7emAq2ul{c2(!er6KvSatDbjWxgPQRK?Inei}4A*aK5N2F& zN=u!$N9dAtUr*GFFK^z)ZId&})SDk)+uj)+bl~*~shq6a=Lr1|aAqI&-n%qpF?B(! zzsO~Q6$$Rk_ym-%d0(Ll&(A!rewW6LJ-D;q$Y{kX|JvA#0=kx(PXoeHNxeO>B<`8w zvQG<5c{b@**a`&?R<^vMs}t=kRc;70CCy2_EoXXNhCh;ldmnN2vQtf=scCWcOy@m| zxu?rsUOeFI?dqrg;ig^CoO^uQ2X1+O8oJwSa8nYGU&)`)y(!^)+`AZjP^)IM__?kk zu`MN2^Gp@6mz`e^ZC>$GJ&v-I|3$;;`753}oyzVu2v?h{BOrUty!fSE_&zm1o5cMF z<*)26I;`~Drel(*mLBYA^r&`;=#Cl8wzwY+!h3DwR7F(F?DVOYcQR5DMuYgI( znS$0i*;nT`1sBTB_32SOy=)*#mMr#IWV$AoSd+-~rCefdBGcPvt}y-abx6)Q<~*79 zB_Pdzdx340sH@#((gzvW4Vy_*+z#J(GX?*8Ghgk}Sw*C`k+@Ikd{2iK`e6r`CJaVC zpyBRDct4fW{vaW9f>)4u<7 zp3l{fy=MEaUdCOSV!M43n%C>BJSp9##bAokw|BZv+|;j6I`(9m@U*9ui3_P7MN`1$;sYw?;{o=}$o+Z`_QuEg~EKiTW6Ud#E zeqiD9if_4bmlk_WzW+|iA>gn)o{}^POpvKxy?Vy$?&i43 zThMtibBC@J>fPV|ZnlL^tDuXpvfw7#jeYlBS45wawtHxO;`Em-9zHkSx{|zd)*Td> zbK-2SGDe;sf86QpjBMf*MVC|W0?pdFigmU-V$z!#5A1`drp%sfl)tQwflHpcRiCu$ zsKBK;>BXLW55wwFgG=WS{G-oH(t}F8RGgYN5b`Xim@V4B{OtaYf+Y*XQnwx5aV9~i zVAs{kdzN|cPf7F@9(b5HTiBHM9uA9nQOlD_G?4UMb#fX z35#g4u``ID=e-eAE*I-~%d=iqs)c}7%vP1kIKG5S@6&e0IB~7ihQ%L0+!XC7J|WmI z@G17KnH+jumWkInIj+JNxVCsg`oOv7!ABd<_?&E4|! zNT}blq;8{#!;i4Im%FWMPb~{yFB|(XOR|)lCa6UNjoqXf5q5Lu2(Hu0 zTrpcA7VRkX{r_rBakyuzC9{nxgoQ!;UbQB|05V&giN3DKo ztNlxhpuh8{N3){@;HI)DlTaH4Fax-0kn5R{GKrxvm}n*q&m=K0SPB+TCD5=G$WS3k zQXH1X?lAxCY5kqy|2WKmC4r-e0g+N|$X{%paI&o%X&;*H3DF!DaH2788T*#`ml%IlIxiue4B7!I0~H% z;~-KQ6d>SJ7$`CojVCZ@kk`gD@l3W<{qW|>nYH-O_JD$R?@%&;VNt_jF~SJR*zt!( zA=7ARC>p@gNJKQ0wGx34PNLvwbPA0MoN5N+_w8Z$FyO4=cp}^q*o;}wDeMz?$X$~$ zQT7ygm#D_p511A!aRb$LJ$Cna_6$4=uo_^5hCPUDQ2lNUW zXicz|CNi9Yg6?NHWC<~uAkIgH9iE6KK~fZU0t$sfX3Mq>pT?ZIB_lgF9tXhNPXhyd z3rb4_TQYvw{p0K{11M-%F98L+ z1n5-~#+6GzcolyzFgzr%8Bk4vr&6FG0E>s+8V8scjfChckTGni@vu9~(SZST0O~LR zqJyUk85nHeaQFW9A;Z&&C=v=q$I@s}QiFx+9snfadvTB=rBaz}3DRNroU;Q1Ac!S! z!5SA1Kzx9S{&rkY(1yTWSlDD~bhvduEg_Py;QOJmu#u3*7#HXK*wGUfhxA+rRRW-A zs{(6F1{#5@j*sL4M2W+Ykn@NE)ic2Lp<$2>odhXeGKR?{uwmUqmOcq*ZwJ!q9mKGZ zQbGjs!2!Aj?4${9$V7%y=tS^Qae%?VJ_og9C?XXP7z2}z#?Yvci63KRNJz%nkpT#V zfxYyfkpZYhMoqMxPdG9%ppG~U4KNhwfdG|pWIC`Cm}oi{O#t`~&*pv)N3Wb683N;j z;>SNDLnCFN6QL&)j*JPFP&7P)fkPrd*wPs!3=PmO5}MASGbv>DgNlQqCL^u|tb11f zhJQu|@;9Jy^0%uI1viEShamW4fE(q2Vuf0gd#mSqDasfkpXqTWD0P$h%|)Hg+;O9;lmjqjy`8V z27xkVgl{q&z+=IspOCmU;Vq+pp9=>r8BfPCu~-!NNl>GT21*d{>p;edjR-WH(c;X& zM$alN0`x=118Qv%-yKAcz;7aszk^U9H%1^5DZtz$Q0NqJ&7r6k&!9uhj3Uy;Kv!Y+ z=lEI!91o8KgTUTIM5dsQ5%NY8Y!4IJ4Y>vso(|RJ3>?sEi44d#Pymd^LF@~ZTQZjY zuwrEtIdexwwg*@aswgB{L<*nbIEE7wDOdd4kzq-2d&o5KUEy|-m~=>d;Yb7^$`G&+ zhcL$2(vfT>XGVsUY$8ph5OyF5Sp2Na18|BVn1_2f{y9wfua(6R1ar)A2-1N5H%x0p z495Y98`8^vWcNg*Gqzxlm4W8Wgd@QZvVw-EdsJiL56m9BfB+hcacoLQS2^<70&;)` zswh$!jpTfR(lFjC{}Q2Qs3aO0dPspB91c=skSe3G5x$3E1`vMlSDmd8cM(xHC;Z#L9kbh$MM5P66&ld}{;mmvwKVPF}k3L_2WcEbt zU^cT^5EEz29(neVYHl(xlhAmekg=v8NVT}}-Lwf==xlcXA{6&`xhp8*QH6RFAoGDT z1uLX3$Rupqob2ks;e#lWI5kS?T?Alw9HN;=TSnUE)7cSU~D zm-xF0A70-hR{yiS|HSHvJS?_l!a_$mGutD}n*^y^R+S+f+@Rz+5h3@#JF~wiWBlDj zqrt%d)C{x=fNY1jvKU0g1U*5rhzMyd0KN%$2q3^$MKRDssQ4g4t_yNs5GS%v^3Mr! zgpW7OG57-#;4rCRKwm z+2yejBBZe4@tmX4x3_3w!>qPHaw4jj+U2j=yj+!3s{BwiLH~6|J9dtqlhKuZPM3vL zj%d1fZ@KAyIc#T)m59Lmse=0_3D!xp&*OGac_P6pv2V|lJuDsgp(}14TFhd%CZ7kN zPd^~3M-A)1-@V3nMIihH=IA=P1D<#1@g&FJi0-PDj!cmb-Ly^F)jhhR@04_LV@#XP z`_sLJhG&!oKr!8s`aD1G{GlftGOW?fM+w#~^6IisR$G|Qrn>-aOh z6 z4}C+dH<|1&G<)-{qwC!lW!0+F-`TLWF#Ew8@m#x@J<~c)PFsi4kIRbf zl%jRVSS*}vR6TeqIG*RN}_V%}u(CxV0!B{ad|7whXwO#)TTDnwaoCKD>94XnEp%H>s<%?`fer zlXmfy?&n`vXQJj=x`gZ744QXEU2$=dQhSLvdA7W`S^e|gMR~`%wCDpXQJCR)0 zYM}0F?3$_3zdFiCd|r3&BG-t=hSBOpf#wV8GsJ9iYBn#)d;D;^wAslci9$m1RDlIz z3s(4$xwO|^5z;<(k-O`BrNXL?$pojvLPtEr`-FS)#q|1JCA9+HC~F<|d^Y{*0^UnE zHE?IweC_g0bnnb2NvCY}J z7gKtIZxBDpqthuzvX>S~CCWd+9 zHz(h}?(^|W*UajDFh}Ryv}YJF*PhP1c3*2ztNX{B>jeoNETsb9QFm=knih zh`eIAZtL>TUImvI-qQ}Emqvatat=y$+(oPVBqY_;o zcbtklY|wVl_-cK;0%ge{?S&cRD< z-S%aPK`T0YqqDvZ=G1zH=43?K?x_8CEw9~+`_T<)Z`qfOpl6>vJJFI+vf2k;N2Kw@ zTuW0bd2&^73tmyiruvwY47C~Gv2IO@@g?g|CBc#hJcKnJkWe#ab~wd zHM2G)w=8JNvxZD^TG+jCZ0(~R?nr@23W{gRg;xz)hY z>UqNuU#)ko8jn}T=3_cHc4)=UUZ%e6%YvY9eu-iRb2lw>o#EW?wM;9iyglQ`w}N+W zTCX!aLIp)d+gs8sOyB(|cXtR9JNZm%U)YB0uXik)t6j##RLbERg~T>re~q5JM&5>6 zB*NX5T%Pu{S?ir~$zdUURM^5$iwwRw>W`)`H@m$e>EOwmcAGHm?Q|leq|;xg@>-+M z*VzZ{#5&}cNCuTO%SO0;NnJhLd8lrVx`62mLA$_!vtCE?WUc3H)5{LYdw)=0Zgzdo zc_S%Ye;CUA=A0{52ARr}wuD{h)y^W{B62r9{z|#>P4fl*CNbo@Mo?@RHa5V-Cf)_B z;k)uykRi#}F#Vw7p2m96OvupR14^5fdusvW5Rv~14emeJty_Vlug~Mm#udG5y ze8F{`U=t+3(Wg7QJu3qU_dUyKm^NZg# z87XNS`P0ZZlTf4Ij2``FNFoe>25`=i7o*<{_#pDmFKoc!DdLPbJmOl8asfeh0CD>M zhzkgHXHYByozt;ESpWquU|eCD3}H{%km+2nbxrKQ#P57BdrBd}q!Wjt0^sBF~RU^Z+3r zfCW+x3HAd#Qu~G;HwkJ>Vd4>zCrmSn4$_rSw@rnlBM>A}6ck`&?A89npX-|b62ZhF z1@HiQj2aH5k5D!KXLkSRd^l^r=g@=$wE=K8P~`)*Dkvy{{tzTe;s24u5@CW2DI{UY zc;F9WNHm~E6G?!nBGf^ERUt>iq_8J;f063r%%(DG_~@qcM~46BP34zb!M`&cIel<& zEI|64MZJJ*1*{yHafEC-0rdis8z3(N;1q#?X2LY%nK%Z4z(DpD4A7LY1h)LfFO`aa zXZYwgK5FZ>@2rm$}InG!V!EEp(BsljRU)ZLOzk*Bt*#^WWeF{qEN8# zKvPjPC?3Vq&=g>*04o4^ZS0^XY*w5CFAz`@DvUwE36#L$)IkH;0R_%77V3V<71}F=G;y`_z zB{v1DdVKfqzv`6_M*ti)9B8QEvqG*PQPD=jQ3K0?G=UdI`;Q9oBmgBjwT>|e8U#Bt zcEoT1C;$zD{bORo$6gM@Q50upbrdZI7Zn&M001G<%K)SxO33(sca&J00|gknz!PEs z;fqFrwp?T?$f`4e6vdiCAU7RrC^&p-lwK29wZEHueObjwE# z$Kip}2N>-i8UEi_ILE7rV3n{`;8{AVuw{Xu91_d?>q`0GJ(;Ww7H3vC8d6V4_7R*i zqyYvTEYNZQ<{J^h#7~saAv1A6%>`{I0%WeB3J_9IbdVRJLp*>6u`aeJla+AejNLe3 zr-8!HKXxOfGl+iepV$Qip4G7ugjBA^wMkTO343636EC(!l)8j6g+PX25E z5y)0>?7@UKHNXZ0vc$065Ojk+Fl;b?V)uWaZzTK5q4|cL58MeE@c$7#7Yq>9k)#ed zu5fUUKi&V`?4P$21jrvw>;D0ePh!>LkB*rAQlzyJ9QBZNVQbYe3;~Q>`hSE20AbY# z?yqL>KYReraR7dy_>6J@kRbFAb#WRuwj)g}{RV7`4d=$r9XhIS?~;@x`sk>v>GhM^ zn|KbdT&l~s9d%Z$)u!;YQCWCLsoN{&qe$bp>z%&st#8fL}1T%;;c ztI%(;K2hYI+>gIK{7RsgF0PNW3O0VVAkeoc^hwC)vwK5@q^xlf0-~KSUOakR_R&q% z1>7w@Y@ zC#curZ67?Yi_ZSSz4L{^!Z5Q_!sdZAk%s;A#Y#!ST3aj84m`rJzS zO|`F_)CIWJtj^b)H7pf(HFRm`36RF}KVGE0*g7uDc$4Ij>=0^Ia!D)+N0TivU$TVe zH}6_gF0t$pv?O%>WNqJjBq!)@xhzB9Jl8!%Gg-=Pkzi2f3ZV`6&KPXG;6?4eC)!&Q zt0&hb>RxxTZpDdH>4MSLoevb-Hn~RJF7peQph@jt7QS6!rD}ivnl@U21D}JF>=r?# zw_?|LIvs6xbgsPpPWxhrxbp0`?F(1OW=dIw+V2n0JDRsP@yMaa`e$aW<9cvdyD+JG zchivhMQeElGA$jYcOI>p`)Psq z;*~B9=W93izQ6S$uafC&wE4ED{Uz7N(@pj_T^)P&m2AG2CV@T{++RkIHv>2nl?>_I6QRWApj6bm{zJ zzdHvWth=Z*30Khnm>X5QYww2o$r=0dH)?z^p8l4SQ)g;USDRcNoki2GF?hO#g8ts8AZl*4c~CQ*7a#KTbA-Acb_v$ zbuV`@-IO2S{bRcJv0bfpeF_8JAGU7%bfc;^O2lVsci+5e51cP;%73w=;d^DW=nZX~ z4H>&Peb6hO#~Us` zLY(Nw&Az&q_)nc60zUr!#SqRNlvJU-GZ~B*H={v0zvo5cE>WY1G zZqeeNT}c^g_jywfU$&}$^f@DJ@z+bK=eG!0nGIzIdH0<0ay{8yrCK#>jE#GJsu^M&)WqqjEK*(TV zvZ+Xbur&;mqh{m5sYmhzL_mT-)dSFGpg@oBr2%~y0#Fdn1qcY5^ARYZz69#@L_pmb zWHOztvTpcpbI9ru>N6ro0!5~fr9+}u0Bf;G_wotcOQ=_els*v!s4Nl3WPQu_=`5`Z)4RS#Gp6n*0{6A)bK1UwZ7O-X4~CJj0Sph#FI z^cBPcfg49)qG{}59|vn0hnF|frxbbqkmw22dcYlpBFzaT%_%r66{QWK-nUd4E4ujn9aH9Y1nM&GXSd5U^Xld6auP1dp;szg0wmCB#3y>NCmxh2(qbw zYLfAg6C(nyf}%jml`Y6-32Sj^nXm}ZILJYxkb*GCI${AB$03R-`0<5Apmv;y^s!}7 zL8A&FLJ|X1lTjd01B4Y=Iyg357Mg)_ZZjFF6oQ(4fHNVt0ea*}>-Kq*0-b3W3CgLP*g5BH}1e+RnbbIhSl4#V=sjMx>lDh{OWY6Bepf zLEUD&>4x4Z6UcwD+s(Pd5=omw?I~u|Zpg{NR`Mry!#+ZSW-d%PbeK?R1LPp+)rbMn zZ0NQDtB1}8-jg_4z&El?pymU*nj*sNh_ncj+XW|O`~p4-7FtP@pos~THbXBBXaEaI zGZ5>7rpK_y5ZJpL3_DyL+671L2GSdm)l~^8j0H#;pzv|ec$WMVoj``{4k%hd?;Ii% zFRYPKvI~n#4Ib=05%iz9((XfI4f8@P&Tvl5bHA+jDbV-SXbR!_3AT1yu z-67p2-3Zd%ASoyvQqmwGARy8qNOw!${oo0d=jeIg*YCUc_q*qx!@)CMYp=cc+GCD2 z=NLfe_%~lwVA9OLP+Zskj}JV*Yi_z=uP$KzuZb_7>Ns00@Y6f*)$ zIoJo{R|^c7&4lLJ$$D6WHvXTB5Iv7@bWRMjhphSd_fTk4F$8Bpgc= z!S7`hwI;IP5K;(3LZha4?~)dmB9Uz1bsBu}8yG_{Cd3r2Sc;T_MxN{5T~F(%A1gm* zx5Hx=^J0@H|5|)oedR@mcJ2DOkHyl)!}}2GW*HBXT5wINC)B?i2`N2HGpIn-;d>DZo*L5PV5WAGi)1BSM zx{ScSYw!&>R;u&v^c=Cetc}oUql0P9_{QX79MrP8`O@-EFFCX2mj<26-f!U!3HwiZLPC&WFYHInuvgc)4x7O>ME zMtHJ9qB2LPYI5?QJnlCvHL_$ewHJhQXO4J7Wn$ zYMpG;rbdoo)Fz4y>!>Xp7nTx4>Pjr= z6hL|hS8!jDDcj%H&ffF3iz`yB*;WwnU(v!jFll>>Q%YZvC0kpWyA0)j9zXcj;v`7d?&{$n{ECXa~|Csk* zGPb{-w>F@urw^z}F*E6E12$jmY=HWqKH%^Ll!O7&0D$e%m6Yn@uKb>9|B(u^155!k zh&Kf^4A=mrb9Rt$@-KPQ|Iq)F>o?lbf^tB>%nzvf|6}4n4$BRwM*NG31HNjUx-5Xk zA7B-y2dHSW>9Vi^PDA=^fRX_Vvw;qn_5S@74LeYX38?-8A|s%BT_9Tlsb2hC?Lu3d z3(#iP1yU%$oe_9SfPVw*sV*S-38*r10~FcS=i~}}zSv`aot^-yua`YqE|B4Op#Vn> z)akMTo559N_?@#R*iZmI1A52cLa)H*A4dfW_n82u2+XLL@F*j=Q9+b18?fR(hO%8W z-2M4BfsF)p4FJ#XkC8y}=Fb-x$l`z$pB=!oKZXL&+9k9JZqR><1da%PdTW3zfdz0* z1Zu~C9vCpUhx6wn{}~R5J^&fXkJAPQ|LGn2Ga5*8m;gcvO!%+v5-U)+2fQ0sLV^1G zUo04q5ddr!*ekwVRdZ#n|ClpK;0zF&y)rOx$(P^$e+&mW9Z&%#m`lFQlm25kunmCf z6v2dFNxXk}B|tT>An)rRLjfl57b^xbd4$B4Yg%QAg&FzUT92snEv6Z2V&2wo@84u<%?pqB` zkn-fzS+Fn|GNgoDz0>J~K_yH|j!SGIqg0=B=gMGBP7|*k4d}1tPi4q6I@%V*k|y&% zT85#uiqB7sQu3`8qb#HTw9WA)tX%3jx-qOQVTK~kJWE2bP$EM31`d>*WRzub*TWpd zPL#9k#uvd(blV2zi&SUN!_r0+k?2IH=X6YA!K!ailp zw)Ru#k@QLrlQXHaOKvRUw07n;?BSAs?)1EnVf5V~4}JxD3+Cg`ipO@HK>~YNjVSRb z)TNj#%-+wH%az_>+;+jkDQqPV)(X!q6(($aA%lA&Qj_~SOcqj7TK=$Kp=MKeOxQs* zDQoAp-6@y2!p1vA-a92mQ8n^P3r`YmBN;Qc zq-sh9X&$|woQ(-{l;wo-G`)SKENZwg9ZQ{{cWn&LDB<#!QG)$$Hw|vfF^7{=@aqZr zh!AgE&RepT*d2kanr?XduD!93hoc|nnC&R?#=rNPC_)x|E1owHlRK`^ej=8yj3;jD zZpywgte7a0H-bCDYv=vWIRRF)v^7TB4-VU+uwf$E^(Dzj*B7)YzTi&j{4!%YAtW>U zfSUy7Fes_e!E9b4(t@+8X?-Feo_H&-Jl$bah4EKNkRrQ9xAPJ60gmd+awJqvSpQy# z2MJzdDwa2R!>O^zXdhD2J;Z8%s`COBL3!p(DBx-2m;5_A?(fDtDU&G;X1i<(Zf7_Dtrye_JyJRPXYN$(-KDnCXYb)G$QM>^%*`Z&dRZIhjnN z#=R=aAQj9XPxvMttD>->HofF2<1>6~U8^@&z2Y83qddA^biCuHLA2#XNpqeR%2>bB z(Yhw^P^3{J4OiGLJ}ezqe>o}PL4miLq({p00)8nbeN4p8ydc$2D)aJfXV6(*@w4EH zPXHkB_e=b(&f-dHdGVtEkXl|?SN{u@1umdb8^|1gC_Vu;A$@Z_5V8XB}%m1@g1Ln#Gw#g#$-m@}xO z5;)qwGB6A0cRa#BhF{16fW72@^L_wL=@)0?KVCL4`oDQWSb>)taN7bm`G51U0W!Hj z!OYb~2S)!l4;u?WkFbJ$J-<6G_@|`o9N=jMH}=2T$w7UX z+~BYL-#n?H)@k6B8rw@2Kl$XFlS{CJ+;;W$}jT z&tPuELo?$bcT_4&!m)tA=SMf^6D{;y=EIn1;~|~uIMtT56Yi~4i~K?pXH{74!MX-6 z_z-+yH510OgC?Gpay%US`z@RN^mMA3BXx;U&BKM|qs@9@0YT(v%Q@RssRv4KwE23ojrR@vSA9vY z8MPxM9(PB_x0zj8Hx%qyRa&r@1${BF%@_F>yq_S)!NUoN=}8Kln!z#QTEXt`QaZf7 zWqAKH0|YuZ47s5sg52x#yAQK*V=W3TEE?t{?rh;&4ZBYwr18hVc*R&xz{(@}qe~h0)k8wclVi*mC{KEU`d=*a)L&5S=QHV-+)^KOClf2dSC%V5%k! zYri{YEu2r-vhl5i!!#s9CebJLV^tT175(S}G(l0B%xF^#F2v6zgh zDw{LKxbU|jsmjiI-_*2r*?uzL5toQ1p@mq&`BVW9Uj?6ehZ8wP7=jjoiSz7zzVD6K zGqlP{lv~w#jW1j=HkN`tr9GycBItb7Goc6IU#dQhboR|aL+1`_A!blG!e?*|d}I}= zRFY-Dg&N+FjYa{t{^SHE5BdeJvdZDJP^IZUCHN5rsRx*7#MCLm!m+M2xbTGiGs`od zEGQ!EyUFmZI>yWxP?DINIo)igYz@#`38TeQq6hhB3>yUHHZa{&JW+Ptnh*I*P%<;Q zK73M~<(J_0f0|W+2djp%a0RM#+X%S~cm{D+qgH!q4ydxKUtz?G&u&?zR>M#V# zK7oDOkg-Vg2rYxC8{@v>vHEX&xXlwbR-X;6=qI#{&A1tou{Y_co5OHIm6F>neVvwf zc(NtSXT;bFqti6C)Y;J@Tw5Ylym~aVhNXhjUq;u$PDezS2?_B;k>91M4|zU)hRncr zH*2Ik5C-o3GtVuSYEQ*%x={F!Oxf%o*-n#ChhH}?2;Lh&HX708)Iz^45DQ7gTz36S z&r!-?=2Bq@uU+M`QA_S}D$kZ(E+k|egF3WTTYBwrnaO5SH==4xX!mu@v~>T(A@5c( z3Cs3;t?)3c!uzm2G9NlwU>k+M&e~3fzGuF67gF+Inr`ZJuWOe|bGby_80A%vbak|X z?5%?7v>dWKAJ+MGNZ;=jd$7+a4cz-eSh+Xykp{|o)>yS<(dOpL$Lt3<^kV4RC;Gk~ zdx4+cTHg%&;2hYn^QG6LI$)k@+5_QE+)*t75FWk_UGcZg16L4jwta8?S zizZ)A`5&Qj6rv%CKB5Tip`@Mm)&ID{`b;Nyn)!{?&3!f6A`0=5^&*|(UW+{AyS9O4O1pV-IeE9nFOGS?jAopE=u5epwYDa@N@8t0)(w- zSL~8>shxUxC*O5#XuWHb*A6Ixo#eSRMw4sJNp7g?Qk{j{1UaL6WbEVJdf)X*_1?DN zozZ%}vo^CE-0ra`p5BL*M*?p<;uO0`bUb(2Kd7<#i5`=?9GrFfHwCL_Sq9_F&y1Ju ztf%VuG^g9{7=E1lQh2bUbAJ~d{+9en;=o;df_)Ptv~7b&F+RDq&r1p7x+caoF(G!m zS9Wft4kL5Pn&l_R*X+&SRgG<40+$bST?SGAkPlyccz(!-0gw8NH1}7{7~u0^#)~si z8&Xx^U=^s80v)w}e~fzZ%RiI0|FIncl+^-R7EmYyC?|kWAt>Dg`fY)8r(d{g0yUn$ zIM~w$s^0o&X*8%v z7^p74k{obc&D}2#3_w1h@idw{bC0)OM+m z4WzaQl=Xev3K&Jc=ktNI6L@(+H&l-F_tE*l7=J$@f5K^yi0Q>Yh>RjerndUlq>Lh_ z+P3=l^>r=u^g+hpHn!IK+GdE3qif2_p@f{6ZmF7mp_p2Iya_|PEET0aI#9142to~e z_Qh~zv{h6`^RvXA?$MCAc1(~+jK;$5zoaQq8hW6JEm6pM;}hl6SLT+xc%K(@67O1Y zg@k)Chp=H{gi_!?y3Z9_0eqD=&~86{G=8!&-`?H5;t(P1L)y(9%z-BRo=J`}0;Dxw zHX?ijcO$_?HAncZnrsNJMWC4tlT-4Wmv=etI_NOJ7)M=OxYebM%3T^m9z&=haO#=lq?zc#(6^@>byIgvSj{Zhb%F92yEapKP#LG zZ`bD2fG5NVWZ@rXRCzsA$X-HSTOhmXXOE6f-WVyw(h|q1tNJCCDLb^s1L&qcJQZrx zy%wQFCNd|wSuJX_ne-r=p~=DGB< zx+KVKj+xO@i>D6h{V1d`-bg9eD~sHxp!Yq%ABV?M4LTh$6m6e=;=Iosc+=8a9-C-0 z`$)~X>3Rs>Qp~#j&e{5!fA2xIdB{pm^V5#arYNIg)dIYnpoej@bK*LmFwJA27*j&2 zs2ltdWg2B9mAeSgbyJ?h^F*Qfd%ct%U=)LOyk6_bo@FA5ek(J}*G6XWQAHu%*Mz2W zH4M~9&uVG3>=PH!<+ZnaEzf9G$kJ8T+tW`gk12bl_Kn2}Jn=l7vwE;}5n{DA+-~cb z9t6sI#u1GSH0W#Fioo0wMQ1kZ%!EL|z+|A}Pqm9wn1`K5EN~@qfX5Z)=)fD#Ran4{ zyrua{lVyiLmA4Y=P2icW6=o6lvw;K(9HbRNto$(ONvMtY@*I{eL34faH`Zv`xr{T!cp8Ud@nlG(nMF4 z!zF)y*`^Z?1n+whoMJJ2&g4ua_ScM#Nz-@|%#10@c93NDP)(vse&JF4v#(o2>8U|(5B(RjTcs~rE4zXeP=gr|y@FhYyd+)H<)X|AkgHuv4TJSc(L z{2b*s?nbpXhN4O-=Cr?ek+PB8S&qIzbp`>k;M%8G!w{WJS&3+Q2jl6k=MX+Khuz?4 zLjzoA4+FnWTe#M4-V6nmOoOGp`WB?6E@~~cFqWJ^D#Sc(Xji86V-kv&l?=uQA$Obf zC7`VNZ*ns^)bz^_ntEDQJk?dwazLIk(b5hiliaIh*Fuh(+j%3vB7?Qt_@20w-!~V1 z?(zCt%-rlWTSDQquoeTzTA6x$7=Ogcv9z5{7wbZ}^lC<$bo1OU@;hY7)sBxyQ!Sd{ z^|PtvR(ZnGOXQN&><)aQ(>x9hhDAhyck3SV z8}36K`1agpTgc<^gR^hn?z9x=u08y!@Ie&CoC+NS-Yd2#*Vqj|DF^`EtnylB*qdCu zSv61H5qK7sIYh464_MT&|mt)Rb>n*wwqa6XwudkGV~l4+#u$yQGXXiQ8D)L zX3g8+du06KICf)gpNA@}M5oNSjlOu;u%oUKGVD2&TTFXW=02@*^$uTDWtlRcVhVoq zfw95@kJm!fMdY4->l+a~l91-JcLxU+cySGT+$coBcmsxZmhgVf61zpsFAQF5$Jmdr zjj-?StnJu~nLVH!)^|6R(s+pEvrS~P?MhD^U}QY}_37h;yLZoL??yf+)6x-QoK|)6 zwJ2?h-`e1Y6esOy30ixBt;T)-3*PRz+)#j4pw`rRqR2U`6or-4K)^~@jfiCDTa%W| zP1@m+ePx~YwfQi}wwN{0pEvqaS*_BksABVr4zmCMy46zOP|HiVJRJF#Aj zA2JhJ2H4rF4L%y}xXV;jGSY9j)huxxj6W40Gqz6U_mefsBR5&C5{O*qGm~M?y*+|- zUe==i)T~NUUP+A<h8upsE*h^hK~~yXGh1y zYMA9r3fM%}=kuvR)d3m-{}R=;Dt{wMQvi~bL#EUP zL(|7~G@_!*4@+Z42ezNoQjZ6RR-%BO{+-)t2xE!xkhpGs13yf<-nOGd@76l^^4gcD zk}ul3@k2-`h+Mgj?c1!LV?DQuBE{I^ieMJ@*`ZcXsjE{fS$x5Jys}!^F*0@lpt>|4 z8v?2MzffJ|6P6(JYa1)%uS-hsLa5ulgIHe2R_A8#4^DguU8}&8HTRpJx<;W~aWgay zOJp~IN>p~{eyG0>TK3A4+~cQp2$^+>9>gu90?%QE5GXUtr}t4GusWV!TW!U}<{MG& z3efe`iD=*oHE(#k|MY$YC8iL0ctEUPgQ;)kHs&k;s3&8BqR*MGDMygCCE=qD1Xpxk z6TiN)bDa|<`GJlvUUXyjfEkbEgttcpCK)6G!PlNxqe%L~upZPMW2 z&Y?|$iMtwXlag=y;Eg4+NN=o8nOl=NM#G*C60H;Q`8!k{{Q9NFTU{h^=g2f4D{A*c z6NQx*N||nx*zRSPhvE~#!8g9Sex6~5w8@!YOnJJAi}l%OpQi`YuQLFuK(Lj=u>OWM zf6>=vi-Y>}F_cX)S|T{E58CHgqgyVo>j$fgs@e=!=ZkLi7eg*95#LLL@zkAU+_1J( z6grwyH!4BlB1(dF?-3((fa=|0A(rF)dPFXFYw3fhyy~-#u&u@r*erc@`LSysR##R$ zc@$gGsg>x(dY=bgzaQy_&(8dX_&#K-L4TDf?ts6V=G!Rhhof(xlRx!rtoBShA>iSw zecH>j4t6Fw>2r`O*vRID?i_Ao9uznF0HtQ|m-t2-(W|zO4rL-Y z`39&(<`w!)=G`DHD+%{`5gBA<#A9fL2`bEHjE@ZOQnH05{VCdD1X?_{^;i#V`KxN5 zI=G%2u|r=A_RJzwYcmMUD7Np%ecyO2)NgR4N4+`iq)$j6aF+zbF9L7oL)mMX3?GCK zAM>_gb?37&1jm+z-eHR7z8S3W1oV6~s7$(um~$hcc!R$Gr|MJbwEn*&DpIupSzn&9;Z?YfkGPrtu!zTRp5vj#VGf%3k?m z3z_9i7zn3eAFYQ8Xnhu|E#K%CG|IqxF|atYKk+&!;Lgx|y^AusE|!FchhVS!t^y>q zL5FC4K@WtNV^~QcTk)g78m|F+zN8>;b@v|q#=d3Wq6Xup2)CjZD2y%EYSA`? z2&pG;tAlur&QV=P5l_&hz7%KsD79G@2-lN%$-bk^GeirApoQtA%w#0@%FI;}+hU5j zwKfH5pz0Yq$`p}vdMBaItq&#k&a?w>_Vp57c2s8XLAm5{>EXRFm19;oTESK-R{Ty< zKK&T6$A<{ab$Z9n)L7Wl15V$@lj3pGL6PK^Y%1Iw2 zWf%7_u1nP#D!D-~zo>4&w9{3TrfC8`I$*7Hw~w$cq*8!OXKgZ%11}{-&%$M*dU%cdr(T3_`jGn6fI!R;TDsw8mn1^*Qhx@`d*oAISK1)pDdN zq2I5H@a`brq5t4=zzs*0JwX0M&kl)NNoHqPqcv*0RMKWKr(JnPLFr;Ix* zK{ZHXHo>S&JeElgq|iMLq34tLl#s_a=1en8dnYLqUE!O*w93AB6F{iPwIiLi(YAQ) z5g(Cla`M$_mDf5W7C-4+Iax7_)#Ch^08*!GF{WNK+5Mc;XE6V8{SeDIHPG*<)+zZ@}Jd#@xz?*lmO73EljOZY1E=8Y)>k4>;J>@r#R4>0VT zXUq5UI^UdKeg_Qu&KTeutoei3QBqbR1?WJ)uNEjh0mn!I4Xi&Ro6Dg9;Rn>rfb2$o z1XG}T_Mb zSr8HTt%&V6l5GI}`1jrU6PAGrLjENzd#yZX4V+yAEv1%6l-=_11u#s>{AJP!)vvD) zDdr@Lv5+Kq-K@ZTxs5sGJ_QRYo+;TG^W~NhrHA@z<9dpE9q8~{OC#yC7RmJV=}5gH zVC$##96Gp3U{k<4FaTY!mdDwqSf_Dpb@ib1MvkF{Tf{eCKc+e~u4d720l8N#4YCwFqH{wgRm^vd6{86Q$DZ-on{EH< zAjC%|Q+){^4S3}8qKIFrk%{O(UUrIqCAp4V#o<(<5yz`iJykBUN1f1Z{Rr#f;B_*Q zD3St=YdAGL}kdbQoMW@$?YI zV!@Gw5bp79<;b5#ltJJlLlw{F)>aRAR>pSQhr!mL5CQApOLWOq7vyc^dci(I9Px0xYN1X25X_8M!p&Ti3)*I>!BDzuJQ zYA%)DYmaNFRTR$*i{ItVy>gaVmps&ZUN7{V-Q`QBt$qw z#`nCNe8`JROZ@zTeLV1_b4!_JP%_)DbCs79LA#4JC_OLjJKUYwp4eLprpe#aUpsLu zvrOgJg;YdR7?w;3xySodZKwl34I5Hh)W!pkl0_07X-&}BGnLuEoB+W{mGupZyjgZt z3nF>_o^#253eo&_Q9R)g!qF#@+*Y%ZnnRyPW5NNd`wa}4!~>gD*-pypK}JmIV~end z>D0&aI%NIn%L)je8eT5d_PsW_(Zq~c{B#nqiwmpV%{^Eh7>1Lgsw705nX^|jZxRY- zyI+8iAz&Ysc>17ECRs!%`hhBWJk~v{ilPI(cI?Mv0d=#{vdgg#=wqr0-*+wE2$G)7 zMGG>(;v3-)nx$n7%cy`qK^uPUT6-6oRg#*h=$TPi(+gge`2H`g8dUGI1mq$jUvT1* zMI^jMygpBs(!LGW|&Ja z*q4$fuf;E7L7zBO{h4G#>ackJD`AB7BN2VrXMv5F4-{JLk2LaLkPb;~N66n!uO?2T zo!Ak8P5(kwV_BQu$`We(Af#SSdf_t{|5?mIpgzB9l*$hN30ppnx zDtvqE`IK-~$hjL(j#-n`4+$qsnY~?!XG;~El?|M9#NIt7#F65e_SuJ*dbTul^PoB8 z>6B3^djw*sX;kIMTU#!3GqZ>7Xt|H%fb-@OR z$4}rA6}woJ8N0&*noa{d*EIMrav*N)GE*3dID|^gMP=?Jdz8GN7h6TbzhU}zWIiWG zAt zNsxVtK03EAkhaBdwduOZ1A7}+{zkX>>Qif(p)77m&hdRUx#8p|UpsgY-}+&4J*-Ku zbP{?m3}XU6q7`hmT#oB8@?7o&nj(+*Y%|+z*&w9mxqKzh5VA!fb2Gc{vw2^skhsC} z+Vijk9>T^^p4sARF9&D`q|aSzq@8Xr45ex+>pId|uN$(K+LZ5%Qmv5)q}+Fqm^I#0 zCt)>u1+(7!Y?wvBD|J8jN#z4OlGi5I$Tyx0q|Y#}$OzsyP1&WU;Nv}KLO}%b+@SyS|D1WNi{n8%`0}&(754(!Jvi zujW>@Yr>!wIZnp)M@k%YkNx%EaC?ho-08B6ohPSvE`%*Lg0PNaeH1&#Z&j{d(QG=P zxE=~|^6cQ?@QysW_?VJ#Le2T-LOO>^i}$pU{XE`?^fs#|2bwBl3(#*8?`fu-Zw-wK z%3w`;n@^rC!`)R=f74iA#c3`_z=_N*PIn&bdxx^cob%1(=(Lz3gnxwT0AG|Nr|CHw z+XBToIOGY&<>yLm{RI&CfdKqw6ZqdE&&#z0KnvH^T7rvT{)y85w^{;_Ha(yX1?VgR zb`d}^EjxhkfNn~lrU2+!W%;QRkfAmcw*kAp4j0IT4d}1s+A7 ze;5?d-UGz?uHt@Bt?A$J5Zs`wKuswdz|sB)!C3$cs$YbHBwqj#v@4-(S8Kk1m@Xhx z2h^!wEiM3b9WRwM{KkXx?~lDNbE^NhS=7rZ(VuV~RB7-p;d-mmpB~&_F(mX^4A`TkyH7i{uO1yNx}8|f#$Zyd^`E&E#q1T#VGMO9 zkQDpi!AWXU|2@*{4Zm*~`=?pAY9=h9=k zl2E_lM3}!VgUR~{AvB8JD0TQmZ>dD%G4oI}#cV+f9#9>(My55fJU7=4nom$;ul zzc>-6NmP01J-v%kh!TQ=%<7KA<)~W4wbNutzSTs>Ao{|$_aT3Ln?NX;P2ena!z$0) z1W9OGl=Q*gWG23xa?Mj}gYMG2IO#;XShy^RT|s!~^^9OrT5pe1^r&Z)1R8IqlXPEg zzdJ2afP4s@Mo)=^rXA1Uv%ONnAMp8E0b1IdmE+Uf$!!!2@io$FLIG`e#~_T(v2Mo1 z7}vdeY_aM2sd=WOev{yOVTgYkGF+-RHVk&qK$%jy8%0c3sn$Mo_gmO&`D=Hgn)Rj& zM3ip&!1oozb}KtCmF@N_t<);nA>0}$9`K)aDJ|SUzdn4A$;$&jD2|EffT+X>`ciC%+G~KJKUB8A6>8cJh;I5RM-BENLFjyI^^yrG_P1F&^ZpQ}Y?Bwe*uHd$f!DL$%K= zBcl=MrbZPjHeZqmyuV7gEM{#4S}R5!y2h$!$(ZUMNae_4^$~{ONo{bRq9fjT;{n-t zJ@j=f>Fv*Tstlg=xC(~Fp$%}J1Yd6w$9_O&^mh^NvP&2;pEPn>8?*`c9;$V4F;m+7 zfY&Vjc1}?fZ}LHXP3y+H1omEhvD|%xn(pw8&V6PRHwq#a2><68B#+FRie$Nc>pE*A z_ult=X}X2R+kO$KHvg1c%uuW^Z66l^OLatIV+w_+XK#>37Bx1G;fCD2jCpusmeBef zwcZK;;a#~#lSO|D{eYpc(1sTkUHo|J_h6if^j`XMmc&bRdcWogCF}IBrbAEjqt4bq z)~5_BtCFW*?781T{LvHrem95|-<3RGghFx|d&$mb!^W%b6C|NLRMLxMNNZg560gfVMp z?>!Gf%*N@4y|0Nkq*uGGMY6_R{LxaT>Slh5^5;(&I1qwV)-Nk*-aXs!wl|Xy%A)6 zZ>7PDr5j(HhnL^-DR4-B*38$niooK|K{xe)z9N*Y%dBfk!CO0y+g?^sd3<+>lGtQq zK>*jo{*(aFxsJ5OgJ(c17sN|In)4kiFpLeDf9O{o- zEjWkVtP=0eY2>~a5I+3(>xRfqpnIO6UGWeBa;%=yLuH1uzWI zI>!yB^A4nK@gorVArf%+0YtH{pa}r|T*WZohXSI57tYB)Vi=Hi$j=A8fSrIP&ySIS zpyaRS%L17CfGRM53K@DXh8YG?}_j$%qSBnkpVz6PClYk zdEua^x4EaoHySucHllyG`HZ?FceVvlfNU68LU3u`s^g?x*r+cv;(B<1ErPd@hL>9ZoUT_2|)y`1HUBjwxZPjvu3Pcmo5T zaoLTU_InP5s5~~J*0s*YzrGO2vSz28r-uDeH|bW^0ezuUd^X=}m9dgMqUffI*C{wl zZ1Mj0-%{@E&Z)A5-uhg(o9hzTKI;^*U@UlwO|D6~ z?j=JKt)Ho?Uf@nxx>3^kp_}?X>#?+Uf`R{(%X;)`wsQFUg`ik+w2jvHg?Wj3TeFnu zb#L`>at3%!a|VmKZby#T@X#U!#!kU$C-eE14(J`lz2BLq+8y$XL>@Ape4`L0jMzvs zt};%M{f=m8wR?WL4H7RiZO8VfqU-+w*m?Psv%j z=TtR0&G{=kjm^pl?V)@<)sbKF`f$!p9%m~TTKE+7qB{H8ojcJm!xHBp#IngP@;Z{e z7D+%RqEG(X8He2d21Ck%PeY_j7ZOeD^byy1h-A8`^pGJgbrDv2p2f%gn>>8|sC?<4 zA04N>UXI4lulSrdA1zInKqlD4rEsH0qEsy5=D`XU0d#sU|Dn-q4x-{N3Ws!tgF$)$ z=fk({)`#=72UXEg5<%$LAEe=6Ir$1|ws=#heCy2EFT!zWmhF* z;!sjcww(vO{f~t{i00yOp1K1DJJB2;p5@k!cuHpud_-_YHy69V7Eb*Bl^H8<5r)u_L=77+dsAwgYWL18Jvgo znZ||@dZKl%Vy+|spWpDIur%YQ_&|ePX<*i3C0xwR8n%Rml|i_sqz+ncuhUoVv|N4D zeB~)&`5Jl|EK%K6e`;flpd&nC{V#$eXL!_|#5sN)-ZEGt*&?|aY1EuwF+Z6jVNLWd zKgBXr=0go!U)89&9j2&rZq?Dns(nU>H}};b_k3fRL^xyK^fk2T?d{Fg4fB($M& zJWqn-J(Z6_g%s=>o#6x~+(2!s2=vJLnjA6Q%1SprlIFdXn-e|Mg6U+6yp zl766Ceh@kUe)z^8v2b4q?_B%_l<9+hxG=7}_{~MR+V}k`-~OsU5y$_=F@bFC05xt> z4!|ma6QDfU*@2#S;G~QLz$|RPGM{JS=493dsn-J*=sLOvfUX)h;Hk<1XitMI(RHqP zs(!ol-!pL#(E{|<0R0nyC;}j$cj3dw4qOMQMdKGfg8%uvFQcvBv*3WIHXszn_1A*4 zgFIq6*nTbo{~u5MJ4vViZQ_3{ILHDP(1Q4D!Px# zqY+@00z5CkJNT!AJ1bBp$;SQ*FO2_uy)W~F|J%F)VKZjHPmu*=xeL6QfMnN&ST|sU z4G6XU)KdaP{{E-yeHq#RZ}SG!Apt|rZ%&OM^$b7}{lZiNAfW-)`WIF&`heFo7ijCy zXJ^qdVAs_JBo=`#H%?$n0{rJWuDD@p{6Ace3WBY_3IoKsv~ zBv9oqAff<91_9J3_p{{%A^{l$VDAMt=&$Ar2;qR$q5JmD{o^a~8#BJ|v%Jgs-~YQA zA8=am_Zt6^@v;4x@R9zyHOwpmpuYvseWy<8=KEr1^bHv&_jHlS$g#0u9|zu-`9g3_ zdGBVceg4_;bLHm(Ge+$hrK!EENn#}$WJD^V&5&HYPVT(j*roC|0m?8cp0Zx)pTZO- z^<>53*eB68OlG9!MWD!o%5lPKy(5y8VJMJUdHwXzRNW_slpnL%p(A#mDo5@qXgDmr zESE@OtWSQAT?|7JMXZ$0)(3&)s~>*<8pT~?DRlCMaf@r>BIEDAxEL(nTqQE=-;Pa2 z&yiPlsGHQPC9crbt*MCg_|?wA__O8P z4zKl94vd@<#!;MjJ?K6?e9T>6&Je9Hq7kG0rMs&y$Q7!4avTO6q6L(N{(fw}ZP`Db ze{x?uT0bD#3yNMG=SlyU3q37js{>82*i$!+)~{ zTy{$MZ9N6t-#PRCMF0WY)xSIqs{>BM#yGIt4hp9;kR_2fGD(IqQTo#p7aRpV+2G~l zt$bA?ZgrU*Y&cMh1F|d6EZ#-Z9Bq!*hd!u|d;S2cI|kazARuj}u^w6shNFmzx(QLt zvrH>a{8@ylrB$Srtpf5HNo^uB1OJl{>elcmWGRyHX&AylwgII`c&Y3~hOb4Pk+k&N z+V3b43Ig?_M`{CCULSX~mn(b4+e#eRtg)>W%!irG$@|}K@{=um%9hCz(8X06O&`l= zws8yn+H2i31}J?j#p;mh^^`Q4Rd*OwUY12e`e-sSEF3nkJ)#LAmx-M?5ezwTUF?^! zwjO1Tqih7r$oPZ7-g2JLVAKZ*WVkB;7D7OXa0So|im$uAyjTAfXxM zOFz)csoG|9u69ShaAv8jtZVMkMy8igadX`YF06ErXsRL>D#GBZJ{s3G!#N3sbR~4r zibu;8YJMe-ho^@nM27qE)wbQzZwIRu8ZiY*RF3v=g&cYFZz#!NyRpWPy&)3EpVoe7 z1aoUZgxoBo^~_M?eSZsX>^1k`Q;V%debz)*yTv8b?KI=$BJPIr)imr#QGqn5VTdAW z7+PY3O3wBnXxqp65109oCbZv)!mlyHu}>51Kt62M<$6mdV2DFXQ=MCoZmGOUc+Z)l<&kE9KjUs*Y z(LLqK7VB0k)K<|k+9lK_xWB8C88nwO4cqe>9hd0_4%KpZ6Vs~c5fhD~(;$D#VcC-O zq5z)N8bf@^2z?@LLy0T#YZd4!yZc-Dht9IM2WW{|5axW=MB1bcyE{WWl4t8fC)r~b zgtv(|wCFfbSm4ZXi6tc^1#vBHFqxUjieeVXr~;9xlVpX_&ViOs+HVbb)r;i9s zQ&py`5#I@9J=gep|FzFyWWST3 z#(bsZMCnVrfPpi4u-twJkFBCE%vDZEH!!# zeR}jo1MFw=GJ^ew&*a6H`{QTw;yC5kM@zpZegJW@Z=?=T$^-i0JM9tfi$DE6l*nIP z4#;5%$Wi{{azGfJ{TI~2f1G(;T+Q#9_@7)3AT51iR|(WRu>z%AAcauCYW3%o$bUZX z%PIOd)bfpxWBg^mz?G_hZlE^+bW6X50vRLV%L*bHeoVpGu4wpOi3I2gKqK=?Bwz*d zW1Z8Fp=`iOAK;98b*#`ISoSw_WJ_%;hMOX~mJ6~-`pEbaxAe1PP(S+e3 zKcbN>AyRYGu(nM`aU(q8#aDCd2mH2P1Mt#~v=M;=%P6~;3I~9fR$q;hspfbkGB6_b z9FuRZWJz=lyFX2E8S%Rr*RfoDWTWczNvfBkKw<7_%WaP5a6<d6g~= z#@^*G`M#p!55Bsnac#*Jy)8qR?4x=Xr+Tr$C)h>K%wEU0|tIm*StAc%EvZ?u1>~X}5kB zfQ8V#eSR)N`Aj6?LwBdx-nqNt+gK0Z`%$`d5ZB*9%C)Ho#PEAt_-qyh(teV}{xJKr zl$Hob+z+?>!Q?L2OrUm_mZj{l9(f$)*(%>H{?7pk>CVyyRteRx@`Ly?D#>0gVL= zS~<^<_xnxGB0jCNnNY#Pb((g$B;^D-EvHnU(7=k=mu|lpAiUi-b~6<6<><&dGA`mH z#JXu@q(`&J?|juYdY0Ex^j5Ioj`Vef>QW%itFyxzd$e@}CXI5+*L8cn zThWJe7pxE$vAP-~eV&mgTpQZy)Hanym_U)D+xJ#|lgaDChMLjGn$CeY3RT`88J|@W z8+A~;@hOtnEN>hMuT#wzHJF&~rdjG?welmU8Wu`g|{D^k0Z4muI^5)q4qoVd#t(`Y*Ji@DtzD^TPra+(FEaZ^w zE@ygoYPN>5{pHhs_QSYMrGcHr$d}@{uE?$|^n!!L_fmmnOX&kGTPm<@%}}4V%~lSy z!(qBuH#*RptwkSr>6O(wEzVim<0U*Izae12X+6ZPjB6Qz3f0FN&w;A-e$a`@@=Xrj zQ!3QyAeqf9W=}YaTXTe!GXpau0_pe%R4sf~)^BXnrdbc_>ZoW5jydC{ZJT#@G zlJ@R3*-&03^coJ2>)q9TZx{xW=O?S3gN_@|iAdVk{gHzDGtGz9lL}@nlM#jI8L4@n zYdRMt^7vcj9NObPsiI3hgWaOBl>>)5fl8LYsgZv|ouJM52h<5DnqU0xR|QSrQRiiH z1~8NQdj=QZ@QXS9iB*0^jDLshu7(0I2au-b1mjN0cA+i&Cnf|N$pV~ufvqjNxbmNc zvH=!Z9AH$2*}iki05>Qr7a;Zy)*|)0+XOC@g$3{&0oRNPeBpn#yZ~AOd1(WsVLzf3 zz+CMTM*9B)=D3`I{l#YiAo*YNS!+sT0OkNSV{Z0AeL~)dZ8v8KG7Tq}Qq%G@MSr5- z#;he#aIfmI?@85O!l^4U2bt_==4nU6d}$8q8k&gjeukbD~`WR_X0v z5y^;#z1sfWh3`t?m8@xQRfE1dDA8>h8! ztRcBaQuf~S2suhtX^F)<$Iy#v^Mp>z%H+e;TmkF_=6JQRh_RhNrfW|djG~81b1h~qZ>KBvh};~cyR<>U=FxNeP-1+3Z(i=iluXjs;xVl^~kwu zt&ts_bKH|?4{5am%h3uu5S5VfkBgx?#Eg%Mp-5xy@lNKrf1xW22x_@eR5?3t>&4&H z&9JMgMd}nZ@KK@Vl?~^iM^MFQwyI4}fpljp$ja-T!=Z?l!qjqVTKU4c{u2KWd2b$9 z)4%l9#wE4qv^zWR~2SVl3|-%q`=W`XT|gHLJF1X%z;8 zx3YEC{pJ3vVgs7D3i^hY$u~E>>FenlXfB?QOQe(b@@E~0FuJI)!Aafa)Tb-J$lRpj z+vG3%n5W=Hts;obE7_&(E!B!E>&LO;$X1X!MYJ3W{^XK4Dv_pdo$ChKDRwbF}z1+`m0N^U#Zi}k6sqttfX=>t@p5Bdo%ZQmjcZd<(fHm z7t}U!SD%}ff!|)S#f2x}s>bnrffY19k8UCN$FqBR=Iwc&dyAAn5u+zL*c)!Vv*}^E zlWx+olWDileVk_Q_)PD-QgwXtw%LYv?V_a<3wO+H)ZrB=J#bLR>f?oL0t&XX_}-vD z3pr3SNS0RmYx{mwy;>eF{5GTNRgJk^?B$+;71XX%1UlyEMW(jYz9~L$*e;28m}b9TCc!Jc+(|F#rhRco zPvGYsZ`Ye~1}c5)p8GE|=QdEIh}>Nx(aU`?wDz_~9>1K2OQ2$HY-IW_$x@(Kvjp)QnZf;BN zIJSuz1-@;#oKe#?Wng~vwY}lvdIO`P%%9Z^Y^fTE;Mu(a7IO5zVo;;K0W2Gcz~Vmm zD{o+Q%s6Z#S^abCu*!~s-99w*lgkOrGw z{$(W^4_LrBg~sI3q+I_$u*=xd9?XbchMu{uzk$ z(ap{5%$`M`KV9Yt;d1Uqy(|5_am~gUkEGR)dvrx-+uZMeEA4(cwj%QC`F)vJFK=#7 zX}rFrjmwBL+wNFg!aT0p`}|@AQOjM=@*AlSO_>?ogZoMYH-!&*5d-Ys_S{zS?5b|Yeq5m->MOhSX6%sG;U_`&N)z?z zWp+_^_cA*6XT~`h#3y4K1imDPue;=Pqx(ik+1EJ9JBD@Jr%n;uL5pg?6jo}%KkZ&( z<#)e9-blOUs~_7W3>{cMK*fky29aA(-QDuy8D+S#HygXTZ+&RkTi1I!V7;lC(T~8G zt$vCRMa?}!7n=zBKDngKX!4#BtGnjJ^>Vz)_4dTxozK6BW0*U6-b8Cd!J&b#7@3?bOdWa>e*w;_h;{RuX6YT)wkvT#f1INStN< z8o$|cIvY%Xkc74fh>>e&-QzaVQZkx*GWDIrvLiuP39AEZ$d_sHve7FCgE}=96$6ZH{KUfQ%3OZ`k`~P@pJ^dprw0S$M-;8^-ywPMJL|q`P^j(~R)Kh+La)N1~=o>*<2LWBC_}$D4c8Uwd_KI3uGG98=Z{6a)3}VH8@G9-y?@>wBYR9UeS1Uhi>-OWisy&U?5-Aa zFLS@Kd((1p^TOLlUny6#+ubj18Vu4FEuFdXz>;`93vb1f%>kIY!R0%j+kCc?X_N?D z_3oyeNR21|w0(wCN+Nbdm_ zaN%nDR}z;StxW3fex>RaXY9b^RjGoyRz+}$C`>?!?BBk*XKM0_ z$|yJWL!bD}j4s&5WAZ`8zB+F8g@UXNQk~aQYN?xNxhL-LS@YuBilT7BNzJq3SB2|p zE`7QEc);6wwXNuYU**^OyT;dTZ>*YDo7nNXXJ+{!cft&rinRrPow-Yd`&T$(wh)#HB=b#9S-&(co>tP!b$m|T@#kq~Ddg!@LR?vl^B3yjb#O7k>OP`%p%l}$-}cVh z`09>5=ar_^`!2e&yTU$h63te5!jwU|*J2*_m@Zz2Cuj6yonji~@{^+W>0uAdENsAh ztdSXL4z#;)X!d zsj!W+Ylvc7Z=HYilCygmzp#09cUMQD?oiQS=Wc==RZX%z+*sI-`216bm$cSv&N-Rl zI%O5hZ+w&7z3O14qozowJD*0+e36Gngz+2=?C7BXH?RXs@BacjKz-ytzz(CUoT2Cq zehI1;u_MLJP^A0w8w3;iHT}i<`w<^uSmOV6f;k$Elq{1ZacJn?hWHYhsSSmytSMfk z-&X{auv={?WHf%5f17J=`-r7l_fWzFf=AqOVY`B8iPq8FtKC`14E&sNMt4nrfjpzJqmpI$HLl? z`;CQ?YcwRdFi^ovhHM8iN*GEGf19>r368;&=p-7BOow`6xW6bmngm0@DNH5D%TlyESH^Nx;r=`Cylfto)Bap{j^iM0<(r~}5Bp~&@ z0Q9i~TR65LWAsuq%rPK9cN-pZhq&?5cx)|=zsd|bZ8i2hClpBkQDiiX4n(dC*97R1 zy*w7jHY4NL>mn88&3(>Z>agaugW0lz7T$)&hdgAb zuRlytmYX^MLf5vtk15Xvo~cJ>=Xw+iCXr5rCOqJs@rL$5X#HA>E(gxPGKy%sxcS9} z(8{s~F?s*JVI}1}vn}R_t+T6pachdk(WypO`N7*VI6F|sJdDI7WIrXS>9@I7%thCCbWFGUeI>!Rlt-Sr-;>s*9NZ>E_c%nCzJ6_LYkaOD_A1cBL97 z*<`+QmY(q~)hg`n;PWV-l_uhhXUlHnFMjo&5vdmFW|^~E-TCZ!(F^|GH#z2iZP;Ge zvOhv-S4n(vXbQ)y=B1yHU-3?Dd}e(kOKdS}$40_?yN#&T5stW;9OiV_2Wh~t?9>tnD z%1;zx9v;!kdC1wK&CuMK@G{h-BTV&FCi}?{(LT=n{ny{b-@WU{duY|W z?=RE>rZV^4eD(U{7Z(jtBUi8fodVak^nYKS(Z$$tMe##d!7Gt91H4-Zh`i|O{{NIMQrD-ZGEw~6R%hIS8PxSHn+^JU+P@s z?NpxY<6at57f#F~_-s>9bB=GY`#QJ5x#L;R)a{`ucf_yEiS}4)`*p8-d8GXztrU65 z=`Zc>zcpKVbhV^_EP8VZPp!@w-Uv-Ml3MSxu3ZroDKok+F?}xn(Es?|4d<0Esd~|? zdJD&mBeEtvd~UAV#+=6!`0r^{wq4ttt+mtX$gW_cm#!9PbF8Q>ud7O?w%tqEkm3E~ zjmztOFT&hHw5&v59MO%gVbtZ&)~9YOw@@AY*!k()`<2ki3aOb~D_BT!~ zOE3K5)UvuX;JXQPX{=lh{NZm~_n=;d-E(DqIbzrU(IG*IoxoP2ad;@JL9>QMp^*QE z<~H&lJSiNO&D&tFtY+Q5iM~y+V!%(slalO1SVJ&juOjF+gq%%e7;d z8$Twx9B;?Sq+p0Z#<}q593UhpOo22mGGI7d!-<=G{cu6q8I3R ze7F4tgM|6JIMXjS=bf%BY31!86KI+jJHIYSdbsWQKG!CxXD?A7=3EN(mrh$Bb!w6P zwbNRUJ=TWw5t78;3s%Sn?eMM(|2$i!Ev@8$z5juew#lCDo(=UjJPo{?y&U+lrgy$8 zY&E(w#p|NjOTz`CN9`i>&IF{Hkr%}U+1ynQ*0ppzTWjHjd%bUO)|a-cygR2gdd}2* zn8$0?loxLGSlW;aQ<|t@+q`?%=YynK1z|fyHq(L^Svk$Rv8k3T?}$LVzLVUBdhNAW zW(V}it`4A>+%MqO+Y#GhX}PTAvHGDUYK%RCs;8vUM~hD{3v& zwK!&a(qFMt^>XfcmHpvQ!w)HO1n=5l-#+UKMTJ1m`dZsAq+fCz6D z+gJ1DTGMvd40T8en4Nt4h4A&^N0$$ro;qjVt;;>#c>k4i$i~F!@i9IU_Ze|n{9%f- z^2E=5?3Y${YWV1H@{KZ;m=w30F)dtV`mzi7(w7^H_TFCQ8YEr!t`_%jM|JH&QcRb1 z^0l2dDeE`-lxd!?k`9>T80o;9)2y>Wno_aSM)HJQrS(&v&{jZqX)`qD<6%t(EWgoJ%>h%0y<_U>#ZAi=a_!&dSK!)t=;gX) zX3;^KvlXy?vsOu{#WXq0X>(p=`fb4XYKP~Zg7*D|GABx|cRZ7}-YOEEVzpJY`VHmO z#iJB>HBzN|g|#Z|jv#2W z(fGo+j^Iy?_lqM){+U{x1V@ndOMq?;^E)6P5DkmqoveA8tPjIV9KIP2e&GWPT}A$8 zI5dV2ti&$z&9G+)A4YzeRql=a4vT7%^17z8U#t7MBz2n~`5;chDW9% zA4Vi(;VZ0v8j+BNKd`g_JgI+p(&k$#WP5B1{O(P4aH8xGG7!rUGIO?A@2pN)6L>z^NgKQjyfyGg%pi!s{3=WMXcW6}hosACiCTC|5w}aMTrFI|_ z$(j~DK`!ktUNa_>LZrb7B$LSuDuDtMm`M~I11v0sf+5pr>{-Gw#2b^dF@*LAB3^`4 z1?B_d2`FHPA^huE#FLp+1`Tu~3Pm6TKRBpJ$i~6(q?0IA5_%k+KN`cBoQ;7dB!Sz2 z5J93LDTfCv4FCJyzrR%<@O-d13>-ij3J)XFnJ63;L&2k{KrKV1;F#=3ank({q$?BY zcms6>>0JP$fExz=hf(lv%lyQ*3F@1!S^T;4W zjRF!g$OeL^1=$`j*96i(KQk&MlbK-1h&UQBoq^whr-9B#5r`BLj)EoP*`C=LQuayN z8InK4L1!)o1&9_hIUImQs0H}f*NlK>K$Rgdv(o5vJRS#$E2uZX5J*_qFCI4zyU`e? z`pMZDJS*sDzyRWmG)}PChRFY{@fkP@nuMY-P$VK1#RMZp0!2w8)2JvCnu$ZPXY0FOhZ8^0f&&mBoYY(GPnbD9FF}k zj)rI_XJ_F3g7T0=lR+bbm4<<=kktKWpAnuF4owG&F+_zBvB;nZ3LZyd&>@_mQz&c$ zBA~iqvO_Z*s6rxup5T82PLBor8Mx)}|Lil;nN&Q93NtV0G$QCEsE}b|XfzV&5G<5b zFxi#<73Z6(Jfh~azVK5XTJUJ>I zm>03^s&Py?^uO&44ThNntzk%+5;zC&(2&#!lqmsWB*^ut7gQy3&FjY@-1 zl7^>|$)G3j01A-t3@j8hQBfE)n|>I>7Ct#U1Av1SQv&}Rs0mip33?*+FyY1!2Z=@| zq8Q*C(lJad1AGb=B_9gKWKf9g_{Ny>oypl45^zEC4Fd`g504JiIs{@9yh9Ui49lc| zi9(@hc<2jc5Q#)81(6wm$Z#t1Y# znnniY4Pi2*C+RpMlSn0E8CU>^!08?5C7X0pg#~zn6;R^HEH-y67-N`e^RGK2Q*lt& z$Rq<-A{`zaiixL?unas6X0*erhi7*$#^kam=aGS$8xAM|Yy+)|1+N}<_pducF-c&l z7)&6|1fGAe7*q-kVo4$yhoezoY7)EpnDkH?NHEj@0)QGfJeXi0gMeJ_L;>Z5FBz3c zX8^bX1{e)s8xcmZQn3_BOcNiJz1whJkD}04m_>!j2$C3~K+MUok43 zLc&u37sX;2Fm{@RrbEpz69>nUMqonqC;PT0Jx)fdgRxK&2!p|fiI0J}8BpneJ&1rG z(wPtvgRWp=C}_agfDM{XW-u54Zlm$+&;~0VFsV2j2Gk%40s{;LTj0IJkpv5ko5&lS z@F+3>)_@{ih($0|0*Oq;LY9IFt7uRR%0MyMl?N-oF}XVfgnl@jgqI9bEQmovqbBkO zC*B$2KcN|P6oP3}q4EMvVK4!8!w}FYz&U7ar*X`vDc1EiM;a1@Dz0jCO0!+~!FPzRh49J^aJdidKv7VQ7r85%ra6qqm! zQgp*Yf5Au-{#oUdm}CkTx+kbiKr|rL3DnG30tSzzLC#zV-+V365y_%S0O|4s0bvj&`9 zXb5?bvj!~_P}vAQIfRKE!ifZ@pzuTjm?)^fC*lC00oQ_xrczPx4ndenp|hhhqlXAh z(pv;fCJ3C15MU$y$^hv?6gH7VIN{EqK$1XU(g`#Qc$ZiRQi&A64^TvC@j*c@dYqk2 zo`=Emk-$7bgp5pGLi%`+VNTHA19$cJiVp+*QzXbUVo4Mb8jYu-Xaq=;)6f(!L|7D+ zM#KK<$3mUP=+r7y=KZYhn7B?A{tziL7_ranOQBE|MnJ$(W-lgW6@vU+`TwG(4>=V- zOEf00rf*Cg!AMOX2`trL*7Uuw95pSol&&VeReWx!=~J^jT;&S`hk0a_z~cJkTiG$5 zw{0}A_qc+jcATrZ#fe>b`b*rGxCY+&S%Wh!Z|t99wRo1*2d*Y=(WUo&ZS1GtznVjH z=hHpnY$wjI)ByJMyi*0Z|x@1wpE$bBLKF{^3vzwT=>$F^#FL4yBymz97bMuZx==U4nud3El zlQDQ<7OCef&`-mIy~twgDx*WbWDAS8{Kf4_#tI7>t&POHbaNu>kMI{}1M8M_LAyq zvp7*XuXBCuz5wAn2RRqCA z(iH>722oy zIj8BQj={@js-HTp!(|0`eAJSq(z_45;F;>>{Lx|OY$;9Odn$zqPh%5o%I)X3zvXQj zh{-yD4+VZ{#t> z+8#5Xp8pZzS(LAGAVM5#18gok=3Yb=rhT-QIGa)IU=cr zdA!?!8h!j7ZaD*$WcecYAzhG}(r=#Lg@Y#SEsUb8_X8Dec?l_&b3|rdSz8uJyrdWQ zSxU9hH|AKIzi@?axO?l|xr?8^Km9EG)#}?Kfu!knW%ss(;dmHxKAdYalaE{dq~YnF zLuu%bTVe&=cnywh;P*9O;)BxW{IGZ7`pm%XS+z@_Bn`N9*JZS*ipA~B4>+5cX`6c> zJh6^?tUH`a@cH=R5s3>MV5MjC^o8Mo3U77nK~eML8`hR=aF2Z7 zW_mcft>#OW#|yxQ{I>1}eAb1|{rvc9f$DlLce zP0qyBY>$_pzSjBKHQikEnt=Wd%YA3+DEOK)zVCj{yLQ{*^hY_?zWredD%K2&sNREz zA5W;JC2yHKBP;)YL`~@B%J39DvX#M`ly1Fa2~Pt2Gx((rk&`~|+g;mKzTW*txKC2_ zD;dfTC+npmKCUu&o=b{N=W~4DUf%ab314vNJ?>+XEmyMr@j9aJDW_#eZTIMzoUVWA zZfrd>{>ZmeJU^h09wxi&m-e53rhWU6kTaW2klS>}^|=Z4&4RgxIm(-o?+Ln@{- zm(Hs7b>V#``#JvYid|VZx@CyXOWI8%GV2oUrbL;4(69S?YU}L8u;77xIuYI7Pnk7x zm2{Ph4^Y-$*M{(3$uK&8A+^(Ey@QF|1$)pAAKps;he`a z@7_}%8nPntNz?p?^u*+{tt~|)ovxtfD;)c_Gyg?=V_MxN@z)CCA-(0+vr*=|&xtLc zVfM~QTkb>uHO=(Kx_A8RiT0}7`uFN@ z6z;37*s;NWmkPg!ot=80#Tt|4OxQOCOXM z8Mdo+AN=<2i+q;<@*~M<-rM#K`R>b!eg2LlxF&%h$F$flA4>z|FS%a6Sbe<0({YLtf8p;zN+*!Y$sFpb7xNNBgV)Ciu^&3K>jYU$I8$D?S@ZYeEy#s{!?%yKqo*5 zfYj#xQE=pj{~tLH;D%3Lq8k0P00e*xh=m}2g&aI!B~Z5sNBj>{xDcF+@_(cX0Kq36 z+=mn~tN7?YCj|{R9Qy~f_41I<=Scsl~jY8p~qZJwZMPVr(8u-TP`bVnQe~Em5z36XN zLeM<=WoEl5C~!b%pc)A2KV|QRgXN=NsoyRKW(N}R%CT>G%=FFQE(eSV3tD)b<)hEn zZbJ6EAxRHdHT%VX9mN2a2@&U~TKxIgkrHGm$scd|9}gXpl7*R}sBsP)ehkSE zo7}JxjU=lfcQKC4e{)n3GYI9(<1PQ2ql$O~*m3&Eq0jtpjw;AbhCduf_P;r)P``w* zTaL5(Z?q#gS}3@??5ju982mrLM3G`zak{&5oF($P<5 z1TMt_3EE%6rB}@#>_%Fk+ZVfq^BulC=k?=Hq3e?|XG31EO^Xc=l&8cnLb`W8_}u$M zQp-T-s)Dn$&gHGkU6#8L)r7N@cEtxyb&VJ6$d~xGR3K7@zqbBUNIOrLzLB6{!HlrG z#j=$0J%qmfA05#-IVa1cIQv%^+kASx^Js{k=xVvp`n|#-&dc*JR9yudVOK>iC2Z{2sTk=?>AhLt)oMVR(p>XhKLLG>ApnW1fz-DHo*^ODiQBylcx zvcG!j9pg-I!E%9Oj_>Iv*-278T-TWM^tMlX-tJGee!jbnN9a91_TXHlHYrne+FgMxRj+MDf{TvL zxVMwJ!DDxNkwyE#f)f>9oEG~Tc3`Z%2?EVP7QdqG;Fn_|nkQZX6j?)A$DmM$Dz zAn4e@)4XwpQubL5#jFE%*k=p3x9#Lqe;&Ca?u*8vw+%_ml(YE@(ByAh>aO)yB$_^` z!OZ%qxwYMs;NRvmWGMWsxs@*d&SF;;*dvH?S zj$2c=+M$7K$Z)B}jh3s%q+Xwph2I2(2n8?S1#GmTrr&U0E?+daJP)<-2R4`>mX>9g{n9(9Fn7KdZgVpLS>3{+)db zb)RRMY|1Yp+*}v;_>#NTJVS~a_DfmAZi`!~-*dQ>0x4EF-i_OKW=NQ6&PiOzp`Mgr zB;O;Kv8q#0Lx%sP? z-9G(puQQML!6{$!TY518pKB7XS}s15nznVV6>$JH&v@T}T5kU|yBCrbWv2@-X`bm) z>ap&0@K?ICUa{!l6Mg1P=85d6UL}2J%kx85&V@?57hOwzuDWKX>ZXCNB@gDP6Qp?0 z957CCP;lPR<)Rv6o}Ak|@BNbji@1^m&yY}SzEe(@XSA-_W;T!-e(X|}?+-nX3p3NN zoL}Zc88B5nwOp@DeA&Jf=Po8+hR>3UN1GikX6-%fA(rK+U8l7m^Qm!$`GuhG5*OBP z4ZL_o-$UeGeXDwxZo}c@cDCV^BgN-cJ9qiEsFuyJcsTEC+|aR==<42*rp88``BM3* zEsc+U)Qjs$PV0Crtmk&K_-4T86YKiY3LMH-zX(uzxj?*fufz_kD3=7C6}_A{iD%!R zKAqC@HaV13R+Tz4p0PJ@P7pG(s7Dx4%aoOn{6%To^y`C4u^Qg~GJadp)3 zs>Deli}6Hco3EJB-FM4r5_7 z@N8Mo+W!Wlfhl1)(veUNLN7K$%aUp0cn>T*n?E;t=}(`C0E8q^Dlm?j{grzKWI;TR zu`U$>{CK2(f!#{~%Ahj-a)`gs(6~L$a<(X9ysJYP04)LIEoXD+##;{Y7xb}@x17y2 z8*e#;0ii{@KXp@Fdnxcel)=!!Yw%V#2_o7V1WQ>{&7wVTkJ88%s9gD zu!3J{Aje+$=bM59Byc>e0HMM9!~F(9aKNSrgg^yW%%K)`DN$1O(m-bg)w_zl{q1~1)ioLl3hStd_EsTC4b$14z5<>YXjayTB zsyR5DQ{Q7xx7uur+LT`X?A)2aS+p;u#KUtUe;nd@ zYvL!~nJE`4d*|>f)Rr?!Zq?x>xB%lbLiVI{hb7C=v?{wxHZ#57My>GoF`4I;R(bjz zp`vcSdt%93PQRHM7Jm0WEt6@vJj!p6W3BrO%yeq9y#4>X?d^GIcu}VwdEHblWPt*R$iV}>PJy>U#DqFvMJZuw6->%FpH<~wy{ zXt-+^@3{YAs#Nt>xgj4$|1*U*4Tb*i<*U?NBn;nuvaNX9NBDZh@s_@UhKTcm@A#RW zp4SboWmoG`7ygKwzf`^{+bq}9<#Yh9-I#LLy~jvP0)59@vOr7#CoC^~D_mRQMC9>% z;Q}(HcP(}Vo!`0r(t!(4>}B7d{ICymb9dlY|07@Y7xJd#tbB=fHi29jwn4k5969EX zkBCTrswi1_da;8FUy}=2F~RlxC1Lb4a(c~Tf5noJLzklCgx+f#fB0s=^TCB9SdqiT zX|Y41>q6mG&MV{2HEy4^a_4^DGy0Dsvr>Xl`%&m-jA*07zAOFa6&sUQlhsZ-%y%tr zT8!=8aX8~e{;~55a~dhD0yT`zyr`k})NvK?MjSFfWOb*1=d0c&EoL_^6yBxsEql16 z*zy(E7Y*-pTJOAU+z08LZP80?dtaPXtE%x67=pt=7H?}UXKf2CdURZ zZdtXtXw6NoAaRvm>DLP~zY7I(P)d{&70d>CqWOd@5~k>`CG5;NQv6Bt^WzFvV*mDq zdzH3dX-W=JZP}Ng+SW5S;OqBBNPHPn^j7c5a*T94bfe)ToLh&y3o-NZpt` z1wMzoyaP@rPcio8r4~|`Efol(S=*Ixo}1oj`09d&*XRC+D*Qb(>dYPMA{6{MJ}a%G zx^JE#Kpp+wA{bnK)HAo}SlBwtfwNU%rE1D~$L-h8Tlg(M$l>#Bj|-}M`nYDyJ5o_) z$ahn(ApM57<0ih^0Z!8j_1vf5)J^VOz8-z@%cEKH+&PPmC!T$}sAXo1b9}*q1>KrT ziBH;M`gd@pElB0BM@8N*wH#eFYie(XU5K_G4iOimutJP+H&Bg zicZfb8lI8z+WT#_iWirV_=1a{RsFb(L-bEOX%EG=*?&`2_+ICvt+(_ApP2rd;(g9j zWY*P~G#%a{w9LcTY4^-F%(p1tFrbWj1#)zJ$oq8y@sZm>OLEIBtwG+AI$zYuRHImc9EWMnU|%|Cwxbbc`~l zPk-2PLu8sbMgebx-Ps(y6xbaRpq+qBrh`c6SH}54dY4Y8ee$a48{?hyDw6JnIyGjVg^hD~Nc>ihh0yQ%$rORQ;FAQ7M%XcKv zeR#HU&s((QrG5I2AA|8XwANA;c2#w(teMX|RM=nsCdQe$V66fz;H}y5Gi2$uy2jRc zNxmM6k?|pGS<%3@6zq^ApXS=+~U2@$5eWhfpnlq)ENw+SQ%Nm`Y(@t39pq#P9 zDv7%!-dD?9k`pT#w9Vj{-IEy81`qZ8z8vKzY09r}+Qc1id^bP+%86%AS+Wf& zm)h?Mi!jNQP+%~z0^wDL+icWT;?0Ppy_dg;7j*^jRNWJCOck~gdZ4~8X>ZlO_gZ&0 zJ9wa{&Ct%hS9p^kF26c$?Za2u1xpLQptyNw)j5UT5jQNTcJ=?-s~osT=zyK|LcNw$ z0|_rqfxF-2WrXs&`2^?iyUP`cH1J&x(5Q$w>QG2^*X4=1D7C}#a`?b?*##Oq547Bs zjZ=!|EJ~Y&eYpAlgQ1`YHUS2nx`AeDPgGAA=~k`%A|3o5_n2sMp?Rw9w0JKY9nNh> z5C5=@@t>+vxRGP`JfrgR0JZXTn4D?O z?we`7^6Ne>cmF=^H5#v3wn@BW1IKLcU~E)b$-q+&Zbi2#uRFS<7T?pkUb3Y}?4_41 z-SNGwyqEW((iL}V6!?_2yYCkI4{Q|fwLIBSJ@e#`zPB^x=4tjUT0{&SQcN?nTY9l{ z&*qDzM3?;a0!y4V0`5BPR1bO-z}K$O(QU0WgHKQklL%y>9n>8-k;XN1TpYI|#T@S5#v+q+? zY}%0()ICOPo_19+Vvk-Yge|(L9-Y!V$6j;DW~CgFNRN9H!o^Y^f8Qb+z<>R*<&E$Vntd)s_b9mN_SAJ3P|*5Z)XHV!T)em{`9T->^9)_m?uA+JTB$Xnd@#I1@?3FK9` z;Fz7FxHxOpo4A?0DKpD0viNHh>|+LMBR2Hh?bBJ;?XErZs@+%Irp#u0m1T8CE2v-T zSMt6&mz0R_71?=uuQa#dAXnTw3%>D8EhMx4Yi@pHYKK`2$C_H!Sh@e%)c$u^4Hij3 zB8C+{AOIKZ0}E&BoNzJHX8 z#T5ZF1#G?HKaZJWymn|P11dXHYucx4FjMk5sGJ!R#+nT_fK;~IQFW^NhEE- zke~+*;SK`e5MgUUhLb?gE(_vA3vO&R`f2nglF-I3}G6^P_MCn5F~#PdFkK z3W{iKR5-9Rc60N;PZ}Gh!a)N>3-pxmMv$Q!6SfAGP=r75lp+s}f+mvSqye`chQS~* zvCtn2Ofgs#kQNc3;~w*CKl)FjGP#cgs?}hq5$HT1Yy)$O1~r5J0}JW!VVg*Bm^y~W zGoVwO44s%j_ei7==nOOl1B{&nr0br&36NzaCv|5?{tY1^1yTgyeUL=jXNi9xA^ppZ zL0u^dO+}%|6yOX58UbK@M8PY?0J1$C9;hvUX>Eo_HhHG>=UW7sL162Ije#L0NkX;? z`c5Z!g(iGn7%UMueSn`6MPw3a7(4|-MdN5R3W`Pt9tIlw^BTil#DXSRKHS961Q1q? zz9Z~Qe?MIWKc-*d|F&|XYyNJO8HhXD-C(;Y1_j3o9>ecOnc>Hj{Ie|wJY)P(W^mon zzQni-5h{sss$B8x$*(cb2Fdr0zY;FWmc$yp6sC$Gb$Q4TG@zCm2fO*>YS=3d$bi5S z{|frRTQbVl{3CQT8k89g){Gvj@oT{uIDH@;;?qwWS_2~yN14wNv<8as{}!#Ov9xq* zSS4_U!GQ}0q4Er~e~j7}DjRpfY1aB`?#U`Pv{|G2YRc<4ji8#UXI2>bUt zpJ?7sY?mLGe1rPn2! zeh>A|qwQv7cYJ(qnIva_>;~Fy+xi7EulkOzv3^Z$iYz;}t4$sblfKyWupH}UZ1-D|z-vE`7XEnCbjuLPF=}oMA~(Q5B1(QQ*ci+qYc zlm7UOv*9hNcPe4-f-|Coj2nWO{TH+h@5ptv6w-J;3N%xue7dWU*K#)RiGRD~j_a|S zLPH-tJ9z&PQ(QG5KXRUYmJUKdN67(k>#}_FQx` zH$`Z(j(X%`v$R388!_hdmR1zHC7iyww#slpU(FQ?BhJrYPv8|C_ujyvilarVc_UA_ z9;ddgtD;U1ei{>A&GjZ>(R%^o>rq$v^=l|eeWpcKCmt=!A86uB^_n(=$L`V(*;~`^ zi!|6xztZAE2=h%@*x@wzRyS0K`|_68*YAoI-qUpznI1UpRoQF&7uVn~d|kZ@MG5Xt zer(fkXo-$UGKsi0B}?n=^t+EYV5tZfvcyCX`Mfl^=YrOx!Hb;~21K_M-N|^_+bb zyH0F~e^7f=XM3IuJ&HfOxO>_Rcl{Xzv^>77qioZB}lU$yFQ|@d#K-e>b+I>0CZjp15 zTe@*maowIdZHG=hE63ZRW3*kHg7LZ zi;rt8Qf@yN@#vB4(JwjWrtVb*jXrB-&AeiCAFTcUy-~g3LTRe2{b-R_ZcC`mtkc?tZqFX`n`Rl`|FMHJ!_De5L&gqf?F5}TbTT7n zjXy#D(97_%81oBfw-|0q%q1w+H}UsNr%P(`Z}401LL+z1*znZ@^KPgus76l6oU&n|$-J+bMl}0fY9L`v`q~?n#ZJ%qaO6Zhf1s%YM*%|7!E*nO7C# zZCzrg?-EQ6N)F--N)PkUDjLEXFpU#V&%>gj_k#6@znPu~W_|=yV@)q(fnp<=8f&^2>zffw4GLZmi;P9F z7+Y8$Mo=~udk1_2kk|0vfD=CQ0rB)$|Mc@(2!r^~Z+>12YWGLJ`FSm5uxnj#7o;{RW%m*A0%b~FDCc=;Kh5D;((VL3<0iQz~PAlipv z))Ry%6A2EC(kR#l3F`H6bOx0|B0<26hn_$N4k8u;W}L`tv^P3AJ0n1l485wz&H$Z( z`7vauKl?MHH1Q*$Fd*Wh!tgpGaH#?lH%uuf6EJj`C4+-_3@|A6n3DZP{$KHnk!OYs zWQ6=F2F4>|Abudg|C4YNg_RRNGYm}2f#F9`TZko4ffWb~#MvY)o(Ys$WSCIS9#(?G zKFOF95KY4DMZla!b_UgkFl6IjPa~Cpg0V~(gn$$%j)3bNi0Uyws)d3f2|!21{+_Y4 z*(B))WO59ku0VtfB>R9~1JuEaK_NaP|ABXkg*m|xOn}6wfIPv>8@MS@m52k3`ytVhxp=9KlC=zoD=fHUM>w zNcjZFjkJRQVayWih68TQq@r+uyux@FIP*AAUIak$0U;#As3>-jk7e8@M{Wd{Cqa|d zPq~5f9AR|*8@b2Ny$svi$&qd3p0ef+K=h3!fpP`a1A|BYM9<2)r#J?vVBi>}KoWum zBdUOJk__aYXc%+Egn1EcZ{M(cFv)TQ`zlb*LCynVVTGacaHw$;m^lgqrab`!N?>Au z9}z}sp{W4BlZZfsj%C7#9JXW^dJH+*zZo%vR27-Yiu)-ztJ@ZQ!oLvwcc)=AH1==8 z{pU0w>KgbxFp!GFK`}N`9FD_G;PhazkkJ6HSOCxgv;=d*1c!hD$R&Y-rNKzAUk&K6 zOE@{l0Sk~85nN0VmL)h2nlmwfC-y|BEW6l~=g}Y>g)n|(xDFmk8iQ&^4jVWhf8;J? zy?#LL2dtMQ43mLpV(C}{gMp%=Q7{pXLdL*A6E>AL>~K%c{YC@_{&%n#L};Xen1kga z1BpA(>i(X%lqEJyT>wfMECcc@R5})jSMkuN&!iE7w4cTxv5iK9=wkA`k&*iiW&;F= zv;>j{2h#^bY}CLI{Lvi&_D+~jL;z=O#k($j3o_2Ce9a)d+lG#-cs5Elrh7O`e@ zA)`PL>y4hEW`Y3ebj0NZT2RQ&LQ@OE#7$&SATR*te!{PWrVx6M&f5H&eHqC>Lp23B z9IR>zIK)Va4D963XJMi!hfPgGhGVjV7^L$D8GMeAz+(_l7vdATv!(UH6yl)(ILUha`agCnp&c=Vd{)X!g-x{yyUntsSbpOzBi z5XTg|Xd*K!hJPW6m-+Y?3$K}?{{4LK0(!cJiR#%_v#jPdOTDIuLKCg2+ z4>J`aB@Zh*;d(QN4qma;eVT2NygILt^i|J6aNv0R{qGk~m4Dp7zJ7L-p65ob;PMsW zM*c6;(v$Xb)Z$+)T^s5+W#hNmZ^E0GRNC}Ty?h9&ZP8XKSuR)OpI`MFJnk;Ya5!-= ze94#9;>C~Gwx1T-Xkd-rxk|A*sjTYt(e>9Ng-S~HI;^^&quQA!bZuTS&#RDoTmrF* zC$_IVrTA|15x;2#&&1Az54eXoFsG~Q>8C7?FK^Hqau4rJt*H`Rub?%TvF%NFa&fh| zhSjQ18cohA0(rNl>MqFAk|jwJgFZcz`j9(U`Mp!bYN-q5!g8iQ;&M`RI0_9{E-AdZ zZVTTdideJTGcM2Iwi1UaA5%Wbr@gE96py0>Jst{BMrrfTnAW{n%dKtarq#AVZxd<4 z1ESviK-LUcQVKF5I~CnQ!7K6hYC>u$T;H%^Y$7SGAInVnjFn_}D(SGB&{$LoR#ez7qujQPYN zx^s2jashQQ%c}6Bkw4BYKIea`>LPB_N|(}pg_E3*3Z7W+)v|A&ZecI~!eGbkaHo=z zhPoElQyVoJQ|7*vZILxCk2C#YG0pS(@m=wrmlhAr*wOS*`JP?W%-AXS;v$4A&#XoZ z3m<4E919WAn@Z9>B5<8!XoIi!(wL#yygVmAR(m?7T_uDR+y<*SC<`n_@j zUP-oFH*eTk$+J@K)a-yRQ{Uibiwzqsj!m6mnB$^!Ce|eiC1t9d`p~)FO*BhDYquvV zjv;?uOi*U_V$0l!I-N&0C+rvbFa=Mjy>RtA5gtC|;5bhwvv#XTRBY@)vCT&N3cu{~ zB;EBiZ{512!(8m5Ln=yBDpbeUV1~4NO%0dA>A{-2NAFD^P&BjRlb)q%G5ck_X;vcr zUTG%ZWBIt)$Qpxyhiea3J{i*Sb=iAHt5_y3*!4``=>v}zZ`CuRgw2wxHJbi`F=$j{ zvw6wavk6O;3?z94rTJ(YsTHOc7X0(~3uH%}=9uM=c^k01udifxYv5GPAjj)B_Xt|6 zh3&f7Td=^ZQ2JxlEc2MJ>IYr+EMH^pdUZ?gQ~sn*V#_kWxb@q(SIExtRK5S??i=mc zAfTY^_)5BZ=jN7dY{_K--F9Ztv^k3P6u$im&X@RgEw5S54^xc#?&mo#;{K*7~0NAbwWu5PdS;KTs0?-Y$CzCR`B}z&OjbUgV~$Y-{iPX2B>)FRxChm ze(Q8MSk?NzcRg0-DH&tsnN_kHj0d+&Ki z*Ieg$UFZ2f|I6_|4&5UPH#!{8n9DrgCUWN5kMSp}Rta<;O!{%cRA@f#X8qHU7bBkz zDM+GSpKH-)y~gKJ^9wr6&h4-$TXoZdWj8+8RlU8n zQhK>4ZQbU)*%?~ZX)bj>BnQULk|{ISU-XS~?>Ka@&Q60U8-?3ZW>sN|3txL|968*i zxvEqXfQ;D5ld4LIZ1yizDKY)29I8@?OK>g|r5;i5k?4cC=F_CYBmOgqr}B5+C>#*r zU_fPvQybcg@TL)?fK~!xc;LNjF&aeJ%_K7zh~1HzW$;nkAwxNeOA*jmNU`&1(xFL{ zj;g;KcY_yA$A1|}Xj4!%02ZE(Iu+RZTK1x4;Zvo&=q6yVzZ*8l7a>K1fi{erz78JPgjcQv+1Hh`VjdbFrZ0Y zipWjI>p}enc@3!xAqgWDsWcw52#p8qqI8Tym-(2W=HC2;Ej}gUjwJ95HnRw^eq~LQ z$oN4ONot(xvYVM+62;x z(p!KK=leIo(A+vEB>b58eW`^=YN8<}b!o$x$*9W_L18nOH%1Hm_HrPUp-A4`<&6{A zzr7s5W?D0?#mM!xcsWr+g1uoOuk-7`p|CCEiDB zx!6=?Zho+@U5`O_J1-peJ!-Yon4~pbptjd$OSD4u-Jq=*QMRwRcAvUlEicS}I<+vr zcE+694rlAQOEZI2gZ5*qxvpYh=A%uN$)mcP67KKovI>;lbuPu^zd6+L-9 z_ll+UCiT#T`ww5%9;sGi-D6*u0sgd_A>R^@=AN=o8bOL{Q>S_FR7}+f?Ym=kkMegG z?x?!wt6r8?Y}mDp(lBzIT4eZ?RF5S?e^$NvR=si6zFViwBdw=qp1*xq&)=l|CXSo_ z8ZGr(As)wV^B;Fu-e3RY@^2FWg0WdMmY7$t7AN zldh6^su7;Hq)R{2mvV-V=`%TfZhm>MesA9QH4Pwjvp&PzKaBg6b9lW=z%9V|`YK^czc6PVo!UECTm->h^QRqlY63hxLvxC=EOfr+ zHMQK^valj=P)WxwmG$nYI~`M2TajX^IKcm2;-s0wudye(otkX2x>Kip7i@B;GLolX z*{?W0p#4O%3l|T(m^*B_{)?Ka!xw7oR6O6K_0vr;Ggj~IVQADX^!hTNA&W1SD%^P- zN{;XKHaOF_+A6J=W4DI~OU=5Bchgfl@MPb(<+($8o_J6a*u$s)I{rb^J!em}avwG% z_V8ryPTxBFI3+(@GdVc-iqS>yl2q5eM-E&+P`J6={zahAZoh=>8S^R2E?C^?V`*qO z`NRDAk4`L2QP?`)Y092KwqH*5UC}Ol`HeLS-4wU*sq4~LRT$?Dvc8!Xva`2}?R1?` zO7dO(Z{^>@+%2x1?GZgG;j_ZaDSI9nETgR|8%(xMwTyqI_4WRE_tI7)EccxlFe8Hc zXn3LKK=%4w2bQkBV$?73@Y7D*m4-%f zv5xz+%IQ-NlrI>*rs~LjieQ{xuMr#dsm~*fiuUtH-b&0UINfIWl-AcawNAB3pl%S_ zsddzz{9_J%97Xr*%CV6whaWeq=dy2pYQIV0AxpLY#256rI?LL7Zn?Z(BelY}?#tkQ zUh{%<$L$Zbj_5o6d+)hAAOgBtrWdImZ=m$#;WwMHZM8Z$OgVP8yTbtY*aW=Fg;@HFgG(D7K7=HyogUAvE8z0_^l=BO#B7sotQU2;>U?T1esvYx==Xqjbw zkB+_LiV_REjTFwyU*9`8c*58!VIIw~r|PlG^M6L)FTJ6?Jjy0w+@L-0%%kqTib@)? zmu31fx2N#VgHnM?=KXKmo-VHN9A0d@GJ)rDCd|99iEwXp8;hx9ce5`XCf92zKGmFO zc=Vc}oPS)$6YDJA@EYB0Sp zd1dVxzr%&?4z1h&Ks7fYu5@U(A@>*Ow{~*+YRc<5zRk*`Z4aKg+0p;)FuxqPRc9tm zVH_&UDysHQ**Q#m`ne^fhYvP|e@_mdw|sM{_hn`eb??AVFT0=EaCrShj~>w#x06g? z2M?+oVc(NfN!BpDU9FZ8(|N@-?dpN6g531GkcS)6e~wo$jhxrPzQ?hkt@Is^CCtA4 zo)`L@xOLS3(ftZzo82=uL=WEZR?~#~B5TxzLT5wYlo@t&3?o9*u4?si*uUU&_Ij7} zg}a8ijx|_89ivsRAG|zl{F!flfpdRcUnS7nbY+!xe)lVHa(8d&muT^(VErzijOpZg zxwE@l^w+A$kAARm`AVMu%+lB2hv)7YIXta)wsBq5r=d!VO8Yezr8hZ1e-ovP*?gOH zXcJWAh^_zIC>>c}31K4{uGlY5k7!JP5?4{A;*`dhN{(=%VGMmJzxobvJES6{<}Uvo zVIx_J?-5=K@lXsbrntBoNbdd{!bW@IBxzrX-k83n`77Z{LyTBzxVWj5L;TB3 z#eqk2u5^p<7QX`BMIa%Yaivkwm+_E94aj(Z(vMdv*!g9+gr;Y6RDqCGY&26j`jhe{ z{6l*c=m!W9U}BleuXH0~7nDT)5@(Z)enQdzQ??j6ea0NYEV#roICBIx0-ir#pp3iE zo-<3p@l&3E|B%5bxyqtayPo*g<1cWc$dMT;Znu(|5uAG0X zW3Jxsq(*q;%J&n zXY-lSy6(AQL))L<&_VNVjM3@~xkHD1ZjSf#Z;NfpN%j{GL>wW8XA8cz){Qa~T%F zNwcnoe5{BJ7(Ya_rrW`Qfeuq+${tn5g^oO#v)`B5E@j2-gY z_$B2k&-c9()Hr%$IMDffRM=%l-L-mV25F=&>xRpXsR9y_a{Ka9w}%_^j4H zEdtNJ>pOnKhjg9jM|a9c>syh=CTc!i9r@JMjDAktZsamJ7qhjeQA5%xDLh!ZNHrHs~qEZQ!^sxtx@NdFK*Tz zHjc|%p|bh2ZTK;}@DE00?=AZl+2(h-6LxVMcad$!fW%27xF3cVa;IAVj2gTqm-YEU zv5RfE%9QeLl~&wQ>^BuBw%&XnP_nluHTXjP58I9Lq{Oj>Cl8Yh2IRT4eYH^MRqWjz z%3eDh%4hF7UOPkciGh3TsaJ=z>Z{)N(C4!ILo&C6 zzq?{szbNw$DU`c_Uuxz|ZbHP<+;9niC%IWjh!kgV z(}zS*)&bE4%!!mE(1d5Fxtjv2VotQZ|9T}JZ{xMm+@+9@Mt4ASkGGKv(cot_eMSTa z1k#hu+_bTaCP(k%_Zh4vd9KFUEIheJY{O*al*vp{6S+M7jV4S(5`TjrmH0z{)FWI*FWldMkW~@NJ~!&rZ{x+ zD$Un97g5+ZD7{<3D>W;s&5cz>kB#gU96cR2Wh>h)OYz(`dQDP!<#(T}MFRFW-wzM? zbAC*lZPSn8M4@f(m96AxQf$w2=os_7()Yt+cYeWH(=zgA<1?noAB!~{UZtNKJ7i{# zdVZYSncb>|$<+(>W*?8O!g}J+PMuqSIJ~&O`~6Fk=U#vCw(FI!Z@P!_hV@n+@P_*3 z+s-|@pN3kS&Q$+Uc6_U?`ige+6VvttUr7wso50)T)MLQ@&R<(qDOAOMd@>;F&`iB3 zWqac<#k#Zgis#$=R%L%4Ho@b)QP`(-qeg_XZ)Wa!YyRWx=}xi7X3#wb-|O$b{HNYV zj{^&)-8*w>=)TR$tGPKvAMKXiyij@5_UX8B1)Yta=w@B3Kjyu%YsvV+gAW4Rxo(+D zU6SUU>+>kwy>%Df6d!M^J>wL+A2Z)oyzj)i`VT!j_^U2)57~ThYuthew;;72N=x#l z=N-w>r)m$*Smow+rH^Uy((kR(!}fl@x?+^ll^nb0>TM1 z4da*t{@N=Y+im7_)L#{-n&ZCd=jDK>OfRzclQs6Lj(e}ubiFR<=FuYC)7*;sL_42ecChPo{ zpKu|48EL%cGU=o1O}k^ZAKqR&N*mW#t-M5WPnWV;2_P9XHZ-08G&{Wio!l4i0@BDT zJycF!4-FiudDmrz?~W}a9;NJE!2GaHWkdJCNMla&&b8Agn>(wj+?eS$nC~}DwZQy! z!ix3ZlwRM^UmNspx$7HibAvJUuf`RVwyLTI^)cQW5PfMX*ZTI8m*yR_ZMJVt8R@-t z&DY*J?%sXbWqsr8##;rA8D&wKxV?IK(MNXCJ9F>K>9JXl)JN}*|JiMy_xuIjop`6m zf1IiMR#^rmlQye%WcH*2Fa@ zDt&tB(Z;^H_j=Mf&){jR9*^Cfn0P%jd&us^^<_3Erdrf`)>YPZFRm)c4%u~Qqsorm zslyey&KB<-Ea*F2ZmT?JFqS<$)AdR^*Eh*Z^?>*8xDgpAM!X8ut1Qa4{Frg;aQeV+ z-Mba$cwHrx-&_1{#`*MtKZdnxUCaq8>)<@db?k+AW5V-dXKH=_aA3>w;EdSe1+y#9 zQB0~HsIvPTR8}dRIsN6kU{Wq^%`l5=cdnM}b84zT^*614eXITcUcTEi?bglhQM%JQ z@^;4+xsQBXms-CF@TF<)cw$#Ox$bA%?1_{7n>)~(*!$lc=pv)@%YiOtr;|q(MHG`s zLMYL(AsW-4WKpCzVF}99e|-T&_H4ibnky*ExGAm#nwW)>u=Fw4_*nk`=fsg)NDH~y zMh?rrM-1&xkC->k5|)sXQMJpC4ql)zqIK>Om2~gdSNeC!>Z}km^{S0YL`6j0&vVHg zy7!AOJ7wD@rBWq`rCV52kYD|J&goP8yl*-x-ZuzcywlR&SdG;_W{<)uTjg$nYo=@M zoiS+pv>EIe=goJ6zj79xjyX}BMJ9cMkDTHP0OaAb224~GZbF-rkHzsADE$Qa&>iWqg^?kdV zQXQj<4|H}gS5)-uVVQd0fTdxbk#uLV)t9|0%P97vZtvN#w0O$G=u3=t`pV@KUM|ww zvTN5UwSC?CI#IlqtJxn`S-c?e`>>^vLkoX|Okf`I8&L03_zgNMxy=`cN{FQev+q3n~uIbz7-Y(hr$kQvUq@*&rqp99^p+HF2s-H6BW2-?oRI=M$@-g-C0k`DVe;$qyHFZ-weGr`yO{P zh`F+*CcV|&8l@}xFSc;BFV$U4vgkAK<*JKyN6nM=-uX7!rPr8c1O3#)Z8cO~;#qOK zO|8jR$GeodPq#UeWIAuhqTY|yFEAGIYTDNujcBX3x@5$OalUPPt7az5I$D_6XGmh3 z0&4kJ@}oNk%nb{!9(foU;XK*p{^5tUHw$ArjOkFJ-RY!a*MOXDKd0yCD#R?YXnoq% z%-kZHcg7XEj|eUM;^NqI|Ji{G^Uuu8 zo8%c|{6wkMsQu5T*}c#2F@GW@v=!~DRRPJFsyV1~XMAFYm$QBClHBj>28`63l<(|6 z$Nc4s6@{hIju)=G$3LM?d{(41H*cHekn{d+A}SqXPZYmdxy`tZRn2In>)RA>Ev+=F zxbXdGdO**BS2+<|p4Ro%wcJ3`vb217?emhpR_U;FRLC+6xMPQNy_ zF5yhyv*E*SlpJlX9mXo}H-b`#|?M53k-kQ@N;e{AJ3E^L>))w{ouc^t{)p z)#^ds-ul8rL0`Ud0tcU&SZEwYyY%Jgr9GajSB74z95rla`-n+L_0F~aQLB@+dr=S1 z);V|VT}Q58Sb5t#+53lA*EVMNqaPh9Iet|CM*AH5ua3iyPps#wzrKEdL|sDtjMtXm zd(NKaQ!h{oqWe@&pXibLWNWWer{f--?QPP5(ee4VzT|J7%hMFi=bhME`R&O!VS?Xf zyJ$nlC*ftfH#q|re5|-u@W98dl_lHLg?@a{lP^VSO7E4uX0Xa$XI0-U(b2x|<*d2& z#OBo+#q^nd>*w6fIdoj@q*a@!ty5wXoXxqqfn=?Xn~iK*pFH1Mb^b<5GiMB?iM(s` zXN=hDG(BSojxH8{Y1wC6)-i)2O zCr8f8f9X*fP|PM;DhN3ivTS-(sV;T#yd;OH_!0lN{r6(7heq_Ms0YvibCF4p$`;e3 zO1DtSk&u!Cl6Um!{6mjQMu#rqf0_KKzaLxUpjA!}t`R>f0il%1kJ>Oe>24#@l9bO| zrJ`w$g|+n$KPsp^33_^<2*E7dLch^(2PfJl@)_JeOO67r2ZE3nYNA9-6vUL6l;7)t zlN!b*#TG2yx$+qsl@4K4^odXa6k{PbL?vXXk%}O+ziEsXSQ~yfI0|-p(~OYVK>mTl zOKH;nN%+c5Fa13n6P%_k-n6AF8}E?j?)h7yLQ0dSPpM03WROLm6!|-%LhxD081rw4 z3W?X#(i4Vv{~NkNhLj-ouMhZlSE3E>SLj}oOA$^0>-$@xLWYRbN3vq29uN9+FJpCE z`S$&Q5be0!FVr;$?yXy#HN)$m*?~E9r$N@*0XMx3_u6eM@=pD^XydbPTg;Cu`W-)Q zxPkm)@W$)e?32OIiZ<-(~F*wVopR*WkysZze=6Xve*bm;`&6T9b{OmV5~l~8OsfN5`;8M^K%PxJ7F@DZMy zJVzg(&(GQRkQADbVXfxjb#%p>%Qi|jCzi4eSiRbGC%s#;bN{#Kl{3_@$8S!0HEw9a z);l%faP6Jt#PbW>^mo{EBnHl zhfhpKv91;I=Il-~P#7h6@S<|@++`P{k{Rn-A4phQd32fHsLJobt44ch=JuzWww)cV z>Gq0oKDGaJqfw8pk<({AJRUor%09g=cFq{hQ(IIXT^lj8JnGqbcHoYf4~y<>+4%mu zo8{w#g@@m&-XE%>xGK%NZgQ&g(?a8|;b{j4K3uo<@E)_<(>@OB%2NKKab&+`m15Zv zW+3}&|F&tzI=cAk_8^;1a*N4Sw&}HJpRz|hJH)J0%HBTSYm%LpDK0RGy1#c=NKbWM z#{tC}Yu-BdYBPGzv}x<+9XM?m8RybY(wHvs5cGr6Sx4RdqhAxS_`lz(u9sS_XddJ81JIvnyTwNt= zhxys6M1_JqbzM(oPg=hCR+xsZ`h-WxOyjDOnOxJh$9k<`UH*_=m25G*>e_*6 zahpaY-%h!saXqExYF?nZZ)A*q6TXNH)-U0U z2pjwdH7|+?j)On^9Z4NgOArSu4JH^diWta%50c16!d8c(gXE9JH5B}fs31qc#)%_} z2ysMQ$)XREUlwr+i@uTkvWR$B^o=BD5;aDPzLEU02qRB?Bf_nVJ`e~W(Fe&di}2l| zZzR7A9oB}wk^HiRPme5OND}>V!+3n0;f-tar1vXT5#!wA7Q z*YJ&~OB%lnzfJOupg@K!Kg5yu$=uzC;> z{Jvd@4Qh6@2MHh+AmmbnWRSCSIV3uIY-kMdv$Me#BHi{QS}gJzcte*J14<8UAe$&e zNC+$!dcMp-0@C8RWe*$--&{763nmd%B?UAR5BM22herlS032)zQ<^MCwEE>cGa?Kk zLJrByAisf4_O~;m3mFVPna=~05*6I+0-=xu1rbm~@u?IcWGbXu%tYH+zB2Y=wlSJAsm3r3fad%$;==E zLnt>$79#>B7PSQ`p+9?N9H<0Ago1^>Lx2y#{6@!KCPUSMO64;794R3Yw1C1c%5^c) zVdw~Is()q%u6pWU+Ybt#MCY++WPuQDB5bg1(WzVtVs#;xPv@d}Rr-xJjt}MX#*iRG zYZj4+5?e+*WphYA|Ls~7aJUR~3Q^HY%cWEKJT5*m1VSdA%ct=KLMduQ@d+>AS4IdC zf%fK~nK21|tG|C|U>jtyxgdcRvW0xmzc3&-!eufE`5IC)3MpcjMZPnm;S?rRZ6xmu z9I7p<+WgrzLlYjIuAcf*laaXrPdgUZMlX zgkHwK-Dh+t5m6W%Hl2xsj3gxU$rM6)f&n%;1_hK*QWm6%6o!1>842e!B7uKq2BJ(R zxn-a556)={nZp*~?FdO+HZ)-X%O~-e6ds2q1o;uTnWbmexcn=ZnZd6@?h9Tcvb!Lr z713#;9rSPC8HvPVfC>$wL}U(?PUYYjBy^h71son+D`;ck?NKNsJ{hZ&E*--(ArX+zYJ~rbqQ}28qvNEe{$1(%H`68Se9S~7c{dPzusgp8GMF-Oots5 z3c+>`K6pA?KV+!bJ`D_%orOS*>+WzG-Gw_n2IQFmkAZWG8w7)j_Jh;@5{u1!Pg9*8A0guT8 z$uf-u`eFf_&zE+Gn{W%rXJ&AJNk}tE-We55cFdMYNB_()Qu$mFIU<=vrwJhC#uV^C zjY#LR!Kg!`NRzjNc~#!A8I_J>8jy#7W`_Ph_^*F!Ksa0;o696|S+MsAVtE4a?(^u# zVzEJIN^OQ1Ta+D?>tRNP`%5r2z^4Nm5d0ZH+=Kh|Z)b*r7~Ci}4~7F;g$y|ONPP%# z2GF2)f&VS#fXI<>zaddTg(-yO!@n~F4GPE>{&r?8J~Rr*OyVTt@z|iOW|Io!k-SZ{_>W2>n(f)reKe!p{@b;AmL*+nKS!gGq(eU}0h)0EPpMcLmONWDk&D zZO%5zJqIGzMt}vu%%IJQ0{>1VV_Qtt6Pz33V8PE|~Q9sYX}{CcI%jkH^AF;6NxA^jCZyp+tfya-~8Tamhfg zt5KwENu(NqNP#beAWc-x|J&6lV8ebe0Z@iR1)rY{$qOD;h&CBSYLFw5qD>apK;%0! zxW9y!GsIR|#D784gO;Vgoftwf5RtJ#tSpl6q;pvKK&6rdEFOo0<@u{=6xVd*J2Cjb zBqG%ae;E4!+l`1m|8`;!^5xRW2wX^1KH_OQ3s@x~327?|X#M#dDVt9b)u`k%F^LEh z%3V-lCfr7JtD*FT`XM2@(&E(c&{=FYkI4~Y@H`F={yd!z6HmsO%te+%D#IbJsmW*H z67zrz2f>X6on;t2j2nJED9~FRx0lR;b3nS!ftjQ8Q0Jt8&Ks#IwAAr<3@FG@DKsgk zP+TOG>&(bVYZHnBgq{Uf1h8UwGi+HgrL0$i2$@ZVVPKP}Yz7pW$Pn`3!sXyWw1`ec zX+mljHKEIvi@QsZssl4iSagDxf#6i9wj?hj@^)oT4B1c;=;eeA4pfSSd=_+4h@}ZI zE``TtGMib9@(Y$FyNKW~NBUB{i-6K3_{&?;O2N#q%veG$AySU04X92E0!S_w&}AW( zGLfl~dLd1yx#jahAT~kZ>L?g46czCN7^0I3D(NzewX9KdI9OZ|8e);LaZn9G zPLoYSNP%hspG3u(D!qf`9_NA>4<%61+aQSfz;lb2^0)J%v&pbuXl~{~v<#~Z8ZkZb$o9xPn4YsiB*=gZjt%qJ_3e~OKyf}VYV|cgw`_?aQ>yTw~!erSt z@{Q<-uxRAW|FRtM(&Vd;lHS$48y z-g>ay@c5NeKJyd2>$O5=?$LZZ$}3HY6xw;#iP-agQ##wEwY`6FW&E=4!&W`+WmD!; z9KPydUYPOZC7T0R^d4wZ{NwrI4Y_+N7F^O-(F^|W{FOFg(M_6dvB8o%+BcuXF16

PtwJMVqTnm-wygWg6abjJK8H0sHAS0T0>QbA3~&J*j4P zW8M8{IcYn6dY3|buCBd!!d9Cc)d$L9{n`1QW_=iN`MA~P;d6fm_q(cbwfDG_duHqC zY#Q%1_Lk50Ad|D#`X1&_X4qLTdEUF|mcv(*yhmrRe9BI6N$jjJXHD=v&y2w9>)(F; z@iIm6`Ry)P`X990+ODQPWA^C_nf3!MHSdMiy}kNE`Rx8m-Jw^PKac<6I`GY?U01d4(slQ0O{3}#GYcOZZ%OM)Hs9bWDSkLO0V^Zyu{{y;h2`-^{w zK;@(Fo&~R> zi7S+1ZeE}I=HT#C*2X@qmN_2I869!RTZiGTV`Cz8RzI-Ja;RU|yvZF7+Xn}xe4kae zU^pkcBX`Ue!%H(3DSgRJba)p(FyPM5{&oga8LvK^SrYqcWZA2rMDysHCmQ4wdicD+ z@8xrHkClf%4@(+)wAyA4cR}V_&AF+uh9jPhKmVzn+pI%oA?=l>Rz-hXJkWb^TEN$y zN_mO4?Yk~ce?BU1hrZ&MeRatpM86K>>jmjPn^g61(Cu@mIaIAE_B<{`-t+Pb(^1lp58zDi)G#scdPU7N6M8lA0Wu08gX9|t)Kda; zr8IDC;Bw*+A$~^!b44j#@{I)QDS^2H9whlE$uC3mrQvc3h*VU36#by&mnAS)il`Vw z{FA75BKja1vnVq!`bP50qP(x@8_6$AV6N~@#W$r&V6F&-B;PcQ8E%o}8}XQ%z*EIf zPdQm?TyP3c>B*{Ls5oEEf6VIB54f$UtlO&4rn=i8WVDfd{9wRIb0^4hZ;5FatedR zL-I#Rkxn4|4{)`}UO|9Clvkvn)QN;Uf$45>lX%G-IETbyvU$i`QGgr(%p3nVb`unR zA^sr|?KDfSrTJ29lM*hKk(5Dh_1j1I6VU6yr_IWZnIKY4U$t?~pY zs35aIfSpMU9!r1<1p4BoHj&5!lJCSIJ^^$X>>eQ(i&sX4V5Ll?v&@;XXnX;xYjhxR z&;i1OO(%1Bz+W~rFAZH7pB9ZlO**;*993<<6d_L+5 zC;~y}S-=NMg-J!{I>47w!rv$z%G+SW#)$MNi4qra6wx58gPkp77s(npHVZmdi2er> zo6DiW!T^enbT@1@kw0y2vB^DGM<~f4a|)mc{zHW>IH6`E%RI=O7z15YK-P0O0ve4* zEH@^y=>p(?DJ&)s9MW|aQ7fEWcM;LeO~`k_Wf2LJ5DMrmFEmubWUMp}m%=C71=uu> zfXfE#iy}l(0$Em|0O)+2I?~obe!jy$dkAXXA~{&BLFBqc8sst{Z7^v$ zA`pPk3EJ4`Na6D+0=AId%o~x8#{aTQ3XT?nA;Oz$m>C4#*d$rTLFUZZYzh%*S4rU06Uy`sHd)+w2D;GZ%0&nIFoIu183*9vEqQmEr30RXkGXaAnpn=Vl zK}EA3UC2ar5~XE2S-=B6gG-eTWaW=|MOO;ctgsp-Geao`D!eiby3Cnz=w!YS&~h4w z2M8~d&gUb#V4=%RfI=9BE(LiNXF23!_aycK_#osI;67kV5W5#)@$i^ioEirTW)wK6 zJi;FEsZc#5a}jBodIfU~_RS!ENBdqeAr8%r=s{_dp=+2%!XmPl%2dHiEhsnUlYr8Nxdxwt%gN z-vF6-G_Y~tJW#==Bou%>S{el)e?%+Nm*#Aur3Sl+AaNp8{wT8SgUrRqC!=0TMcXwC zfCdu0ZonJR8O0#c_!Ndf(9Fc-?>|t`ZU_hnz(ME~g>nbdga~M5*asmO?H58WmBs~+ z4vlCgXK{rbG`G?ibRlwD(z{6R4g>}99jvJc)Wk$7l|V57WF=#*$r?Bkj=()4L}#<9 zSX)qY#Q&!W5JPYUfa6Nz!U4IIcXS~_O%vH*B)mj31DYCex4)ekUM!bLG?Msq0hh-i z1BXlEGlhs-0H_0MRr;OD-GLy0bYvo5ESVWncr2MsPBPya4WJZ`0KH8F))-B86r8wl zX}L%qL%W_NH8XMUUp~idLs|uRN|8b&1q|_U956$;Ml$ooGG~U|B;q3`D9`|#7SIqu z0WZYj!hz?JXn+g-`p(3~47tvXjAlaYE|9MgJZgk2BwJ>algxQ>SYY2^v(SXhM?X9V z*>5_Thc;K_Tp1i8P0H{gFqZc&L-GI`LFt+`nic`JsTCFiJ{LKy-+O{~_z!8&@cIvbq2TROu)1EO^&Ha^u`$;e!#H)63ku zd9NFmHht7m)81!jR~FZAu(@|KDlVpaz|o zeLv%+Qhr1zV;-$mH@oN6e!Z+&n@7B@d=|>?8~ApNKkHS`MVs;s6{Z^=qQu@ z(zbuZ#rxV0JN>HS_P|#Lzu$G8XvE;FS%px?FkF=hc2y-AlaHv(47lw2v*G zmDPIE72d_pU&zWyS*l@6>P=T0X^rlGcVm27AUE{en~ya+&U>Dpn!elH=J2fc3PPjX zGamQro@y2A-!|c7UGmtIIvpkit8xl@hO-ouj8>f9JnTgW&FgswRqj6Csq3M!m^LbY zYR2P}S-JJ&JvfR}wR@eIc+0e0uXcXxNV+<2^4z0r<4r47CLJU%ulwA;HZV%~$n^8U z&12^43gh1A9c%58W4l(ZC}~SX-d;n+=i^^*7-DkWP;2@zyQ^ybS?Z(P`gBxE(9u17 zXsi3APKw9;Ov|S0#SBq1_Zc`oxwC;)R92KM&FzOXV`EHJ_AU7M+SGBz{<54S52N~2 z*tK5b673c+tUjjgGgjx_5dk&r0*X6%T^?2%SQ}8_9T6O58<(M3Zn>H=a+x6`a?RX8dCt&clfJBD;H{nK63y&b*6?6g;zv;3~yriHccTR&?}@Z!>Q z8v3b`AavJQJU4*!J*Uaa*_n2Z@R|qe_?1pu_vX0u;T8ZR=viLZ|C1N;?CZjF_oh$ zj_nz+uQo>GmC9zTozqr%-5i~%*5^n>E#EnISK{6=W6cdK+*Ev&j8cz|dvm+>1m%*v zSEd8cd1Sw>%70p!@*uxMr!Lo%9yqn`b0VJfv`==(%t-fcZC7e@V^f7L>r$NCs9BqO z?mJl)X0*biTz@kyz^&}P+f%_eU(?G$x2YozZB61Fgyi2`5Kz^fd$X%;wxnY`xygzrWqyABkaKN0H7C923e?O3J7XRo&whve6>% z)@EB}rw$2IuJ)Lv8|!k8Kgs;|q*&pX`Z{jD)qGM+xz6qMtBb?c*J1b%qQt-T7s^ ze|I--v1R_Jz7KY#_gwPLGx`NB{PN;cXPvqkZ|rSoQpnqcV5s^*eveC^u-XeXDIrUyfBj zPdkAX%?6q2E?ZZoJ5V#z;^_F-tAgfwSjKIcr!}0vee{w=gOk(Tw~c6} z5L>a?)Umj~`mrBmZTr#At?NR*f4=MMd1RJD%;d!Fnthi{W(R&MViXqSmpC3>TX%2c ztj8LotBei&bzY2pzoEu@?Xj%}4!#j#tLr;tgq>~Q9Kfj=5@jiXlbG_miB2fMyf~ZX z0dPWQfcPcQdW)EUi4Qa}k_R6o{{%(?@!z2E-ta+mf8viN7$JHCeIF134Syq2nkRk` zewO&E1~@Oik^FK4i7UR5{4%O{4Sy{8Ws$xW@jGH!58{IaeIyqDAij}|Swf~xm5`|u zBs#-o5j(i4(jwSI^1;aJb z)QD#x(lBF)if%0j_#l;G5$-r@&WM=!RM4>S*{G}W5f743$dz_=MJrv-14pKk;Os-m z3Dkj5fW>N{w_F|MFwjl`T$qr>6d*TA0Tmw&%CQ8G9RVPuNJm7XjVYgT6PXkgQvnqc zj~nG?CV?E1J?`Hv2KlA2h{XV)kpThz0bc|jD&lNniy?DBmyv#B@aS9tx;6pjRiHP{RJJX7#0nxj%r7zP5AfJX{@k6uRv&n-vK=tpINeMZ1y zBPq>Cc>!e~A>eO-U-3~5k#0p1+hMtm8ku*7sK*_#KaikUj;M{aoHGRLCsA-CLP`*q zKp_p4Q!ay#1{yL0Tw@IBT!rY=kkhamECxVRU~VVc0*P22JmCb5pNx_Lhv@!g@F^(Z zFj%Ol@rdR!WaY_1bp4TlZ<8{0qEk*j14l6rHr65xsb$-qThPkIT9PG0#8 zPP~L+hVV>9YyeDxC>|>sCsE635;AIGe59>Fdl(mRO4HCDOpEeM0s4k)^k9;3`^1%_sr;|Y4MMDWvL=}QBK2dFc(xD z&{U$~n^-LHW`Tgfz-25GK9&fZ!2^dD5EJOSCz?0~cmWKgo8iYvp{~X5t$YR!?-z$4 zK{_HHxCnQI;_n{}{CDO;-X|W+KhY&ikcSY|Hn6^MEM=Gr23H`Uav)95rL%-&F7n($ zz5u=(vaB>X$I|KhChF#YhuIMCJc5vt2qXw|L4YG+j%C&UX?$R^u*|^}3JU>}VXzD1 z)ZnrNR6c;~QW$mc%gK4)5lBa>$hkltFVPH7>^oWB1)vrnNCrL~Zi5i$S~A>Y0M7U@ z6+BRJNt=ttvVs^npg=mGe5;IOaeMERazplxy3qFpEL`iNpz`3z0sAH!L} zh69HbOalwJK#+uEaI$O}>=u{H7m{%5fxnjp*Z`PO@FnV~n3)s|OdM;=XW(cTL6C$3 zM#I4A=sp)M2H6Au-BXq0!G^)G38iF0$r{Ce(CWaFfz$wRT$c00<08O-JItdB$Rx0t z@WHvrVbaJzu2ZpQrB60dxTw zMVHX|UB-EZJo}<$q&}b1;+DMRQK32J)_Hr z%`_L6`5u?gAJ45EI<~OvhM`tq{+frrS4bn&j0!hhGFg7`{_D}Sg-?a%Gxpa`dA@ex z5R3PRtKIsz9B`X5v^q(c;I?4FK^;3z`|HWuzxr=^e*B&7lYJZFJXd%>P#*ruNO_i3 zw7!jNf<;vOopyyU_Fg~fHl|?0l+qW~*Y=l~B_GyXMf%*c;{b(sI=sh+J3 z>pb_Tv7M&SxBbYFu@W7OY)aWXCIbRg{%kchhM3sp9aB8zSRNJGM5wx<6|Euy)Gb zR<}LD8ounhDzDAgMDo))ySlBo^0PMNb*Pi->Se0E+qb=${El_jHg(eryG0ot9_n6D zTOagfaNgv7q@!adee9h2bl7x~yZQUNo!?9Lt*WMEmtFA=zUa_t_hN-7&zEFTT;IE&KQemzmy4YnFp@6>NnBg$-n`v+bNe!)-XPD zUgNRr__%$gIrY!gLZTYyLXEaLtLU>RFb!}#sGfNC6`F_|_wy5>O zS)VSwxzoBXW$G~9`%CXdFAMx}_Ee`D6Xnjz4~HmZciQUY*!`5%^oa9AhK-+MzCC{P z-q`-njH6y#w(+g&VlzB*Ht+WCW1L;4*A8!^Z47kz@qUI+HbcR&|DfR)1{xg;x|3L5 zP-qj;KRj*LiZU(Zeet6lA}ZrP7+Cd;bhEE$`y- zrBh;m4*D8up!=!SgoQo3CS|T2VyzZDhcP8;6YE5@;rO%XI;ws9Sf^>|+G=gqzLVWr z<+*lH8_9GtVqH`ZKk#Z+U}jQy#wPvjHgn?Zr#K2a)@++Q)7$g4OP|{5^~M4}gT01p zm6pULS*Yku@BA{}-hb5gvxBAxCX8R?t$BCTv(KmdCh4W+M$}k7xDl$XQ|#yEQnJ}P zX>?M^=04RGpJI#dxR7raxV>=@^mniQ^y=IV!*jLYTTSl&;F~UGl11OMquwPBS-0l`E<}&MLsbPcnkn%fcbd4L@wcI17w_D-orG5QNOgGwV#e{J#DY_T(mNMEJqk+T^G|Ja^h^xzfnhgt^xn*lxYldv#p0@@ zISD%ihW7i5ua9uKw@asfV!q-@rPqej9={Cdv$ zTaSO-VfFWcSy^vgjE8m(`LT9qzd3E!t35k8`(S&s*j8y59IK~x8j|2#v|IJe2y4ZQ zF9K#*UDQ(^5^{$0xbwwcZSCWq*a`%#Di?u0_!VdVK8 z$ClJgW18o#zY%uFWM|(IJDy)_<7#&zcIMP-^Th4?`&WORH@ozBn=I~!4N={|&@$L?4=#ghT_7cnp6T&A0{G31PR0q`tz-Oc^Z+900w+77JW1+)5tM z`;c>@gW(tj8xA^sgwm-#IkFmy2TezR6{->dN)zP_^u>aM3!VHed%_@>W7>Y5B3Keo10(ZL%0L|# zAU{5213(vu>^ypVo2ezrk&hUwQPgEb7s0lXj}qmDQPMznC?e37pEuZ&(Hg}C>nWsU zk(XjJK`xJ8Lo}*@oR{9rhLm5p1R_P?`|yMt1}=%^{%GK`I`V#9C}I;T9|PEc^hFO1 zM6VF(K@1C<3-bUuC{sqL_n(fNDo0c~dU?@VM|1|l1`;tp5j>+HNK_7>4$|^UL4j64 z2CpZ0a#6hpejG4ak^l{lLS)v^BlPP72k$(NA^ALTu|c7s9*Vpk3<}YofMKI{AgkAw z!vQG;kC3?l*)N|8S_e=bK*SH^a6I5z`BK9cpQmyfHWF0`NN!H}6hw0j zncU*@=79JSsXz{$#%8eu(5*uy0i`<@+8WU+!X-;ltBQ|*`Hq|D-9bGJ6cMQK;=hpL z#$#-0nV=n;iczyzBr4P^(8Elkp~(PN970ThfUu;}ZDMCdzQe`|Pb6T(Fm9-8%S^z4 zTvP!5941P7Q0IY6I|&`T=!u{)!2`l)ahq8rjk|A%{EOJdlnowcVB7t>E2OVPos>WU zkPT+eoiU?{2S<^4CDFPFl?JIxQRS0y&);2%X*DjMG&fu-$QIDgD0Nd@+Iasrcd4iW z8#OPfsnVpd*-alWsuyVa0U2y_ml9sEWIZ)|*WXKY^cpAqh=jZZs3yPU9?bl|5A`2h zI>Mnp#HANZvGaex>UOTqxzeO9-N?t;-;dgF;E1)f8c*ev6;@+XdWYMe?$w?7zUoE9 z##yEh9Ey!|KlBb%9LpDPDj%5CT1Q?enN3k2G``Y!?^y3{ z?5{p^YG-z59W1DPR{3$xoLO5HIv+4z*X!|i^Vl2j71vYy)^v@Wzny9pd%e2fgc+7K zM%Oc){GyL0^t^eioHh6U^G9n{awpziU32&4ZZ~pu@b1_HYFDCj7awyO8xo^t5$?Gu zlC|eb-P*gB&a*7n48Hy-YSOf_6KW4TyM`pjr0sTj;>vFf1cE0h?JAn~y3X{U#o~}=@InY0TzE%5x(8H1L6CA#8`qE9yNqwXe<$GCO z(T(;O^Q~m)Pvg6m6o*yx{ltwT7 zX&Nxq`*LO*-ow@3KNiH;eT_2MuV*rhYD6~)bsDSl!S8wMvlqgYCmnm8Q$28ICS#Vx zxoX8jqmK;|yfBMq_g_}ie)JYUqa#bwUp_N9p`LHkwr)wy**&gfKOA)ldusV=^p){$ z&&CYyP`tK{rq!)^y2j=nv@dC1*WxEleIoo|lzH0qUQz7PPD7^-eMjAvvi8;bBv<9h z&psq5%$sy_^3zzyiNdZ4x@VrhEnm82%7tMyAK$Y*!<~M*`)o=#R}5eLWVyvLO}(0w z2Q&PvGj(4_jhwe5U#E2!-bG&Td$YZ=&mZj&+^eEnABDua*O}2pvFOLR!a45fc-`aC_{Axd2TrqQX|#`VRu`1iC=6M%`PR}M z0*%|rYwzA49QolyYMawf=FCvNxX3)*QdxE2%1Hr_JKLyy-)`NbM_K9p_P$@1ro6Q9 zdR3k`b=}&+;KJuaO`hF&r$<_6yY1tF3zhm#K?gqjj!^kt7!mGxHp^#!bWB3py4k^p ztl5`_nBKYDBl^dwH9Z{Secf)9ES_?@V5H8;n1yd|T72-@b2w+Eptr)vwy_T<9=WL2 zqhsgW1tl9Fc8c~XPH9)tH)(QF|Kd%{IcJaV>)g-mMe2}LKYfQOl#{P_4(rU@^=EJJ(_U0ebc21k%R??yCs#TK}gN}I~d0ww^W5np$VLmMHYa6uL3)R0` zT^&4f$YRzjMv)%>UfYMmuN*%4)8L_Mt*QEZCEJLYZlj)UQczOV+iaSu)csCd^(o`x zPCJ!eD4wO%y^FE?Hrg_Z&+WE1Oggn^I@W5%4!+~bjaCO9D@?^*SR-b=@Y?w*j|%Gu@k(`lrz zUCwiBuLjwUIWs?upV;yCW$T`g*3jNvs2=maD*Nu#Ah!WIKR>rR;}=&QxLg0_og0gK z-1KaW^}zfuk4sG&ttjfK&1`L-puqLs{}XnvPX{f&|$w>e!!r|hz+CbFVFelXH#%~ z@{yk@?QhJs%Fc7%f8As7!KKp=O*6jYKnX|9?msck0;l>XD(Uf`pn<0-y4gQM{j;+&7WJ-xkGeF zcHqrVm*yART)y${kg=9dhiLyLmCjb%B8?S;D_mH^I(Mm4HF3?*Z7$shaK^ufhB((H zmF^QAEWf1tsG_`^9C$SeWRob%M{AHZ2laxu2!;<0$vvX)zu|8ha(Vy=HGD&DK${~$ zCi$izZHNSlG4U!5Vi&(!rvH{>2=Smug#x;9;(=p7 zB4PR`14oxE6YvcooyXvzHw8j8WHKMzPh=q?Up9#&mC+VkCiy&XK<30r5oG@ewh1CB zg?BIF)1bA?oQCu}3BzUzSTwLD0;NKb!xB_TKve>EB9tN*q=^ive8%0dPAEwFfi@Gv z#qfb+MeYyMvn?+ZSu~bX)Xi)7S;MLjift_d(!Bm-a!v7}DzE1a zp+f))B?AX~5vLAe4rLCUgN_X$n009sAv&u_$XfEam^ZXbpil`jD3y$d5U1Rpw`5zA zL3hk15SJuS6A=#_NhQFOT3X;7H1&X2mI?`QTsPQ45zg4py4IEBsa>yWh-A z8+#M~;iWAvWt5sxMI^GW(symr)YR-nYcn*5hnq6b6PCLjbD zfghE+DYR=Fy;gZ9R~w~Do1!9{VkiGiugWi>+N7^Ql28RZ&7USzA5C%Fc%Rj6@%6+L zDHc}u=ZCC+bFN_4;rK_VyHy@3PFZWBuv#N>y84;>OU}=WU9OZf_0X`Q+E(n-Uk1IX zE38@bY+cQ}&S4`e3{JFCJR7u_rAszRUB5JR$ditWBMmBa?e7-m{vUaN9hKGgMh(M& zG)R|pcXxNUgmeo?w{)i>Eggaa(k&?+bkH4dGACK?zoH4$4jPGCd z@>+Y{YwtC$nsYoY$nb-Y^97y&?hP@>ZdE7cR~{$@lB)6~@Z{N|h>t86=5~70-BOf4 z(LN9$UVKgD4()R33%{eolDhfT$)4nd`$84YnavoVNUSZW5FbPsL5>+@W7S(|919E|;I~9(-nyeZ^W4r*$5_TOV^4>*O0m1+iPm+1B4&@V zyf^n=0fw4>u@fg6%kj%<8EJ@k=%dG7&tL_N@`)H{S@o!kby$@qP%*v9Uc1huGrne0 zPT1RHG`*WrW1ZR}9h|eB!Q-9v+MIn2 zIulsz`So!ZYyoKKO5j;148*YS7?xgXi+;?;#6|E*C<25^U zNw%>m0aS^{C3iK7IFc~l`7QmUMllDELoI*2_4)P9qwYD5csmBUWK_(j1(alDT}AW( zTm3QTUd9G2)AuTFJ>2YxL^x_XeAREpwpi5et7#ImIYNd%^9-84!MxW9tC1v~QrO;b z>Y#qQ4-3r740obM6ERFeAs@z=hYH4ktG{M81{-TtZerl$#6`o!<$-o z1@7);a8J9&@2*s?1jAU@AV5|sC&{p4RoR~Urz76lbEOcX!>My}zx6DWc^)~Mltf;m zt`Wbhg~_(#QPrja#(j8q|1`gxYGW47y2krq)0oii8M_tx^mW7*jrJ#c9t$`g3mqOO z4vS*C3u4Nzf@@y|X9d971i}eGqRv5~`au!;>|2maor<;AJBE0UI+?jj?IzPFvYz)&Y5NG2ovAU~Va}2FeX0m~qUGoMdBrl* zFvNLo+ibKW$zI>znm8Bb!oO%~?=~EX5s|a-X6#b2#E_-M+oj@;H_z|C`#8S1_6$Wt zmgoY~!&LBx;6QM7$P)i|Mj+C^F zmwk$EZ+Cl35bjD)VP|7~pwCKYapz9DT_2qmHzZ%FbFxw)%v)GxWYZww0mr@1-c`)O`}7lb&0KAme&>}pMag5rJ}6uP?l zeli7W%|P}5kRbqbmHafc3eFS|v;YVdz?uFu<@5i}^opC}JJ1Ge3gBgcHxtZ)e;VNV ze`k6{gz;OiKu`*jVz>@2u9z}6%?Redko9!A<{dKwj zX!+wi4|cgA*at3x0cackWw}7g=&CIL>yrXJzW;}oz+l)l#Q5Wr0&|qWY!48C0OHnw zD#x#m0rP9I4>)rmg5m*^`C!a{`wRW$odcMk21Yo+nP0=$V7zkxZJ`JWs*5OXLWPWpM_B|*C>HGa*m(Og90?_4y7O;1LE!g)eZBhMkv=gyZlWZFG?o&}c=px1G_P%(E zUZzib0$6f7(V1)c;e%cHAK3`~2;V&NVQqMsea{dTK8+%1?xsC#JUn5bqUs|FN*J{u z6M8E76yHuR>2|h#uqd_}izddTDL;<67|E9T|@6Hkz5{nwoby&@IXD;Y=ZtT=F%% zJoB+PvSxKR&^sj{6HL|fypyOv(L4B3esb&O$0|05tnsM(o0fh=6>}*O(vQ3FRAUNW ziObrkHp)H}U&BdhcRzAYMoG|?+vN;V$-z7q*$DAomn>JI@e^?UVEHyqB}byS!$bU` zj^y44lfFeZ@$jCP9)i+G9*=B4vQqIJ2of_Kf2_eg<0lZ*H|>22ccS{~%dW(R9+adJ z^16ZHh3u#El(Nr*iye>2Lkr{G=|UgBpACBqdmtDHV{0Ze;Ob$T-+{@o!%jYHaufD);(gQ;8KrL zwLX$~JTlrQk+HwMgtQmgO{&8c)=M!dV@jU_=k&st_bATO4z9T+DXk=5AU`ZUhzO6aB<) zH|x5C+YWDKlW`!eFzpt440HyoLz*)MYamuuz!Zs>Xh%rLFGesF4_y2M*#zOAsW`f2zhh%SDdOC0aBNB)b&t5I37^l6;`Je!e(s$O z&-d%iFF1naGsLNcO|gn;H>IbAXc~~VxXrf3>9q3c%V*d7ZuTi*IMK8Yk4sEQl)iB! zteVi5TR)`eESp5G$-%I)I6&HG-eX^C9k1jAkUgT<@v4@?+jggbqW_h-I%mCddp ze}2p{U6$Z#qE}^_b~7jXYpT+u+^*#;rW#e3euUkVp^V5mIWGSOiNbA6;WT!CO21_r z$@!1zRJ<1n(8pZ`&moYpmo*x0#D?zPfeI_Mcir?5^X^AV!@RV|nL~6KMySu%aZr9c z80b{zE7ct8Q)=0U_)wy&Rw3DHgc3))HQN>agVyQ|`Lq%nIBqAIN}H8Yj9Fz)oCPOp z#F{qVO&GI_ZDU*0O1(WV&DGfUwCSx?zPG&8vDRJpIsFdzxowo z_N|Cz*0lugJ)lJ2A9y>yp#Hq;qNlD)DvUSUv~v-@S!&IQd3(Hhq<^3@(+#o3$f3+A zrYJy4N9!z$$oHbED2x-))gW29_v1YCyur)5_Pi`Bfr7|oOF0va;P(x)ce!Nl)cd&a z#W|y!bcGrTqSU>HteiK*G3^2ua$m72eh-ZPA>{rxKK>I6BTzm8?iL^nS2DkAWg_n2 zL8=D=

^fUOOvtf-neo7=krava}OWD!|poE_X80b-{svmZn5D~`wSE4T^(zA-$K z{_D6p06G4?;JyV(Kbro9YXJ5;U<3vnvbdi71!oR)hya8g*RNNA?&nXugQ8imc=meu z4bD4I$OlaYTn8rC<7hDE0AKeNxi=W|Yp4pGIUrmHBpAS0UjtR(tU*oDU=iVuz~mo) zH%>s^7U;VN=lvR}0^=R%jse0DaG>g^Ecdko_t&Wb4mi*+4-O4qPZ5Fh4pi&_Z51$! zzn&)g(HvBP0#!(W(YRlC9bjYo-FX990%MCn1JgB2Ksn}5jw(p4gb#>Me{~F)Ukd=&pDi5F;Q2jlZk z&Nskz1kBKZec~U0_dlL*AU6SUTY_``+v5#9Fo@>}Y##CIqx{#W^gjgrKLPunG5_DO zz<&q$e-bnPAK(W*B!39_2Z1V7IzJjvg<4?qj95bImgZlRogSR?YE0hE=T9u6Hx1?y zqX}e;l|DZvGLsC}XJy5kokzD!bF1KRt8jVix$C%1j&S_$W89kK zBs#NHh>K;_I&vA#z%A;`m`wL)Zx-}f!_k&qlr!HZbWlpGc|~VKA#4jg8$KNoiqt|% zg!O#f*(|(LFR+PF_tm#>;9iR2f@elLjFHS9gAD8%v-WfNM+$b$Lj_tXd+HAar5|}V zLo_rCx8b>K&QR1h>E09Q<_q)Df^RlxjQ9f0h&vtR$ZnW_J6`(q*lCUzm!j{mwB;U) zvG+~0`JRY+W?sl;$BE9ATqOm)$u>UpdV6FK@>l9lFer05YcE7@Wkv6m*pS2%ugo6e zuqegAheV#dl;lp6O3mR`A7a2(VSH<&q*Rp_ZgFz&d2*pzq-n zUKt*YR6Ue%Nf5LeBK0B8Eu0)s!&bE5zVU&T9)k)|t8Hcg($9tCJ&X;H`R#k7jOETp z!uCLqd5{rlDs?!K>C{Lh8mql#)X*kQARc_}LYt5jkdS_F{ox3baE{VmqtriA>6>qkPdcDfyZG{!QCGTr; zE37VRKOstaz@`!HlXxB!Fgs7V@RpHlHw$O3sQ5%U;<;S|)N8rWvyL5qbJr544x9}2 zX-eo%KIJn9$y7BalQJ4#Rvxy-6tAS;F=I6<^tC)i9=!_iAD!31As5;6Mkw4;4J;S5a!RZ<`D!;W|H~DC+-YWq)r(4;Tz_Z5wWVp>? zvs?Oa56H2I?pvL* z&xeM*)-=$x(`uURXq*(F&&Jbub=1teLQt>}v+Z^63t6aJX7U&&=AibBWiCA(r&z3Y z1LvvjsG#oXpi1m8@mQMDY6-=QhEM0*Ee0!6&%N~Fhe`T{-XOv2&w7eU&U&6}3zV1B zb5mm54CofzPw#NUMKSWy;FQ|`#50mJ;X$%aKOfWjkn~9>@z{(_m|~1gL4yq9m{+5C zDcs7G+>WcGc0kXvc}4%$`+|cRvaT5Xr~ad?(fZV%jnY_*DlywXd#fTGAYq3j4GLk9AM{m$@>SH;wC&onXK@nbzP(U{w(Ue5POek+9_x^C> z`H%v`?f}kN_+sl3(&ld8%uF$jIgTdNxf|LR3sclgfa3G$hK5#NJmyvLE;D=2dKUZv zNHY5v+OMG3 zPnN%_kpbGjzcc-g^#M8opidagrFuQe_|fxsa}J-?^uYdJ*AX^2b71j6A38Yizdhf;j)BAmdBFq)Adc(b?=?Fx zhznw@y#6cy{(byw#{d>@V8g+#`M0P0yE!0S2U;T-?|*W_c>xL+@N56K$NtC2;OgW5 z-$w?2!~dVdf?pyA&}0xWK@a?9YNY>Robcld_rEv+NY(sdoY18WaQpG1`5l)JKZ7p0 zHP_Q(o^Ccfn``v}3TA{{m1zpyRIZGwZliTRhxYxaxc=U!s9|Ad)+d8Ke6mEyEG)9D zbl$uv(7e1mzI%Wo73?iI*j~|nQKyFyXl=AxM9AzogxJk7&8=b0!I)i8;<4yKupLgX zZb_#>KcvsCKnRm_B+6p^bUP8pbL=@(xJ=i*xc4s~+Kf*weqE`8qan1t4V_<8J#Hz_ zZ{}MRcej(k<&pyP5TTp5##7R<{&mK!m=Lm#L}v$^=mIk1dOs#*X&x)#=mPjiPf6E% z{arPUg%c3QlA)Nh9c_>jM3Y}&DcT#tCF<+EGlDq|>Y<+5BynfK(MT?qu`Pr{i1^CK zj!aEA3J7|+yIA#`HagCrePJqv4Y;Mx$ZYdct8SxyYQdI>k`0!vL$_}6uzggx{H8}k z$>5kN+tE;z`P*)Cg7vRE?7r>jYtB4=XWsiuxJ$SKAGewpI65DA!1$aqe)dc3d6(P@ z(`@_JVTB#0L`{qx33kBUId#F3vYITS=*8VKTW$tBL!5*3+i~VnehJtQd`-k9Fw~NV zRhOZ?J3_cKnN9^VXR@Xd9ygJoqvxEn-e0lQpW0-Vv;A}uM*Gg#T(>nm4l1oGwWBU& z+Csz{Nt4F7=|$7QD*_MrPx!a?R}wJkvBVc6!Di6 zGcCF0mil%TAVSehKAS*sS}H(g%wS~mIUtbEyk^}oc0z*EXNpsG77CxsBBqbUXxd!% z{P}HB| zn5E&h1gvr17h5FW*H}gvD}GrtMB3## zOZf-Ez)UoLXmw>)lUtV6R`_wOPdua&EhdH@$UHO6%Dq4(x+8TUU6~S-MrRe-jf${c zolV~fKg{(&ku+}5LL2H+v${)f0GhiuJE>+zfJTwYE6Z7|(bVpqu({gc#Zxnc?B`r?m)v{7!tkiZ39|hmHji~sP|AyJQYF<`YzF0B zSQzBgg9I0B>lJMGa|2GU<_#{w4BP|8tlHyL4=>onwFgzyIq>V|Isz^D_T!8WW5qoR zqs3M#6Dt-`cJ-EMup744dj^W{OzzU^dlx+ybJ;g~AR|_nZ(!0~UTmYD!l1nf^DaHF zwz9(^*1vCbbJ8kfb%+!{_>A|h+d7}Y6lZiO$6iLFyi@H4 ziDYD_RWh$L%i$)`5_DZ1I%CIC=OaiOZ!I<2_m3yyebE?=^OHpO(ogdky&z1w>Ef2yv&21V+mr_8<&`KgB$ zhL^F<&Ssh-IfYxDO}hq!C=JgzbFTcP<#95ZoziZ5?_yT_=e}%Gfx2ancVFiy4rwqx z2*YH(k=&XdsJn&T+sd8}fTu&bMC5$;!JPaBHE_?*d2zBS_ z8WKs07PrDIx+zzYi9*m-hnxl>)x+7H37qS zpxgRe4F(t^0hC+#z_h`0|1`9C?Wq9_2O2>HL^{Day$=6=aQfFHbAf;yK*jzOI3Q^NE;<4L)~^r}j5(kd4Ho%c%~k*7G5c%noFHNra0y~SwCi`yfn5WJSb?h3 zb^OYGH3t5VjzN|{4-!aZ49tdsp6?$`|5`I>v;%Ar0QiePF$Z$-;EDjCWB2dQ0a8Ek zMF4;>=ugZ+0vteX>H4EzpLP22eE;?6AW>#u*cF`lpPW>7pmz-101%)+|GTw=!W@8Y z9iWf|C|{;iPkww}W@H`Z0)z&VDzhrwejN>HxDU-KFRmo^qB zlyUTds)4?NZf>hzcy5V&^l0b(EX4%D<9_#ogwqw6kM^4gNA`l-nMy6n(5tjTTt`^( zSqp}*0VP`xIblA&p;R6QnoA|aK^mHJiB5ogGL;O~NqfT4!AIzvRi%nQpo8(^-lZZ~n;@c6R2rjqSl96!!CT>-|Bb4YhcEYz?(8BkqSGKwq`Jc#-P}OG-Iu^F zsn%=sF<=@U@mX~6p(`f37P?~~n=KW@7Hy!6A97aBa8>6~_XMy{7Hb_;Ep zNK1IvJKJ~*6b736#wL$eSKfCv?LuYw%*lS4d9;au^;L>^dn?k37>`9X7Iol8Hfr3{ zud&mrj_dkZVwB{Vh#hb@u%q>{7G%ls6%o4MUE;8ACvRZ9B#_Q`79Mid&5inciH=05 z7#e$YmsoatzSK@k;$^4|o*Ll?6meRZtoR_}JFq9I4K~o+aD8a-G0W*T=|>f%?3l8m z)W-wR8&5C#h2
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00004.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00004.html index 64af7d7..46ff9cd 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00004.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00004.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00006.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00006.html index ed874c3..9fa555b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00006.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00006.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00008.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00008.html index 083cb90..87ba32d 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00008.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00008.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
@@ -101,6 +101,7 @@ $(document).ready(function(){initNavTree('a00008.html','');});
LPC_ACOMP: Analog comparator Driver
@@ -124,45 +125,73 @@ Files

=kkYD`8tDlW@TZPi*iKrtzin@WTOkoP+${MP<7C_j&$Vimc5G` zTf3L%&A@|#sEXN*%1mYwAK1HO6Cp!J{utFdLP%S}vN3f-bH-wDBmezH35}MJt-jEL z_c+bjxy1_udy|mtYT?qUcdJts9~}r@^TjhxmDPWJ_K@=wwi@wSgv=}+XRFedai8pR z`Io?mxM3b&%Bbqk;k(i4dOJ8)qCW2H7xNtu)$h#$mX3t%>}SPh3?gn=XQ}a#IT$9v^EAmms2t%usHNntwSrlzZ^aHVUu&QjxO7M zxLivgSyeh%D#F-g)q^^6Q%Zb?%qq!GA-U0Oauq4K=|C2Af2KQK@O6nHonTVFt8H#zgbXOrZPeW~zmnQEDF?*UW3JZIo5qYn|@WY2Qk(mdS}x2pq%N zhe3xB2|!p-9ce&{Rcay%zh432-pm^D44L!hkZ})+cG6spP2Hp`BTTpbQoo1@V+!5n zOGg3o7n}`tI*IlS%J%AZXglc}L|zKY%@cCg&PFWWdEBlDk0neacA@Apj_cZnKjrA3 zu&7wrL*`*Q&L9-)=Q-`J+0E7?MMggzA(&jx^Bi>A=!t3~Y$D)8M)XW~4=-l#mpAKi zhj4N?F}~xrCfoMzFs${k2(y8{Sh<8iI4v6PII^nGWagcTp2$)X>++P3i~xRZm#2pj z?F;vCYN&iJuNgRes8g2H1D|X%eNud(MIEP<;n_h?+RuE3*xp;*CCt17 z2~CJA%Zup1T=j#6WrMd#JGX7u0J}g&6A1K}F8m_e`t1+D zW4CY1`ak6c0fxpw?#Jh z+QskD!|wz~u9AY^pX<6QP#ppKLO{%lzhXLo+T*uQzg1)aeuQh5fC$a+*9*if0Q%+v zlQ0K~LjHX^z%3V~j0ARa12nk&ds9&NKOhx$ZN1#rBbA@F7r>7o01HH~zwQxmmVQ8i z{~?qEK>jn*S1eIWAw& zqa&T$_7%*(k3lJgGT_UR|HTr`M90Kz)8#^ao z9!gekBFj|6%a9DUJOL8IVO-J3@o@`rAF$BLp1L|69gPM_C3n5wvlNLLn)6d-B!|95 zc8@blI>RJaF1J7&G5wz41N$XZrK|~^xR^JEuRfZ`aU-Qq$~7I@oq28?o#P26OfXpa zVpMe1A9#MfDTDd$lF+2{QFNAWp%i6>WCou0&@+OFg7TOMwm42`D>C=@5LQu-QE;X* zYPQ#MZ$6a|qzkP_;Zy2ISFb#udnf2?UyUcJGPT4%B5<ECb@liy?lk+?0v15LRyPw)w9?IxZzbHLZS9_M+hV%eEFC0#UHfuDg>4iIX`S^2j zlBcM*ktXGLmzMIMxmx4}n0}FXS?i`AR(9qsDU~rmz?)*gM3+-qp;|5t!(3ZOi3!i( ztEPZah(vV)>cfdRGMjP$LQ-p z+kwxTqSTjh=LUH!UnLYW-3?1I1Ps>PGY#3*@iGj;MK>47FUm$(#{A@t)zzoF_=Gt9 z6;Rp5tbDalrNSMb(aw(;c}1fH*n z_QMlnw7KS?1aEg~7Xk8*9+XbDxI5am^4?|#MYPS^T8#Va`l|1(Ujz-s*!ku#lanLg zLOBf7P6>PTNTD)ng`s;O5?$^q+l{BU3yjd3RI>F*7fzyZiC23#N2Hm|BTZZ0QgRK4 zH)2*7k8!66u1S)^AIxAsaj!(gKxUX4>Ln^z&0L&jd}7&fUfWv&{cOfH-ho^92Ko!E zhC`qV5XR)Eyx?bsAjaMZ^-_eSWXrx?KTP$7H@w9v&n6C%N!$vTk6FK9YPiE4b2kFc zvc?bX$w=uM`=QUs9gM?2yg`c^4W}HkV+}f=OAr`;nyPZP>r0~>a94M_!T{HgK>VYV zXi)5DzQqWaMRgrmNG-1Z;wkC`h(YyBE25xFb@vCa-k2qQEfx&nwM=a%^K8;mW}-_7 zg&Ch^?ZysZu%KaMV5UXJ@S8%TLQ&3Sg3*vTw(sJWcFUL?d}O`gCKsD+R@DP{FWvFz zBEI&n6+4}srk$lC92^fL;yp8ZWd=ku_=C}PX2@L?<3<-eQMK~!J|7v)Xy>LpdZ9WV z6`f3RCa83#_VfKV=r95t_32p`_kq8?)=9UY|5~2VzMO?2oG{*m{FZOP(7I3{>bpFM`}_F& zY%~@}H-u3H#ma(af;@T5T4X4z42`>+;%T4x~gD+LAa zuhQ+K!QrxX_GBX|_0R#{#1J{ii7!UmXSKTp)-sNE+SKnfsNmSjqEjrG>2|9y5xpyV z9Yh{Qqq7p$)wu${sj#6L?Gv!G`>~~(t)Hw#&hgaV5W7wO4$HIY*gN9^D}Cxgb7P2c zB~hiCW2K5q{bMcfZy=;HJu##`j@z$KrBkY+P$(ocYqvZ3)jiJJ zI~K`9fRhm_!M%r67L$*l{NxNiO|(YbR1{Mq8$rn0Sdgo}((MW6D}2XH{*z%M9#v~p zdr`J{#g;cW;YZZAdvDUJdC(zcYmB#X5s>n^h=?L_d5kJiZy3OtxwFd50@^tS&2&EP z$fkG8-MyGSSt$Lr(}UI=iLEokN|d&7OJ2Qbw4V=$X_q7x>_Nbe=$&OMh$Hhkl(4R- z`67~SiSl$|8+-2S$XLFSSs-59mQaP`h}fEgQQ2A4%gRV(W#^Gxx5ZJt%V&WQ3U^(5 zG5niPmio>3$oaz-31D9huCOfj>=mo{IoUm*Kw=_0o}_d*^Y)Uh%8>GNYUU3(98R^7 zsNA-#m)^oY!BzF1r2vaDf6V^<6UMwsM*iG$58Rsn7Z?+`Xa6_m4isenzA3-qn5*3V zzvkBg@bu&2ubYDUSpX_RFm2!5*K?)-cK9{P3@$f*mGk-;B>rp&Ff4){T?F(te*2uD zY$-6}4sLD`pp5$$Q;-rnh&=6A)CKB4`rYP&@_hisKNw64;I$t>;(v(Oe#U4&qPIW9 zYivLwl8xtE&F4Q%!~tzffA7?Hzy?D5e+bwHb^e!#o2DeS@JQ_Jsj+Ihzn(-ztV((l zO3K3aX4UfM2k35aisFs?e_3T z7E=zrWW#>fJh0@IQ$=qby-RJxUr9nun#!prN09)*(*0s!T3}mo@hmbJJt5~{Y(|$C z>T3ih!q!*=WYm|>5waU6@!6^;(;lyO&|q>_hTma*@lA(cghv`=5EZPE7Lv@ft|7Un zgwI1n#|00o<{5!^Gfa(zd7glUSu>7tttdjR+F{J<&RbXAf-1?aJ_Hg9c~mWXG%TeZ zhv#!dj|0d_N`&ZWNht&1HsBVh#UFWp5d0d=mf1|4@{F<-Bc)}zSg@B~GX+hf;nZ%t zFmiq6JX!O0kETHZN0V03GwUiylU^|zlap z4>g`mxdgna!vLO>zZY_oCp(vbY~k1bGiv{mtf%5iFVUK$*bm_ETc%BDc6o2j5F9Rv zj~X8f;JhRFDr{FklNB?&X0DF1PzfK-O3BBoJ}EIg4OdDKMM^)QPcFPuj8Y!?Dzd#} zq{^D~AdXVVB1qc;awn3|g-|rj$x;!TFm1X{E{Qs|ak_I7u0_ArXNa3UltIjWWy0g& zO^X)&XQWY)Clm4JinLAk(To$cXMdKb#qy}e9Q)p(bUJ;tn-;$s;$24uiXqR-f){!_w4&g zx$_K-Mlq5tDaEHes$-IKzh)9pcgW|!nw&h1BECW~Hsy@zLm&sXKnt`4bvx>b$$oCs(3Y!pt&>Kwgf0nwjm*q|8AcX7wBaQA2kuN= z|4>8SVn+K1&J07lOC|DE{N??h-?NW0W-Vzb>Cs6U4z0px#h~qykk$<62c4P~P-RTp zmSs;5)GV`w_Kd6RI=o;#PamjyIsB+Fc6U@zZpV{Lg)yhHsli4?W2uyGgh`=s(z@`e zyiUu`OXE`5g#M$Bv~ADF_gztkq(iOLpbjY0?P>~cKXjU)h4~a3!kw-(nCc|FR(5n4 z8NNtb76}y~wxm*@a(C53XPIq`-R0zQZlR7)jmD{IYLT>r%NcxD)e~;K!ad2IQ29WV zF7ncr#a1IkXNR2KUjhFDR!VrPt%qx17_Ixk2bJq@cf z_)J_qHO7U}UQd-R0^+R%jc_L-JUTSLq5roYId} zO})Eoov?yVPYhAY^pQ`a-^3>XzklgAYBuV!#3SXbpnLE-n6S-s5ewsN5s?>o&;mk3 zGX+H=muG1Mt6Ea=xN?WK%BI4LehbMG@3m z)XVKaDQm;Bt{YO3;Kza$3&?X&3#Lml?h%$<<1I{2@FjKAyUBc9(gw%CgN&$pursFT zqCaYOc{3IT9g3fGXN^}Un7`)9Qb;q3+g$22cXQ#p%sE0Ybkrkp9`<(xv%`)&jV7#V zqJ$p}3#2bgIo~a*P&OLJUgq1|(qVmGVQG4DScB9y5Lsw%@AaM(rDRR1=(+Zki8lPH znuKNmJ&xb{G_1^e>dbaJA3^J*d`s%p*#;`SK3zCi3F`Yib@hmPDWrLN1^2$ZvD(7Obk?NWc3GNoGr;OAM>06NhV+ zs>duhZZ*lHfLGmz&zlT;>yR3~xVKMVBm{1BDx@$F@qjknt%)nhNbps(x%VB&<%}FL zTu-;B;m&PAJ8t3bT+dgka#)c(0F?wv^bOIsbqvqmM(I-So94UeujDtCUWi19##bkA zpMSY1cTn(X$U)+o_S#{A0AY2#-^aG1O*BEQ zh+UR^){Zjn1%HU+aZ^}uXc3G!-?e`4tBdrPj3nPx9`XNlLi4ALB&c?KEhG73LH9q( zNP-9>fs_>|psL0VOjiPm=ga^f<8OI7Sy=%oo@*SrKZ)#Jfz+#A{G*KIkI!}86u`Ft zR|FrJjs))O1@fPqf^wNaGXj9VenpHxY5iJ>_!mi;3EZvGoqKSLg4+)M7MwQ92e3soaZSrFc= zecU$^boQ0#;bU?>WuMtB#oOU)M;~XF>qaA8Fb1_K2nQ($eTmNruVq2`yuO7O z@=WbV1&#{G2k)Kk_`bo+&ovXufwhts+&W-GcT%HP(0lL9wQ%Hwct5rq_5)+AE_oq7 zQdyZ3XYjd^7!C)&*S&(IxK|iXrpCrtPsnb(%J~?ZMgB-YT&(4Se8ex7{~7xTKAsrsvc9yQYC%)QZ)`1d6> z#n@hD>9G))vqp7fl#XcxZiJM^sia?cWfy8O70EX|8kyFJ(`k4jwN&|JcaaJ{uNVs7 z)kM7K0bSI732S#YXP`YZm0Q%muNs2sdAm7ivPBp5qw^OiJh%@qYuN0rPnM!adGF#q zd}TSsH~6v55z%Ik#e)hxX9=MVujlg7t$OnGC>lj|_bz{+ANB?%KFS{R&d_ni1%UDe zpm6+qpkip`KZUvSC-M5dbP$Crk(9(^CuF@*8GvcL%xRMgg}T}sk?VU1VVLKkuqyEY zNL11gr$O=bI-%BB#g!M4rX5PD;3dAkhp!Y7prn#HFhXKgvDMRe;ffk%B2uKZiD?1B z-)P|bsQ#`{;>&7ox}mtcUmoO;3ZJ)Rb<*jR4U$E21hU3?TROFILg_`z-wMK$R8HuG zSpoF9U#4}TVl?s@Actg;NA49*qoI44QSu?81N@I|F$y0r=+;%Cab#JEJ<<#$UJDO4L(3UnS&0j@POD7QZy)sebSxXNbQy&X#P9z9|dM@@N*er|g z5f)ERk6;L^WW#2Upizvc;#am9-vR#+>S?Ld_M@e*z6Ooy4MihPMQ@Hm$y(bS2N41GYdTP5|be7DMRIDKX~j7UW6P3&lQ zC+-n(m<+**aGmFPiwE^rW*yfxd*WuqYFn6rY9HYWT32yHx^A6kkE`cnPYi?)yyx}^ z&jhGHCPbQ0l=hFTYA&ge4mh{^8sX60Wotc+Wy0}u8_l9mI!2nlw_fKES>^kpO;#qM z209UjsLwn}U?^Lu_RLUY7A;GItTe1)I=T?zl{o68?uTjNJ*l@I=$%8=!(Y!0%8QOd zwOm;jj(01)k+UB{(4Tqrz?n}Lm5pYqy?$b`_eK6ukl~kly2yfj)r_YvF>a#88swJ* zhuD{u-N<=fQ1*3`TM`-t$#>?lREQYHXo3$tg&Jw!vhtHYl!UKP^u7&EKJ+#eR6Y#e zFj>AY2tV9-&X*6zBeE;lO@rqF&l{E6_KIR6orL+zAS!NpOTu~l;NF}5658s`x0s>% zJqtVYeaM|m)8?;Cv~7tTv7V0Dk~pSJM9`l4-{jr#2j+okp`2=0`nmVAZ5wRsPudSp zSWQF~rm=Gz)-IHdrp#gUyze~MT;%xjWu7ghNv!be_VHnd(fn>s`Z(L&A~fb?%huDb zrUMo~CliNKnoat5la%EN`9sLkNe8c4n6rE;%J_r#wVtLMBjj%Z6enS&R77Cm?vE+E ze@KpglfM2rIr>c?`w!smH*xG=2uXf~yH{ezzrx-BPyzXS0Ul8A1X$exvcSLKE*BtS z%JVPEpZ@@NujMMQB!vG2?*7yZ_YIHzoLl|J0{nGSxu2Z=t^j|%Vc-{25OE_QwgLtO zUMs-=YRLm)iv-v3`(tkPTQB7=rW`;61Q)o3E+CuvcbXF19sv~oV4D;GVE^N324~6! z&>ixE8>0j|G=A@t4H#Ahj>EM*;^4e`75;m;`(xht=Zy0IPqWA%y2rnF>O0(J2e>f) z5bo{(aCb@;%Wq8!pc^x1=ddi>R8O;gc7I$msX{HU=AnKHXB@=ycdm*4^Blwy+hb_9 z@5b*;(NJ5wITT19TD&XRxV&sLVYbX*vi$V8^m$4y&d?*?q6hJvvSJNtciHzcU#8#S zQ}OmcntS9;<8Tx0O`*Dc>_?`!R|PAb@Dev&?g~;(p4Sz3QMRh!2Ir`j_-85KacO;> z@Zs&+$FEU3(xHew`%dVb=|!t#ctjegq0jE@7kqJkr75CA?egTS`JK_DX}qNcDP%#( z?9%)xEOdQ;i@Ohp=ASV+CY>3~q+xb(CeAE+N|&*=6bY`pK`*^IuDmxASjWUwJEnT~ z^`z`qm(0>Xt zvg$iDetoOsZZ2Iu3~z|ftp3Rva`Bz2i(`gMfKEEePtIbC#_v`UTSpb~sVx`5z8^7_ z2wNAd1d~RNnc#5A<%udpG%sCyApZjo?46E6_&J;`A5-KHOl4Bz=Fv*4+h25V3$5E- zY8GM?(T5vX;&R<`4jpD|OpExN-p`W0rv zSmIuK4s${TTjyb>00xpq>9K7mFwA3 zQpy1V% zR9}p?K;1oA_1`@~a*}~yb&^vuJ~Db5Q#xCIBYeJocbhGOp&#{m%na-1#FD^>jNbE@0lW1jgDUM4 zy9_ooX^Y7abc88|5Ol>9H6NDlf?D)$FVXnhWjutgq5bfkX}5$&`JpkXTMK~kQ3$Rc zS^@;RH&WG$WtX?98!x!b2og0;Mh@D$<5Z^e$3|)_IdNFk#Ip61E*meFmdv*^7(`lo zcGEwksZhM|y^y#mQvh-7uyGMy-6|~nxOYH)&e83{TQI}0B{Dp&_3-0}soK#=_}xnJ zRb28IF>=j_@VDwHSxqRi!H=c_+9+_wAD=@+>7A;Bfn8hx$JpN=QSh+q+lTh&EF%{y zD4+8uGhhD(yMRF-(Emir!3ErD*V2vO{`Pwf`2RB92+Uyd0of!jV7iX z8o;||2@th|Wt0Q4GW>n|!L1jh{0ELT0uWF97fX<~7Z*5s-fyqY|L0)Zf7Xu4^^?Z? zcU;B^C_?=)F0%v1Y|t)tjoM!p7a-b)hN0QrJYmb@a6O$>*D5@rO%-HVtU zTn%vdz8P&R58itoTwRw&AzzsopR;iTBg0rC&H~@Y^sQ@{eVD_fTuP4>J3fb8*qT+n zp|l(^R=NFilG+Mrj*YP0wRX7)&0e#zL`)$I90KX)_1=g+lUSI3+L$U$r72YPieMaW zRm(tjLilqk{V z?8n~L6rjaUk&VscTb)UFA}M()ewsE?v+pT0+;~vHn!;gl!`Jtso8uFf`NvVgzMxQl z<{CQbHrphrV(g1MJcR+&6NYvCR290~voRPIifW^g7)Wo(j2~fc%<=ApFjuXL#Y;wZ z47j!nzf#2Z;b%dJNYEjK_2fK=F)3927+aEje49Jo*(2mhE&jx*Q)A$P_s1L4iIG_X z(q?6JYWl{-q3*Xc1are5Y(RMhB-5``Mq$cw&Ru#oF0PXeCbcnllzy@$THRvw(iE0? zdtWLphqV&wGkUBFnI1+Ud-CCtk)Z+duu{X#4uN;!TT*^&uXaD_KE2W0B0vbiBy@X? z1V>%0=@aUfSe3Ee#H5Yr4Hjia6Yc)^_+ofL1OAJ0^kC}>ZJ8d1z#6Q6%mJt))UX$0 z$O>Iwy0~&hBJ5(#Me{!$iEeWyLLoWEyNAq1+RD18P2R3Upa~(xd6N)(p8eF`Y%1L7 z)_(C|lkt&TZuBzAsNo{NdPN$;Tnrro)zIew(T8SsZI&aZP#ZP-?Ze1hi@5%&3N6*8fB0=KH(Gnip*!<5_U64pujY17 z(&HAp_?zgKEu=machpMmuSbr-^d-+{HZsc)hvB)vn?UJVDpIA0tAsrDy1^AgvL=uL z3!V20zTqG;H&0`*XxGI@3_fYp{=;2#nzuWUfp=_$PKs{hE54nk9 zfYe!fialJaLM-m67_vStjR5-`mda>(nJl}6!A6DG%T>b8KG(W{#p&o?seUF_cjfIO z61v^TK7tBD{znip62a7@aIXgo@5i-s=RLMRR^i~w97c^*553$-jxld-lne0Q8An&1 z+SFKszQbVV<*b6uF8U-s%=_|ugqIgd6z=3rVB9bu7RD+D3~7PY=q zsQd5f>LO-U(=pX=9#YAB+N^Bm%1(|SFF~sz31mG}E?q`GvkS3R?`_HhZtom5FQ`rxxG^Ehg6=?uQjj;RC_xfsFx91nIQ7|+QKEUk|!8%P&q(bH+#Ybn;Y9%~Y6m4=S*Tl=&7e2p;1nS*!TZoPC|$nSSl zF;D*3JwN0R{e;Va*wMN_OakGPZ3kIOh}kotyrqiO&S zt6bO5roe;?AjbkusRAgu|F9$9kkF4#L9;OcI}w=4bPk|W|1YK-K!FyV#sfDP%=fcX z4ghGf0eIpXT;#mQQ}*kVg4kg}{I|cFa)ZHqKRX4{!-CXbf3*Z)@DH2xAJ&uqznWnC ziI(kuKn{rf{t(E`0tvPc(x3#}NhxVp0-wv19=j?6^WxHxkRk?iie)?+ZJ6|+_qCTT zmVyh$Ns%`T^bQ|X@*TUZeib}2zq~*Rn)D2ZEr+~m&+qR_iQT!72AfnM`cM=}TC|Te z%az%IMzH>kK&z|YwmY-PJz@wn1}da4EP)G;@!&Z}CDjQ&hqsv>caTR$at?WsCDeFl z_C(6XW8e1ND!i<8RzOIw-|(}~FmJZ!Th`?pC9Cda-Ou0iB5iuhm_{kZo<3R9yk|zN zx(dO3!yM~&#$#74f@Z|WKXa1FLyeHlTJ}DoP*eQtQ4lRH|?AjjR#|Fkv45i zbqEftsG$Nw&>0^%aXHcf?9z`fBmVFoK-QrLOM4K>xg-+p)|ZXZA!!V$aPW5YO7vS6!f%f*~oZ+NTc zV=lo`!$zCz(?>o?y6q!n7F)dy?f8`#-ip;uX;doH$n>G|nqIhir8yC0lEl90S%S=a zGVb|K>(CvI@PrC;_;XY;zJto`7zjAnCprrTjj3%yzIgL72aQBx)`mln`=!CfJTNaH zpMTBGCq-n9U4!tDqhf2Xy>YU1ws&vH3*tG1&la#(Vs?m|_X2PylC)jf+mU+V^PGtm zPpRA0TcYuSRf@aNyEhu|o9kw|`enZ39 z3x3FM#i!~<$J?y@ZPKvtphb(E#%}8Qn|*L(>{P>S?z+|MoG6M~Daiz=N%*XUkL9OZBSSg1rgVz&+Kj0~n9^JFemjuA+9 zc%bncFp5VUFn6*y&?kxosR}4t6X0CVbKEOMG9=;BnEbTPVNy7BjOxW2gM!BAwvd@xA>gqQZgPTDfRZ^jR$066;N;IqRXvZ z24|*>iXb4|>Y8KcMdBlqsrSD5dV{xo+NomokZ^m9zurqhmEfI~rO2>{{@f*V5uZ?C z;>kb(!SHCDeO+DN>QU2M^-oXopC7@LN2RIbP7o@oC_mBl<`;rAEn@Y`%v$F8e0O5K z*I+zGc|5YR*oQsJ!ohYWRO@aYN-GH_;f6RjIm11VctjXtHON~PgGlTh+ex^06~69D zbmPO18LZ9M{V@=;rPTC`LH}}LcBw8vNq(Ng-;wWhrjmT5goU|a&AyX zK44|3gdf_!@yp?X>-$2_7Eb5$1f!Qt{||Xz0!{VW#f=PQo-$+zDMRE;&VVFDrV2@> zawhXoB7~$urb1*$${dLhNlD6(S%{D+B10jiB=tS#lzWM$oA+DaTHjskUGKT)dd{=Y zbM}8fd;j*{zkTOj(Com*NoAwEq6xco3zQH?VrE6zygohue4X}U!jaR~($`{WBvI>` zKT|qg#xO1Ch%LG=f8dCb?frK+ANg`$5uA@qrAos2$TQ=}FUS}o>7B0^@M0fr5%ucd z`Dn5L=_73m2XhTbqkm<=JaY)Bpo0Ule?U<9Gat|T;=a)tZi#Gj9_8zfhz$7SKSP++h&HmL1)KA~&~oA;K=z(A z&inh7Ah=lIa(|6zzT1?-pQ~ zh7Mmu=oV;3vP)i%2npqmqh4!gbLGkB+2j3CsZI6e_q8tboNI{dbgg%-h-0F=6{jHW z5v;(bmUYbgJwhK*8q226^f++;M)9`T;GyEY(c7ZjJI2p-%RKCEPl-HzWaK6n;ls`d z0-~>sUQ7B20WJJ~uEs_2fKHG?ps&ST5bkcg<&5O@0zton1s1$(J7ne>BHQd-Whr(z%l0SBR$Oi#&M&p zSS)Ww;lpEUuUUIuyH{V@P_f@8&RvTxnZxG1u}Wk@*;qyC?L=*rsK~w5tQGH_V+`t1 zaf1f+EQk(mLBlnX50Jsf@1a@FNaZ>exz$%YbH#6V%rKCPiD-AyIQTJ@$(+5*%U1bh zb9H;a&~`$snELkTq5OX4cQs4;^Wf8w@8SmCksbmur|e2j)@DskbsVBmz8CM^P`z{X zGauUdU2?#w_mmon^mI2<_NpJHO=6@{lN`yUPmkt4k23COP0AkdZhPs%EGN+xWT15A zO@i@OF5>>o_{TgA<#z0XmF1pc7xMRTt?Bww*~Vh^TK}nu#k(2fR8|;pkk8gkFf@r$*&ccdLDgH8b=u zU)~MktXc|$J;@xq-0Sde_^S}zT3(gJIdfk1970BkT5Ph?(TU`(Jt0}SPXwr2Yif+v z9d7kDrmb?+&J#!08t6TIbmQ~69R{vfxpfMYcO^KOo7(L1T^|zp$}zY~s66E9JGKM|xHChIZ~eZu5j|vvB$a(ZO{d z`Sqds{zZr${)aDJX>4;Mc+xJV-QYTLQ9ykr_V7(Rr*Mg}5X=Teh#yN{$1Wt(wY2Dm zmoC|!JlSl@U;D)^z$VJ_L^Wft#sT+xCa)Fn1b4lG>4>()kIo%9i+XHj+Wu_IlXmXC zl@JF}s_0!0&Wq(t8C|#_O{gp&RQ8C;2ZV=>sMw{soqE;#-0#FRnx)P4*^EuQ^p0EU zG*32d({O$rW@l)1D9!8Kj#7`S+sA00_ord?&pf@plY89@9$!7aiK~eZs_IoGClgZ2 z54$4Q_}^4qb58TDjZO=l+gqWeSBMVCG5U3{Moc)^_$yxZimRUH*lT=PR5VU9HDPl? zaE!&&O=YL(Cr7o{?fZfn=rm@!)Z8Ra=N;>MG0i75o7${xp#0`oEJK z%(DXJdN3gbNJ67P7YqRO07MIN?SMxI1OI8iktG&*B1ACR%K`_pfD(x)92No)M>H7y zfCI;YCqkDBzOc>a?*u3LI3)ic0F$IXd>{&Wwj3PrM?xXLaHs!V?j?l-WF7x4w{%H5 zebLgd&ZR7v=<&Uuhg?)#aAj z?AX;Yyhl{@@Pi<#@X4zOd(6g8Ch~U#D7dq62hmIicF{QJ7u{J~Ur;=8>3YAsql}`N z>hYJ-6w{Z=f-Z?W55nH4D!As3JReC7uXi~zS>n@u{7YU@;V}+P_1a=p+MK+O-X`?f zVskdRYtLqSx3~`9)BotiRm+_f8s&Zcv%Hl5Wg}L1U3TcJt~cr;rh>UO_g!m5k|r-z z&&ur{9n<^p+Q<56HLF*0U;Y-wRhK6}@AlHL81@x*>b}Br#lDvP;pymS6*CYH9NX;@ zk;}ZR-`w64CE~PxQ+jrH?a*1)1ME8};cNkP=!XMcZ@!d_=i7ABCbOh?c+bHpJ-T}1 zF9(_CpVn|!9>$gE%Sj*RFTA~F%PJvN8~nj5?FdF^`5hEI;lZmX9-Rq;iqxNC+s6JO zZT(Cy<%iYEdv&^_?(WVL&Kkb}Z)vsSI7!)lWCKy;awMgYp<0=U{&mYJntNi@GA6vx zq}y5|IahVZMk*6Un1bkg)32W3QT5F?F*p?|hgXbdpNwR*7)?wPmfDb;SrvmZVxmaN zr#bQ@J#%wx$DKHhVG|8}-f_DV(TE(XosI8Xe9sIYHJ%)>yty+Y=;muTb{*RbvM&-G zGDM_14NnL+OdU75w9lZZfZsU9Nrj`x`qC7#_edRso)8@k;z;9&{z0oV22s{`EHwQS zJEAi5T-58ehBx4B6?!&~et7-(%01bu;{^hb!bhHYJV$%F4^qjj9ys*!q$P_$4krG{ zs-Bh|bkmZbH69zBhSNf464JlCoip#WjlERM7=QWn*>PW8>Jo-+&IoN!pSvD!(3Mr8 zMW5BIAuyf&@dLM6LJtbnf0WyRIh<_sR_vI#aijYVyM0KWfhNC=R0_9+_#WvNZZK^T z+k51FROiO9o-c<>KE2y2+*au&feTh3x(l(Ex7r}ruDz;*j1CXi!*OUkIe&qgh&sd) zL+XQ$)s!)L<*5ty-FWQPT3YYc^r@^kr_Zq9E+dteYi*mTPeJyW-;;aeV-_d&uTS0U z*2ua^jpu2UsCvbrGx1fL3~M+K5%%snrDr!5e03XdFd7Y*XEH)E;~GO znOQmS->uR`MJHxbzw|c?d$ro|qUT4Y4+^jJlr1IlOr{E~<4-;1xbSk_4JRJ|*N*bO zhx-|FvvSV9Rp&o6A|A>s5E61*U6tRd&|i5ouT|Sy;x3Qt{_STef>*`yv@(rs8{{&- z-M{048-_Q2(R2v+IsdY-Vl3l)8#DpR4+%YyZ;Z&5_)DE~eVN-06L0R|W^skvacR z^M%H`BCVi~{d{VCU3(EMFQPQUKNDzIY)*Gns2;TS5{A{%!z z4Z$*)BP*NLjv%%_RiW44#7faKe#BWmY`qGTg2{Hv0I4+dU<#=F3+esxs1i z+?8*BYDm@(=db4CXhlK6@;ki+|DUNt;`|JX3`H7mRC?Bly@m|{MjE$~)omzo#j*{dHY%)^B|XIqmWMUN_a zN=R1}*ix8VaXnAZqKFEn7h`-SO!-7#A9uU>(v2DO{d*i}>%KU-=NX%A@{#wL`WQ8P z`CbWSw!x%!GVj6lOny;`i7)CK+~U^dooIT?@p;n`FBWWHaE2w(_W>PO=Kdmqw^J;e zkcgV(weC~x9~8pgj|H9hR1kDRqs~+8{=S#NT7|DVa+>8!lmlLNYOZ2Gd+az5_KW{P zIgwOfo0kj%d{j_Z7cu=q%-ZKvJ-428xRTK5qA8%@&^0M(c6Hi$Rj=BK;sW7dUe`CD z8t2xGV2;*traX7MdO{f6+nO)ywVJtbZOG}{A;CChp(8qt>(<+TWZG03QqEL6X3zd8 z=EZ{pP@0-!MZMx(p<3m-Mfc((8q{Y}J8&DWYiHg}__E*ls>I-Nc9q)bEeGNUN*jk1 z&Uif4lX>-OC-WMqx6%yv^XHBqwdMd(q~G->!E)QCwfH8om|5 zJ80}Qg74-eBo_0Rot(y5-x+*Yn%L@J$zv0-VY8h1qr2KIu(R^rsr8(<+HV!{#ExOt zn8m1NmT1pHh_;Lo;tEEi^F+V7PZ~Omf#+jzKvR6L56gQ-!g*(A+ zfS$NNxR*bgo@e8{1RKSQ%$oyjx%e`3}}*L`9Jph z8Jq2wuDrHmwe~3rS`S#Kx?y0Q<7~f;%zrYO1Az1n zxi6pmV!0A(vTXC{>)!<;V7f5`wB3MWPCywe1S&Jg^TiM-((HgAr%|BLgO*qn6p8?d zD=42wnPZB9)!SN`BEDlWdB7h_ij)1X%6R;@Iz6aQ35ol1hj9Du9%H<xT(9 zvVueKXgm=-B2XBDhy=P0LjnD^z!H$)3B}?O7E9$`m|6KdxnV#t;J^&e3l1ijY(ayb zl;0Bkj}?3ojq~3LK7ZfJxy2VIa^Sb7_;$8wa><@B|bd4YR;uEeIGG zih#tyU=}bq77ax&Wwikh{eo1fk;g2EyQ0Hwo0 zpinFZO$1071`9*t@OUf)frrcDmg>nufMsRm2I@hgBLstmzzBAj5DW>99r=s%hT~yG zBoU7X6NzL2X%Gs6Mqmhd0Q`Z@5s=AWM!y#(X8%rZfGon1Adw8Ft%Ci?&m{z?2@G_k zAW%O}D3kT%&+XvK-I$P)Za->ni2~EsrqkXgMrIHeB^J5{l}N((v~=KwDKEpx-9Te z|4osTLGeXPe>~F;37*vdy7E_h0$zPE8f|I41yF;9Pw{f%f*ceWg0~#{|6f;vO&30b zOEx7HPLZquX%aGgxngi~cj|m>v}8HZY~XE!Pgo}L0FqumJ7ZF583I^-&{#^c^h**K zD018D&vu4_YA-mj0pI6Jz;|A`N)ggSOAdm;M2CfC=-|x2mnTJ_E7upes32#);sXh) z`+qZw@XEN0)EDUe{-Cfq%Xy!eP3hw}zR(Vhuq-3J&UX5nz6pu1A*aPuox~-#z}?O|!E2~CR_6b#+tbLLfy^;8?aJOlYgpqE% z*OdsF5#`#Qw}$8n+>PjESrdHh_uw8Xh)<^5b3)#19eim}>DH5HU&$X#+iaM)?xpay zJ^n{y*02<$M!(*gop&|x$e#5*8T^+EZnH*Om@@1*t!v;PU=xzH<86AT{u3uY!?q^m z2dA}$8M}pxolJ<1YX(B=qW-3gk66@+;FBCMu{(F zn(lWT{LD8P!J;grEj{h+!@~aP{r1pt6rO9$>hadfp_|gz3p6PVk}>Z1U1zVY5{=kf zi`yw>*8XCPFV17CG}7UTQShi#MU)X^$361{Oy`X=H|{oUzh&e%=t84am6x>Recq<7 zCtuP7Q5pRqbgizTx88ra<3{(yytzVNTxI;k2V)o3V=|T_!ml&v`RI8VPO$K2N>ZSM zI4Yl{Fx*66@A07zo~gI7@1LpZpxtTENkN&f!`;Lu6*jS%bN4ZBh4HnR&cI7d-g1|c z7-lW#hsFJN94M}OENbBUB26#sh0FezM~zMjQ6gJ=hVqJLjEy(Eszz>r?9?> zSB(@ZCt`w>pKw2-^54U`S8VRCQU}~I@NRXIf`qrtHQfkJ9!vM|0rc}%xv`Ig^jwA? zCqGF=2VAU9P2ZVyZ{%#7>kF;P#IQn}B8}!G$wnsw_p91RO*$wV9m8;wR>qTU^92iJ{o=s;`W3#be;7t)-O~;xHzsHs{S?O;X zp{56%JH)9c==i5t8Zzbw%tdtQM)GNE^4M8><_p5FHA zR_>y!(N5$=k|V1dV&WY;QaE#ZZepvOUpnAruM0kIa%ED}P+H|@>~vA+fm=9^u4Zdq$EhAp zGd|?zHz$RK;>T4>K5-w>mgL5kD+8Kjz1m6bTi+l&4_2M8M8@?qf(U@ zPs(}=WkH^xIaKLGHX)afm6KM-FpBY1{TV58#0 z&7L)sj?)vgU*^l?2RjXn&@mVvs-ig~DJpE={V* zymQb=FqXgJK*7yQvSb=CPf25R@wtmOdYMFpx~ear8;b^%UE zx(^q(DgG|kuIxNZnYxps%ON4M>i|BAJj#na{Y#e2szriuk@HnNzdT`2TuR|JM%JsotXxiR{@9UKo z(Tx3_yAP^LNd#*`072Y>0F@>%IH;omZGZp?0Hwn}j|u-6{U!f?^M2jm$^9)RM1mL` zlqdZIwcuE2Al(>K{Oe|7r@*Ai&=9a}EDvw1#YbR_4I} z(Ufn3|D!3tBlw?d%F5x9FgO5Cp+LpI2@VHQF)(d_8Rs`n{Lckn7|#ElrocfwN@CHO z7o1cJ32wIElKam!d?A?dcXH3$LeR;D0{MaY)FYV8NHXOst>Fvth`*DYq~Q>Nj3B=W z4kI(9gG$KX5&X{;Wg!~!cY=fZKEON=jP^Id;SiuGV0!59$o0ue-TzAPmAf=aw>wCD{UbQwlmHrz`8~n^T*X&BiAO5C0F@K}s5pYe zTY+3@TexU`?cbS{`P+V8@cG;Rw*>!l6<@habKWnU7ku6?{4K%%_|_x`S}XHx!r>&M zK2WgpO;r$}a~PzmL8s<#sLCIwI=~07ltW9ZFapWxe*`CWY@<_oF9ZNFtK1F5vVy30u^jv_9IBo0&fD$=lCG>Qnv5{NhisAED~ zfXp0#RQ6g#e&Ku2*Cnlfq;P`6|4o`0@P$-k#GVA z4kawrolSs>6}ZhpFcQxe_&4y>V`P6!0!BJ+P#XCQv9TDU1r!e_fN~Xt z1r+#K7$Q(2@SUJ22+jg&fn55%R_^Zm_PqcD0vebx7^%JqWcZ<=phfoQ8UEk+UI;8G za6mvT;1DP0dokbW5hurSnQJDYbkBOq8V49QUO?Ql$Fs=p~J0^Ta^|Aj@+_*eK@PQu6mTis2o~}k+nE-3LAXp6)&?( z=&7xEu60p^jWVA;pK!9<6_m*s2pi>SU&Vty6Fqj+ zJtfgy#i)XH6Z`rUv5E`vtv3QTu+Y9uw%x{EB5{tcH!ktwo9$etr485}$BsN{@mA4z zE>aW~m~VcF(w+70`7m2P>J2O&$s!a)!8dj`j3?H{Sr=T|_}N9uiFobu>CH)-`|fY8 zfsQtmU$+o0G~Ft-gG<_x1`=`ccxDBc_5KRwvsVhLm~55StFEz9x$j=zFIv5^@6dP~CcMO$(&DE%c-N9{$&#*H{q-6XhwMQT23G zQs0062%EX)1T&59Kz8etH_>3zT7BUM_1&)g19Enbz1 z8?RxslPoJn2nY^eD}O0XO$+tMb&G|D))bN{&AUHjfgtM z*H2$fWl*dSkCQqku$CjNCi7Vs6K6qER=;3QP?A$p&DbV>j+{X6Am;n@I$MS(OxPYN z&B)7e+c;+>x=zA#M5cZAv(4sX%TZTErgN@z95a2;+kWynR=3RFaJ)44B{Tcy6LMMZ zX)y24Z!y~M^Ufbs7Pj3XQp9GCm^F4n)TVUytmO2GT$2hZyRGZ)`mnk4UI zPv4c9{8>gK2QEEw!zid{?G>IBDlp0uz~|EG>M!Dxz2Dyp-cD1AEQBYUV*3?mCC0yX|@}%?ow< zZ+IO))N?j7Ut|i=d8{@x-GWwP2Qm{^=rs0GW7AV;;Azv3IsCT+8FtD#YwaIfO9?wc z&??gIU$0RVIv2G5*2kt|l?*Ogrk?)oCGuh8I}{JH-QLw)Xi`s+wTGE$Lozb#1ul-? zW++*+$mO(++;&)-YNiDD#+qEWHO#A<%3)j#<_y$t`vwm_%9Vtj5jTr{l}*Um(f~x3)2N(hR(zzU6ZIY3bVL2MvMZx7{n)?uSP}39N`kCSN2QQ4Q zlWBNY?P``CkZ zB04yOq~tI2vt+7La@O)&(i2IZoB-ZIlIPHnUwq5Pl7r26)szU6t5->8nj-^_n9nS+VEYW|Z!JojzY{3p-zKmf0U{GS%nsx9JN z{W}*53SwLsh=!1)DrW!{Ljk=SIqwIm$sx!e2fO4T%Y2nZ$hh2FMRz_|Zpvfk&ef%RgiCRzg zH^lzYleco1(vise zenajb9O@zl^1m~+{~RjGFp%nH47fr`h5-P% zBwP|CKf&}MpbfBJ08xJmoqxUiS5|Nms}acd|06hpWEr5pxRw7jSwZ5GU#VMp9&AN` zlr3ny1WjpBz#dAP(?p_c`o$fBM?vrqI1!5{5Qu2dy#!!Zlm!t&KoRg*pl?g)nZEi~ zD|6y7Qpy(iLGyxx3G3wC^REO)!$4m&8g%Qx(Vz<&4h5}scu=DT$3sD19TW}$t#$Av z-8)}>!IcsG8wLggH6SDx8w$GVNKOF^_;FzF)X&lFUxAk2V_e8Z^r3%gRFI&E1z@aS z#fE{NDd2JchSXRKz}O3v1P=NwArK-GgU6u&Bo8MdEg)DZ)M6PeS-A=pk|iYZh{6D} z4FN@vPyp$XCWw*p=Kuix*=b{mpcxu;hZ50P6q*Q!q2PE@gE0&w7Z6zR6_zy5Bgs+0 z$|%Xa+@!Gxq=pvYI|DqPMCA*^{M13hVlZeJ)&jJP65&Ls1s)_$kOVxD2;flA*o`AB zfkBZg$Wix?)Ie1rBuARm$VkfEfPTQA%z6W%2^I&iXBdu10KIc~1PTdQpK$=nh2W3` zge-{$Zi!|uq9FTA&Hkn&q>@!o`2ns=P!$5YzDS`J4EG;w0lC=eV&m-L^1jSp8yNx=0E();9u2MQE6LJ?pZiY&P9fpWus!uU)&Z!{VM zgW%9`3sP{dEyHfbe{)!)w^a*)#dD_E!GO$WF2y4jmS&; zR~|a-K3@AdIL&>Nm5d?UDXM*Uk2YO8(xPdz-qxGRMogfNEd?DKo=__NT(R_Cb|{!A z$9|=h$E>Y$(ne5Pt;U)irLalEtFbZh^VF8yFZtoD@s(PeCtN06Uq8}Nr;sZ(rmeo> z5htk~qbxX;^StGOYwBY=#yuT9{>t&XD0(V7+A{HHtQz8<64Y=gs*1x(+G8c3-`Y#{urc9t2TkCUV;;QR6LL-4Dq58YrSfxAeMaMUPe)v~<$I`n zc;&k7?yUyxaHKp%#{s3yu>x1TYOT9#x$9hCnL-`sLQpMN!%Y=zd$wJtDY_S{XJ?Nt zF78thgw#^h^fNN_(7a3EE^%Ew2qDHKB=n)`nhs=4aI;5&v6PU{zA^t{_%+uKiU)@R zQ;zkhO_qf&)pK04NuE%!8v&zWXKgf*tTeo%=}RbW zqU%0#J3dx%UEMU5Owr530q^c5SW+vWV^O^)9{SkL?mCe$c?fmUPv5YCczoCCq?WEb zU$`*iuen2g+@7m)c+5THD~LU1@x;hH+pNC+ZK?5{m(w0Pcj8iqB{PuhA3uh)o3=F{ zYOuVj+k*NO+f`&%-k1AkQ%ZJE^0^C^QERtKKogYKpENJM6%!i?f5)YD@Li|h&GdEg zmt5#hVbk21U1R64*Sf3^Tw>u*zYr!Nk^9nMU5dM~LdGuGebCo)fY#=6NL($t$e!Zh z*?oB%6{n@BZS`i{-99H-V&#z^Iv)y^L9N7}`<3=!n1(C-KS6@yigWerxua>`rehrO z0&wdKgSkE82Pi}(sNJZhatF$DP(~3IIRXAQdR7MLx;_P2UF)8d>21w|QA`q}d~|iv zCJpKy`z@;uA66{fyK|slNdhZs9{X64J(^+j)NUiZgp~QccSyax#S*JdNobeeowGyi z+ZgMar$s9-;fK}rxw+*{U4m${*nZ{vcSYyY^fJ$_R#|@$J7Whi66W!cE4hD*dgt@2 zpPZ&k2BPvL_4SB3JlX7-)sSnisx-GI8JrBGFa4w^HG*HOaQW!Fai3uk9l^3=(-!Oo zv}?R_9zsTKJ@(8VNNSIgu(_vI+9F4yfTbm@mE^f`tOos};-_T@!W{f;>+MW*v^cj^12cGoDb(~W+E#?Ne?YJU=S$1d?q zQ%iBgTa)pzMECampaaf)MhR%=Y&3V08*v-+Z6R(B0(bE(x|uKrzx=(n{SjQ19y{A* z@(eOBEr)`WIiconudjZ_5)_g18;*y40tFBY`9GUzaW-0a|uf@Z-Qi?qr$YbzzCXpDaa@b3{K=CRx&N z14w1F7<4 zskA@0Eg*0vwN3zU`umkY+J&j1}-x1a=Osp13hjYQN& zDhCC%QcE@l%YXW`ep?QrKO_jYzZV;<{^_IhZ8ZwI1$1#NS&bs+Ab+Y+fP`z=2Vfx+ z)&GUC0@SyGfc;xXEFh@(C(2m4s-Hz1E8pS@BzWY0H?D}>TjkIRVJ)8B>=tn%a8tRC zT){5=LVLZoeLkETtdC%Lh8$5tty7=8{MWf}_O#l~AO7%tw;jLO6tCE*McJcR$Q$do zw#m`SY)^yB_Ht|t2orvx8J5WtlIAO9_~hm6OBL9%|J(4ci~fnD?Gm(Ur{D{~$K2es~V?XBLA8B^kUb^jD3(fAOx z|Lg}5`r9n%b1f2g0zUf)7^%=x?l>DsxjyaK`r!VgXDn!Z?d&UtL4z6k9I>gY=9{%9 z&$dF!KPgFcT^74%(cM`ur}-$x>2P@yPbI69+C$be$4=5!P#-%i5Km7jlV09!AA@X7 zpXg4Qx^2bQ94a7`mtoi8V-yEt(7_7`JhQp1eqfsVwUCJEw*06TWv1xBFZ!QHL?z& zEtE!g61nfp;i;PIXElZ#>U|UScu<4y&jn}p@+9lAsSo74O0M=~y5UeorFdd1{c&o` zcY2M+do~J-N3Fx(Exwo#=PT{B^KnK^M~1`M5O>eIjiG{;&n@2cJG8l`*?;7h54|R1 zb@#H)>o_GHB;=jRP63?D{=n>?KAXN*RKh~5-;wNVGSbSm+oo;r>CAW%>=rP!`N%`|c`Vv=q247?2SM1sdO5 zK|pu)A6EkZVF85nzcOV1SSuMdC}>g!8G^4B8Vi|rR?d(Cu^h%cA1pmL4S{=#!Uv>V4!`yrM5$SN8SQtO&sDHGcyK6(&WPJZHLGhxV^BnC1?rFP#hED_4Zs4t;=LohBb8zUD&=goNh63ec7gn9&Sx2E5qmMFT^Poxgf zTBb5ZQ^X(tDp823-wI(7&bYhZ{8oYPh-G%xVD{!bYWVPl*I*WA=4foC>E^U!wF-7T zbq2fD_cG6w9qeq`)ah5yGuTU4=Xs?bjBDK|H`V(l@CwacZ>EAm%f@Z(S+e1(*zH^Q zXE)M(FpR2fnF`fgw|V#0)Ky3MGIbJ!gF>cghsQ z6SBlF@;ZGG`m_~J{brp-I?B_TzQKiBh(|-NcE@8$D zLCrTtoDXJoAL+M=rb_IqH7GdYIZ^MsfydWwGKpTD)h~cmMh|`RK2hbOCFibf*Jc^g zu=(D7&VDW0Gzm}7bQ`v6A6V_;*>|War!R3I`nh@Er^-Ko@k2mWmI1`8M+_zL=**43-~Ns)rVN;a5h83f)V+K7|T0F zZQ6Iax%Q(`*TM5l*XC?<;mK-}aX0lUiOQwckhd?jtzM>UYZYeH3?_744mhxng^=iw zU#+V9wke0|R8n!rR#<|wUvn+hPKJla;7RAj)c6~M8H-XHGb@7M2*K~=4yT)aykj6I zkyh&wSi2?+<;cNmpPiU2#H$Nu%&LjGF_5z<$R^Uj>XvY-;=6)NoUh;HTZUTe$5FSX zNYVyAKl^UeH1~_u*r*NW) zb8dPa5uSsx3H37JUXJYLJ9_2CPG0qwDDzWxBCIVTy2*cb=g7XNHYw+9O8szjA}tZa z%Ay?N8!^$w>cie9*&$;Id1Y#WyWC|Rv#%(ttDoSccp1fds%t%5p`VI1?oRO2X_d7) zR|Dp#%qrv6nUX1JdI=u_Uu?}tDUm#LPweEr8z2YeX?7x_nvUje?`x5q-rco*iMV#Xn)tyDYo|}_M^|#bzR7#ib$uFf z)X2ZLit4FQ{kYyMmgc=DboZIj^z2gfd`37;@9KNfeakNI2JRB=hN<$}E%x^$=;_hc%;NR>9+@( zsYc5F10R(9Z!72lE|i;PF{4Qi4$jA6r%?$X~NV^F@Ai5JMyyG`IkBTeJ`O89#=j-xWNb01gQwy z4r5o)ixUx1jgV5ejMCyV%Ty}~>26TBT+bP_db`@{b#?1S>LE>{dV7U^br8FgIw$?* zc4yc{?oQp?J6SH79)--teHnK6Y%RdGLGoGSoTqcVLEBV>#IWh^^zE_Xy&sP$m!Y>j zPq}WVJAHRf!QX|og!cJ9p?p=nnYGWl`^0_6FAH(OBZqJX*TbKPe0nmd&pk<7m@7GwoWU>)(-Njc9CN$ib>e<3pNo`Ix8TEp zRbyU}Xgl-3D?2+3sxTt7hbig|&p@c9Au;U1lOH-y_f1M_B?xKWdEVuH^NBk{{Fe_j z3<@#y!gD1<908R*m%XYeSlBSG{D*vY?%i|RcNDgMwdV~;$j*+sGv;L*9n-u*v_^Az zOKd%JvvymsmfyT|k&`2_vdW8L$V)bY?&ex9ixR}s4j0Qxhp5maqDGcxClT1$xmw;G z#z(B|=wGyAr$1HsbxqqVC**PGOZWJ)7{yDCAZpfM?6R`&_#_r^QQ%{K&ULMee!BVe zCBD)=&JWy*ht9i&wFK2O`{zh+QV!NN4;NftdMq{K!BH5U{yEmh!^ZKdTTdP?%aIE? zw)*`ZhO=yg$$1F}`Y-h-GEeQ28S!(o3){M_UBuz4*e-pFYpU7dwWSj85-4l~pIGl< zr3{Eh=~8arF7x6Z?2zezVed<|<0b-Vbe*EAd5EjYcnjrr{x6};E+^!-3#TTn8u4X@ zusipj6S+3F!?e6le-C9<*#XJ>YkT~U*ZCd4Wndn3!Y6~~)gBik-7*qTLp@8 z(WQnxI7tULr(g3FUw0EWTPbGS*=VvWP5YterbG!P#}5_nb!^d`Dpsd?XA){zrIZe= zi?qJ~)++LPmO`5WPpaUyY*7w+*qdI&OG;5;&)Itg)C#&KsWNv{pVab>#H?MtN~t>&N#HxB%Yln)&V5@dR{3FH+s&B`{Yy0i1kt5GFmfm zgnk$8{+&vtJSr_v2mj|s-`48-9Q4WHYgd2w;^0<9#593r;31b%Ly&y0yfc&6hx@VP z1>NpEho+;0npsxuEls(R!tc$~Sckphyqhm{&}QiR~UX-x;})ozRO@<9tO+!;s!47Tzg!kZ`-0&q;hE&-I7*_}(I4 zLo<=SzF>Wn)p9_zn3Gl`~BcpW=X*>}YE9tZCLRpdB1L zWkx0wJq~GliQkW9KaN<>ni9H+ZnAV@YCtI=&V#v4tDrmYkXH4q$+bBe#qHD&H~A0l zdDZWK$M%K2Fz+QQd&K)2Vi!LdwO$XdU@_2oaFNe=b|43NGL@p}^I!qSZo;ptU%{R! zs)1ot5XUD#AvCu!+s8q^kDE@n5k7s&1L3XvFd>7|Un%O899#F@+jAdh`X_Lq0Kl4ASmd)^%KfNyz-jbtKvN?_EdoMe<*p zTo$4@0BZi;#rRg$vERrTlcV0Qbj$%AM~oZBmo>&o(8wVNk%< zy>!?%a50v8CyO`zu`{*su3S#spEy&$l9xn)g9aD=(r^0n;|0zX;8=oyBI)l}f^WLi zCtCbXN%d}+Wz&KSm;OKN6Rn&Vw1|K4n-_$bcY=g|jf2^r?{c`P#Ogcl9(bENJ=1lX zdyC1Pf^bO#<*S@kIU*-fT;WXVlm=e2%m;RcL|hY4%j=1W*(&vBOt7z!mY?}Pmg5sM z!cZz9TBVxNie}#$KVubldM=dMnroZfR^NM3inHG+l)KlKa)wX5%IKuIFY!WJ=s1=y zYqn@CBlRpU!M)I?`t!g@R$=ndnOFW}xa(&&@+5@&tktrm-7m#%A$p8Q^Fl5nFzkq6 z!K##CT!7rzwIY?NrkQMFfoD5f^X@*g!H&=9!OOP?b?rn;_6~&g_4T--Zf-ws#q+Yd zW4oCo;ljG-2j0gV8LnwOGrA=#Z*Q`GyY1t%H)o`pAR$%W(G_eK<@w@Wkbv0g0t2s1 zn_IJtR*YsJL+9Q|NbjSHxk-;}iQrE-ZoMIbKKp>oz6%nG6?%|J(a*|*fnK9MXO9k^ z@_M{hQSQ!})?-&Y>Mw1$u7dPv8vYc2K79fw&Oat9tKY=z(D52QCgH^pSB3D|7L~{m zx@uVA!G;;TM7NSUxz?M0qBIh`lKr!DGtT2C9?oeQb|YQ~kJjB57`k+O?b-l(sQskF z%;@kOKbF0yz+Uaqh(F7{?w$`qM;z<9pQKEs-${HO)K7HUHZVh>_y}n@9e-=fa8z!G z_VY6~1#-si+w#RaM3%L{3*)38Sm3YOs6{leNODm38wD1C58$i$UPJ@C&;l=F;rwcs zzJbQSu)rjK)9=mb!j(U(uUHt9uAqhZZ?Vz8vDOOOgoP&in@u1MX#3sB=+fR!hYlrH zulI(v8+H=gXJ6#_Zqm%ydwP$AUMyYZfz^@)=`ydzUB_f4HeEG%Gcb9qAqHb z&4@Hm#jfJql5{yNF?@fp1UhlM1|*y#l~dFRQCv-38}t(S@n&Euw;Bb$Orji{Pb(pw z!^ns#+e3GaQ&5dtC%&aqWc}{K#3c7HL`=hIq5LsZvy$YL)7Ox8>!YOeFc$HvlT1d| zxRyC_UFF87svYLFyf;mhHJ`n!ERflJ-3(Zh+$AnirBY?o% zFYqkk9$o=k@6+mMmG-6F=aw|I!15)3?#KOf`0zcxrfRIhCxg=p-4AX}x zj-wT67Y?jW+(Q_8+|;HM!$LPYK^=G453bn97}-JbVV6V$iyCaJdq=DAu6VCENjAAG z#g(@FPd{sg-MXry{}B;)_k`xQL7TVN1f2LCdsL?0Qq)e%_q^6~lerPrweQ_^dFc<% z8%~SpeM)0_6X`!>+FWKtXT^VB?!&~p<7|ONQ|(-9Q+4#Xu`0X0d9`tRh&bATy0Ol= zd;Dp$M_oiaHqPvGXEkyVqOK_sjJvSqP-SqF|3Gkh z^W{hDcdy!HDw>Yx6XXD`$4OJX%uB8BaIS% zvub>K%!@nxYz?<8f=XZ7^%?i$COQL4yad%xJ(f5k{XD5LC4Oz)i?sM5;dPMBnwfmF z1HuPd6G3u<#qL2|!{f>)Llf|1{>f0=?#ahhEPiY(<4wlR{cjbo_iLA^?mF>Gxmn#k zZteS6MF}T=?5wWFgK5p@h1xGVidyV<+Xn>koc~yx;?%pU;puiBk>bw%C>3QYhlAqn z)XqD7RSM_wqdc5PX1RpL2jbQVb8b)$rc0#jW9(|oZ`hr+O{9+28_9$KBgU4aVM3>yuY~Y!7jzF_-FV^=-tM607fz!K zgS!jwmPdD^h^A@1icd@EsLUxDf@wIQhP^bFEfw;T#?|tC&`4W)JMXd};wDOALyH|v z;YQFP+pw2Wg{{xGH^sWqSB?5BUQHF{x<(jVZDBOd6g=T=?uokp)F?YXH1_}~4dG3WDr!l!px(P`%%!MOI^}Msxuc&{ zSpl`Ng$>^W;XI0@xQC7>VhpH8^U4E0?!(~^5cRG3avncqra>{<%bMOg!rzut@x{Ol znO7vy(>SIXfUdQJxJ!m0jpVMoTJgGZm5P@M>!z;?*nNN17S1#I6jvZTBXol?7Q)UU$L{P5089{qX__sp>Bh(|>q}e8 z)NU{i9h{{<;f8A)uZNYWIHwvW?t36o`2zV!{@PCccLAR?)Hx59bQ&U$NA9ma7@?K* zZfacGH$BJ*)B7=tR+<5|hvAK^F7HO|FO;&J9S00QeuNzD7|6Rt)0VV~?xctg^C~bs z*C>8kS(EwR35BY}`i(so9w6AdbuYLd3SQlTq#7Qdez)$dvUj;N-NTo649c&5)>%6$ zgKdb2$YLyR6TiJ~O~>`lDsQn0B?&*Ya|?5IvPUyo>kB8JejUBb;Oa?6>x&&soe#9` z3w$W!4Lms6%<$uVbn~yu&73ITL$#80y*t(#;_ahT5iGru}rcDb{3adtr zp6QN_n+@y`!;AaE)aGv0^Dqk18r&ji=S!bFlqv11%^%5I8t>=#Wlv_$(4^U>3MXpY z6KID)r?-9_p>~RNgM36r_qUC5o`#%Ythz*3LB)g@;sZ=*1QzmW780e>sgJToUCxQT z|3)3s?tAxBMl=@}-BeDbk)f@t-H3y|3Y^7vkAfL@*iqPrcg-ocx3dLtid<4s4a$Wb zz1@YRRvxbQ^rGnxz5n{QAErp5`Lv?5W~!%!&{4r5oj8e_{4iD>9qj^?U6k&?l!1qE z*=QlN=`@SzM6>R4ci1wdeoO8!vc>%lfB4G&|7-kVdGB{&>I$^7eC4u0enrZ`)%4Ye z1=EyB$UceqVt#l5l1yPseus2@kzag8)WP3;jg7$zGF(DhHUG)qF!)8B7$iRIe|_h_ z%#O|TKavS6K?4_Q4jrha10sM@)*pBI$u8|ZBp<>G)iHFf0MIAX=b6Y>fdleinGp#kzzG6?9#$pz-+HQ2+&rXF#V7QtZHZ0M0`a z7Xe7m{_HWe#6my^IRT9)ag1Utuz0{hh=F6lC|@wR8Z_3z;7gTlA*T3uRu6^-k18lP zpBJ1&K>;DLcK%xMAJ`YN311m~Awi?0J`FO%IUgEmnxy_bkv+QLk}aaLAtz>q?+)iBUv3n9$}1=O3cUx*Dw z<8YvfmPjDt!K7OZ1P?_3Zg~_SP(?urILOjgVDkOAGGfEvV7MkIF`pM3^yYy6otvUkDnQ0|XocTEy|-Ai>FE zNi$aoOU=R}GG8*1NA|CNqc8=zh)#Fu(jP;4B!}ZYh~i2WFy`?Q5QFeD%6*oKd4HT%=M1Ni!|Xw&VQ6zPLV&ia>4Z zP^~fN1{-N9n_@w)^%^7L6df(}0hStVZ_IW@N9?=1P9QGpv}~2yxO%ol(kYIE`-8*w zXgbtoNgM8Ahtv!yPFh>l4Ypd&CL320W3OL=MzzEf4(DsO5|fMW)ql3S@M;^&E~*Ax z#AyV<%0ue3(1W4YXu2{EZIf*W)D3F}%)_6n$Uqw;tWF0xh`^P^`AFp_}G0q78(kUg|@Y93aW7@fj=ej~WZWSK5Q2);Hv|rW^ z?1j@`C|$1e)AkIfZxtbqG`+{Zm>cz<(p|bf> ztc$2dq)hq^EHO47nzCd z!+GhPr(&Ov<($UFYoGiW7BEc`har(FnmE{o*~6&;oDlQLm1vp~Hh zX)a*HO31yDxH6k~mwEU9`b ztOmbK+i6yn*yXYFvANyhZl^l~M7!RrQU~IOoTO9;?tkFtx>BNiCfMM(&hIWo^rD>t&L?eUhwNWP^`5qEG*}ULFrZ;ohUP z4wdJc)s{EP*202yQ(Oe2d#gOI~fdv5kx}tTFXc0>#n#F?P-=4W;e1z z>dit*Em&^HVFpT3p~zVqmH6uGZq&00E<#UV4UC2yZo67(=B(CZQuo?CcHueUWH;gR zN5AL93xrKXXqGbxRYNZ$-=wkLrp)jEr=kHfE?$L;fRx4Jwk9*0omMg9DN%_ht|_^0 zHp;qh3D2p*wVt1nrys2+a1&qX@EKOqFQULWU)!pggr2#<#(U{Fj{FE_K&aSU>V>?g z)8TJhX4wl?ZL8YD#XQOBg`1jt6sOy2Q5zs)k%)r$rZ|tp?VVGf_+I#;P&~w@6Rn$j z==H>%sWSQz}XJMF%xS+3l{b(qmj1x^PVy*Lo@@{+v^K_k)xTJH+-;rYb|r8hn~O+7VbRNpm8He z9TbuBeEO`Mnfc^#4&8I&v0e98>3e5}Y|qXTupMGt&u`N25WFmHG9CPZ?Qm_;1$=0Y zS3|rYd+O|wHs&reV)>&~cf$k3R?(Zy!j?+~h2LZydQN^^DL4Rg%2{wj!f;wixN+eP z8W(JI+-<g&cUGRkl%(m-?zSfvyf|uG1ynf{^E%E!TF!UvFEXpyEg!;)CROu2v*> zI-Ebxnn!*$xp9KyNl5A)^01OuEKZIqquuPSVW|qLaYUAfqa+>1-*0IkGJ`iX@OK3 zJ`+r&fwVPpV> z8|*?ICZN&B6sUa}7(k5#z?j^Fn8zNb_@BFt0QwR@$rI=*0=N?3P{>yY+4~P(m!CTh zF%X0cm>8QH85#;10G%-*h!GrW0H#R7faaJn;=tsK&u_$E+9+R!+Q7jIgAO_vGFJhD ztZ)Dj3jSo?4iXL#h8cr#1A>N71X5*D*Z>YtKjgkP5E3*sg$o|Q750vp__Or}quK!8 z2eOsVZu0}T5x?R0zYNiqt5lj;K9ls3(1xyU#rp7S1p{aoZ*d)UIMgS=`0sV=B#)gLQ@9ggV zS@q}d)UUyk&*A`I-wR|yz1I@#YXIB}gY)}43HAP5{D3J040Q$2)qYdNfiA7zwFKVn zhaUEu3IMbN3g*G=zbG*MmA?W=WbivI9#BO220Pf*s@Q*3ek6eVebfD1r{6V2f_{7P znFE$UegtUSf9F;YaGmy>B9-r;Kt_81Dj~H8e}2&)TYd|Ne{UzjKuPbreaM2}PQ&@` z^1{H!4F+iK2i@I|ogKK*A6SB5>8B6oiv$Q1K%IghI@*6>VE)sm^VOUme1rkywci{} zHu}XfLxD^(V3i%P1Q-6*6B0&_E<5-FAof&gzxRNCU$pCn^UwL zlzo@0DY&Q@)1S-o;gxI%Gv;9S_Nv>Y54ztui>Y9PI6KKHQ8Q=weIbteT$)jRijfif z6?ayM9NtTzpa^^nB9@aRBrI{(vW1<3JaLq1p0d`aX=fH#D2aUxnJ+@lVkH`+%M(Ax zkr5&^4H>apw@P?AQ~IctjLSxdcXHr*=S-88`|aA{;N~E_djetVjl!4gl8WSMUXs!L zBNJ@oF2T;C+-971Sx<}J%GyjHyI7Fe$XkQ-@gv>$AGrxBi#{5?YqOj* z)HbVUd)1pM$9e<($Um$`ABl;C3QnhsVb3tL4LA}vkWfD4HVlHAwP>oD=UtUEH+1(% zd3JXh=Sw)Y&WW=6flAlK@T z!9#X}{xB&q>G3N{jolX1YwaOhhg$3%JkJqEUOjcLKho^-!p;m!2Xo<&l3t}*T5q|s zRY-2`lewDLu{75?LPy?C--b?uv4G>m9}01vHuadaM1g?PQ$KX|>On9|2Zzs6fa z3OW=xSe=M2rHa9Drez|42#YH}u_Bd@?anxEJyoD&3x1$XHA>)#HjPIWIVYD(9TzSX zJsb}v?((>GosH1*{6K?$JhWa8ziqRjz~ksA`z`LRB!Xz zF-XIUMNNH#(%qj)DE!8Y{8kz)>6Udwb|M}%aHLzBGX0u|`* zw%_QP@@lV*nZd%$#vRAL84h{;@XaE;Nz)H!qJYM5?d7wayIHQcEbeWjqrMn$z4D^5 z`UQGjm=o?WW?t%*5OOpOcipn$Np0GZO{^=Bpd63pA$o=M$A~xuD!}(5xSBg?Rw+sl;inbOKqDO9v1cg;~sCM`$fg~w=RsG%M$pk#>w$hh7iQEk>vf7E~ zDzg}E#r*GKm7kGme9*Hjve`FzXZ{K*+wpm>0_7KnNND(P*_+bvoq~0joW#B#^BCLO zq+M5wYd&8rUiOt(569G%opjrbH7R~q zb?Kp6>%{^)OGghXHX3P1W5MSM_rk}Q%RWqQcV!UCMIH?>te(N{CMryLGUr5f;nLa| zjE=_l?(<_r73jF+A71k&Ji-Z%qkB=J(jE22#YzgpxvPbP=(QhVl*r&+QMKf8_R@+g zLn$b5&$w#F=$)GWgeSyiVOt?%PTBZ@Hn#80l}Oy$d{<3JM&ZM~tzj>`J-~ZDIk~FYf4kX;aKdh3n@FKf#bS6xwxMU1 z^6;E@ZJvm4esI(Lm`u=gZ}TS+0x^Q`Ax7U3<=)>G_W6sm4>8&$B>WA;2szdS2?Fhb zd_Mp3YvBKfnGQ(NK*WiZ?-v5KM;Mrwh*TXE0O2W^Kk(B;{{Ns=1_8Ro_Jy#!kKotI z5Qwe533NUOqI*n{T)H1f8tiE{+YPSvTmDGW08A|SslOlvgCR%yelMaskkRbBC{Qy8 zV}uS`f;&ABfbKH|-7tU}d}B-kpLryYqel7PmKXRx z(Gx&?cOWJlT(koT zuxOq6CS7 z)b4zx$2H}Mub;LG8M9Sgx6Khupj>3lSDf7WIQOQpcX=x1MXru&dQ#&eU0Eg+jXL8J zrP12j+{V^e+bvIJ$b{8M9!;CJ(0iM(ird+z$ThgbHln5IF(vQ1p0FPmOX_QwTrTta zhy3`fS!|&ZES3}CU#FY3g><~`4E9VY%bBk{NIzykoB2T*PnL=jM@Zu|=je(iN1-^kXpTD@w?i3Ty-5I{DJ{H~&=Dg1+Vn{y?ye?^a zIgQ&Yj}j~psW^OD(TqS}phkfC?k6#APUT=}gX;Qc*j=a2+1Z{tRW0j*HsMlQ5-fkF zlou^2@}0nJNfc{A0cTi5!u66Yfkz=B6jd(g{GJbAT7S&e zvhzCe8xH-48q-m?J`~BjaJ<#{LabOx9?FBA+C2nZ>zf(#u2lXd`R@D&pInUpCrNj#uH`R zCKns*4?}v%o*y8G^BvZyysWK4*nK3LKE8dt_^9&ED{f>O#OkuunZfWgIP%v{*9yS;rXkn?x&pmP{b(76sWcDBIRA&75>YT;6 z1br%V+&VdV;&cQ#MR!^x-z04rT`o-M3mCe#kT`!uvS(mt%a;rFM9|CiK)fxZd5P3x zbNnrr&oy<>J+>Lfr4v(`Gxu+t=XiP|y~opp1^?NhWr8@I<0qy&;&57zVI=7&#j2c@ zfrX~)@ZD27r7LsEFUQ5D*?-1#C_$o?aMs(2ST$CrYKvVVOW~6B0EUvzQZ&Jv7E1ak#%$B2ov{|IctYLHH~vD}J;oXh)C@nIU0e~25Gn0S2*AMp&QNY7@L(uYhd z64{;_>Mxg79JKOz;c-Njlq8pEsVwqhrGk9*^WL_hm2$I;)HSaQ+!f`;x9Gi8r8_#E z=Rbs?KNL@lseQ1jeTLpbWF9TM2vY<5+R!ho%pPG@=@b>-4C-{u|tP z?eGsH^lNEHLT~=H`Cf~-pWreC9g%H z6(AO6ihUt7*1g7k;rWGG9%|Ef7oj{bqN%)3Jj~CCsP$wQ#^3cWgt>&h<$I_>>6ci$ z<{Oo#Bw1NLFMa)NG+|@kN2;ulN{fv8$5%-|);nyoycQT*)M1Oo#WJkvx81=cSwuPW zz1Z_N=6-(uOzn$3e|PTZ_bCbA5ONU*W{rRv;Ag<+SF}20xAnd_ZjUJl#*kwufu!#K zKm#-!|H={+YlObnC5G4?8TvIW-i>bdT@;R-)B@nUgBLvj2=2E8eYE_bBD&ua#6<@p zz!_o>PVI&jt(7(RxancIalPX9{$rD{3-Q*_Ozos-gEkc+kjhDW9e? zN7g#J={|Uyx-j<8wQ`3O&nQXkX=_ga-W47AAV^;pExOXFC_Jf+shecl2^9NwYtqke*XF5#SG~YOdF>umgAS&8NogUAW z_HAlx@v^^O{#YK#3)^vBw^dA1*{1vKS2-=PUhQnzOW+EdUC=#?U_M(FJ{VK<_O3Wx zx65mhxKn5hZn6#yoa1>NK@D_k4yTT|DSnjAxe>h-L#pgkj3F3fM?LF|T11+KHsYYy zSB+mT)xb@IOEMl(Ao3taS-*4V9_rT63SWnkVH$x?#PhT_jA0=u@9HV<*KqY(zSbB$ zYP&OVhfdz0hgGAq&{Klp+!S8~4or$b>}vM^M#7HxcErdQ$(vl$$33G#u6OrE8$tX7AszXLq{ORU(u}ACr)}=2dWEOARq&joox(YF%D0|wK170Mw(nx1 zgUq0*iT9DBf~n9~CTHMJseI>z$=Er27T>+5Y+{g73UWVhY)LuMC;8lCEnEJye3!)* zRj3LEotLu|K00BjMQCH&@j$;M8M-jLb&95Ym)@^D9#b5Rv3{~Xc;3X{{#1)n^Cb=8 z?B30+NNh@7qYLw1T}Cfor}b!Ad~i_sgeC2TN7+hE6LKuB6~+(^p($ zvP8|`LU*O)!~JAEb;dkeez<-fx_0^WEv}aQV-VuU*gBr7uiw4pJ_Z{@kx!$Z@RM3r zF2Xi>SIPd$jz};Tn=rkPwqd@j0x|{bIHyz5`)+Xzg`3zxhvL2LW&E|pz-F zntD0(etpeuteInHeJ@+O6xp4(x<}QUtw`Sc(8Ss&ul*E5^P_r&B-vG4$`eneE?%WT zr)*NEli=k;ferpQ*Avmtf1zWvyJI?m7f7|n!1 zIh6n`myf?yd@T^PE1}w5CGgft@oG5k7xi-1*ouL8F@;bTyKI=Tr;bIwtHD22hL44p ziQwWbuRHR1S7k`?<5|PW6(F*-kDFw5Vr~2t#`G}|aei*$ohhu{RmY3r1629mbtV&C z440o75q!|bl+IVzxf>B>EA{Z4fq%pZ@rw<14Hy0B_ioe}@7~?t;ks&|w`4C42f0rOO8e&knJ3-uFX6< z?Bs$j0zvDI&ZzNPj|BxKG$PGHKP{S`Yf2WDXf6sZ5rkr8X_Q3N>-G#nvsZ{Vj`sy~ z1$rim1WCQ+lTJewe>69}bVa=E7Evq34BXSzws1m^`h~*1M0;hS#DK!JnMStP{fAB+ z!#eGBE`H%mmbz>TwW-y6dcrVi8&PA=s)CX$Fa?RbsiRrBeOVMjMw$ zdQ_P2#Hi|#IUYhMXz`U9*Y}KG)7!0ew!^sf!o3dJs2ej=+(X=%_whHbr-uxbSP8(K z)&>3D+DzK@8)IEg`inoek@C6Y_3=o|HSOLh84df>P*PzZzf*_EwOS7~uf~zt3{z!U zY^k6|Zy&1iP|^L~M|97eq#t}lcaQviAJN^ZPT+UHk}dxJNA#PvP~@=q&z+vTQ-P2z zp8)s$@*8BpzWbZcwRV2Q=V1oOKePK0-&Y#g{j0v_Kz~>ofC4pMWGw*n$G|}D13Gi~ z5CHHN0KEo)Q~BfCfB>>v&QQqI0CcGsgMYydpoXTx{Gd${B5W)Gh7?1f0{a=6h&=<5 z{@ihpJb|JHl6Ma1zn}pHG;aZptnkmKwj$kzfl;_ne!vj(GGEl7h;x@8*O8_*C{FdK_2F60hrUrsA1AY?$C?M#;z(`Rc zLw-QQ22+-e4>aE*zMW?CMR4)oa@av<8y{eaf+kR~z`OedFn2*SC_g_K&Hk%>0?KEC zrU*kJ2plwng3-PpvlrkOfP+>!LjwqyID2rPe4B6o**-xs-H=UFUmZubfr1_Kdya$Y zw8mgeE@*26Eq?-r0uU33APiyx8bk$*OkhR_Sm2-E+rP6@0J9hZ5D>sR20H}kkHe7t zMnK^JS+qm^q-clS;s3MWd!~>6*@7bpexNq>AIJZ*;J@QI1YyE&C}aeK8Ut#&v56s) zn+#fS0Rq@U%$zrGCLz2p!0tKj?h?E!GW zQ~)rr0bBgXEYv-8i38@y9&6a*BVp*s$IH_k*}7xbT50^|zanAMo6f zGytd|5Y_-S=z~}O)q4a5I{4qKaU;GRnfZs{z#bg&hm7C<3tsOYi1#Z{00V3Kn?ONF zoRT%*^|mhTkYjvcL5DX6aw-Oj+b?qt3I<;my^k5$aN44ogiGlz!;$Kc?Rio1BOGdT zOp(kE45FJ@(+dHO5^KCd{iQH-DE(K81wFTO$5%Ev@Q1~37 z=x?Jix?_r=_rW4e`;1@FBfsEavwwUnU*Y-a8K#kE?%3OEOXN{HN6AFF+|+#nsjs+S zP>L7P=tF-d(D}`j#B8E{foc+Eca8j7<$S*VbE~0c6P&!0>`x#`%2C>uqgNv(NpfeH z6pQ4jSS8(4bfP=CfJyA#UR92Y*C7E%qiLuQ*OWBKC5w4iTm{0`+23Y zXzi@W=wwt2LA;?Z((U7whfG6c!egJ$pL)c^M_FLfL(_Sz1459=^(^3q>9pj1SCkyw zz{9uti&So$uRp^!`-U?yu!?bY+@$#Biv?ZnD~qOQlHZUxP08$3O1h?g`lQFLL3ZK2 zG&ADm`nHge#ggbDxMsJTzL__gFyRY|n)+TNXKFJJ8L^?GXLJZhPBLE{xHn%Ns;wY& zxm72pkx5}$wMfgGn?+vOY|sHWIub@J{q_z+bx6jOQDJ&G^PqBG!D;d1nd~#8bE5Duny4;-;8SyShVp*zgXxat3YEEY`#coaD)5&@#muGsB5Dg4Km^`V` z8Jc?{3PVwsa;Z5fPEIa!UL-*hw7ctD8y(6l< zS2)CyRMl>Do%YueOfjixXw&HHKjZzeBf25BW9{+V@i#*#B8N5K1a3=)1(K~_- zNa^njbJ+OMxQLaPA!C}II(dOhyBy0@g{bCkV79Tht-tD%*Y%~DErO4(R$j_;P^vwe zbAi*EvpCi6(y+ArH9hC!7kJEP!)Y;EZ(Otwy#!5V^!LQ>xU@KuLD*&El6OH)(zUAe z^?6cezX;aQ-p8r))<*(E^e!grM%xAQ^I|ipa~x@R;3?<5ljr_Er+IWa3twJ8FsGZE78xC>y@OTJI~>vlV$oen|tlu zWDVDqOErU=k1JnSohGuuK9>~Z*RWnF(4PFfoETe4-{1j#{$y?S{K-q=EqtxChYz(~ zC@oVN>tJ+A5_?5O_O73y!UVTxn0beY^-R2YNUOof)Bf>CR3C(fC2tH!x8fLgm>!yY z8sEO%b1ZQ2TD_R=fRIJQzzDJbL(!{p>D~q+HNf!I?i9Y#n3a7 z6jFCHic+4~f&Kck>}7Kk8Bd8q>oi~SUg<<5-5B3{PtoZ+IJN!4KbSQOoa$;1I=#7m z($c0)o2~KPX!8`CJ?32s<6ADavT}?oBXcQ**o`CX&!Wb1`n=U8`L?ppRh?(`%EWH$ z2(?QvIHKhe0@E50c~$ZWrNZLj_hg6>yUJ!?zg3^3%>#(`?yI2Y3EdsPii~xUeQq!y+J;Pm!C+-Rej(V;Fo#_U9oT^^V2{MgpDh^- z(35~_(k|-}zMFp`S*EaE)+5Nl{*80r#&v(@+*h$SFnfqk0PH=aG#C`rB;Y{u2^4jI z=A4;zyH6K*9M06qJyhQ#fct1p)U@AINXq7#t#OVrXOxg9!l!EtKELRKUR0$N>BT zh^GlbOob1;2z!Woe|BTgUDmR|ZtM<3=l~1>b{UfZ0?A_j@yP*2!Vmv5-m`~E_gCBo zye{NyP#Cf=12hi^fQ|a}kKM_IrS7nAhz5ajZxBya25kO5}9si1E{)Xc} zh*frjzrVAKKOY)U1V0iH24@|Ta1Ztb*vmqKKmVxy?=_G;tb#vVQ>17nvgd7gqz`xr z!65*YFo1FZs4e`;@Bg_5a~so#s(k`2poRSTfRce0!(10BoegS4~X&aX>a^P zTA?a4A9N{Z%XnNA9)0O8gyL6+R3w@^RxD8#5> z4e!3Yl96Z{`wcy%Ib-(6&NvNtWVjj_=G_;D?;R#);u&5_d0sM`wE3}9IFZllZg54v zN>Rm9(VFSf+6ny|tog-Rr{Chv%Yey?em5=Hv+fZrcV2tU7&S~8)g2P#;>W~>u}bO0 zU`C}dCLvfJDwj`vbG?X33+37|L=NV|hw)5Y zBKah^nHWa13;4E5E@d6p1}tNT*=2TcjjF2)1l)@>d&(%njmT}^wcGEAGc}xg!g%b2 zA>;fE=3P=LDaNK3RotB zq|lD5;m$YAcdX8~#Z$22Yri>L!r@rBnD*gB-ztvCr8e`%Ag`_G;^p>~x%X-!ugfG| z!sW%+F1!1hB9F%oOZ=BmIuSH(`g7w& zrd=thY;HCra<+4@lZv6^#Wlfq zK1#>Zm4?vmQ>Bis4$Eh9h2l&x5o);BpF7f4P#wP1%75)dr4oLwgm-SCW=MR=hmHV+ z^0r)OaekXCYR>m zyx1K}@CeUGiWDwaah)qoW0W(EK8VHWdXo9MTanuy){AgERNLOm;WJk$aNgE;4W&@@ zpP4q+V;w%%zEU!$q-(hAp*q7Z>m5(9@4-8&?fouig>8nroyeQ{DJ!o!U+5 zRbXsK&~}(`*&+tk7AxM%%hrV3o@)|=nhcm6YAj*Ty}C&s)~iCu{P>;>C63`7Qc+^* zYf4-!h^^y*L!}?{R}sQ)DK%Z}nW{#t3-aFM73fiU*{{uSjfcbbw$%Uq^He_;jMisa zO0U-N2V3+%+;k4$EaLJ^HIibg_a@i47F5xvIy4h!2Blw93Nvp#8eePKG~IDCaPYl` zi|cu&Ys|Qq$NnJYzd9bdN);GGH?9S)w%CHHQ2XWX2U~aka&t@GUW9eUEDF+aRJyFn~`Hc)r;UHjwe{mcp0CbN* zeD)iTgX%v}ngfX;1k_G}NH_v!3N)r5rcjuvArR(*9c&EPeHwo$%m#5O6eKIiW*rzn zQ$Gtwfm%D#|6f3Y!p8gvQ&VGM129FN-xLZl022g+;Q(7UG={@~1pmSH{-hDy8hRQ#gs|toJ{L~bXh(MSv z3?Q}rVfN?u?duahc+nqQ0wnxEm41)Ez!c=!@bBmX0n-BwC;Lo+4n2SpzE@{Is7SQm z6f}oHzy>>btKV*l@7*dK07d{sIbaD+rC;4DauBRA|99rr?w*xDTi+jBep|u#p1T47 zzZUu~)9PTG%Dy!Mjbor_@Ez9Eo*d=77yW@H$k)D2Zw{D#k%|JddVq++!Ttwe{?pz6 z)f_xqr11UzXDbAFSU-Dr07k`+gq9Clf@k}yy~qzH&j1YZI~V<_%b-E#N0y*0_NxsA z6#}g)0OdGvr@K6ppKU0B90CB~;HwVq^qW)S|MQG`pQzOjX=;Bj-`=C*`8D6>2a=?} znQzbO=vj}+lDkiVd7c_Gj|V>{DY{=wU~khJ$k55X8-0RAiO!J1;!;YU=8I3SMH!?m zAQ;jbWopS{E&OXqRc1nK`kh$4?o8t@fe}!HHzmt%a!>`{8`QQMWNg6^i9Tw^0&|Ia zDwx7gMI@c-Xze@sLYYu{jx;|WiIA_)#x$%<4G@MoGa-gTOhj~9b^1dp4TqL3N)ub& z8ERj(M>yX5mMRBS0tJG=low?_=tm}X0G6c$I;k2wP+OCQY+8@ zl0M*xH0&g0qe7)ZSKk$qfP1V2zN)bj?BgcqQQXvN@!W@awCJi+VRo*j4 zvC2I=%Xt2RBiD#x`?yZzkWv3;_@aku9$HmS3htx2J|a`MP0u<8R~z!mf`(6XC7!E0 zJ7UqxxtzxIo)tXjt4dLA*e(Xg)mED3g?g41v?&F$oaNh*q$s??^*T^-hc*mzp0uoS z;n30ZMxi;=hoxQW_4@R36N; zv5Va{42MT9r=&hx8IOFKht;K4uOgl!Nql_C%r>b#X>8E*`Ib8>huGsta&EULoTnB#HAC~-pD&xt!ETLhQ<7ass2yNggzC!-!=G&S{EvRN1M)>CRWi?w%n+!!E zKI4&s>Zg@-Q<)Tq3{&9IH0WJ;(}^P2Q42WH-D%L{nns*-XpHYfUzv5R2whyXqP(G4 zRSMN-Ee_cj79)vuHSxQ8?*2dd(He*D;UyH*_L}Mk zyJK;|39eH#rd~aBDU4g-!}}}!Dv>9j!H40PJv4_4(Cf@Y1JsjTYH+6}=28BkwB^e| z_4U9n)GGa8gx35xKFVH&sp4gQ-a1tEm9&EwqbT3yd)Y5acF+Uz;U7dyDs@FYXw0%T zv6}LPV59VR1h9ol#!Kc$^5a)=XtB$zRDtI5303FDSn^pEJ@EcL~(57iOR`)jE^X3)=ZqUth*F(!=bOYR^AKY;ll9L zOlKoJecYYc^;yT&>8H=VFKXkSSinZ(^%i3bGTvH2yVhbi5MR4d-+aWxjc z9_GwkZr&@+Dw8vF_td%PwH#MP7$~t`77XS-KYCx&`#~x}f0FcIE*Ul$ggB8}-ZjE3 z(o@hjRpr(w+aN3uTQe!Gg!vl(N%0*2=7r+el=s+(w$0*~q?Hx1o5Q-=)5q=-O;28+ zr9By=xagxkMEHU!5pZN{Ei;NpW0EC4_+nfQ%EH|VaM!*;sq^af%xU=3 zl5OnTL&x!tV%)xopQx~gKNL2MhzAfi(5ACitGIxnLd>GK2gZY3i;RB{DnRRZiOd;cJ7+ zuENm%G=#Ul!1?2y%IzI^V z|4nDj?k4#fv;CQCUl$$JxCKEt3l#);9SDfQ>^4Bi_<0HNHx~VWZIu8>!v47Xi%kEo z3PT_SJ`i{BF$L}Ap!^L3J?sZV;9pvT2PFXHCiYu`iymmR-gi+LXhA`?i0?NQ+QYiq zO>g#F0u?gAKssOvPK|FexxW~9@0D`^Ip2RLH0`Nn?dj_NPhATLK!Ao3Fya%L!~l%p z|40u)Xm=9B*S-IrC>#J{zZr#h=zxh$vc&GaXJ-GwJuy>zVdG`X^$2ovjkB0&Lb553 zLX|aGG!+%YA1v_PEUAJWiHIgyQHU0*xXmwW=I8*eO*&orDilYT$>*-@ryHy!uO9Lr zr{reE?D8?-Vv8@7J#2l3PH!^pf$Renxx>~1a?ys|Y|`C@@-{vMGV$j5!7|-$Kv1I3 zWZ5#_Y%xxORBv*5i0g6ry``-C@9xW0JUCU)&s*Eo#esSDoKSLw6UI>E!UA#qAk9i` zv@Wv(Ib~17GU?E<6Atdm1u|`F7U?3U=;;J6GfPh2eVn}!&q)y{Z>D5qkSpVU%Q6zS z!E{MC(>&!&0eWGBey9E0)k{ksd{2#w9O+t>R%?&laib3NjLPa0JR(7&kXg+9Jj_8P znfIpT)>$*u^UtS>m1H1B+eSnXyZ-6Q59aLxjd+o^45oNwsEZdLv1CZN&D6XqHxhhw z`H-nYA-p~D)6$WFf;v_iQ7m^OTqueqYGG%?u`4_y%RKm0^=(pOU50Hg{>S6Xq#=*Y z4`DcD!Ew6sY0=!JaY;}tB?LaDbQH1WhewfpRi0Na237ei_s8Es?X7jPti0VihT5PC6oOGd!&$^3&PC)(C z=?B;uCaBg7)$aXW=H;&~iAZVQkgcSa2+*M4#!-FeX{a2aR$*kIaKat;#aPtxv8-bmk?K9&WCPYva@zdxrgv5@YUglQd2D#YG#IpnxG$ ztP!&4O!X{TLSB_;W<^mBjN2s=80`{wAL?UzgekB7n%;6a!jI>&ODn2_Z2CB~4rvqlvdQJlvcogE?EZjz##`nc}=G zy03tFgn2MTwR!q@gEHpv`R1)26ygU<4WmAl&57ByvxF^pof6ix9g1?BrbnwCxeZwR z1ruT>B;Hy(!Uwjxjcs_S9vv5@lWr?W*(6^-f*pEE>lDRBuI0F#0fDobI#+s)wU4)w zJ0AU*`hDyf&cP(cV$TN5p-qy?`(-flSB9>SPnDooJm~t+J{A;nl%{ zpt*-bTKb)uhv#3ubR4%j4jC=yeyp2)2QYQOIRtJ*E0jiTC07 ziAC-KR}kH&4b+lf_{C{xGfGZ6O_Kkr}EqA1) z7G?r`+YIqa@%_=R6}$ZsR=T;ho1H@&j>CBU7kphOU+=%AQETvp=;7i%o-@o z6}`yFl87Ep{ZJ((^o}BeOiT<`(zHtZX6$A7g${M$2xV1N${Bc7p=miZO#PkKY51FNM)ZaC|toiVXR@ft}9nby)Z4?g;ji7U%u|m!H2kUx)UT5FyR%^r=I#;h)8NTApuj7Hz zo%5-JKxJ+n6DREE6MG-(UM-eSR{wN5Vm7RLpz_ry>f1ZZ(pubO zAh#RI$|9NhyT|c2;QbdFf`iBL7sNx&#Ln8`ijj#O6L?j3 zk&0iVAVRx?W58MdBLOR+14C(qcBira#&P`Fi7!dOUpz0qJt4kT$NOtF1mtAd|9(fl zW-o$(Df64z%N&)S^?=~}p$Af7Fvt^@BhyAySf!6ejHP4w9E#D*RXJgnWDzo&j2&GU z9*ELU$lvHPJr617jGwI!cVARMJ|PY z$$d82p5i=lN)y2~Y;NhiBZtHVAGcKLLMWBi&?b1|7Cd?`b*8(CD%4&jks&lX&%#zA zRk;8)wLCXR{+7OJ{^R2rgh|G9|A>g~h1)xdv?eCun{u^UaLWuwY0c`v9Ig)B+Pf=> z=*((P3|R5kb1vR{Hxa5AT#D_4MqSxmO)5XU(G^JbkTGqjR;S`)V%4=+)9$>wW8KdM zD7_HUFiZWgl>%{;e9f$kPK~+MRt8rLoa3e9wiZ+)_7M}jE*M$~<&S7AuXR!sd3;!E zpHFX&_sUcZ5ph2T&uIujz5j43R&V4GqJTVAkjt`Pk(XJ7IB@btc|vLYy;noI0ohl4 zuMP8Eaj<^qm4&!)!eA>~Xx`NPcxYk4tMV3k=FAYDJJpX^Y+5)PQBIP3+pn1ucwczw za;=_2^P=40>gVQnB{Q;{VEoNzho=V0@4)+ekDnNNOmX~ee93b+`GCf%a;x^&cZ1R` z^16EP+Wq1kFZ5QwA|>l_k$Ry@<@=fhM{`;_kDCkSB?rHgHQp7QyD!g%+)014CJ-%) z5{@0zSm{`)LWbhl^lS+d%OT^y=Y7(%f#l6D6y ziCK3nq08^iQxHrBzVYEgTN6>oV4M=Q!Z*TrVrkM}!tVS`;zoMdt#u-TBGnvCe!Xlv z<{?|nVCfIn>a>aB+2Z}wFL6m{R~((rv5wWrqj8d6X^88Sj?k-Tn${)p!OIjidwYT6 zorA)((rx-FW$;$pX3>^<=_ElUbv} z#GET12bVszj=Mz91h2b)u2YBhqP|)+aW!#zp<^}sQmv1QQiW0igBr$4z)OKtXAe@L zy2J9g4~iLuC_=f2!fy_x`?jaf)kp?T1|vKjAm|#mYOFo@o0kWT5hHLqrs{VF0uy@m z6Wm34?V>5X?~)S_d9~nXTvED!Ao6b5|%hy_RZ zy4f{@yTN$0UYN}O8)oR|D_JFO1da(S)o_xe$a3ri9-$y}e!?n_iC?yG^eCT}db+7OMnt+Avk%~7)&*UKut{!umwNg%-`|*t6C9|p%DVH4HRI} z{JZx7I4M8lbo@KN59FM?JLGr5xdTehLVHYqEZrltM>zIgL(3|qd>lI3fSAifJ(UE zRA^T`=IgFH;4`wf=m(bI?b_d#wBKKtfB+vTt{lAR9=X=gA0im*k)e%5A-(LvY zdr{<2MDV1cV9M}!x)1?l@n=s6+%1xG4+Ve0{&fNu{<-Ow3xhWwS;;$SzI(d;oy=qp zM)7Sm29UClQ!_u8S^mpK`g>W*p6<6VSqk!Ye>Y3%(9i>#+sIC*^$0Qjtzb*@_#VN_ zF(>t44e5$Hn$Q$pH5}@2_pMDmqqjb>=C?}&#Xr*I+jZ7TNEnb7a=KcG`!J9kKl9q` z6sb472ZNf9`A9e^zWNbWEtkXPwylLtm{P?(FNHD2{ssyiycc>`ww3A6<2^K@8Yyi)gkdDE|4`tDkb{>%1Ad6k zFVArq%ZOIIwfnNHeYL3C_(`j-NgOg(57l!C&a; z`|O%~nlWST#tRRVg*3aKzvau5qaV42nbX#F^|@eIsE*W+HKDsB7YJ0(LAlGGnD=~b z-aAp=88SjSJd(mWq5Q?!0lQY^8A(?1-WNKOqi|mKz5eBZINUv8>wk@8a8ddqw| zg&1-BWth0CX1VK1@}n)&x_`Af;MXa{Vd4*blWJ+cDv_Yo>LvoMbrgBx;4kEqhygC|Esl+3XYVf|~OADRh-_ z>+!qkocs`$>h<8xHi93*TF}4wAuJJW_--r_(XsuIfffL;cOXX~;*hZVH~j99uzqZ~ zq5st(86X=Fpi4Z0eMTeQhEYV2!$9N-M}|s}*pTi0CUe&)5DvkD$R+dxfX20WfD*tS zjUNR{z`>}YndHB7-3DnH&|Qt?0zqe56j0&`puvJ7kJ2uk@H+>9#HP1S`a`{S5}T&s z@R1|CH?WX~Wa+q===zhRg?q;d3r8@x#s-sKpg#Ji$4SZ7noad2p(E|PC`~)JTeS3I z=L>dku5`~>A0Bpm#xe&3#@t-Z)XAi#8`VueyXQWdyf(bSG++CXdW#n3VnFS)a$5I& zQ|&^H*U}$&gza8tSZPToO~R?IayTjacqSYxh18Pf6Gro;^wWhFg7&Y%uk_XYkgfS9l69}>+rE#jQ-z)-3uWQl zGgmuCy;JB+%8fmkkd!F2Gvy!H=E$*=T%3Y$$22=Wjfw%LAeZ%g{kuw%+kg=cV2Wh%oDOsnfS9S`{Ksn%$sUF57IB* zG#6QJ=XuJZG-SoShfi(?{ye%;pmQUtF=y$tRRy_dNBrypNjbFfyDR$s%ALY~XTtJC zK9c73Tnj(?$;Yy2$vUOlYw5>tmPA!cr_^84HY(QEu&~O1in&>G#yJDMNmp-S(=q2{ z9bfu3?{9|rSi+i;?kh(tkE)wKAqnxwWhXP&I3?N@`kqYnnDH~e+T(Y?sDc)m;JF(GC0-YJo)%SGj@zN(AXW^H_MKU$bp zAT`V3%HBN__~o_YuQ+zTl=wPnes8X+nB9-Y%Ygx>us-r%Z@SCv(zI`5#7abxN+sIT z3@+X?A$j)g6FVP~zTxn!i#A)=1Xk)w{S)P-e#6Pjn`aeu2`)1Pl z7xey|noBU&aLd>kf2Vu}cH(p1ji&X43#F>fR71K^!J>0zc0Y7p*{1Z^M9n(p(@J=C zG~A`WWZYeK+A?v=!zC-?#|hX}WSm-dWLzu+FniAceayL>fb9`a|w#t)Q^(`2t5`g~BA zu6W&Q6QPYHyKshCLYB(8X*xRBvuC_GKjnt@tNQ(+ZtSs##-hV_9}^g;d!;lQxI>4$Vd>HrDKkZ)M=73%|1)MtGd{LL(7 zTGltLLJ{)AgTHxDKOK~t2S*M5W)^=18O1{Ju|5p`W>)F_cVCKiBZEiE-+2iC$gzQJ z7m&d~fQCi)B0yOlhaFi{3D54fa8ubEG=|6k$iw4+lLd2vVf7USb|cj7@z{}px+ElZ zL!n_vBw*)IC=?d{5C!?tpCJsXr=X@8SSSgCY<{#Cx+0$0Mv=5*-xtV8JkPGy;=Kz=Lo-(0a*KDu&9w z#D^xaxMVo8!~xENtQ1745{E4DG3*AxI6NIgpumD5;Ls=%gHEMEI+?;`K!Z4#1va~f zrO^J)?txGU3qd2iP!OMibTs5oK}ZQv2OD+1p{oR$NhQIZqG=2=(5q=A9F~ls;uvHM zlZ+=&@e~{xMMjgzI4Yiuq7xZ7BAEc41(?v&0r?uw{t67s(Q)O@Fi1Wg-T;KLNQP4f zNa>J?9XawuR?;8b86+s_7#s$ACD2d|EFDkAk&&c6mO){HSQ?7MGh;`({bit!Awk9- z_}pj^zQO=Z9|KC~7~<%==^xw~5ccU}YAHKYGt#r+|e>qhX=D27`v7p$K#og$4=@ zps|U;pxOF*^gEW^aRLWdBkY9;3JC*gemGcQRRRZN6pGFt?jR&AbjpDCd<57x@zB_Y z0sU+kR1^h8V^U!MWUxOo?zsnpcZP^qA{v&6{|L&Vh=wI@^uy{8UNah~j$)~38ngpp z5YSYRaKM9>37o=cPyiv}*fd`IJ%6q~GBj+3WavMO0mc$Q&M+vDtNYt`41*`osCW_; zq=lI%5*kNGVNf(U@?hg<;z)FM&wp662CnQLh!`&ph|6I|F_n3fv~p23A07i)fHSfi^OK`^@M} zXaPc`G8uFl4MoR8dnjk(hu{1OV1K55P70xCOvpsaLW)-do)1ZMT+L%v)~leRDZqdFA%<^u5Omk9c!bR+ogYkSIp;+J_vf_`7*0qgQpZyz0N=+(!<7MjCICwEr~inlBA)I)pk zm`bcrO>dvSnbJ6~M<_=@2HUz-e!ue1>*Hb{gk8zelXEmQFA7-w@$1_eo(q{f`g9qL z8`y&`;>V`&7%i-9UV1WHBWuR}2O8lmyQgV|%jEg;Z1B%!lKmeRiYYcO%aN3(ylhPH zG_KuqG;fl(49|>pC#EjKMr>AhaSWRCXd&~g?0naV)AQHOovyrBrTw9`Ub#wXtzL*( z($vGwI-O6Bs*6WnXwLP*;0-+DG#+>9>IR5U33)5N=2q;3ZC7m?YcQ)M9+f?K^eE%< z3ZV~EWwcMu=iOdbKjlZfPew*!+}m$g&~`blySxg|TId!`{;*tj#S_I8)OCG9nOd!! zdb7S{u@0%=*u`gibp@k%`Br7?ysC7WnltUF@3u_sC+C8k0%R7dCYD`PEPP2xqonW6 zzCI%`a!HB6Z7IXE!5amR*DRqa65CMVsjHsQ#}7Ej-s zM;}ks|K4&g#-sD>x;-a?pUQY9eN)`qn}K;@#mJi2JnOpp^uzB82|a-~M4PgdD7}io z4c`>5?C+=-axPrnM^N9mymflaPS5FyzLJ~tvqV*v=?0bM3W-mPZflX9ER@@N%`_tf zchjWh<$U`Os)1hLJHty%}K;yw^ZwKawU9J(e48OvIXx9Vh zdaPFvqP$8XKAe!8-V|QOU$VKNi%+`U`sj&^PYq^0x^b&)2I-8=OWU;8GQGVI3T2DC zlq)17ERE_+*PhYL&9_&vqkWP7k})oD%R7Tj`(4)ui1A)Km1OX#OGje$vVddWI)Ffk07yiHY5!j zIkG#2h1|=K1B3mdAf*AyYly%m`;k3VKdm3l`P)@T9y&}+pW{^_gF%3Jn8Q`s{MFyD zI>ON5`4)~Bg%TRlWRJr|*<8opE(*~FgcR(+RhVEl*YS^s4pa4KGk8D)5DyCd5Yn*Q zJWO1Fq|rD82?}{j4#P)X7y}o_flMqKL=rg+ADToS@#>HdMmk?|7|!N|4xP2ZtHYB< z+BI_+KGY2w@#>HVM2eFfhO;@NoUV>kSb}zKqBBYe8{r- zzjDZjXub?(Ob%0I8?q+vgp0LbM7|E;K2W=(4S}D^o_p4-yD?a9P()gXZdc0Sirp%fksis3a zb6=fqF6@{5%~OObdx(l&nD)&;Yl}7N_~C#iqNdw4T=UlVjHOSFMJ4E;u2yv|{wzFk zudm``8T`k!+O5ymn@V;kZ`&gMRfYe1b+(s!HmUpw8l zQ@+UL*%8jXSo3iIH1|^h&JjUT^K_|Og_fwsY_Uw3hba?PY~Dq4x^8srBu2;V*w01S zK8fgLKaYh!ceV$2f2HP!JNc@2%F6{lsWm^4J9|xwbo?28X`xD0539`yd7F8pYktWs z#R$H7tFydo`jqRF?aoD&TAn_p?o;qW$gQ$4y~8c<f~H22mt(=xSknNJzBywO#KGxvmwtf|)ASsloroLqQI zt;cCmkG9iGu`dg?jh1Q`<-d%-Qxr{HMCgdkjO&RbB;|h%-FQn)%0GMg0(@KaTe&He zdFgFdOSiu+O!VVvaekLRuczP*$ssjqHQx>SQj;jJxy()tY5Mu7`rT!x-tV9BdFP?M z3l`R|tk9n&ZS*MK=h<eqNK+ZD3ru$C$I~=e@^o?Y`ZJ z=)R$8b%tRU+kEs%`r`?U_J7|HxoJu0x~Jb<9`4)zSx_$G>SZ5w)UsapAKi)Lg!rFT zhicg0`m~J^D3E<-oy=0_>!(diCv`u)u_(lU$&$dPhmN-P4rIl9hn4S=X5XthS8{uO z<5}q?-z??rRb5_8sfax3q>6id(%7GoMta&QUrX;(+3|Vq0{+zZ5)R#6o2QQV{Bif? zqazWQ48jfV&d>ayCD~@H(7tKS!`!8O(>Lli>^KtTG<$MYVGlMS%F*4ir%%n@Wx4x@ z$)X)DJ@*|0sLma?e6~2;dOnUcrCDIbWXUy~R`Rduk#)R0RYa)hqhzT{0_J8Uem15m zL3r)U+phZVm{Tu`m;Ml2CA6cmCwQBI+{H(WJs)Mwnku|b*fMr}&8`XGC%2?L?0k8+ zo%cpqUK!uZlJuoJl&%(SGF%$Zs~fvxnUcYUiYF4elATYtu5WrS|1|&3ee*c&m^=3K zqrXltf7)DW`yCVtc?}G9>zr>moMLd@?sc^Yul-Wv>xtAPuQkm>iUdGgvE+wHq#GX>uYY$%Ut+Nm>VPI#fq_tG#$)QgH` zo+SpW-ld&0IN)wm{BpT@n{-=HWN4o8gtMXA0Ts8O7c5u2nA_0$^GDrs7cb-FLmOQ- z9&22z^H%SH+v7tU&Jz-icRcR@7*FXC(Kyt>h~&7SS-3- zMc(!PMOmMSEG3D6*9!5mJB@wgj(BXeSQ@&rC-rDtynLDFYU8hO4|ev=trt!2x}hjk zY7ex+UB(9rXdJ=_GKFo^VLsRXjV8AQ4D%S0l>2T^YQVv^9FoTY9DKMc&j{@+7piQ=%n8Tid) zmPifjoBmWV{P4hUMiBn2tCLyE39O$%d=2EoAQh6_&#pwi8L*k$&%s2#>9=`U2LvD? z!fzNp-TxJ<(BB6W4S;{dp@z0|;Gh9Y1Xu|mE=d@w>FD8>y9E&j4P1y2tl?BPMR5`zE{ zVCCTuf-bnp&>MHmnPVq;a8dLV19cQKBo^?{hQJ~c#g-K*E z@FW_vLnT1F4;+<=!7-RLfIE`ei{GproSQE>OfsWpZ%f#ZpyD>#QUwk< zplbkbheMN~4<`k-MKTFXN23``JdMo6P&jOyLu1gtTPXt*jzw_1NONNVJrZGmLAdac z>KWDUu??q?X*3*}0v(VsXasK$-yENhVW>6cW57WYCFY5=k@~o&C;+=8L%V z%y3u`^@W2NP)tZ)Pb@U}0O-fxJ~Jww#-O3;WGaJ5r{S4Y3=MilGB8xw63D}}X1Vi7pkp(5Z-5bp*6*$S@oQu++vfn?lA?aYO zfc1yJ!^&d-8k!9f*zaR<=Yb5oL`dsi3{*0T9&Fj^2DHX zIy^aWdPent|Ky1QA%Oy00TB&|T7bdf0h^BnU@fH80V9nku=&sZK{9t9~ABqg1dnyh9&!7zi?Ze49G=mKd+#l0($?kzx z0lTsAS3CrMe_%J~)Ixt&ggdqYjRzh&X#w=90IC|eBse(R2@9Zu+bDcD z)-i!0Gsy%Z6$`s6IExf4feJ0a0hLUSA2>XGJi z=x&G<4Eqy#gHXm%nhlbmJNo}pDeOZ>F1{oD!v8vQbaVUL6yiDI5h3^*@-nF~ zIQfmYB5Wp2Y@YE<^s*{>*2Ub)<{!NKw&q#nSKQuvVuC5kCH(2r)TOz05j%2)Ha}Zb zGjURh$fSI9gW3#FH-%uQW+8t4LnoH)Fsyym@FQb2W!8~WD?RfSnv;k^8cqRE6BT^w zb0+u%edgtL%&{@%**IrrTJXvybyc%%O8kWBD36)F&n7h- zc+^{0XD%IS(C#Gi+^5uhe+<^WPS`^xa#dH~iG>rN)cWqE5Npn?CBI_(j-t4tMZ!3EA+RL zcPTunkvPSt5;&fqSrhqwRXNt5ELf@edU2Oc)bop#o}NOZis*JMmBFR3EvZ4^NqA7Ae)EoA%>OkHjLu?e3auU4<&Q2NbTAS39>PoYz1w zb;=&s4YHO`FUH>0Ih#Il;qpN1uXC0ujw`&d`csqK`Sm3Qm$&hLy79#^xyP%ucXM#` z8_b*#(V~prsF0p7B%Q;pL9;I@__R(|ymWq1#k=~R7wzAR^QUPSoKRr)sH)jpI^5QN zT!f$S%3G!|H7>s8j%=%w>h`07GhYYP|BSbKll^Kc&$v&W80{}fO1w_vv)Wad-}7(t z-r}E=e)CQ5E-O2y6u!&%lgIBeHp!{BpKUKLf5_5#V%-h#;8r8_%UT}(q=r_(ilBf+ zDob19o=6mseHF3|c7SsnXd~Kquwh00HNI;{UN`z}q9ix9SQIP8iThuiuBou?iVFXP zUxImbUv++U(+|P{6P!Q1A;c$HUcQ@UYq8*B6s4o{(;mLJck>nU(L(t6;!Of#bKDQ4 z;%9}#t~|DH;?fwy{2jV3bEo><=}OL)FY%k`oWidv<2(<=SLayXd3f2cbv8U_uXK0@ z%{4YQQJQOV{+EKT$9moR@_SCk&HDP*51aPxuS)-NKnXQ9Y3~^;CF#r=F;`qoHMibv zs}YU3VHEmpCzEF4K7v($?JE7USb0=Ohs*LP_ii&G_7}FW6ZnVN{YdN%-Sukq(`YfKaSk9 z$2V<$L3?aiXsgF`dPBJV`Re4asvlZAD~-&4-7#^^f1-5td9`%ok%}-gqu@lILMHLq zm9P4rj3^n?T4i1FBISFm`Bt>quV_QdTdPYpBqZA>F@BBrz2lh7sXRFh6xJX0v6UxT zhsE`>A>~OjOHB%?`LW2jtPcalNTk}(Uk)6U+yLc=!EXkN zkr4h3d^0Gy0V%t|Zw5<-1Ckq9$W{*i)8KC&=m`b?H29lYtPsSEfzD4@Abt;wVzrS* z{t4Q-^&7^bRj|Gptaq{~53FwnFTtWC{3iI(f7jgd*IDFg0A?ae9}xVo2rr1s2aPyJ z>l}_`cIY&qM`JKd6a`D7v zU^ayIC=g!709+AiR03%);46+1<3k`wCxZ$CiUHCHAO!;Y2*BdQVQEY#CY=hJ6j15`IR`8gQvG-~ zv4j2{hD+<4wM?Ll2OL8@Bw8Vt0y;ksnSj}2EEB*30=J3Dq>}NF3?kCu{lwE53^Id4 z0_HA(!+Z}70J*VDaG;+Hm{Q2z0S6AyuAm)+{5i%;$s_<(8pC9O9xZ`@9$K+<=a>Hx{1`BZ>E4___$P*qskkr`?9~$)k{X!ukngKv(#v`H#F!P9dBZdfgm9dhBIH(l>Fc<_7 zsCYCT3Zf(e6u&?Sok=G!U>j#Qd?;}J?+hQT7eKKM4=ZF4D}Vtg5bTK~PB`hmU@ChGSqygaRLIM?eAth9go=AP)X#hL2U|WH+39N9N#4fqE<`to?7L0AvR`#&Ub5 z49!~p-3kY;djCopFdX_N1F8gG)iDfbtrT$k0Fyui8C)g}O`?Ic03D7?Is+8UARCQg zKQo8%zyH#3mSmMZLh#eVL@*m$#E}oh|aVL_HprPMz4B+5E z0BcOcSu+mppFlW@ip63nP{Bqsuuwgrk?C-BVW>npmA!&8jQjp~Gme8w6@qviG<>j$ z#aJ`WGW=h^o2JGxxmSc6+`k762mek1s>oxQ-M_Y> zrwk3wcLo6q#aQsYi6D}N#nV7y3IpmTYzl~g(tsQccUH;3D+#Y6_!|G4ZGbdlF(bpv z{^R22-YGvg+XHqZ$R0%O3lV@F&F=oyjfZ+HmV&3^5Y0atg9I{cBnFm21>cr}!m#Ik z0C)Gl>1I_mS*gQ6D2S3-y*&n>6#Gyp6GCW=?1k(VM$iTv?WzFq1>zy1*~Ve$(DlLT zs#q*w=AjPBK6EJ0mWyS=p$Z=>G>1|Rhw6YJu#5g=BDczfIOw4;WEuy{ga}^x56grl zTh|9v5rnd!LF>}-=wLzJ2>*P=>$7ADJH@7-N}qXoM^q` zX)GTqZFU@6dl>t+gnn4v{g85Yj^0`OG(`q{@9_ za+02Bv66RS?^*)^GiG;O=vqLQUOo%22S740e=t#ul0Z-(Z76EpjjhMj&VRXKuiR}AK$nqXUWxuGd{}xizvk@cUJp_E)T0{HCLDMC_~wAgTKVbI zx-ig+UetVnbYaQF6dA3F@}GDFGJ=1&6lsyq z!4j^^HdGWf*}UXGb@`pr+@IsOMSCdC6v~kBs}(PcpL24@N7o+<)`eB7&KHns+_>|M z)r~`KkuFS!TlbbGIBEK4_ukx{7@t-hNl2+rRaDbG`{`-(nuCg0u}5tV&W<@DdEwWg zHL3YK7dmKXYds2?d+)-uZEpN@hF}t{B-Oqtd3)N!1X@Y+b6OiEw`^|e>SyzUS5!|m zak_st$^GP~GTx;pQe?(CuZnN>IM%(;4)bBL*5S#DCw%k^wXjtmF4X3p*Kw3T&POij z9T&Q0_Qbqp9k%z9=D)k+fj>OqnsnL0+@sp1@t$7$C&l#XoC^<`9H{*1V`cm2L*-#p zj@<5jxA@%8UCK99QjX31;_OUM=c^ERmd(hX?C!O`@avZN$KGF`lMhcab>6=?Cg$?e zg2xKWZf<{`u`0=*DrsmL80-=e+ZFcE64$V3T8l%?haxw15#ChM>67z5-Q}xE^eD8{ zzqSAJx`v-?%$VtXt?N=7<$TtfBJJI}(56EB~&uM!N+J$!O2|1Yhj_6C)%p%(?Zr`D{lIk#d* zMdF<4N$=dN1m}pm?WWayyA+l5`BZ-RU2)~O+g*w1{F|P7_qr38JFDbr@g=10OG}6< zwOZJ>hH>is@@v(d;k)~uS&0gqzuSnNV7!#pU>t6>{Nd)61h?*Zfi+_7+M(-JRI1)R zdTWze`0C4^18u*`v>Sc>AMaoBVBx*u^>))f^YFY`_Kntj=gFDz@3eTQuCp-;>1)2AdhH2MkMRa*Y_fwaacr))KqXRw%=l-Q^mpb~OeT720Ch z1M9p3=lboMe~i~R+@?+|`aG(1KM)jE@?TMl*eif=Hn4~9zf}MS&W~XgK==-EWVliR z1T^wMHLbs>heQ3t`eX5zkZKxmV)}n(kd83e^>3_%_A>|ej1cp@eh(3J3cLuRE zPD>qub4zZX%rs)pZ<(0dFw@O~*j#g$|%+5JF@^>4U(g zCfmQMa%Y_kyq9={zyWpV!TAQ#5)e2>elP#ae8aZRMgI3dGJ4Q(3=lI&kZ~N#aMpxV zsh~s205NF{l}5lZFl3M^1_4$&lY$Uu*re#e@S#Y~zuQm-D|0}g0vs$9xFE+1j1m?( z7ClzMoDK*D8XY8>iF6E=PNFdgz`FviP2eX{uy{7DXfS+eV&E?ghh8=C!eFsvghmFH zMaYekfujw{JNziB<*W(+muP;ln|tXxWWw=?W;XzjA%6t*L`2d6YKaO^8XWE5p+IE` z03lFkM!^jO=L7l|0DK1pT|l6D39t`;D-wa#LlYu@Iokv98-%?=gbt60@;K7l4}&-W z=+Sxl!089L5yE7HE)sNTp+Sb43vht((7OcQm*3GhU^n;9%fZ=(rOeVA0~RVP?}bo# zL4Rx1`9^#xUR1|l;Mxq` zir9xDJOC*1Lv`!-1QZM%`c82g$^wya$e59aDYtVPi9=cdaMJmOE+|8sUyehOWez7M z2Ydfw=+Fht>8c>+4#zY*hX9_$kW?xc({%q!z>S=w8=A`FUYZWTd;iOJ4yNe^f=vM)n|Hbu}k$pnp@hhB{ZKRZ&h=$hA==)C6(8P7*PHA4 ztvaF87#WDYB%^J!bdh@Jj)h9cl@(6CIQ%hCsDNfyZ=5997NYgmSkvH|HuhqW_j>Qn zm|xk|W#8t{{k6o5$4}(r)0ah>kCeXH)UC%c$8U|!Yu*;|N__7(H>|AT#;YBQae>lh zS6^;j(QWFM9ZrZ4J1lj2bC0@7&kCvMHHdSQK-+_BzQTe)WW8{gwoyJv3Tp%Oek; zlI5@II;f~u@|S8CopE~lpeX3ckB?Wwv>%pkpj;HxHTYV+CjKmM*DgkoEPv!2h1m8W zsd51eth8-Mb*pr$Rr8!+53`{qP6aZKfXJA=B=)8mwnCR?RNxK*;epm zZc#kDK1grUFVXWhjptWu{=A+O{yMpCi|m3K=?Tg&u0+0mWD^@_B!h1k>3;N7AXV;! zWb0nuoyKh|>zby!I_kcfgK=K>Kr6Pc__9FIwF&LwhZH4fPcPP8cWb5b_{j#uzq|YT zt^Ul1-yQaSo)h^iNb8zILKfOBpti;!r8w-nrTNM|XYYAjCKSqj+az&e@jL!=p*SE0B=S1y<<<(62Z`skAN#G@JtguBVLPmb(b?>N1XdN-{3 z175Tx`}Xb|3{Uk($!Ax*82>glh|jrgl6v~L!;4zmjb2UCu->J#`@(Jw-efuIrL#d> zj~cFj9%N-Ve*YE$wKIij#5*zb#@EW2Q(p3=$e2}jpF1O&^s>v2@9|=b)D4!ZhS8=6 zCaWchkjQ9J`5(dSWTCaQLI)8tPg{^D(v8I9%yO_ zKhyu4ho-3DR}FOy{>}k}QZmE^5YPZ{83EP;W>W#2QE18tfzDWQ!oLjQ!SJCz!rvK= z6qFI*I1XF`JOm8DSO(D7wK z0Jshv7!l|=RP+(75X6_TRuH{`Q73%t8K?Y98IpnRi%Yi#OP&B#{lQy9WJvIkcIVQq z{Y&W>xV5429hY_`pq>)}XpO|BtXo5#B4PBnltF~V5S0M0G?14`csh=O#$iFshmIps z@DwKdY8%#S=P&JsL^&EzMv$-qC(zoCc>5(WEvgF5gbxOnO!_96@ga2N1!nnN9mrL_lZ1a_%W z*U8v=HS7~UG%xUX3kCE@6oB9HkKuzAtv@k*Y|Hc~TXmxzE{==Y8XTY@3wIp}W6>8e9B;vBC! z;?UpKCD^YD#WlzSa=Pl!7oFo(M;M9-8V-q0I1U9^JG5crbk(7+>AxI0)>WYyE(_Pl zp0*ptE#!1nKw+}lwsROd)Jx+u6p;%BK_E`|IyB4mFNco$=Jao*NCF!+RH%1wuzIX_ zfYqLoR^gQUaak}a#Lq}awz)n*j zA<+v=GkB()Zg^<2pW~&WWQ^44In33l>k8?u2?Uk}z;q^XTwAO_f8eJ7Kcs|*rhy?@ z%yoemppXn*u>&b#gj4^gDd7U6Dyu4zkaM@uC*6ZWx$z3ldp<5+C$?Pg(NxiOQ`Jw= z*IrxXv?xxa_v=bgt+E?|4*S)TSBNY+WyTbEu}^b}@3%!7Emo^v&Jng-_03G$I~(mc zS$m(?71dKnQuxMhTU%Wl+@8hr7f1W%=Wlx;97Q^HI{e`j?~`v%t`|+_U%ODvcb>^1 zvAxZcHi)#FRK`Z&>$mOb4RWmgGV!(Z&O0>~D&!gCwD&Cx-0Z>U{$RrH>@OGQ6mME{ zT1cT-aH-TB?-|!+_fMZ_m49l7$eqdKs}mPiKbuqKZ6mVSN-zHg1K*Rm#d74T8!YK2h$EBYO@!HnRG;NlaFNLE>GVeU^7e zb$NsoB!AmB#HPJSIMZ^oDkRV-&i zb9mN;O?=OIm)Pt@)e_^xH{CkGJ16||DOcTj=@q^^_Q>wpZBQJC-Bj?T^4{{+xij|E zRyM`X-K%D_!F^8MX}Rv3&s*buzF&{td?jbjo+;ACVm+&}CN#_7YJWTrgdg5!&B;*L-^_|FP&QC;|;<>~f^3j*KFD4&rX>zW`#TFi4Z^lff% zCnZ_xLA3gVZkxWMOj7abP3uPx<0TxWKP?Qzk_l+xIms zp~mp5WlQH{kJidd)AoN_5j*+3{gm+<_o9!dMB7GgFDMXtp4znM>lT0G&|;@^YF-xt z&#$;r_5rtZu5^Y>MaGYcC1>?|?@?lw%Wc%%7W}pMi;id4hS>$aPcEO!wr(p{NIn!D zc;jaZ&y95ZEfTBw-^C~@x#z0ivy<|k_xi+(?A~VG!+i7nFP{vUtvpd^&Y{epYJ$+| zLtF8!m+D`gnYH;@qE}Oneqho2TcHh%^zHswHS2rOjDNY-L>yrR9L~r zP-m04=-jZq@o8bJ&umn_>Gk4jMV-09k(-q*EgN=-KkZ;_x@BGYdP0qaScqzcOT~|x z3zM9UQn6K^`?`6rqWw?Px8QeL-C=;W1lT7j@U-ay(x1RMft|)wC zbcR-=$W+ND$-Z8!8u^aPRJ5)6jx51IIbLVwYT}0}83#P689ll`LN;o3f(*DGLIkTea|6c-*=fU>qzOBCV5j7>!KcZ=7Rufm30Qi+ijP?0-TZ7JD6!;feD;wh^V6X%o^<$(QSONtK4 zb?7nnTie^x82cdw*$=xLyTia(5*|=<9ZYWyNM^wA9)#izC}qGm$VrAIJmKUR_<)=; ztZ&$%cmuz4@c8;W{~u}hiKKlXSW-X(TmeFBXci8%18~QH%sW~-g+iwjK^&Mw1r`d> zl%Z@)WHLc67z0!wCLVYyY;g-~3b+4J`z?WMD%gc{kwdjgBCs0BiyjwFyi- z18B)a8jeAQ-qAQ3ok~M7FcjwRH06N@#$~gCZAC_eCI(2HIB?e?k2dOb(?EwEqFOqZ zMu8M3#!bO0~0ZG*#frC1>V>zMkJ9LF$CL-wJgiV=oF+|L&7{5}+B7{FZ|!kyo#aqL$edFap;&FNn8 z2$~L3?d(AonDW0eDyN}H+YAuR;V^V4LjM0M!1^bQ8jimi8fTBGW)c5@;&e8FZe#^ zm*T}EHc{h(3OBFK^GKwN>doDKLuA%Va>P!CLM@M>xrhCkwy8#GT44rJp3=+i)nxN& z$OyhA{&dmmBL z`mA8s>a%cJN=H*sxP1Y6GnqC&hNs}5c8dQ}LG^JTh#2qf(Ra4ZIcL5(6yIzkTX$YU zk15}<`#2V#Y~lW@RIB16>TvtPRR&6px7wboZrUWGy>1SAWj$}ied&!IKhRpc_^#@Z z>oj#^KW55bG58$1^3uv+uZC?-O`VUo%cVN)Nj{ga~Cbpgj_rOZBj z9;>!)!nDu7Fyqfpd$d(~@rlkhzWJz`3vY)n_dM^qWpnf11g31=tcWO=4~HMD-0m7> z^I(h5Y6ro}ETh&i3*mW`nRPdkP39H#WmR>K$G)``+4L;<{QY*%XY)lI^eWz@q6~c~ zX}yWhujQG1-e1}?p5h*!*mn;le#JFIL(Aa(q@dQgIby9Txz-GWM9EG$XEzt$OFlMV zT({RMZtjk*n(Nc?-6)uuIl<>pg8s@4`(nC!`VN&Ub?+-NuYCD>fqGE0@)PZOj>-#K z#vRfI`p1d$Ih^!qaEzS z^fx#S9k~Jl;wHZxcE4S9kpa#@kBQa@7;mf?iD(}z*RZ9PONT*gD*CxtBySM_a5i$ zS4EyHhc?zY=&CiwG(n>VjzdZ81%%%oD;}N=g!XI`3=Za4FAg4{?@<0`8w&Ogjn_EN z(uhM@JK(?s|9^G$xaZsrBaICLs`0=PV*NK|g{H+`JBICo6`Fe~c8oO)nl+s<1+Ko| z&Xz&3oZ?t{ck7P8N&+H}-g6B#BlYxNlk>N5dofy7c2n&_&*4%cZ%%BP4EpH#SMFu4 z6`T8vy47Kcb55E<|sX}RzT1Eh~V@4Tls1~#@J`0)(U&Sah~~XT+`3PYu=LT zj2B3D>Jv;;*Q`D`m0)zbeS*}&)gf-ng(FaQsJ1OpmY*(M_AdB29Liise`C*W69#9ccvUvbVnUE z-FC$0=$g~&N_kf;&`#PuUzt%Y36CG~xs2Om>_ZY)mx%PrM5k;osfxRIbd&G3=;PmW zOw7JIr`*cAz0}IAYM~o7J@5X3xN2qlZG??lr+PcCW@tb8sPHtn?R%EEF5$=fRn5!` z#Zgb|2X+b4XW~o;_T~yjUFf#d6QJj>_v1_v4SX_d53$J>I8Xlv%veXLp{0&#UWd z4NJ^k&oTY!uA^%It)ckh1Zq>VNn_$(4JT1b=beMI)r)w6s zYT&J?P3Fat3NNJcimz)JTzbTJ=y*|IbcKu8JO7+v!n@{2{d_HVe&5yo3LDqkr7_y60#a z{NS@bT=P0u=YGAS!tZSJ1v`k1GEUHPiUw|vb* z&wL9diFqC3siI*7zBjikz8vIn4>C<@(d9Lw`z`c$f3@&KlFbxXm2FcA6>YQJGN(3P z=2@{za@qK;XkXrpn4M8#F;{-5Rqb8H^CV3w^ZSoAD~cVy@+-SxZ6B;#9}; zp0p`1N=_#SUbUUS>hX-X#eWN+?!OoAm<{EBK+gfP652dLsDW4y$y4|fGO(klEdD3l_b}!* z%X4C_kFhhDBzC;Vu#r%BLOLU}^ZvlmV+%Nb9|_QW9NaN`PLHL6F*wWY=E6ls7z$Tq zi&chR716B#K}e(u0`buA7lzSnfdi+}AP$V>kWj>uhXGmH>>ju{0!76ERFeJb!|5yAS?a*P`GEdz<=mf2kseK2>`Q! zQw%eVy2Ezm!Qs#WfD=Ftu0HCUF)$nej3lUZbFlqSRye@5p_wJ8(W9<#m~Q|eBfZ3b zzb-^yL*|T&Ar!oa1AQx2Xb#Pauh1OIU4>l|cFKWDJlA3>C}$3dfCpnL5_IVJ!DVXcQ;*!D zMcw|s9!CUUZxj^Rw6J^WSDI7^@xmds$3IR^-GpgcFLJ1;_`9MaV{QH98T2pc*yaRW zeLZHrJ|@H97p3j{m+PfJ-)LPvb6mPud&zk3)Y8fNM`8=L)m1kC%A29hZ%cgqxm_mWuyuyFEF+=L-~?G209}6Yj(`UwJMkGt*pl@1j@CYvo7Z2+^yPM@CYb35Z_p^P;5RrnG)<8}|n83NG}N%2pj4J%qh0(DPCgN)n9`}4}aC#-t@ zUMnRgz^8<`y8O(x&nNgXsoNHZyjb1r5KqV~_EBIO{)|YojaatUqHe}4MRLMB=ImqL z7fc>xU1^nzOt;@_S|ogY;nqDfi{}KJRBzysO4ey!cJ&l0KDx|_@e;G^63(S2=wXOY zq2)zp*Z(8$t;4cRyRLCbDJf}?PU%ho>F$t{?rxAS>Fy3e8dSPVK&4B%OFAT_eizL6 zyeiI&&o{ou?>mm~j~ShN*qqmSpZ9fNYwx}GS}ty><9_WrvLT5W)60dFjIjo`5cll8 z4O&zAT7t+0-&BvQF=X*+~!upvx|@NLC{J$kHn^f?%L&#IRT z>e2e=CuS)NTun*mk;PG6f-5?L3|fnhroktm55@IA!1c}IIcbs+#0~35A98um%$7F< zGD9Vt!n@FZUScpFwDs+5uS+gC6i|~7AX)nC34*lKIBMM39Ryi*|2ggCCYOpr-L}Jh zn}=9llEy>tCu?eS-wT)Ny{7vV31`)h@wU8e4*R~xvEX7{X?L@y+(mpW$tz=nJygbH zA+^{MW(Bp-n)_7py4Wi)h2{C{I*B|_NFZZQ8oe~O#6vva1y_LD!wKLCAdcOCr|g_c z7JQx=8icl@VV5iNp=jDCv94>w)OMIV5b2$}Cx>U5piE)icoI{U9@x8;SMmwUryu-0 zjwf6TQ=pl1FSS(L?|~UnCG^JdizZSrk{3S1x=hJ>DyvbLSD@fU?EcO!=gXH=CyEto zEE!a1$7dsh_rX*Ch$Aj+El=zBPzO^5kiaWm;x`r%0Vk;!fxNwgiEC!%N#`t7*~k ze8CeXG^IT+CYHkF!K`UweX8wME7uxDHc2!|rPD>|cpJO4p0cMC-Nhd<%a2^69}f)!dXCwENl2i+*AeGChgXiG3f2a9KeP77-uF{eWb2b>>?YMw>7F3{ z{voridCo-CM^}lS>LBK9hWu`>g!!UwTE@b|{TT^LeK3$21?r}a)qL~Hyuo`~M;~2_ zf}GuQ8Rd<;j1x0Ek~4`)caZ|k)=+A7ii5270z$7Uh;8^>vAE=sk%q9^!eay=ai!aK z*93%!fW!`kd_)^6hw|Ws)R+aj;I#R+aap)37XC`Y=0u7G(m74Quw}HwFuAC_x)F2C zEPqy1doTBq#dAS$K|F~dx}1wm9vexGyErZxYMWk% zrErvxSqhM1ZQKf;78SE$uGK?MzTFPwTn{wXxI7;-#Mn$w$#z#w3|Hn$Zek6Y<_ScO zIoOwtI)P?#&PwBDA+F}3mwJ;g=S2)q5(GUAAdS-2z!5h0TC}NazPD({Lx$U8JQ^I$ za5k=2n7H*B6nVW@>f$0I_Rt4Q%&pHvY?P6?e@*zF`kKNMr6zk7aBmV0PJFfs8@~ac zZM%mgI(}mK9}{Ea5^>63`E8N5nTwk42UB?(vVN)+wlDOOw1! z4X;694G`BdmaaB-?sY)IBdyPP%MJZ3+@0HFC1;zhi+R>ubCs0Ox9{_`=L_$*bq#ej zwKalv05uOJHkkJmG9Txm-0|ysO>z=M{+JxOq}^SQm(~r3o%sTocwf1uCKLG+!?*ttw3T7_1ewRA?bBh}CLVLy` zNkzI^3qx*Pw@VwJ!a$)pMu+J1uqzgyD#Kg@ty-fBBj&ED7Z(LBYk8^3l38|1EzZZ| zWjh?gy|Gz-l*y2&+KeVdE?+jsSv`F1^w2@He-hsprtset%7J`>=$#LoD)l&rtFxZf!I zb3Nt?66*qr@$UeCah|A_hjxZnOc`v(r>$Gr0U z(7$eT0=5a?KBmSw2of+0x}E+5=6V0LC=0;)`dM-WTE$Uh^+z5oejWvJKp$z&>J6irCD6F23pV?5Ds)_@Nv(M!j zyy*Klvvw68m;;?KJsShIa7zQbM_AkuT2BwG=n$-|M$hWV=!CJ1a0Emkz3&Fe3;05j z2G1N`ctfyu&FnO23N>t~wS)4>5QE3$EMDyUqX@@rmW$AQ?#{u&|0~`V6GO7$-~w0;CJJaCAsw6l#Zb zAuFN<3;s=w>^PB!j`6vMI1=+0hKL=659(_*f_b$9*#bSKe1xlwKXVbvqKM>2ge(!@ zzEUH~p@i>MQe~m#gA#snhqfJ2_Y!NdnojC8Zt$(jl|ZKX22u&qivkk61D$$lmN3;s zE|rD^E~$$$`7YT#yQJhS-ZL>6X!sYMl;cPDQdS~4Mp(DGp7#i+I0`M$1m#5Zio_w2 zAgWJb2Q)(yBO=@d*PYTNQ+faC7?~=ooO$AyQ+~!!5YzDfqNaNrs6QA%_L&Qc*wmHb zG8Zg75=iD8VrwzgL+Dms9olv5j&4h$3~~NkCSoT+a)D-sh;48b z`ivq(S%R@SWme57#Ih@+#IQ9z(lDiidh42Bc&#Q~@fBPO?NQPF5}*1-yeQydUX1Xr zSQ(K$j>sM|*)ffJiAu>96HksKLj8q5Iw>@ws_(ppMofD7rTQv%DkWKSaSIICJG$PS zCe6yHA*Q=6-0qXSi|_*EI>JOjp4qLh(tOB4`{D3}a?*uRT29d15cobA%heI&kQ`hKNg%@EM8J; zjId8;RKQadxKnNnWWi(xFTH1Nn-uQ{i5dGe;4-TSUrTG*qZAse+%gRKJa7*@(`#DS&p3^=Ie-8hFdVQcQ z!-wypZEi-K_ihdyEX?8ke(R)Ri%&+P4diw>#rl(+C~f_=Pw~X*;iS&HxzWIAjRFDX zAQ{0)GckmdRpJ`UlU#~XVMp1~C0Fx_!^**~fvy6UKC_8VONhp(mGSkzdZeoy# zEQ8wnP}Tzv&-e}yoG!=CsvaTalJL}I*L{vD{9Fi$1S%+2QSf}-h?CQBS9t6djm6Md zlE+h=NjHX8#OS4_2klp6)49clI;*hFcgf4?w!)(a?;|>RIIlBPXmTxQ#mTOvXrI?D zcbC4#?#?OsWv~93NWlogjsZ+tlhzv#Q`;WW$cw(W(eWz-lumQ?A9;Bl zlff`b%)(9mljGJ!D;292)p##@nn{%p{a#3IWPhlUyr0_bus>otG_%E{J?3I3Y2k8@ z$xdi9Rd3#guBike))8__hoal%p)uU;(NAaV>u>ycMvR$i88#XP((sGS1H=jBB_ zO=V{hJ3KK7zbE0WP0tqE+8W578yK9w?0I!c();nXX+VMa%ID_$ZN>K-6bV>)Q|OTVv<+->nLSG65o&KlKf{MM?TG6c7mp`0_u4 z2)D-0Z-w5NY5uIEaEp@kW9api0Wekav%TIzjX&&_@fs=rw0rU`#fSC=N&OH?4-moW ze#RjO#Gk+UWc@T0z~umZxqUV_pRGT$sow~seyu3}HxLWZZ3Ph54Y-uU|9wd6yI#?M zkQ9LKei=!r*(M8NxQ&+10UV^_WB8?>p7zCZV-4|C(as~ISLJbu_%a|Q(H^s9ROEa@ zua}7#-RQeaj_W*DIZyPSIk(j7GiS{VzL**RG#i-}CGbR^mUKdX5SD~Yg2J*9D>bFm z_qZ10g87R*2Zu8@OgKFE0krX^B>GION3SM?Zb{x1cfN^y?coN) zJ&Z%1ah|cd#Ky_>Twlt%@^HpN!YM`iI3^Rj5N8R(-juLlPYNE2=vc4k1!UVjD7ddX zunpV>B1t*STx)7GXF$((G9=zc9@nr}?4yhbyusM$PHrBWKJ#sVoog>*SJXrTmP>>z zRP0Nt6ggw>OD~$|T_l4@kb+$$s*knkB2-5DrB7iZ95)&XiEEQ0bp|^=+C1yyONr6r zml6!eGYKO_QSDhwih{UU`Ao zuS+H#n-;q~%ky~t1rSIFp{jwAv?o1scC_Oj2yu!X+go+P5vw9D`bhA4KaS zcgQ+^IAkAgurfTGfu19sPMgklramdcje&{D3~?0Jux_ge=hx{Z%)&s^mN$MKliCtg zk;UJ*fgVRFm-?xYsc&#<3J$u1O6M827rM`2L~?++U(Hl`>rn^%{e-}3UnWFIurK}2 z%6ckDR?8kzpO@R>XhKt^yAC$aH$LP$Cz3hCmKRsoP~RyV^Y_Gn!fpW-!;rtru^$lS z*l_l`kkhV^Lb_|RoCfJR;Z;MPNzAMb#yl?n^PO_A5zUX$wNIKTy0TogAY%}~7t2d} zOf;%wi-S|ODyw0eABZ)(y@4P;W^!anbTpW0k;4m{;}U+*u{W^E59J3^)D-Ln#Tyhc zkD!eQ>Vz)534O5Wtm?leEWLrSj3=x%-Un~d*0J*eeiUhbo(N6kRd&P!DQVvbus(?P zu@^c}LU(NsB&P31l^33)fIVfzn|t*Ja<2U~M%_3;s)ps{2i&}!=m~SMo~c%roQt(e zH_ON{a9!#eN{G4(MbQbEH1tAySrrTI*^G&bWh8vv9OnCOW;@$pW2_xq+xrP;q_agb z(e|>cuLAt8R+ni(Oa{X&&(+bzhnj=sxThis_phKao*TcmzN_>e-O(~tLD40b!p$r* zk5y%h1=}W|;cY_+5{K46ZuwYw)rx4`y&4(x&bv8Vb>oToRld3^DoF#9bE$ME{%6}K zA2yiN8l>6`S#h`d52P0_aO!zNF3GaX#M|hX7-xvmz27|8H=Z@SSN}d*O`L_%HjUq< z##ku-Vq`!{@^rC0nvZj3aqbtp~8$YLG_~~ho?AMX-EcI=Oe>lA@^r- zlk%P%La$5frXj4af%wS?1PM1rF8grc6_|w*dxAoB*Q4=Wo>1iV-frXOuG3V|Dl%phl=CxsHNU$$6QxV zzp6+A8gSPT?D~~!40rvPKU6HQU%5eS*MGT2NI%eo=x=`2@2OV)$+rQt=YV=3V3hy} z%3srYm;t3K7Pfy7{?i4V;Q@9Cpn$Fe*k}Xdh3ss=NHV=Xps)p)4rt$!H2Y)czv;RG zM`wTt0N8X~TYmt;Pk9hwE0Pk_8XFf?_b!N z4l^*@POrzV1IVK3G8^bH=mKRgeFhyi)@w0(`djkoe|$E7&zS*E=D-*;FurnK$_KIr zzz`5Xt-v8(*8%@t*8QJ%_Tzl&ANSJlxp1J;2%G^>EC*&LfwTaSLc5uNxpprAD0Y8) zB>(tXyLH{)akc(kwf}nl7l5G*EI>yBNIw9H!W$L~13;$xCy_~QAa$Yx@G|gkCSWpO zPY1A`2V8&k0U=WZ;A6vlOBUgeZ>rz(e*gKU1o)ZUu(Q5?DP7;>Y`^_W={x6R< zS@A3B>&3}b=U(e~r0Ut^fw^8NY zViZJ4gVkO7F!@o6!P-g~a4R@3G~?s|fm-4b!iz6yD&;b9=1v4?G)ExI}^wun$ z?VVx%Qy1twoC|LmaN50G6%YuC zRG`2OwIs}EAK(*&1|))pj8cT-_b9H|aI6IvX;mgsL-Fufmm>yFEYEx@3inoH-NmZk z@Si#AC=w?>6pF&i)qN|k!}gh@_qjy7N^}pbk&(*+cc?YdibdO|M)`mM*)~nQGSi3G zmzW;}OtL(tN}RLvNTYHv`m$iB>^^g88)F%#BBrkA>S|pk!93v=99OFhmhe|t$3EP3ei&o6o4t`nRM44o^vf4|<`eDDGVj_-< zNzNENWXDpEhlP!LXvzLH(ynQ1dL=IuMjT&K6}k zBrb@wCx$%~9H9727HUSqWsyf&-SzcVH%99wO3@V^9#OutB90B9g-KV7=~rka4)lKc zRtA%~a-tHwPa1L{Cz^%g)Q-Wio%2*E|DDAd>Plte$x91whj^JM#=#|)tK}sVG=)kA zGy18q;1om(J~0yjuc;8djBDxXyZYHa53B{+B8NkB5au46l`HKQt|BViFB9zdz&6Us z1|4?t4nL!X!)5F?*W0h^6CShgyb%?+W zsOJKM&_#A=V`h7k7!p%-sQvdWhwcsg1nzM*Re4ZmibjNtobYZ% z@;c!D~6WGWOyu5VNDvzhk98cS$Qmvd$j|5J>=Fd|)No2f9 zxFVj2pOHa*m83nD8pO$Q4!{Fqb25{`16Hr_K&N=j8tN-LNk;D8&v+zly#EX|<`q4X zX!@OTG!Pi#wjhzI?)?eT0k?uUlmttgjOlrHnX&_Cc!eOYKF>IE$#4)yYdo5=mG{D! zF#NAq8b%q0L_mGvdJj)65W?P2K)A(aRnH`63g`r1DPoW-i23SS^2zYE!3I79dHmp| zJ?s8qc_YQ+EpvSyHnyHL?hCz4ScvyFw9h9mG_?G8ikwQ+y*aB=(WkTWzr3&XHcQK( zuQW$#ljO%f1fhxP;4{d;FxFIAIks0@a)-p3O@C~)O#(-_bvB_Bmf>JO^vn%ABYYh4 zQ(fW}ZDDMe{jJiT%^̋$Szvn}ycx1)^AK`xkMEu8+>Br~2>xQYo1^{&sL49}gpT)t*DSy8(m<%Pb*>D|n_{2+=5a#MF- z12QK4PhN;Z?sY~%#SZVkum?LQm1fM_fFa&?4WW5-WEEmsKsOw`AibrOg{3$YOmQiInXtxsGhoFNr%(owjuM z3CK&hCysdIMD`>Y4`qgF1V)G<*s|_vi+fxh9d^4cWF^Ey={F{DRPRHRe^H0baUE%N zJv~_+Ju`UcDPWF`^)Yx+^J1=TJRdIw{o|^GJTrCNY-k}wKrctXCbHJX-Br`OX2~?o z@88$jwWv%YognBoYyQm3fd0GQ`CsImH_w?La?YDh|8L>%{Na>vomhU&CvW6sf6OPp zqh9|z$jJyuD_u|XvH)IiH`3U^xXHCJ3ea%}kkH>sL;as%{BL{M|MtQGQ6d1UF##S~ z*ShS$e=|J`L@TY9krG_C8L>RQU`+Dqwr7~;B_0B|dQ^MwOc zhW|lDH~8`9GyY3h`5U7AAB~9bLV2}CFFNAuF5 z2$WHCuosPVck@Rf2`<@uBKMR~b;0Vn?Q=%MgtGQS)IHpN=p$UN&4%>z1gP#v1l>&t zk!Rj6*YuU$xQ+MY%Sp~VEYVk_tHJNbXM>!5GkBraska}|P((>M1wG8RnxiJ%={ksd z+P$JMx0XvsyHJGH)D?x0%c`rP-#f;Q$AcZFoQFZQwNALcFjswVSt|UsRCu8ae7K4 zp|j&zr-{xvvrM5}n9??pP;8<79)_}onQL8Wncf+O;e5dt^G${qbU|;}`UcXKU;>eH zE{g5?2L|`654t{)Lt&2{Vc4Pgn%$vMo}=M=$htfplE1dEQ=!sJp61?kvAaR1 zsW=$`nRUjXf%cxXm_XHAWp*o<>Qc62%|__$LCv$+?2*s>DX2|ho};5?=v)yiyT&L^ z-URS{^mC$tv;!1!YH3l2CJfT;khm>^f%`4_b*;r%E+(#JadF^He%L#8!ui zcw!9_TnFR%CQQ0eRTi+06or?q2&%PjB`^q`h_;gZu9e z6{k?`L!VTA*nW0@ysEuJsOaKB?)>z_N^6*4SdGxb#S8F|bontWt-`)o_;WhgQTn1} zyy9u^$6N41%jlU^_ud~ci&f#nK+{N(Pq&pjkf8~06vl1ODAmq+mJ`}p9rf>r9DLxm z(6T>)i(78IQ^icL5tArcBFzY6UFBWx%Cy2J17g!!na6=+rw%0#D+H0MpydxL7ZT}> zqID#%r?1=(fdVbU**3f_sBvdDvGA~-MniOaxNJ2MWyoiK`M9bR0yG6Pg?B0(w8eL3 zTm&CO4y4-mKCuam8(zu2t_a-VWT=4J7M=*P%uyIY|0p~bvL`obi z{9sw4|K)MM`-o+i`e)NegJy4CMWYGx+3?R{4t*qto{(LpkJKggB)ryU6yk;*I&XCM zI97l3nJqNxphe2L+}0A!vJ~BcZ~x8~256e7o?>Fpq+;ICV)RRPQYj`7P=9M0Q!6;S z?Z=S%ep?7Eg(DpaW-*+4MfqPo!Vno3J1MRR5}bw*Jym#|GBir?6vd<#F&D8^TeU7Q z{>349W5smyX?c~bhFxKmISnCxG5G`kz>;-W99n45F<74*VjZz9Le<&WPkGz{-T2dY zBaA7PZH>|{ZWTRNv%kYFFD#x zBa-x{Lx=FU?pm1?TF%aHBbT(~mEhOVRIW^NQ1s5}r(hhwrPVs&comn9)0pA+EX|pU zM0CnD4VxY_D2%G0!for5L#{tuCWn!9orAfoo>cU9(-LYnqW|<}lxx=Vp^bw)P5iWT zo6VXwq@9g%=bgfnM)l&fWGtL5RCTQgl|94ME#k?Bd-kse2b&o>wMihNoTl^(j!@V% zkwoyj{lxCi*-jrl=rD-@nSN41T}@tAL?F^@ZqgT5Ugbq2gx~Xi&RryU(OnsK`T~)l z8RBHdEgxeV!MdpSOJ)z6deM39edK*#DG^XhA~HL^pm*py3Ye|xn^lOCy__*;8OKYT zej(kC<6|X*vHm158CQ^uB0Y0g7q0roPW4g;7p^r_ET}JLuF^@pJ~TU^cc#-^Ueyn5bq!fJS){^0j9 zFgWgE!GlW{=Pc5s;QmdUcuq&UN3Tc1kx(6st{SQyW|ag{bQXN1&%vS)O9>N~S8`sD zyJXHJ3{j4yc-D1E57sBLh5DLXlq535B)!CAP79=u$6M~D;%;491w43Mzz|eN;T#Ot z_6MRYdwhN0?6|X!p5rirA4+99CEl0tzy0z86qEjK!snICf{?C)6KBPq)t6Ql2i;^z zgal(E7Z;w$_jXjIn?~!3lnU>n54C2)vOe4i@lY_p<`J_Pa`H<{q9FDZ8JRaY@&Yw3 zd9moZ00W&B1}aAX7Me;wzFfLiAL4xr2xecjG%Ye^wg_?7vQlq;RPFLa}^sV1>#};o&ehHF&l-M3qHN+~KI9R#79jx`$du z@QoF)XHDZ7d8P*5TxDkkwQ`RjK1j%PlWCNKN|AD|XNe#_lO_kL(GMQAb-Cj(-rGtx zNBr`j8VrW69W+h{g`$Pi`KbKEh+8XLPPj=5HQuWl7qLkx9o>CBTSGuNsivrORxe_> zF%u)tCf9Dq4Up#^ywNCV|%Bpw|>tb7d|G!8l+M0bfxTjvN-@ZSD>yrcRMf%mYvIoID=H zzs%Q1U4GgmVgiOWV2Ew2jqPyQk#m;7y{J zy3!h&7`ft0LRwvhb?#_!IEvH(r?AKAo2#^J?%adJCI_W_eB5)_sKZ&2Ytzdl2Bb~K zp1L}3e<~X{09$Rou=PSDXr|47o0f;C=Nvz|uTWBltAo{jyS~oBrK41%7*whPVUK@$ ziwJ)3C`pG(`1O8B#G)t+SWvKYdbR+6Xr;Z{Ao@PC;JEzLeaEzz71!7vYTjefXPk)) zt&gZ9nVzM^X^FGFEh`5fgB?eHVdw-+cTVk}*hZniQRXg*p(ptUg8>|dk<@=e_R{4k zwJ8Ia5fmckh_*rj{KwGw#M4rbs@C0PN>Q1q1;)1@{?sb^L%&(e}g#3W{ z^Pq{F{4v%m_e#5sS+1ClLU2VXeT}2}7Sdx$T_x1RwL7$Kvrpm?685Wyd@(1b?mxaH zf6%*qo=g@^f`ZXxVZkEh2j@YyoT_Z#2J;eY^3$Z*+!Sk_=8Wqb)F6%*5U`8GC12)n zRdc7vMW;8YG^=S$DM8MxQnRfYhwvQAN26c&zhxgIF$eMOxx;BYF5$==@8=i+J8z&n zxG+pR)u^hJZ^~dAS#P24n(Iv$AsbTd+2eyUoH}*H8;rH}OgUCKnX|>M?byMe!TU->O&xw%ed@6ruD>_0s<}nTIIK}Z1s7VcWx@@f@{_w~& z;qa7bbq9;f@Epwo!*)|Gz1#D+~wEc14z*mn{REW*|RaVA7T;!RTnZMfMPm>^L zF-Ut$$-3AvRU<|C{#>VU$$cTI;h9av_?XTHhv``}(i6%_kuhl|D=k~9HvKq+2YJSE zrO6yKOJRMr1^Mg8CGxXhjy_hGa*Y(YR)K~)ERVN%Z7(OruGk$ghoW}IG#)YEn~%8Y z8E0EadhXd~ay3GOfeYPOQE;k0?as18bvMMb%&-JGjfQzCNkG*Y_O9_+pQUE1sj@*T zZx&5~bK-J)9F0R278b19XjSMLt~^R3pGL#Q5~O9LyOoc)?r~sh3=3;z`eM1a zT{(!I6kq2j$oR=STm*Ms6%!4(s}rO=5}Na9hqKK887#ih$@tny{|i`r0~da%vI7F9 z{{j}%elsNt0J3Wk45;y656k^nT)+9h-(TPVsoN<)o}pm_IP;8v4*(lL==f@L$4Jk1 zU2Ol$VlNxBCct`PXE)GcW26IIPW9Q@85nih03!ni9VUHt1_O3x79D17Rt5vWLqZQQ zV_;xm)MjC1Wns~0VK88&2Ye=U^yzLH(EhRC-?TM=l5#zd3K(EqEA0a_dTf9&J|i6y z4L!qO)4Be)Hg;oo@^xc3;Qy-{%M%q<{J)XF1>pa!fywVeuM-_0Z}{ox;>{@FU$1(d z=v@1Z-mV)1tKQ01epvO|I^w7Lq4YQMF@LuzBOBnc`%^l}%`Nn2=xT*9W?SBC=OhqvhE?P!CF%4PQo6UF?pg z;`Oz)ti)+>Z_1ug;rPPDks9{2DR!6$+_)FavUWECDk5Vl+Dic0)RN=4F=Y0x<+*6{ z-idAkx~##dp~~icu0~dmxyhQJK!xHILX0!wVbDTz^VNRZ?!EF6^upTwLV zDzYaFIzL^TegP^M2ACOec}k`FNMw7ZPWGmn zULR>J&hNN)pK0M0c)@bYFrKWDkFg8FrO|?Mwq%q-&eaI{4w}#PwbdEUaVxCgPStr! zV9)InGxb0AU0VDy#I+B`-572-@G^dse(rp!Z$d=rC{gf~Lu2{ylF;5^mJ3BTT?1~U zzXCSnWv2?nfQaZ!U%FgG>=k?&BAhIYdOk~fW$^-WU0`r`1`?WeZBjxom>OXK$cQi9 z+k{sN9y!i?a9~(PPs1VX{VKhc_*7Hw6G>MDy`k!Hok@p)>{?!cU*f|}fqeKy>j_u> zwx6OO6miD-(>Z(^%+@CpoCLgHY=j>^nHR?0u?Tqu<1B;o?$vaX+B?{~p+|Ah#1O^~ zScR{cd-PFyrK9Z(aE?lXT2Ko2l)GQ^APFdO<~BAj`r9jrH`gJ9KOyS2x7}3^$)6VT zwDjju;CoVeZppVe7ICP#6%{K6$e(OB98=)t?zxgVj)|sq){Vzra8aYfdf-_?9ulE# zg?2POq<^s@$10U3(+&di)H%|iNZWd(e@ht|1ESWiBt#kF-jS6yvhleN`1248J64z^ng+2rxjM9EMVy07PE!gWKkm+w!6 zL`4wM;XpEj4A~f}j^F#FJ#~yfvCMr)fj5fC3qg456ju^NCAle1l0qSwofk1V5mEX= z1&7q3Q}ST?2+vv-FYc{lV^7D(icJH{-bW?Q6@DZGzZ`S&eL{_|4C3_0FP@C^c*1d#W0%2!c0DB}{z@ukA zSclpHKQ;fPpam=m+!+&9U+HYK!5F?RJLF3jTmcI5QuXsx81nu6%Lj{0ukPm^uQgjw zXoGHUrs9Acg$%thLTgvt(Xe0q-14HLWxqB8gqhRgju<;ij(7bhy-*wS0A?P$H!M$< za6{^%>ZkO~UqRBWPaWk5w@5+Tc^&wXna03m2Xa{fKXP+Ro_mm*`Y_vVf#$vDpuquG zQR6Ixef2Uq2j^QT{|^Xxg@H6T!N)xjT?V(CLlCqYBIRSnj@K`O9$J z;>67NAC1h{Da~Mc&Pw?lyV1y%!pEzfIufY0L`?UV^2B{s2Mndg!56Yp-?X=EP8h^& zWD(iEcum>!_DLK}0O!&A{h;!!D|N2RMLT)o&rQlgmAT3lZCv#2pxBWzky71?%!(_{ zssh`XheNxBW1EmZG6mR%(3t7!^;N;ns?5>&^x03(C`@6LpTtwXd*xspP3dd~xw%>} z7_?I3CcaTx2^$doWz$*ZbFnu)7k{V9JpWeF7SeQUZ&GyT8w98mqt0Ojg<{2*II>cZ z(x*^9jj{*AmJp)Bk60kE;~Qm(c|&3@Xd2vxOL{>Wau^`M2qlXiUAb!WPb!v&WXh++ zml5{t3-jz8y=Z1KdT_Cr+{9DL$Qa@MrCKUf+0Y)c!T=<=>)jnQmg1!PGkW#deuO00 zaxuQB*TG$#uwy8xe7e-m8`!?DCBZKt4kfLse}>X;?CHLujK4tXH_z7}Q2HM}JHIf0 zapNBV1plR$4M2qc6Qy5QS#RL_SKNN%40Q9#O-^u)YIuZ)5wL-}(Kn z{!g(zz$Iq|6f;-=J^~8>?dbu~#Ryy%3-BjE-}$%Do{60fFlu9G(qU$&1N`o;joay% zbqoNFj_a41Z@I3~-+1`_o}B?aazF?NK(Byp1T#QY`Ons_-NhOInxgW*1@3>NQ5ZmK zVgxWLkSYO0^=p;dKM#$G9WV<1*ZCN5I6x|wR`j!D9e8NdMUy6EGZ3|JP$Gzj$c>eRbel8q~kr z+Mo9sARG&H>)8HhX8>i4jp<*P2mb4iJ(^+XigUmH^X z;*tIL8@pA!xOr~>N`v>74GA!{bMwUfkEabVns==lce{6a%OdZ`P{8Z-dXDMGNTBR- ziy8RCqSyLnY``bx$519fcnP?=uV;8u;`uQYkXU8{N>aB&Z!pQ9p}(>0@qMY|+aky> zl|6o+p22te7+>og0ATplI!A@-q|G7=^0gy*WI+&pwI=TBo5WNzhP??f#R5_abV*s3 zF68`(VTtqO$vYy6G2|v92AWg>p#68rW~nSKMRuP&ou8v`Zs{y+Dgj>+;m5uU z`JQ)|*E%Qw@o{~_v(Ls|-Uz279;GwXr8DPwPk5mi`1-YK;H$Gm7?8*dB3enGlz7w5 zlYMcwmV|)!2>kGn!u5N z7%?`GfA+CtN)xH7Vu5a~>D#<@)koEK@hEHC6ckyZSKD*dO697kqAFSPR(v`FYfe@V zg zJK?3U4_l4Oq(8JM;A0Y#>eIA>n)C`kKzi35%w7~Z?3E1;{Z8D1zRyunVXNz`&JCxn zawCwNKN8U_>h#4N<1Xh$QfW`gadJ=au~CYpV{*1wSgRGPqAVqTm9ff8X9pIT!zc9` zwOU23dT1K0BH3D;-2~knA>hdJZ}Ii*PrN0bU0G;0rjVIodAfg?fU*dB3wbfhG#j5A z!emT1J)HQci*oh^OgAZKS^f={mO0ZWcuR-!3^QCyF@ly;su?C*Ord5nFL$!H)aZP^ zYb@`NNA7q;dmikMg1k|uLvo0ECWqqudXDY9#pB8#IGMcInR2MJi2Wrc!n1(p*Lii| ztwtTET%hdyD}3PekXr4|pd`W}EecWTQOA`|BEZZG{*E%@?&wGK2vz6>x&fVji zecPw-dz{dQJWcm{yQYNT8~C^J%3)) z8cA^vOS>D8pw1)i?3)Qnb%rgp+&tQFvI#!irh~edx3b4D(!Q$>Gyo9^r#8 ztn^>->h`_Kw}U|pSJ`fq2tud~*es*Utd}kQ3@xX&wK;DJKQ0k`M+X9FT2EaqgMq4b z?0Ixo0o-Rg&9mIdD-!xjqvf^8X3;qncbVAdogbSiS{}2Q< zVN&uy2d{sE$`g6mH~r&frY5LeRoF4Lrm~*mT9a!2+B4F2mY%GFZM=~t7R(-??;n^v zm`~NOgGd$t3niURj{s9R8-_RIUJuh)T{9t?(OUzH+`43mx1aT9vT^=AV=nCCjzwu# zW^NvZIo^7R8BtxnNu9}Hk4}Vk=&*x6Ka%<5Ih3}jNQ(KAEXe2_UV-(`hGfH=N5hE` zThMxnU}|QYaBc<_Q2ZHGHCw&>y;DMY-qcc%!eBrxra@Yg*MaNxHq=YXqx1RgPXl=bSNv-d_+fLkO zn3ZMjbaJ_Y_;B(g^=^Stto~scDQsGJ{A<`xkZ>Ue-aW7w38@s^lmsEES>f(hQ1OJX z+F?7slyhM~d5f;}fj^!+x72T2>`gO2a@UP5S|{@XL5`(WIagR3pXbib4?eFtoKKj7N&yV0q4^Jsj`VHmRGumcmF__+m%BM%J%`17SUi(k#PjhOKFp_Cbp87E&fjTSIl63sgr`?PpR$?j-vT%3L> z#d(kqzTNan`1HMk8?8;I%s?f)aCYQjx<}I>D)o_ApL5whF<*G8v&L z51%ayRh;&Hw-e>MehGrd-?NTwB8b!*r644kH^2U|6riprgyeq|d;v!vIK6=;#?}>oT&i z{iHp5Tk-4W{rzV&%|v&j%=~r7H|X@cP~f@&#V_`s*<91#R{Z)QlnEFWVgkVCkH8l2 zQMuJc{2>(BFd+8&GYo%w6#mChVCLmoP4>rCfrI#l8v1_^oCai9zY$^mjo|v5-O_#q z*MQ93FN5npn}~g-{gV^CJ^S3aF6I$5s@Z>zt#konEBj(Lb!(-wnIaTX2;)(8v}l6X z2Hd@Hs~MV7mL;OQ5t?&z1kEkW?L6q9w%OVtU_N^IP8n>10s&f;wNB0zIxUk23q$Q2 zYwcY;sIX9vg`lt`&_Uu+L`gvfQRT+Khn#oy?F45-$Q0%V{OM@3x5YE7C zXJ77^p6-Kst^)$fnQ!JH?s&s1i)~!I6=w9%B6 z(c&S(!@hOXNI%iWJnp_1u5s-fggqU6A^kKa1oTqx8EpfF*d}HJlYI$X5#t#H{9p*G|zrt%?0AE2X#jqubIh^=(rP zOM(fg15-_GuY!AQPMP8!Z0DV|S*V3QrjlRb>eBYEo|}fk04vXO5H(|?nnz?Jc&3f3 z_wjKRnI+9J=6FE@coZ@*{$_<4-0OqwLsAcp&7z9eF1p*V9PWRp!&rdzMS)j>i#|Bj zUv8^=&{^Zs%c6ot0x?$YI*qc&aEVHWuw4PC;9$k`a+ltNJ`E~F*tmWAQ4~|+6z$Ns zqxju3$f^6SNUMV&6MMB|oa)uxSyq=zWEY+Gaol=y&KmHeYWC*gZ7kk_nYeckPM(}k zzoeDy>{5VxT@~ca2Sr>yiVOk+P9HJhR#z|C((60f?y$)Fe0p%|RCQjda@IMSRTknXFB#C3N1Y%0SUE33C z^ud={tuWYlm_yF!?h1p}&>lTDKo-p^sGv`sW|wYofr~z6C^#DLpx2IRwI<0a#Ow`k zl@(AT#F=gLWYe>uGBNLL`jB(ilXq8sDkUQv(o-e>)UBXDU;&cxu{ypnN≷UFKXr z%c&$r5#3YNQC-kLMp$K}yf>WAHef9J!tlNTDr+N1Foi=M$;k5c+`z`)>e11>R75t` zarRP?XghQ8hW5jn&|;P`nFK}Yz!Rv+Adz4eT$rQu8H7wUv;} zoSzgPOwjDro#)SzPR@Xr%kbShd>NIdGvR+30~vLnJPitO>aoo$9`4FwA~z_XlW>ZQ z2M>rDtIdYa>?0aLHqk~`U(NB}%T0OnxtttgFeN=~j+Mz);;}dg++7yi27;Y|GfXNc zQR>s(w2ndflHMI-3@L6On2!- zpTPR=Q;REyQ5`TUv!+k^%JV6`h6*;BV1$`oq<6PECfqeoZ8t_kmu8k+Ac(EPi(?m-ux^ThPi z22k1_t&+0U{n72V?CmB8OVJC-NAYzAJE3pPA7qd!q!>N`adUQ*;m%CalJWIkC-Jw> zuG1{oWE9y3Ib&MB+}Vn%QrA2;?;6KC^;K~Cgn%qgTfKI8_q`ILd9DEnBp0av&Z`M! z;>i%b&35v9zOl}}QK_7&frn{1?_C)w<dA_;qni&6`l1FTaatC0und@^&7N5wmQ z;b(a9yGhr-!izT}4BwBW0O$iidA|cM{$cD6z&YS)eH%D_CzbQ>faBNx93Yg&4pard zR%%%Q+{p4b#tFYzsr|3}1~=RN3J`zG&H%l6pqTzmaUD=$V*b}9=>L9Ww?=kuK4iZH zn!oL(+<@lqLIKbW*vGK|NcA=by*;$^V<@0?OAk0O-Uk`1L@CF~E$wik7?0Y}XmHYg3?N)}FzD?!T-!{_s_XI1;1uXz zUTO*?2E~qm7JJ1fhfj_1QZCDtnY~Wc+HOFCTXH5lx~z#wIA%dW?D-%Ba*%dA$)JR; zBytSeCvm&>nGShU(F1}>yUN3TLA8z;f#dDF9~*Oa9FL$41_QUP*Cal<-Issdt)=?` z*$m^5#h`Lya-y&?JTc@=K~-|(gd>H3kbhoYuqdWqEUwO%{m=~1kF!3qX&48(V-MNIkc);Ccy9U_l8U$1k^gg$tCIbx-rdK))sBBeop4@ z+v@PAw@D|36MrvV&Lc%GImd}N&T|~NIZ-sD=d8>^P{xC!I^NM_R&N{JSb%#j<}g{F zO1-P=kps9|v(L=Z>sYB0f3XjM_90=ung#O~CDiiw+$S4D^iow$_75)W%6-L*0}CUR zYF+gPfu_8jD>NtML#+9i?NilhFSnu%TF-`^{u-YiqH2sgG=+^7?wOx_`k60JV-eG) z{g9uqDk^?-)bly8H#&f!IUL3?o$DZ03BG?5<^BAGm2jWt~M?s6D)p$Crl12)4KXA8}tE zPvzRZUrHG&LxvKCRLHRBK^hH7QfMGU_K3=qC`u)YkfF#_LQ;f^26Kiq2qmJ3G)R#s zNh$SP&t{)Pdq?NZ=l6a8Is4tY_p_dRT=%usx~^qzQoBSnDJPY-TNKTq<dE}s3$H>r0;?dFSTuC{Eoe6qn{uK6yl z)~pr>yX&{w8dC1w@KwiY+$iWPF}jK3kquN5A9q6_IW0b;exHoTE^;C{uuekba%uc4x~B8B$t2dCQ@o^`;9yDDP}G)P0V5^*;3dQ<{Lg zRQz$3rQQz;-nKJsGn^$|gRRXwEVm10JudF=ZgRclR&hNkLM}S{+0x#7-S2tV1y9{R zMfv0j{aArEr&wjr@~>L8GH)lm7XD6byE%8mT5H~Y^X4x6>~CPuT>9`j_2m~3=2E{#_eHkS--$!tQhH-xIL_V z?_Vnoh9!ajolgKHq=1w`t~wCm11j{7w=)Wh&mVd_Bs7Iiq|tyc7Idg+6e^WLMl&dQ zJPnT_ksvpoBe8|0L(BGdSSLGc2>!?^7>c)WHXSJ+pQQx;`=|asA0JNkA(gyih-nQC z3J(t#|NjjUW4Ke^1D^Va2Xc$qYLk~F;eyspUuJBg#QUE1U3_VJB!gMZ!*6cFYobI8 zXfD2ty*B0B`Tov^j91M*3JSvKpF~ghPuRJ?amx*b&%UkaZqA61*&aA```&kZJ4ugq zm!w`6k*#yuUXrOKA4JnWUissL&&>Qhm)ftckDo047`dEJK-yQTWLC*%MQVB1d0$QG z86}Ui-p#HSO45;eDw7z$>eT!ERZbBX(yT)7tlfG6bz|z%ighYK8kc4)7uUKbLoyC$ zOyAO$aX#2WH%#q?yiKy$%ZKtecj0E-zgQ$ZuH@0maXW7C956NziF~uVbjEtoSA^HA z!u=v8ie~KEAF)Ek&Zzk8m&r;-KMtbBz8Lf;Ncyky7S<6zlG5CAlG&9~_gR@=n72{F zHFWKj`i1(fs=R_7<96NKoY*(%c_9In;YAAEyL}d~v}cC9)z6I?<1KdD;gsjC#VZ*` z1Q(Mg?QPN1ED7qAns{d1WbGA6goK-y4yZqYDxy&}Kl^^**#27bR!O;Krz_tQ~H zFC(fM?c-*p(vn}TPz zv54RDzW=(92KTOdI;rd?u6?oaowfUnR~q)&vp-qSDzBDDGtE6-@UJ_pY5RV&b%sVn z0&300k~a=s_Nd~k6PCRYJ~A^>dg_`RZVxfv#Xg~D$V*Hy)ozI^?2gf@qulK^xEGVX zV5-isj~!aec-9coBm(ZJ9;VFj^1JFcH8V8x(D+ytGmFlfXHK!(BA(ciq^1ZRK2sF2)KF-x$L$4kR`z=rwdUI|f8N@4@)Zwrvs!}rva1Kq z=k9Tx5c^TDYqwUzWZx}THrJ~sJuI$z*&?Oz(;>_(?m(f=4a@L|3SAQ`g=+KkNmVs9 zrITM6zP^+7)Uw6XeaHDFf_E>!^Ea=VNt+LHl#``j%!uz-@WE(b3mhS zyC@%h>co#rgx^T;!tTUnXZxgj#B`NaKa z^5OMUV!aZUym{-}(%4|L=1fP|+VN8zh5RvDp*2$`-`nP~b&7@!R;f*H|30x@M$MBa(fn{#`#<)qUz+`Km1v8Zg^s+s z{^C3L`)3^}^eb1i*Zum#H{hbl`OO7WsQnvBZ#*{!cvsV|l3G8iDGM<|=UT{Kd>(k_c}0tOkqE23N@Jp z|bUH3$ngp|MGy4De}kcUmjGsfp6Hq%#tZWTCtRaSRdFnv*KQ?Zw6As zEd_?^8?oM+?FRgvTLnstY9!DQA|Q18P#B6Zi6hzG1PqX$5PlD~pb1-8@|!qL&uA!I z@^{8zS<;h4VAF%-L(nTl*xCUsA%M+57bT#+Tvk(4R^3EaeWl}iM_HgYQ3RV%zcp=O zZj5ce;h`=R1=AEJ7esFfU@Fv75=M|I80&q>2b&rQCtzivP8*T{fZ!k0dl5AZJgEBO$fK=QuEq_8 zPXDswV5Sj3To(~h!$1`>7RWh4C>W{_~0FI{N7!(Q-O{Ah}XdH!eg^%5tGO+z1 zbhI#2;XVx)W0s^dQhotQ{79#Y4F&uRCWcAFFi->%4ho}aC=`Q1CNjtb3_u1b8i(P- zbeaFIzu77*$RP*>WN?^4(LTHe9uXlQ(d^Ofrcmh^B94inGk}nRiDHuI7$$)RZ%U`b zOA@eLUTo}6EB1@QszkE!LG%ae%;3#{@R&IA)&Ud}qm2lKNu?mYh!l;vxfwn10t}#V8DMz6jed%1zf?= z_J`37r(nqp6ax+ZBN0cXlPI9igvU_`6dVRoZ^ENE3jYSKJ!9i)v)>Hf2+FPgeKU}u zLZL=Jy=^F?z8MZffp{hr&%mOY7&09e6dFY$(XdP^tSlOn%b1Pb`Obbb@PHxA`p=L- zLMVP@M;JD~QC|$ik1seHgWdr^VnNCAtsF2-@BQlVKmPA1|HaY=CAkgrfBQi`;`0w^L z_K1KB3<3`j=ZDM+$R-63m@q<01>&uZZZ`#H7zPek0+~hvE>IXBDvk_i0h$3P6NQZ8 zsO5%I;V~N#_KP8Md*C$y5Co!hvWRnvL65dMj5Z(?I85<)3Yv~ZQ9uj;uF*s+3b?9p zL~tp<^Wxn0hUF{&-H81&)gUh%yax>I3NYPeLG}s`rV+2nHWWM)^1tyYxGxasbS$30 zq(M435e+(uSPG3nO`37vefj0}>V$eZEs}bP<1=mh(2H4-SWP0`Un z|3$`AVOis-a9d#FD4b3xhfvx8A~|{x4pbtCdU;3?Zg^9iEc6!KXgP~5Nx(bx?{4zn zS`NhmxU~dM0+6rflFW_)Lq>imB1?_~%}0)GAOtBGxhXIpsBvvL^ajq&TEpIH3nySR)6{?^W-!Y>MeeO!(vYLX;*%F-bZ;)AXM_Kx!y7T zx|hqh0+*RVuO(Y==2WhHoOA7xE2d9VG;*#`<>i*Sw?&`sAnR!JZOfi5b1FRwzj~$P zO7+)g&d?&vd}O!qZLQcOu}g_sz9Tun;+=W-gFfri58v)y!Fx^prM1B!&!zJppXjSq z38)D#2tT^CVvFO|LcVWLi~YP!12xOjrp$iVm`5_w&vKnNzr0(-%?BSpd&i8btxpfm z4lq7s9DAvy8&yt^JOl=L3lH8#*clw;Hcjx(kj*m8|?y*z}P+XIvwETn6I_i9vnfDlS zC0hEA*Q$SVmv%hcVo&&4o#;oNEwd*`2lestTCwrV_{Liq@@XBMC1F*z;@d*Yw`bPx zc)xmii)*@y%6UHLW01AM1H6@yL>Ff0KM!{q%~-FPoe8#@z`+ z9rz-kH*NiWqmrP-JSIVkgGzZ zSBB@kHD4^99l59F5l+CD*CtqM!GTS=k$1z3*IqgjU>B_@WOcpj)m(|J>lPFIG^9@G z-kPRRsG9IzqV0>G@a{yJgXrq`tr}}(pY^2ZxMQE&q2mZ|wVhDMWc^|fpGsC~eG)0S zNVVNgOkb;g*_;*G#)jCvgpY*i6O+VUH#;Ujo7A!>N@o|*_=fb-@!@;owJ57asK+}+ zuS(vE%nZJ4VqHA@q;>qkP9QxqreW+`77@L)%kA16w9M z-zsz7=DfbznO}PzGOd=TecZ(R^`~Us+K~5mmWah$#C+bl^hTA#flo5RkJYb8g-lS1 zQ@x9Q(5Ie}QJod^aRoCVp+Y+IW5PA3^2=!`-nHUMn*H)V#pn32RTD$pe@4C4euyJv zuYGn}$K6T%UT4wcPja*ro><4p(E=NlP5VOKnV1x%FV;sd2MAMtN}b=Pl!1*L=ht|G zca2ehrwX6`A_vC@t{3-eFN-sA9D8(5< zQ>T`Ocr0J`bMK`R<)}~8YMRBNvWQ;j^{zI=~7DH zo(Yq(+>=)@7t79}nh4$ARdr>v*Ys=nG?%HLi)3y6|FnHcH zrz}mMvxKtHr{SWUba`*8dP~C9d1ZEL*VI-zUf)vnlOEHz=uYq60JOGpT2tOq;-VQl zWR|a#a5$k8-EzyL_Nm;&_^^$iPp^5Ff5Y43W%8+M-KXE|_}nVd(D!P^iKQOaMj5#6 zB*9~Agd*gF@70S}vf?w&-4SnC65@2@xrWn|8&4HXd$`4Eht{(V21wRXY#0LS zT8<7o84f#LAhb4695*Z}9y3t-I0i}a7`D_n+yq%?HiYunaZ$F^IEJk-4p-K}zhRM{ zB2iEl4=M72MGeaOz>bu%2(MV*AbuI^13L!G;^<+0!+sWl9o-!u@j`x({j341FQg;b zzs%;~0&T3pUuLnaAU`;m+7Exsew~3xwd}As?Z8{Jy@tQL4Gdm$Ku!we761eRekVkm zf{1{oBSvZA{x+IlO`%cI6b71zqtgihP*Mm?I{XKPqLAT&O~KMQ!w9TV9NQNoA&T1| zE{F5Lk34k6Z9!(`NAdn5n0v*79I+wfa znB!J((^td8J^+asAg2xq2M}S!jvW5|lgWr@5D9o1nubLaV4y%Vk4k{RA`^?D5P?+< zN9TZtSsUTl4jG^b2&o^EK1)U>BZQC0Bj-~5$(sR<9}0_NQ0Y*3PJ{^wl9dn>z)~2% z0ZwI7IdAU6=&b*4XJq3Ic%+CN-VC5E1OO+%Mlzz@Boc_E6Ceu#germ68;~6!S;P_0 zOadHIcnE!AiCpX+LnsmU1LXX`1HOYp0(v+spuiA92Y?3_^oaWdK#34yAfW(YqavY4 zDjiE@peYy%a3|t{27q&48^)>qcO8zw0Rz z8$2fge}u3H;DD?kA|!CI$i{yUJ(Dmn8z>M4g?J%g}G}2fjJD$0Km(r$PrG2$TXQw0Fx;Mpw@)l zlw)6k2aQ#KBf(5q%xt?s;EE-#`v-RaZY~T(y8do1ppg{@a2gKIDOls+TR?IT1Ym(> zQ4LPvkyjXvNd#Yn0ILk%3B$nBnFKs+HE1*m;(A0Xm&q^`XZt(DL3EZC<7XR=0}K@f zlkJZTA1yG%IU9!Je1B&+tH)V6ArQg>WDuwk0ftA!i(w=%qwHyPDjt&eaC9Isfmw`a zP$0zt&7=~k5LF;Dh#WN-7?w9bM#~RqL_ypE%*7*_RUi=!9)~Qjo`BiE-!)jPk4B}E zAqd5w;Fvfn4uyg&AsiZ_rI1+!4m5)^sxy?V?=REU&;w>e#sm@EJLnK_TR@Bhaa%@U z=w+D=K|vat0^u_f9Y>}?G7Fy0z`={)@Dv;h#5Opm$=DrzgPSzm0o9;Fh`mQ+Aao6p zu-`7r|80*RCXw)$vm5qkA{abqHwgT}l?4v&QS4@QHwIFqAd!qfMKkCOBwU68M-YR8 zgPVjQLEwy|yII%Jv6p@c*18IfiTjgVP%g9gjxrhdg2==@|{1IChlGm;avK$pU=FbXfxML4d@2_Ns&s z;0UnC|F{Q^;R%lNcvjE8ufOFBF_j!LNq0Q6kq!D+gH_X4q39FA*}sAePQ0(#pX& z_G?o>5+N&^Go}m%4_(LH3`Qd;61T|kP$s%D47L8(y3R49Bf}0$q4+1%3IXsx4z)Jy zXdnoC)&bXVG(W|{{ca4cG^iozxZc(U*j2Z*LPIL}b(HfIoNp8a2-=k$lUZe$^frKR zSs`_obLIPkno(;bSL2YHO!DYWsx3Md-@48*v z{E7N1uXp#v7=m+k?Izj_!fion=tb$hXFV^-C|Qt?R~SgV4RA~To}brhny;TV=ThO; zmc7!{UN_Z8(kP25Q_ls;2l1;wEp++2D^q{+iSbg1b|Q5jpFDmUc#sfh9CdrmiPdL{ zDM|dqGj}&E#&x75mtVHT_Xcm*auFS8EdNusVo?<>$a33qV~MLxcRr9L{6yxgD->xh zRzXWJ-x{_$`+TsHnwUT7*?i~eOEY&@{21?|YJH<3&gQ3WW&-8Px7C{sy5D?)^yoxQJ|KCA1f!zP+U7RGRmBmeB_4%zhyQBj|>!(F?7GGZq&=zMz_RH6W1r_4EYC?B*d-8oLGI`@>bJIb?U0OpS zZ)IV=TgvQ&4Lw*}ae2`rG}E4|WzlNO!9pVyJ|}BFY>qwEX$iBKS7?Q`iJ_)t z*oI8;)0F2{A7-v>Hr}^xMJEq#`{k*zFy&sG2??IpZTj){bSNKMX5D1d zDm9OZ$~tDd1M&>QWKQ>?J}(rnS$nrf(_@BK8NtuahG8xpELR!QnYl$qD<*k|ukBLp zBFaXEGW&0|<0WTH0DE&eOtXSw{Mc)Hhx^S@wzHq1QGnl{Wt=AzYQ>*7z>C zN3HMFNtY~stuRR(P6Bn)?EL$wT~{V4S`=+ga~95tsJ@;=$+KEqHdA&XZ`JIcc-!C~ z_Z0i|w_ONZdV+UKiq0144Q&G7ZNnA$cE3g4nUHKHGlOg=JMQOm-B4nnTf5N!n&z)8lU-YJ>DRmKE66NxqhN(0q>JUgL?5qm-Bnd zUKkRV7j#|4qUb#S38)$RIycMGuHxpV2riW>-sIHpt#?sSW4c0^LXX;fy6(eM`mIsr z)gDWCdsp4uFWr=~ZfbC{N$%#A$_jOp^BvkSwX)K@P4e6NHkk|68fPy3vE-D&ev2bl z6z_fKPx9V;^Avs3g|(jwY0Ps^4ou6ezT5KdQ|TP_$!~5c3Wqu>i}-(+t+Dcx-&Sy| ze1h;KRqLyclAl_x6l%LXmc6cZw>R$F_pfmcFL%cs5jB{6uxGrq!iHS)o*iGuO;GYl z^s`5W?2GVPWwy-cOr2*?Q(+ioF2DWW=x1~%jAq=%xM{*EdrXua+L#M2rM;N6E+Nl; z;~iZ~vyN1TS=8am;*|K#i5@Rd_UGkZq%*?P9O>e%w(oSjI@`+EMSl&6iczVIuY9BM zSwH1E;Z*GBb%!Gsh_B@pda8fR)oey$@;>E=vMT2oqW;9hDW?xo9t3tjH89#br+m&; zr#kUnJFY*A>WMABw^8k~{0aL|Te0@puXrAHHM*wSp7AZGS+)gQi>pwf<|-ZO__D%Hvgi}6+|o&<^+|bZdOXuJu3RX< zEYv>xCaYliBCV=>U*B~5y%7@8_B?FOld&bPU`5_fzf)p|i^OgwJouWjAWhoq5wGel z=9%mHV%?{xnd7?Tp6g7b`Rz3P-rYEB)`eHf6SRykWv6bvmwNP~^u!F*nJWurtxos% zI7~fjeg5F-tL{Lbh%I)6861Ikd&>2oLl zk-}N&6C(NrZ#S7ra0#$tx#Tnc8eknb*M=9u!bKg4ERG&vMKaJ?fA~8sArR3-{s5`I z8H|2{LJRww!RRLzR4fPoX0QSkarXzlVb``o@;dt)LSrlBIfrIr~k=Y9_Ycbu^Wwe%Mit38;-#t$Rh+d zN4A^gFVl!n`2Z0jG7(QEU?BsN0U;v>4pKR>Of=+n|9Tf381S*N8z~rtw9$X;#t{+K z9SDStZaDYCGk}RqNaWafuwm%vwx1hWlC~O7{ z#{df(K=lw^9NF*z{0L7aBTxW|4CPsnBTE2|X9f+RXke!S-CT~E7^ra^vkph(R`F0y z%r+dTngNbR!lI)Z{=3;Qc32{sfPot*)LkQLvRGNzrC76q08us42ogiAPQ}s4Owh1m zKrTL1>oBM&3=U9!43u}$$z%$O%CW@8u$+%w+sQVZ4Y&M(;lJrr*48~XON@=<=S zT&5Ak6Nr$YMw_ID9YNNa)vI_418Pnf1O^F@qXV9g#ZoC)6x0Jiu#!$-a!ytB*nx(F zdjlRql7MLcvp3-Jkf}@<>%D<>GLBJ)4?@dq!y(ZLq<$d9c@)E09ZqFpNfaCzdKZhw z5CLF;5G~%PQ_BFa89CNiv!X#3LZlzK$IIwLpWj(18&{e%o8M}23#3X zz5@S+^f8vY9S+c1h|NNTa3s5t0;Kp!Q^_m%*(m7920AIO2FH*gJGd{Kuw4rzO{> zaJCX*jr{tm@YK-^1_%}@z~a_)sAIzIsX)>2yQV|khX2--MLEQt%3PabU_rsW;e6L& zEJy#TDbz2II1drJ9jF`RRvUbHYALP+4YBzaEBS2Z1;^l1ITt0w)HB_A}+sHA%C<{2iSJ$z_xqm*g_+v zzTQPz#s#kr)|<%+ekx5kT=Tp<>Up`|l9|seu&vkL8nxEOSL$?{-#<6|h_%6~$caIn z-1$GzFuShCffBf^Gvv_v01o@rBQ(|hc6 z&*Z1)-k;a&d%COhTVT#Zzr(IUyL8w0-U#}++0J>#d%HJX7KjtPkE zc5g1Nsc_e@dw{V&+*!8j7{m2+c81;jHmB{0lS9WHb~y9SVr}J_LQ2?89Mg7wFP}~2 z0sa?zYIzI4=YLP@G`fz?^Yyk%@4K+LPhQ(KEv~#=_FfEqvmVZ0bJe@JhGSmh&wu)v zx+z{Jco+)KYsVN7qGu(3l`NVyv70uxSF+?jN@XAVyJE?+`ou_;6oc9K@I02|D=%B@ zA9r%^=Mt2!e^ga!O7ER#w37wn@=shLsBfro-oYnX*qO|KLo(SQ*fela%NE6!jHc&r zm&&#BQXTzYUW>vldthAl?r~P;?zuNLGS=G*+Z);6v3uvbte~8HZOWPpDI}FL`Vlk6 zL`?_Lz1y$kKRUn1#{QmD^)6%4KIga&@skrNi5)?-ZI|8?*1ROAK6H~?9ezGfS!CQb zpK}wwEwi4Pu!PZ7QZCV8iIt4QeQxl0tDKs%@k!DZTT8r~D}BB2@_x&SsJB*z4^wVx z?ObH!*rYI1K0QYNf%s9Br(Xw?6K;UJ*%SKLpIG7s_8u<*&yXaZr36RTH+%}d{5Kb7_XW3QEdiEol+ZC zhgULawZWB5=00V7#}dvzxY_xcqVk|Gduvj`nJ264uk+|fYOXi~w$1EL;@x}Zc`b+MhV2rgzb2@rdTmFjSYu#Ed zdBHls|6H@IWL(j><9c%rhxvW*TVj1) ziPL>8QEePDnlDc_8xu|D@xSu0Rv&xQ6-JJ{jGezAsFwZ-iH9EO1<~K4(is!qKw0 zZ`C$sJZ=e*cjIPtyslf6wsfuWsjV+fBTu11ryKe-@*UHQSz_w7{!~~+`QD#6o#k3O z3Vt^)KO=dc%kA#(l_ag0S@1N?f9D%VFO%kDAJR3)>%3S{vSU-D-_4?wH|>r4({InQ z?iYH|q4qOpj*(<0rMKz)aZ!toNxIBw3W0@Bl)XMZz1!`uey-9RnLx)IDNx-!36X~w21Soy>$T+ z)2`$>sh%|IEDIChrLKzJMXE+|a05bAWPx?iXdv zi!q^Nhgp*`)`6gp+5Gy2QLkXIR;}4p zhw^7Xu1yK+7tE0%5V!%eT=I-LfZ2iKl3|rESjcJz^m8nLSvK1rmd*AD>9WXg1F{MU za)Te(SSuW!gWs@GT!{G&e#1s_Aq8ge8#amyZuG!6c=j(tcJAOe>|bV8Eh7(wRD;2P zV*fJSB9L!}gSRZR*+=f*WyG-YIv}G%D)ZsOf@VRY04)$kWWizK92^9L106XwrlG-0 zMS}+ov?hpcNFf6mk{h6QepK5aVVp!G(&%`gJVQa!Fd#@I44w|xS%~^mu$*SIhJ9?z zX7?yiR-vH=`JXNY&|nlchu?H5YbK73ZU1!XpmG27=^q#e2saJV5&_*LlR?{#0-S|# z^FvXnB*>Hl1c?KWgUi&v_q?&0r7#5``~KfPg#rnH1V_4`{;p4l-a-G)wtxC`(71p4 z^bd>!6b?^?1Ts7pCMn?MG#pT^F-TOn`jhYsGDpz~7ADjf_bF1DfreYuKl2nyGoaGq zf9cbqm))`HQ?_yc^ywcMhe2cMXs9To5P(GvP%jWi1fmltEyI)HpD3KT6@ces-KT)B zkrBRDz*7*42N+rC(~-J#bRHMZ!q(F25 zXks8}1KHI$;E*8!9~c3$tg&b+@W@~|ad6f-J~q2B8wUq)6Op(?NDfKxUr25T+4@oP z@c%1$3`C{IuD>CX5Xv-xM|8k&BM9tN4a-6W1K{>>Btzf?0R(}Q4?28Ac#}fL(1C3l!mVgXjKmRu7m7%P zNE8Hwh(rd^7ji61U;`Sf-2}+7S*SNKwy2@N5yC*FBNzh3Xn_2U%%Ai>^!M1Eh(vHA z5i=n-9BLpS*B2@vVA{a$3vAXS?SlV<)nj)af<_kbpUEOy9#UNjkT?YQWkJUc{$WI; zp|A@odudQRKt%(g8Xf+Fhu|86NTV>IOpOz{ABIK$-OkN+Hen(|K|NAK1$P73#YZVS zAGPY7b6StxA&JZbp!tNT@PN@Q(K5p5Rs&Ti)a)STMo?&sh7$)038+vJ4<0=ebd}MZ zd-kxpN)|ZGx^n(Wq+wXqBWF{Df(p`IARU$yk{(ug$gL?3Mh!x8+?ozugj|~<6mi^} z4qXZVvFT99jcZdDtr<7?oiKD-{Kuw4{THrH5mGWpP2tkRLw$(<*pwqmF>Fdeh!L*9 zNFoU5Q->8RaeL|rO_3`<`!M6$ltqcht%q4e7yo0^(Pk4CDGKH$aEMU+j$-idn~pY{ zAQuWkNL+h(wAnO5(-CJA1n2vKn;qI>89`4JlT=BARq(na1b-Bp;1xzrVE=CpNm;9<$vYt;& zee%odc`I+1Hs5P5*@;^6ft(=by8_5aW$SDrU0z}5d{fY_o@hxv_s*6?JxDsPB87SW zbgGhb;u8%ulm3eB;U%5b_htMmTE8fC3C|-b$UREYeL6WrPHV1&b+E~qcgDIKLT5d1 zyS4Sm8I_ooA3{W0Yu_b?yH_%zw=|^feZzC?#kHbi&9i4*s@`(l<)%fsqEyj|g?rER z&7vjrisndV%Q?5nGdn_BDSeFC zJs0{v?BU`0oS#aSRF#Tq`i9-EDsR%SreqCAli*GDAG#Z657w(wD1Mdy`i_-zw5Dd)wX@JEq>1jJNR@ zEQy_iyW$()@=YN;>T~iO(rq0J_4v=a^>o#7%_R+1KMy%-3k%HI$#+Ba&3jYL3voZU z3-rF5Uv}KUL48t~k@O{}OsVa~HA)4GY9i93-w;(h4D$EbTroQ@t?g4pyOcV9Ti2(} zTW=Ma7bPX72VbjScKoe=bcMy$YdyH`s2DPLQ zH4Y!nDt&)Y*_NMvwlU9hqL{$D!-R%!XWUb^JEdpTr>oumdV{bq(SLj9NloU{#=^!c zarehHeR${=-QW{|e;#7cqyMdq{ITxF#RU%xE2Mgxx)W|G#B`v?AGa**Z#{JLnnkyw zrN`{gmQ}kNp1Cs@_}N}9dq{9@*JWIkauL*ONsU@?q@zDr!*)(CC2q^(lUH|6=)cfa z|K;H7<<0tpWy!w0%*XbY=gKs$S;g4jlUsXe`vJRVhw>YbG^}FOjtU=F?A(M270ff5 z7WrUx|G2qV-U$18>FlvO-=WHTaQw;QaZ3);Utd3Wu2IwCmHt}w{)2t%WRE^_wF_R^ zLETVK7F#0!=tq5}--g9MJl zBB<=P3%4t}Pu@Ev^zLG6<jb|=gj*yznTuvk(=oGOeWix?Z0fAp_k(_X%~9^b zP3kFc^1AzB3-iN|7d5pDpJYv#)^gdk#w@HZlyZLte_&K;%ee)OrE>1y5@K-4){6za zGJcM~ccyF!w}1^x$BFHW3|w4(4cH89$G>FK4+uexMZktt#fL;nST%X959~+@WIznW zP6kDh;co`cMEJmt!LW3jkyb1zW!49F3}!%V6Zt2^^H>7G}frcjQ5c2*v^f;ctioY6jDKnOAbULl zE^vr`7aBOTAoUsIG*E$$9udEyps5VFq~eK?9StNBC^A4ZP-6;!2132fU~s}IV_1p| zDXs_&IEz*Z$j<==!XS7XWKKiE|HxTx6fDp$Ky5mSMgR&4s9%S~ZW3_oGiZ=hNCf^7 zj{7oeHV$7Z%N-mXcM7PRxKekzJ27O{4eT7Y38=4d+(BpTFh`sil%=|!`YLrTbL6&w z0?fgfLE9;7jwC-qb{WE%1YR@Z#iF4&7Jw_jXh#Hy(F{nX#6o{#Ib?kXR{9v*4YM0TDG<9Mi~!g;l!A{;5kNtvG)piCMaM(h zKQP%bLA90w!7&ENe^9vCJ@g9jm#dQ9-+&?m0Y1zVfU}VPhuIDGkBDFa2_MLe ziV3VJ32Ed|j>ta29?UKn!Nc?(LE-Lys~2JI`eS1@ zLd1a3jX=@I0DTc8o+%^4PC`2k}ySrDp1peX#uHmEIvjrd}J6b5ix5+3wjNKBA711eUIV+Rg~G26glT#rGIT^fn$Suq3Wh)n<2O)ON2CZkb2+* zZg4F1Q1%#cHbvCBq4EK;#yKz_9IGaC#HJ9>Cjpwyr6~tEHq2lMKtfEN#3c(Iha0Bq z$IW1tDkS_Bm#1=oW4}BVr2HTp$HibM-x#rnA^9DYQb4JN+f#>n1l$b%ZBxj&8SSaS zoCaz4+@8t-$o=}Rzi&G9NaboU8gy?U*v;9)!wSg$W783P7>#(!P|X4iBM__R_TnZM(p3lpBq;H4YdYFI9--;beTG|CK{XIOl?!+eJf5RWi{CbdG=-6x z0`W78Q4k?=K?wP{^wnsS84=_n!+FT1>1Z<&a%do^%-IqM3jZ~F3<1~wvxI*{$mHMs znhm%TA>HK<0oOEBbC(!hmfS`f$|S9~Y(>*Cl0&uO=DLmNqE=y~d_qcRcnRK_*<089 zZmL&^%Du{fiMj8x_qM(xKYRc6Q=k9t>lHIUJ1l_aSX7}p5nk&|+OzcTo9lY9qMz=z^-iyaEYI*8ER`xB4T<6sv zBhP=nYYxxB{_-i7HQ63mE!QslK69JW_dmZf#5^XRnQ>b?IU2>Ud#fhq0pFp(8H}H) z8E(ACu6(*S&9CcthFMN%9{OkZ0qXv0%}Fc1*v3g{9`g*IA2wG%kAI4@+|gqf!~Bcn zJMa12E}I&%S$tKDWK`5j((BoW!+G}2Ezg&d2%L2B>cleI(sOT9jZk(5KBp^ARCv@* z^CGWOBrOu&{Umkm!6(`GLz)S3vYm2^e4MK1r=7A`kQ{B~wj|t<0%@ zTV{FN*cpp;E9CD{Ei|m$=H==5N^x7~6rX!*qOQ4bm~<+3J09z?pf5Ub$;`)=OLO)(5mx=XCEzjcqb+ zy{oE8J`KumR&G+)o;1EovSyWtZ<45ySef2jsoN4|_cRxV$ z`*ULjZM&YoPoFbhz?{51rc2HW-yVjz+nCh*A}}WGbBgVRB}o=D3oLb7kevKMvN~ z?_1I56@67%Odl(JKFY=AwD@}Ok{~k?`2xIaEH3Kf-c=* z;hJ26eeO(QZy^&>p0KBrT-)PfYfFPfjca?q9?s_t^p25L{#ej{u{O3aWU{t+a&fMg z)|&l`<>QW1&RuZHl=l3P{tVk<4 zIZty%U|D?aE{~Vnnk54?w!QPxvpLILyl_#YOvBEN;mI;?p+5@BsvlJzE0^Hm+iCaK zE<7nFr&7Z9T{53s;isKzeMJsG`uO(I%DDz}(;q+H#v{GbZ^fdsmp9+GeBOrc)^gq# z`o5nsTk%H9$BYL5pt}y+{5;I*Yc{v`rUmTXyu7-6wWV{-H*bHY@%D_@DB|HYd*0Wo zw{OiqE}Z^FI_O98521q>B?7u!FAMRCig;Rf9eJ;?UX--%$l}%$`Cj`&UrY$?+_umB zz6;;Ze%mw5>Erdg67T+W8UOC!qM(jg()`|Do!G6qJ=%(Edu(T{Hm!)Y>R*qdEbbD0 zb8kUvo!>OFm#B8ptWBz_h$lLPsPeFZuNSe!dzv!K_|jLn56vYPbD|uxYo;aJgz%a=uacUO+nMR%;Pqpja`9x%0z;MTaf=p7TUBw$&_9o%_R_v3?g-Vx2J*_=!y_CN=e7?4SvW#t ztz3L#ogNiROFfg{)%YIM)f({{1`bYY~ldtUS_!sS{S%0s#T%*R-dCfz6##2`(?NxI8PegRf zaz$Gb-zohlKIu2Jx(+@6^pY)4Vm@y_rL}0wcH_buPj-!8PEu@aa^36kv$u6m^TJS} zzz58m6{Vf8$4{H}`niV5tL2_GRYhx8Wv>$G$ac;s=qWGhQJ;LKIF47pN_>j^W3_;v zbK(j*Lb)L3AdWHQtQ-J%I1uvzv5jFR4u~!zfY4)sm;=x`2xlYC58&E379S4l!yxtz zC9bT08jf_c8nQ1)e~C>E-pz+1-GJr&3DW)FY2cBI_P_qg-x-diumMp8tLA_O(F40W z9@20A1fmDBcVIMylu|MkMWA9BKweHqG*TE88Va-^IKaSxaT%Kq9|YCd2tC3Ofd@bI z4-oqQuBi@cF=N-?7)X`?;N>5~5ts@IiTpumfe3gAaL5AQ4Z_g?T0+S`iHf7p5!jnR zc}$jz=!Xkm}6k0`%*>diYZj&;z;M za%(zFDTCWnM`-%%jgRB0ApOiWAr8p4MtN8K@0<)gUI36UixiQh=z>vRETN*2J+*e8Tj?7Nc9DY3v@tY)j6^^C~o}=d5S<^ z&1Gmnu4EK@5h7*?A#xkl(H8n|n*!(hNKb_)0(%7PkzA!)VHX?H`u`9pQP%|)asa#s z)y&2&QEk|muyGRt(8c^AZUTvFF2J2z(7vf_WZsWgZ=`n-7T%- zA?25~%M>=${Vag$^dlJiug!NqO%%3Jb6dLi+qmF!5nD`Ajw^AIlW|{2IdhbhSMj(= zB`OGnO`+c9H&MP5apvXP)gmWr&ID9_d;8{#io|%D!Uq4z)n>9ZLmxis?BkJ1MOXL= zd{2Mz{ou1u%1Si@z3KTrKU^Wvo4-4 z%6~ZbX%V6B(uDKI@jonfZL&O*`&6+&^@96ays1p*R{Dx#Gm>g%T8J}ZU2}M6@e0jx zaNQ+%>&fKE=ZW}A(|a4S|^YT)4!;^Q;;Jl^Z< zL(d0&i#w_-<_4Ly+)A+B*{&TibD8UdH8xE?tK=D1+LO9JYG1$jak^OI&O2+B#IjDf zk{Y_#2+UkEgUK-5@yPbxy9}%AuOC-zzKkzg5|jCP+xZo_w&PBPH=MuFzUbVJ(3ZYC zrgIFAi5*<~xzW@5>tT`GHV<_viSiTmRvcLNWsTT8|7;Xp#7J9o$)qoe+U5*tQ}qvf zrk6@vQy%U;eN$l#@%g%s-*XzLHg0pF(oXm|ZLK3kMcAKwv0!3SwHP;u{X{wcglk=GcP=xLlo(447Y zUDi`9E_eLs(a!f_2Ff~0TQX}^YZo$)=cSo^$vWSE*GKpbeLL1nufi_R_u`j1@USwjX7e5A%WZk8dyH~uf~#u|k5A*pWBOOp z*GC%q97^K7d_gVnUQWi%O_bU!p}hu*_dhGX+dgOgORY1K573u|&n7rsb=Y`yaq5v~ z=iM6#_Pcy8Vx%3)riu40^DoY;GHdNEvO){|%=^y!&4%HTNdA2Ku-=vXaaOAzMcYqb zZH`UPsI7N=z06`3-X|%9;OBE;>OB6$kXx_v`b+NxpJ~qRuM`ioPd^h%_=_M^I^QZK$mNz^nd!G%RKzU<0^`-yZ-lX=`9)5eiWwi@0dDoMt z__(J1Yg*l7`S9bm5oPii-rK%9bu)PR`h-8sn`cy>_eptM#@X5LruS`__HpvlJ>uRw zD@>^CqwYUfPM$1SZ~eU9N77SNuV%7n*wj<@c^4bg?&R|%1yj0YJ<@yWa(yTA4cuP} zQO$$*-g;-!%IM{N74NsG^U2z_JNL1>RxfRQ@S^mf#PWMZqWiNVH0oMvOJAUF@2RWU zUhD286J{TQpH`mp!LniN@na_oi`=TszOKmSkD7Zeie~0^ai^t%lX+uTUkSl9dts%; z!OOkd8WXNw+O9O=WlFMrk)q(y9XhoP&(O-5-kobEwZ{`bbc&$A#3f7ix$d9$z~TGC zBHzMGxBaT?s6~3krQ;-$OL%Tssu;K0<^=Pq&`H+rr)Lr>QiF9j96Gc5)?)nY!|#b6 z#Vbs&3goZVj2n;K=?Bx66Yy#veWGSFt~*bE)mRLQEKs z{6mG^msZ?c_C(iR#NwzLVRP47D*C@?A~cwBSZ=z{7~Or0kkWZgbbSsF~i+6sR|DCu{Kc%v=yL z=XA^A5U2gdq0de#J@K9<`%UN$VMQM|tYVmt^Bb&!b%qVk?gRZJIQ7Q@tAOw*@=L?X z4hFttdqID9okt^uqEOobitP|7A^;yHQosoRA97?sM{1<7HVBaYM~MU+r#Hmv;Xk;i zL4Dz`j?~bmY)1;B%#f1C>B7P5{7G}9Ob-&iIQ)7<$K@9no7>Z&Vuc7p&!suXC39$V zh!YV2j({6IXH!HJZiFWzO~K;@OpVJ!IlR`P4;}0=Jfh;q)#hPUvMg7dTXSF`!*bQ7 z7*?$M+vZR)#=SMi<$~M8Av+Wg*^8X7&SDQ?FA$E0L#N>&Fv>mRJ@Q0BnnGd#8XP~g(S_9faaTXn1&^E zay*&c9CFvN+}0z)Dm%h@9BfX6l0>el39N%*aAJ<(g>KmOYnv3>V1J~*RUKILM<70QJA#EzV+T6Mci4mj|MMH%{( z8PC6~TEFFni=MuSed}wt!r44VIQRDvor<>ut~;9Ud?Hiz29r<~aAtpySh&I={87v? zZGGL%JBq{n{d=lD+`H;$7<1-XP^?-|(CKX=XkEGpndG^8>bg zJpSb5LaEamz6M_SQW9A8I4iCC+D+p1N0mRUzH92EHG@xM*PPRQEnn@kb!LUTzG#tj zL~r41Y0@|)@tEUGPs^x+?QJ#=XWmbEA$vXcA>CgjHook{xeq?f#Fl zfLKY-w~vgxH9TYL8U@5IJ8p_oo4W4?>eg|k=$wYsieuZ4Tup12eSC72{Mq_*?a6Nq z82(KvbIxBd&@28D*Ulq;Ofw)?Ib@T7)J1};m+sEV=^raq)eNdq`_o$PG+KTQQ1v{KM@SBxLOybdPvjop()a<%TgO=;2L!3X7x^EzZ@KW3DvD|b4(OqVaLX>1wd0o93-F!Fx!+N%7aFQPZ{uD8 za`CDYZAwbTnwN#JP9`R5GH6G+ur6KZ*sHu0U9suvoNMM;9=G*hUI65Rru-rkf2G*YfA`I?5maMCHqBm zOvHc94`%Fdk=C`HUvyeDZhquZ{r2WlYg)JFk#}Caysltk)Ftz&^Y%+kpcCq%Z>>H0 zvfh64jTMKwvbX6!t=Q9>I_3Oxb<*ParuinD1eC`02i*~vuzUVa&Dv={Gt>o)*X&L? z9J4L6U`C*~9<3O=B8>OT8sGU%4VD^rd=jp2eQ^Qr^4LBuJX}?`M^)x_Yus%spM_WE zFDZR2+9=;&^xQQ$?xh{IxT>#H@Lz-d|+9jx=#^*aFIJ@k<|Wwn^QRKB2o}xrN=u zm6^_6WZ~%Xhs)OQK-DQsY(6wZf6Jcwupjru`(^YD`L)j$nYtZih6j)hrMIqCHlRROQQS)&xIus8Yt`FbQo$j0Q_LsteW{-I^T8$u{;4h@m7O&1xTcw{Yzd zBQsZjWZJ7bFnKX00KcT9AwdR%#Oq6C{|vqsS_tu}<(9aG5vo;kDQrG7?tIihv!rns zKCzvNb5-|#G`w3#^Jg!!4dzeKMx>{84iIO_2N#$?e77{34OlOe`auirWk-30F4De!3QY!0wO{z zK*IsJ3l7}9_b(X2f7MC{bcVhPH!tG%iwF5vFa)43^jD<%-JxG%cRXvI5G!KRoewm9KBE7#KnTx(R+%S_E34 z{_U*)bSUVXW|8lv3k(=gy}xLG`z}Re{XVb!+knBM7eCZ;0368w8u0)91nVy`2cTpI z@U4L*+!lH5q3MAD{n~U=sU4*N)?iG7&p25k!FgAB(&Ry`pKblCCt1`;1X&|(7Ye2EuT28 zjW#{K+Vd2{i%Ws2(@RvI*pZk^MA%=uk=x0sQMX0SdTYHWxhy=|k{k(MKph_cr3DlN zjV@j2UD5zMCaFhx8wp8wvhGfA=wZv|zaXy={doctUY~54E`KWLiTmlAgI;el?3;ucFK+SCVJ|Bm+(;pc z_Yn(SogcOl(15u0zC6y77C!NJap0mkBa}69UN4)F(Y!O1EH7AsJu43Ud z;jAZjW7~^pPlh?;ElaES6z-g#Ew7y1;y5#DATYW`y z#+5pzeuOT{BB9-GMKm&(;{iwhU}UnhVuVF;VBXll=IWad?!m!jlsUrJjg&OwoEupq zaVmD!8-n*;DM*nCN2XLB`&Z=AaItFZIC*2H9_L#M`f%Xk1>_)L(fSK0pfB_#3X3-r z#Y-b}?uFvE3nTU!c#o-8SE`xb(BqFgQqsj>p^;IlLC`904mS~YVzFZnusV2PzH%tw zALuCuFWfMgl6%Et=hQVls@reX7E6fy;H+u1T|Wd2U@GrSLN7+!hLvD-RuZxLT{ z;4CdlwISEueJ;)(OQaINTTA^QUc=ibPewU=c~4^^^9JwEAPNC*rg|m1TD3)4?<<)V ztH_1Uq6W>Z?ab@gHF%hA9-tvm-X zYh~}~z3;Vp3l$_cg2rwCbbkWBbu=F+$rRdBUV-;EE{a)YliD6Jh&=UE!>N;w)5!a8 zci`NTl^?LkdNmEaTi%>AaDJP9jL)(|oxkv5I<7yzi<~=2+9pqTyxkG>YCX*4=1{0~ zaxAOtgZ-8;W0JHk304g8+%C0pQFOC5p|j!w-ZBwE-WNx=g)m!qyN-mDcbQvV@?Y~) zY{Yr9N1ZHEnysXyqS+T;VcNsOm1^aAsH4^4Gz%Mq5L$hdR9%UOh0FbJtf=RCYe-Dy zO;38-ChEQGI2^kL6fM>teQuA$jTMZTO1il{Lco4s3DS;-uP9#ZU{lKP4u4B1wF@C@ zpuYa%D+v4=lCy;y)fg5zvk@8o&cQCV@M+)br*+zENVn4lCM`?i0!{Z}}#1;0* znsvOJrqDWv8tE;T`8;IVcI{~2y-wB_ewTY)y;C6XbyEMQwuO6qxx-ziDn(6Kl>3)y z_Z;E!Q4KVy9&Gv5-bX>BOFupkOF>&vgBHr-j;WX2M#fzmX7-Yslz3Y)#VDF7W8P3a zVQbZ6HSfA*2Sd9yQvyMn0S^H$*KKThl7gxek(<8pST|)j^!T+G5hiU0s|%DnLFF>1 zJF>l-^2vxsZ>obfTS&-56nU%SPt|MQ+Mzx(A0jExXBlD%r?dQ4dDfCz8p{wcbZXo* zKU7#_4mqG<8*|H6U|@)uJGhuxcEAym^{Y|aS@BuC6LNUSJBtBZEw}`+nNHuH{ru^{ zUao*Eu@?POvLPkIt=1ix-Ok3gnY4#2d=kNgO&<-AsRQL?NpjJgNRJ-#dGD?(;Z53= z+zgAlAykO3$lpG+&s?&{AHFO`+Zk0X-fI-}g57g|PqlzUt=FceqF5+q ziHfe>iRT>~J8V9@RF0cJ)#H?!uKRRibc2CUordgylr$rOZ7ppGi31a-%;>UHv;Z8cdY~ z0uV&TZ+tlcDA>S4ADj!YvVJG>2WApp#4P{Tc?$Zb(e`t|_w}JbmpFsrf-JN-lhr3&_^{Q#%xP?tcysCF5v3jP^GQt z(N4ZuDKg9Q(d{V0ajeqtRn2*6LFcXZ-emeG6-VZL=&UOZVs!10+3#H5*wxnC7T7JD zY77ce1xMOByt&he(HcGxoPv}1m34GA3LUQ5Fo<~oPp$*P+739sat$xZ{-9JJ~ zK(wLJ>vZL;iECVC2$mI+ttybJOytvGR%6T`S&|-4y=ix?MDqCq1>_#{Zb~>>_HB=3 z@AxLco@slo$WJJV0()2AAOy|+Xt4xdk-zTLKP{FQ@5i@`CE)sZu?ET*=$q&WS~?P6 zD9x|~N)>>51%RZ;={z*Bumw=5e_brUlfMLN!{EP7{O@i07lZiog+Krzx=7g-i2vLh z0lb5MUFXZJk_}kLe=)0^RQ+7v+b`-L*Vi_5#MvETm+HSd2^#OPXa>Rn&=b(~47r zLhoklYrRK8t5JQuFJDe5aGi0U*9<|C-F(@9at~iXUZvj~!+>1I_z5P(oi{@<{!BFC z6@_+cyB5bLD28Yc(3xQ+D8ioP3#{Lo)rMTY^_UJjZ#rnQ0tF_@KODC+PaAf@{xunT zmsbSZvl7=Ol#v3tjFYSHbR_LpiM`3_rVIV?Z1V9qBr*%O_%Z{0ro~g;7ST`|)8%EC zPWpu2v{nolRMrd{ls>e{i@HG(B$Atl=vI^w>WRa5uZN%ADz0n5WCYd!-jP2nLHUzz zcPmUs0$t%ao>zgJib_T9R*~xw zO0*nCjkAMvvcPeVKV$OkzgMlMIq?Z!*MUwLUYWV2^;Q>5pmd4d^>;lS93$kBO{tZ5 z#3Jw|4Vpt11CGNdl(%od{x=X+}6+I={K$d5W_)gT9j_KBxM`WR|kR|)IXu>bzy2f4@C!YNl| zsJ%ex2@uyEWdln3XRYm9%pJl7YqJI?5FB;ZA}P@k4uU2i2T^En#jDvuz0Brb-uYNv z=vTOaPSYBfDAVuho4iY8pu8NNN6Mz|@nTEE->6j(gDbQMHP~?971?RmJiP%sE$>PP zCaFJr^!1=gcBoPwZd7Es^6`5$ei4V(;##m2al)_Omv`rlENLs)v=L$9W6NNF7+rLr+binI_-WZe7J@vOlno%U|zss9T6<^sQuk#{F?c zq!Hx6(jP&IctRI)ElY}kmlB36m%=8T2F(q-w!H-JCPT?WzsVaYQQcTdkF zKD%zhgmChJ$}aZ9kV146!7B8+_O8ox>>xS+s*JY?qWr_zcz9)a+G1ElQ^iIzgNbB~ zu7Dez1+}}f(8mvF zBD&9)&0|#_6Fdmza(kGt>s%=_SV@~$wdNZ&c%b2ua5Hh(*dL8UMRQ~?{80Ch-9g4W zeNg=vWmwPD9ii_imE`t@@0+Y$loLi@=EE7y%B{Aw_XYZLDmu;;uYwH78s!1f`un<`Z3c5Fa)F^H}wyoaP7mCc1n+nYeY>*V(6eA^S$ zR$hgIB0{}K7}$#Nuc}`Y^@`!?y!?>^Bp*X3_^X2pwE`ciSK{Zi7ELtx-$#!e#dqb*arg$t; zXl}2`dwXfE;_Ovk)jE470<<{v`iId&#iX$@-?R^YcIZGqn(~5d<4R4`aVDCIA~E0~&9DA~HMB;{js( zxtx>^K)f^r6oNp2WEv+cKnK)g0-D{p0C9JAkgl!)(|5A@pQrU_1`Z~If+h8Uc0IuO z9Z+5bY|6p^543l3GW}GW&gg$I?ype#-xRq5+j9W~C!hrt$hQD(I(DFv2T-qomPxRG z%g+j9|C4clmuy}<o@i&J8&4R!U;QWy)HtR)>b9tA4cqpJe4mLmj zE>C6oMhW^yhl02OKjR-In_&LhUt!-54+Y4G9Dt1BcV`8x#{N3=52lQN1-IW)*}gV$ z1yV`C#RMF&0ypK`8qA-Ih`&*v`w|fYEo&UV8W9($YuLg^q*s)^*YL zE{5Z*n|-#FtNNHy0c<4{D$h9L&yJvAXrxM4@)Pe63JZ}#KoJQ*yof&>qSz<=gf!){ z;kuq3)Wld`qza=q6{I$8GVdeFR9I1^s#Skj9`*isYj!_~Xc5Pf-}lX2LWeq%rTcoW z91~50oHyN$o@J6VN4V_lq%CFnt(yB3im6mu*%Qh7!p>Ur2SMUPJ4J_#1=vIjDexSU zR_RdtYUEhYt+u1rGjE@FY{xz!Tkm(tWRPKi~dwW|KNC> zQiD}ZvK;?utYxekdVWRQEw|O8@LI1yb_l^pim0|hkk;tO$y`~bwQA1(C*3br&uRm` z0)`(ZUO5Q{k)1lp`M9k-!gHW_Z4z&MlL zr}3IhofIq(JeO5NF!4P!5ZRHM|k3?mETUjvJsp zlQSmIWmtk~@lI30C}-h=U&&;TXGdUNO^xcryF1(Cnetnec#2o(TFal@ziW`y?8@9O zEG(IiDWf&Ex?bLj+b~y1?0(>!qjWvSQWK(DlRR%ov((X65+!4sSR2g}Z*&yiuS@=c z@vFNNcPtyYOFbZ;RGgv6dh=S9RoW@XDzZ~@X)E~gxW{SaHiX|&gy5xUjw99ORY-)V zb>mYbuG}hZ)_MVRH-mD=ioJ`O5F+8Bma_23yS-P6Atd-yY#|TivCHa|Y8+n%!KKwV zoZKz@DD7jqrBTw&t^3v=H%}$%45G==`$=Alcbb{89SB;8;nAUz^qCZ$v6l|{X`s0FVBRLDr`eVAI>Z2Y8~xr z3td*Iv4W_@@F)g8Brf9hkKkSP9BWegebWx_ehR?a*elG(e*)dNS$E*3w*wvYR4wa1 zRNAovneK#U&}n=-U1z^`QLq$7R-S%h%i3~!Kro^p<$Xyr);R%N&Jy`h0{`tbJ(QfM zIv;!JIv=K^3PEkWc_zXj#?1VXF$^_Sx6|D*Yvim4i)oK+6lXk3)cmZb=eaBNBANAl zwwCK+5^=30IbB;fZj^93MtTi&6pwk(%sBOQkQ(x@91EW>6{ZS`FFSpp$tAW`&xjf~ zB)7Cn;gmHd!nBgzFeV-nEp032l-ht)wY{PhENqj> z;?ATP5r-9qh|Ui8#BC5-%Qjo;%w23fYKX@(`jmX5m0V_>%4RNxQ+9`w(m74bE8vx3B%@hK^)&>#@{r~ktThPEY16BA zhq0ba%Qc)daLlkbK8!Ytw_v3k6ot;6sp35f_D-SCFnw+jU$UbqpiWpm)IR{VF2Rnn`rl(iz`%-eypSkk?2Bw{Bqjr?$xU#)H*6X z>e{NMF>yDUIj&)Qa4%8OUl~|S`WQPhMftJC%Y4t6&r6*VwS?Y%<`wY`P`FgFrkWa8 zaQrB!uI!>IkReBGRf%)_RNBi`BrJA)- zah~fng#`ES4cQNl8o*I-p6uE6kLQ zL#YbED+?Wbr7^GsTIYRr7HLTCvOigwpU|7UU96Neb$h&yin0MXCweFPA2tZ+p z)<`T5*u8hP!dL1C0?D8kJUR7_I-d8AyXTg!Voua9m+NxVud_`BfzSSi zTFr9z&G?WflBVW<>*pBbBr2shaPw$4o=?5tAF?MC&j9g1LXBW!Wtsw|okBcfHOqV~ zIcdS&&>Z9Uy0c`N+z3tQom`cjBCyvy;~896;7y3ZOwSn3b$dr~ME;H^(`?x^X7WWS zi~EN&0(TeBm2??f_Chy(iU>Z5k&~h6m>kRAslqdk4t`kh*`L%)e>G{#*<>+#hrksi zpwiQ=J)ghI*VoAq)8=(%rAq8>lzEjDn}ImdHO&GA7p*Ytg}S3-a;P5aQf{J}>f4NW z-C5R8ECcDJ>h^F(P;W4+-ltR6P^6dWdu1fvWA5b*<9`pANa-b&rHbbRn1wrYkwJC} zFdv-n)iX&T(p3gPKXL7?%t*fG2oo0Czs|~1al2Gg%~=M6b9co{4m-~%O?7BROm^dD z7>&k^i`GJ?#Ry+~Z?_>OC+Tvp)zs0(8}+H3ArkAGE6-S8Z8qzA%b(ToZ5qQ!TB_t- zV}TDBWjpXsmwD_(+3Vu)&xkYd(*@N1w@$%tTyZ{6_EkJ*t%aF~09dLJL)n{haXJOIRVF5(I z0X1D+K)C-qf#=Vc=Q8K{y@4|WDGn2$Km)F;0n!3Mwgafda{(1PphM{2#{Hi;pWi6F z{@%EOf+_$R{GV|HrCC736WpQs3**+~(&YlgvpLxGxj=@7oI3g}dVsMf6X2^0d}h}D zP9^#C!}n*dH(*c(E<%F!v_U|d7Yo>X4~!@P-qnD1^Ut4?|32=otEsDu3sck41Du3e3;>)6 zkh*5!03I+leL&9(kWXg<#IE(f*K4|1&;HERz`9a^e+EFcWWF#K25|z^Za_B$FmVH> z_AdYs;4*Ch_V3mK85n>^t;5Bp%c0N7s%yZ?X$aV}{#{J`^ZNT|1`fu1n1Jry%Yk3s zlV2J5FWi&={J4EXr1-rzi47q3f=y)s<^@=b2ds_*m?8t%99Uuhr)2eCk<|asGyA4x z^Y>=P449vC02}q?)BtlDK>hAd&FsIwM_*%fzc(`w*s=ypSq8d&IRU*uz)lFzYXffH zg%{~>uYO#tx=bug2DfXxlCOt5kR_Q6~%ItFa)9J+?zJHmn`Gd~?LKnVgQ2!GfM z{XKE&ZzlyyorBp3-<_28>z0H+h_wDTIp=G(`yazy0dwlFF7YMq3i@?l!&P-Dn>luL zu&-gIf>L`+{0D2w@WeQ?SDg<`@)X!4YK+lJ)w0CtC4%Qpj%=Y*gu5fmByC27Ucd=D z?kqoGt%YpTn7B7M-B?4~;&%Iytjc-aGhuJ$a*0syo1vusFi5!(Ef3vx*S6!}i1PBz z@42boYdAJ)hn8#;mc*u0_VQQlc0w(oej<)eS314?f#ukmTko0lf(W<4!!2{k9^vuA zr*~9PD`fSRZa!bog*V zVAw>*<9dlS?Wx zh2b^Y-elS7*~9Ip_4QS&m9@j3 z=}4(05-|Rx#Jb^3l%zS#p@jDDU272=_nyW1xmq*3%+cNY8Je#X5h$;N3dfJ2h+LSnLkXT36)k zGVzTbMo||Q3QdOHtfAP%{i{9M9m+g!OjhAhlbJ>=#Xn(+rW-%|h?OM&vC`OFEL}!O z=6U35&WqX6%6$Kk+hm0{RitdsZ>J}{3Aq2swWw-!|LSI&Ev2}wa&RYRE}tNAQ{ujW zn-=D+)m2vr^5>u@@eG4?X+bAGJjkwUPsIW@(iI_#Hn7KB<|>t2Z{4ilctsny(=WhT9)CTk#$VEVRYcZ+Hg@VZot4lBLtE-Q)DD zZZfx6)EE(!VL?4Z$Cm7QjX6zxLc!GM2FaVedyUdToA2YA@Pqu&Jae!3*NN(EE2rs% zcu%9p{ZN!KvKStRw02?*j8ixsFAzcC$sp(F!sO&WW%l*IHokCJUAERtNV|nleEh5) zWv?v=!9;$U)y8qkMANx@oJeVOp8YTc#ZWZ+L^nUMQ45t?SlG2tD`r=aT<_g=3?xMl zn%vqEa!gLVZsV6yO4Kt?74D8>KS16{fuc%(U;LzcZnReTfzgrvl?WSYTb8=V9pn-= zlYKLxXT_QY_t4<7X%bIF$rFm?U%p)FxEt29Ey_cquZ0N9OdVh>cETZ8oha(%APZ}Z zPOU6nBpL_VM&$cpUapd|H2&E9MGHw_`70zoeLp-ZIV&cMkOtvuaNDwCi|Y;KJsl`h8GkssfUC7J46+O6nY6?7k>UGD?w}`U zVh+K|(PpSdhQY164@%XLu1TmSu!$aR5MN1N%G!6b8{&Qi7dGWgXyivbn{c9l|ROEUA=TckuIF7 zW%=Ap?RK8fy;UW@5y;GZbJg2cFGv#l_wSjT&(T;CPMo}Of6(M8SnOz4KTo$yXe4yU z?Y*IpvyqY+oeuQ%lg{BC^6_X<{mOT2AS}mr-dOa#QMHkGcCqnl+(kVJVZF~Q$THul zO`RbNO?tL$JybJe!8po6a(lb#veKM~ER2}eeGGMif5V26S$|!!wS;L-T5ZX?o-TIht5y>8*7ctPu6lDfd_udX20$f0{v>k1uSOtkc4PZze0H{nns4wr!&(v-pd- z4s?z~D=Q5OUZ+RD^Aj*SMZNZr?eJbLg<8~{ZwD@!c-5p>EqXtm+Q}SxxN?TU1ChSO znD|qE+p2RkUTJ>W0)q8|h-XCym=iR%c?1T9h$w zf(7s~HP!9vcswZayiX3UMxQNO-)J=1sdgXMI3G|rT52}!VQ>l4p+n59UJ-!}yc^Z; zX^qmO6+MkhRp!(y|DD~vyA9WCS76>iEAgxRrN5buP~QsgWCth{<(}%E|t*dOq8? zQ>_UoQS%s}d>W2W&lbd3@q6S}ldits@wW#yg^iuV^Hcx5eX_Ch7P7b#l&8jX905d> z+BKZ#9-1$m(Sy139M0Rzj-%5E`50!p-Fy-p1x%MH?)XR~z{JqmCGD+i6;0l{{?QJl zLIT!A+FlorRdl3W~HFptV2(Ye9(^`cwjoxz<1s8o?saEsC9 z6M~gbrqw7~vd!iUEj@(>xV)RhpNDWMeJHj)L<-^@0sR221aN_`!ky32{oj?+KZiu$ zqLqOE8aUeh6KLgyZa5fa{A^bW{_q)P1bUl43zGpKzHn^7j@}n!8=(F7%Lf20{QM1& z41W3W1<_;!OzgjW^BK|nmXPxY^~%AzM;u_!F2IZi?0U)yuol?CgdE^|CXRoBE&nq< z@U^qeZxUO;m<^!Ee9@c>zW!{0z%uB<^zy|JJt6!4Y5bo;$>1a!%-I1W z%0S0IAh`wrY+$26Frnn1`^7ogv;cg7i_1`#lZAj}}7|po^+E@TxYQPlWr_bZRqN9HY z+CDEPe`es9K-=ZOFM+mS8Tc=}NdFa%`n){;p{aca+E{=-B_^P8H;5rW2P4^OL1C%ltINATN18r>I z_%Hq*h6uiC0FM+XGXUlqzzP8ru-JjlGe9aH@cR4bbsg*g`$NOg&r!mkd7v)i0HAH` z0_VJp0{|mtK(zDcC6NEY@&%4+{>;EH;{awrsT1IMUWNh80I?V_4fyG@`wOere+>h` zeLsKA3``6C9H#yP1(t>7>zC;JLjejbuxo5b6IbWK_0qyiYDcQ9!fvSIMZa@HH*RIk)(l1TCqc*=XG*oz1Em(p;9z88#u2 z^n-4PEvfs{&69JDt`s%lJu9WquqVxiBY+Zq>z`nWV#Mj*R9&0#{^j$9FYPmS^W?XHTbu*5oC1X=1BXok{h*Z5Z`Q z5FU?c=)Vs>k30p%v)~;Ji-7drcq9xo4`c7-_HDj;^l5azqez1Rs+AmNbMp#D5s-D2? zD0^HkG5P5UK`A}fNQB7XC?P(IauBC${EVfq5;^V~ zyll_W`9zZu?AaKg6ExvI!TP#O)9%4?E?si5U2=GIa07QtC}zbS5TJij(Can7DmCx9 zdUI-)rc5w-D=3!~MOgz_+U<^RaiWER$;78>)4?+t>*h4`%ple~8g<_yatuND`D%)Sp+ zbC_DK0Kl^NS2g7I?v+nVZ@^ep;UCsYy*Z}8wqTN$af5PC-c?-o}DtO{;;A_UG>u}zzYINa#WGeBL8yn^hikMn@hw?nr?Nj1}!MLib z`EDu!Z&`nx(XkoNJszcLjWz7-_^g6=hgv;1l8{12ea>{TTNX-_S@zAIJ23grhmf^D zs5f@(-wH){ZWZ$5hs!38mghJn$qlct2o4MtWJ`fDp$eZS9gtgY(mXYXJrd5T5URLkOui3pPoHX*kwiRfDt7AFxeoj)~ zU4iE+)s-X~*#kx=d;E74+|CPzQGE|U*&j+xSECh{^NT!uy$Xw%s^qsp^z3+j^AT~) zUAg01@T!l;(=&BHc~CbO4KOh>s`}3N%mir}+{IJYn9`e53s#n|O+11Z zUvbHLH4|%w2xqwhW10pF^&FiR+MDy;JyxhZ_2L1TNBa|IFC|cHQQSugpS=so-*a-z zaXVNlzjkxRYpW^BLLzFuT8FvSKV^IkLla?xp!SVl+ClxC?UaYM1mdD%d_;i(mxCGq z+en0aH6{5v18x#4!m8{TH^M*;eKkeYRCPgTk#6c(wp^|=p3sr#RPIeM98@q7`z3kH zjMfiv7-UsONj(+ju#_r$1VS($@IFF5DgZuTg;YWIoohheE3Rb6Av8jtq^&9@^{OwC zwH-+89`6l<(_Fkp3qR6F$X>!u`2r-a7nhDTXiEuK*#blFE<)n=hFvmD`=OGv9AQ~Z zT$_fSl8MH3qVX)AId<-#1GMYAxmwDh@D4q1xN67>d93}32&uB13pq^ca(5A2WU(BL zEh!{yop*cpun_~BIqo}pZQfSA2O<@GU*r{{aYRrmhCE4CXKB3sGBg|#ru6MvCN0K*s}Ezate)}H3|pCKOZ8m*6_CqU~(P9n%$POI_Ds3 zh<%ok>&_IN%ULu$SgiHHj~JJ?4IV((T=%_#%6WH(<;VybPKxhrOkM0vGkY(i^`K7Sp48C0Wq9aUhI)`b6JE;TOMz3#owH1rZL78U=x3Bkv!vk z2>h+PR^5~%#a^8yK4`dD6;6S}A`gcPJUQ4_0^LUKJ4&zNB{^kQmVY^OA<^9kB1q8VZ|Ak~ZARV_^&_A=@JwEYK~;3muCR+p)Iel`3dJ z#~t&xUfip}7a!ITj43!kH7z59sG-G2(xfWrfrI21r?-!hM$&htgvk>Fk&s_iQyp{_ zs!ezJ)U{TmnOb|=@hXfZNs9CUNvwW^;s#2uYHg}GfdJYI8A1cqFM_eZ!Lb*Hmwy6| zWdqoYUl7(y81=$A8~|w9fXv|YPZu6<7vFp#hOz-}4xfJlT|%~(c9%f2;^j}5NbP5r zP2dk+xVHVxc;fQ_{!Ci)1p{OUSWw_$Tw;L#)+hPDg#iM9)?W$6?`6SYz92IT(1iwe znFmr57C`of3%~@~0N{+BgX?!Qw*QP>eB&0bUOL5(E5|f&cr+|1-Cf?Heb?-@7MY$aX+4Fd&Kfe;_~r1P2(O zzYP!kcW@KD>in5|b_oG~nb{Wv@DEMwzrRI)uKaO{00NwSz>))CNPnT)ff?QaNbx5FiWCrp>|jQ*iSiAi#^g>dy@B5&{GxuWUdi?Gge6 z3(*1wCqKRJ{~ZFn*jxY1z%L;{Fom8SOyB-e3IsHE0fFV;t}6Za2jBtlK-tR;A_Lp->u(*ZF2ybCoaI*38>^>?l{cg_8G3qk z3!snw^RqGoFFDwO?fbI=O*Q{=C?GPz1x)MvLoafWFN@LtJ0LjNR~Fp4@ux-nfY!9H zuGC+WWxtGx7XX$-@#2{7`$f}eQoH(V(Ovf|L9=Gbn*%4nG z@(AY$0_v_8b0W*)R$?#52VHj?nMXw92q^9_oYe^@JZLdwS051Il0&yZchHau!fZM} zw5~90@pIkU|1jzLa8tg-6II_krR9WwI*_yzN(4(`1ZLY{gRMSoJ)7mGm1A9C)?M$W zEEvB4m)%tHRmT12G49D8ruohOh;`*prSimY+>EnME6BoQ6IYyN)szi@PbXEUGEgwn z$UbF;s}i3_FF}!@54#&Fq}4R1jj(>pi^0%#qi*a%unYrwS;-1%jqnATt~7C`;G1q6 zqh^cXh&`&ikb_(O*919Rq52eF)+*MN_*Y68xb_+=2r$W+@Hl!4t>l=}B;Ilq$FF9W z2(uu3Myg&j6{$9KQ=K75zfMFp7!!RX?KId-5BkkSj`fIV(4!^!5!~P$60dTxF%EWV z1NnK?%7*=qV*vxi^HfaSZ_h9@3JP+cdkSkR8qB=HAmxRY*SbEAsQCt1go`#aG_%~3NP(AfJa}cJ6x^$~p`pQ6(Wvc@c95_vry0O?2_p+Q8 zl(Ocp*S!h#>y3JXIP`wO-qiDWdJCDft;RNlkkhBF+})5r=+oVC^Axn|%&lNzdd*cW z*AGhj(SU^5JwHWM?;6WHgEf&%3L51h!e*ikFKqZ7$tyQUXz zw6xo=da~@3j|uWg!wqkRl-lZCv43COK8PIJ=lY!Ried6|X=2KwZMZ&M1<9vz@&tkM zc-uEmycC}t1i`m66->6}X6`EC30u;RFL{;|_*`Xyl9-N6FK$6j6M_ms&`;znT)AWG z`;pJ9FZKO0@2eNJVZ#(E4kcM5dxtXi=8ju1MCzRZo62~ zxb3jqys)>Bq15e>mM6C?A^Ruy*6eY*qS$$5bcDiC^P3>MYEs2+w9uOLbW~HLS0B9~ zRKa~xJX8Ews6!`%`5Iz8T^FMzgKPz&_;pbo{EBq**gmC6X70f(1Q)F!o>u-@`1=wz zG=9Df&$<-$!-@n)DaEZ&eOwA20p&9fMA^O(60S1$Uf+0g&FdS)k4lv4q1UausteGh@j82A;6`;r5PGC;e0JyOsNhic{4m{yZj_ z6Q`WmGW~<+&kLyNAl3~Vl+ z*I?>cll`*DRYmvp2a6?U)YV4sMcfwOJ5%R{VPaJ@qv^cfGOJXb-+X=QGe(H1L=@lW zIX>LWUJC__i+5+TfcM@8tQ)V{S?j>;gk11zTcfiNISglvI@s!G!Q#;4(GSk6p6Vxd zI#qht_DoiDo(&?*9Bl9mEbgDXj$$gN2~Cd?z8t^iLFndGBe7!FYw<$&>BmLt@fM$P z-NghL{7={%#}EYZeZ+2&#<0}L!*c<9w_L4ep?-w)eG?gcj+MS6US4c5e?$5%3f#Yd z^a02aus;F+@EaNCuiC`H*$Ei4`-Tsn~ zrA+o5ih6YjEOkG&7b$b4oZ8mX0IA2V=!_5QA>pkk^{66~`!jsejgrbzf$vtIq_B}L zO|CS>l0%6VQ&M*#N_drP$4Ca0uCADt%rDg@xy3cyF;wv|38C&Ni9n{<7dQ6h5{om* zmw57wzzqR=MTXPp84QO3J_zpwo(AE`RILT#@j_MHhQ^jV(UlhIu}ru6vXGtB^qQ2g zU4Xq1g1eifoV6VPLgP$FGt*B|9M1!27k|z1Oy9rlALyALSkqWKCxL=A%=Ji8Nz&G5qKtw8&){jrJLAfE{Fo+p)C|Y=@KhNPr&;OzAdy4X zk4s%Qido^@`ptcnZ8rG)`fFxn(4frk%t@g^xdrRlht%eUSgoXIJRB;qhkvZ1h5NkN zn@DLU0bTbs4V8fA2f1rE)YNM$o(Ow|Nu!I(RhFBs^I@DODm$5A=gHpRqYWcZkjhO^ zM!$*9yYi%f0)iaP00I?@9p+9;YPozZY9o4U1FjG1HNoJLEYpZuDGfb6;Mb0CE(g|@T7tSCu&k)qEmqysd)PEIbmnAq5=}?nyQ!fSSt&Mo zCNz2cs+`$660zvUR4)NAe$r9&UCc_4R4mWNf~;)Hw(n!m-i?J`&lLR+hx`(^aJp z=r&w$T}@s)j%8>-r}ExH5kXdM;XKtO63o6OQ7$M&fV2ln^Fc>Ps3hg|Qom8&qvvd= z5{}eBSdvG_)pl=Vz~897|E{nnOA}^uqH?eGqeV1`sj6|;!cWw!F6*_n zG)njB1m>vWi2}!Q5<$!QgcWXLIn&lF7V6~qkC+DiQIhuqO9!(hlhZwu!of67nwLkwl*=b}UAAuhCIYo z4sCav)2CVxWXByZRA1)HMP3zm7tbT)9@bq9H+$F3sN95TMZoPxmOt-qtkCh)WXI!V z7&+iayZnVg^5t^#54-%ulJvJ-{=&oef4s{B%jKon0@%UoZ(G4Prp+wGfP(;-$or!W z=(}Cyn?rvFUVP^$&i401{|USRaL2y}{Qt)t=xeV7#>*WDY~%flJ5YnFn&qrGs{5jf z>KG0gy$q2BQQnYbECHGQvu3C|d>TBGF7|f7ctnhHd%fy;oeYzhxW7FS`is?v!@EPn zVh+3nOb?XHbJ)sqc58MUC~}2dLvyiEho6PaBS^$7s|}NdC!SKwp?%Sr5_s{D+ zj^mYA?T*z|Go|Q-N4Js``17`krOOQTvV@w(cmxRG%%um2+voA8n$0U6@RtkQVi;G? zFr52xP9rGIDOZVHD(X~{jF?5CXlj^SU0b133!V^Rz&9`My*ixIQgKqiG&eMIHn!aq zLCWJ5f|fN&z~pRQ5qOrJ*F}D9QJt)BkR9&oUByF~M3boH=*&uBSF{q0WXB!76YuOI zOQAFh>t)g)f~{gRXTmwxdXvCG z6Xnd5tMIvjzAgms%Psb7d*y;al_%CvBAd(4VcNGFaP;Kds2MW%QaVSVvh$O6g~B2@ zx0ALI?+{E)(J^}8a@#~nx@)iVKK_$aL_~~bxT&Kb1}$V@x!k)A8zm{%cm|_w{el!9 zrod8OUyh@am!D!6B<@m{xR2dr8+gB-App}J_K@uA37H8zN~QpoPk(bsPN`Uc>@wYo|KM_yceZqffz3(gB_YlGl8!M&a{$`7JBi;}r9(GZh^MrlwsZFHl z^Ffjsr7+x1Bmr(OYlh^u4nz`i+`+O`Pp)RINL~7*tIq1p8=ESAMYm735UOrnb9Uo{ zq6-VP??UkAlg{cEichA+7u1Q*w~}Vg*~{-IlgA#OKQ}X=I&8%3BpOCHSM?+a%ae`yOo!r_BacjKg_JaX`(>(v}~rMF@QkS z)sM*LQ%APpGphK*)hsnz?SKj22YybF2n0<5V|kc)J7ef|tN5X`aDgTen@Kh`lT~+a zo0~m%dWbJ19dtO}w&;g>H++ zQQwb`5KGw643bCh_e>3+AW86g`bfNsX-_SQOt&>zCXjWfU)60EhPF~V3=i3(ur)2m z1byt>gZNlP3AfUNNlIq*{$ULEgEcL03a2I>D0U}~Vk>yrZA_96Zv78$W4qf3DXy#V zds3ZoCsBqE9GFe;B=1-hxm0;RmC}|QY39o=oIDIzU0W~yX#=C#`@*d$MfW+AaL{Kl@YUl~q> zi1m92(`r(PUVVQiL?7<1AO017!z5$-7ot+E98NQDY$4)CCvNAn;U^AyzqQBawtEVq z4%|PhwQ1p(b2xFXB62uFEtme-vQ+ZPCR(mVHkTg z=_<64^q*|x;mk1LD9vu8IAU=QMfxjvH{)mGgAVq%)%sp^UDJ7Z*V3)+a00c8`^d{G ziC)SW!^CKto=|HkjkKx3+_L!T5X06^E`NVIL>X3B-yG3QwU+d?#A>Z-!rRertr~Fm z&T0tmOk2Kv9QD5J1##_^d3Ph%@k1wVWi~Pn)s%&3z169E=fNEsYfK9FqM`MbP@eau zuA(9?)-{MSbQP@AXBE2Qz8{14ES1~mbC}A(YGWce34nK9_ES(-MQ_b~H-T?H0h4<@ zrQp7`1Vo)C349)j&9R;K8sak%!~(oFO6p#uL6bBuWVk0=Y$i)Q;q2S1d^jkd4jw(? z4@`Lj0`dNT+^;W^6vb8fBe3W$LIS#s{7o}`*pv@b6n5sTGG;@J8!Jubx*6q zyIQaD5t!(W?)~mzQg9w?d_$A6HjSok->A$Ds3bP|7dJqzuQXobI?v5TByj~mMy9i& zy30~^un!?x89)cMhVl<`><&wg-H|Kgvda~6aep~>r(7EoKas52(9Tc6a`3 z{~n#rMTE*jR~yrU3(gpDAEUto|3=>jirRlKq;jV@3Zx8?h%IJ-m<&yO0FdwmDDJ`lIe`)|K0;zm?UO-$CXVo$MZ?mCd=vK28 zMh7V$5pL#U)uXEjigx%X>gwq4qE~?vAPY={LNQZHgEWMYFQl^2&Y&}S0s#{$c|w|q!)G%^bOD1yXVQe= zsZ`w0C~-ac6s9t@)Tv#K^f0@UCbHtCEJFy8V=*eq7=Y5S!VdpWSE{@x2fdzX)>Vw_ zv8=1QDV+;xK+-vnCPr);3fJ?hz8nq$8ytLGK$8?w+Q^bub!T!Z6MUFxg#GhU-haLl z(u@_?xZ)TSm3tTqZd+hxl-#)LtI-t*u2(ckDqM*hS6sh}H^xa!^c+}lbeM3Q{(NLU zMSAh~35h$ybgmNVNCE`@pWmQ(VzvckTu6soJ?0yNww`T9MpKuBBfq>Dy)Ro-AC{@}AVD zahUJ6L2FkmO203hFfAkhVqx2j%{aQ3yA;$dqV;v1n|apnc-Q?#A9vl?EZx;R|3cpP zGzznk-?np~ggykb;a)6x8*x$me9qIa`-}6!TuUa?HxkUo^Vj!YHSIL2H^FSUZ(aTQ z#`2J%#bz7!&)wKKKQpO#N!vk>Az#M$I>&rrnMcX?y7SiXxR;CG)4P}#8>Cq=UIw*X z?cTjj;e?G^=HH*#aO&O|UiQlD##@_R_1+hL)^Tn;wqDH4$XX5V)w^-iqIX?N?<{oh^qd?jS&uNQ9wvb*-mtLDyB9=En4gu9~}E|>7`!NmNnCkTpX!ovU%3^=?gY$)wbxGcilE=eO8P4 zPp+h{-O(e)yWu!NV5uOXUh{{!b{hxg&lo8XXdUvuW_a7HRLhHDRm;77t>I2X`)D4S z;`izFTcOeS<|eUw9-Z4g_-p>vg1$-F(sPa9>`l3F)>Gg&;#s6kl<~S`L-Wt6OQL}yw(=|G&#I|*ClOd!-VJSxoeJ1?6+|(-JoG%%VlfpYdN)_;XmNs!>A)y zMqd(}nRVB&y6W^*5HQbpl<#PdU3aBNS{!S!Kf>fw;M|P^GDezhd01dEtiW{Hf;;>4 zVzW)HE~mL}dbG*ZYWR^;xu3rC?F)7ocHT2|3}|fn`L*e_v5ezJ4&POIv%oi-c^g%ZRfng&F20w`3dQHdmUR{da8fm8h6-? z@H>J}4<24j*B?w98^f7+?}BB(_L9AgfAYWYNjW~s-Z?2pMRqf-`j|eV_jJ9{ossh~eHi0( zpkMm2=iG>ds~bb<5hx90+1Km_B?;#66TpF~ix5wD&LwcBP zPaHdFfKLbdVy*pIj4*%QKDW1KOf_jg{@1m~uf*-!J$jsKJ&m(e>*}6cYm*N)_q?-e z?BxEF155))4%Ul*@AJXzg{R?>5j~9bk~Zym;_Z`?nBH}l-@AD0u!Ip~pR-DZiz13f z<+tKBOHMxF{c!rFPa{rD7%Mnxxq4;MsE5%vYo7_9RPZ6R%kt(+-0Bbi(jfZeNiWkC z-EFN$nd!`yWG{QRF)e%t=cHF^?uU2Yo!0ibbNK7=AzHD#j$LxHJ6*rMvOrq9&zjSP zUse`Ax_dBsm2d3{9PwDUD+WvSdeW{XFjlp`c>S$S{*Lt0^eJ`DNQR`JPWqAJvoTU= zpm9HHWYNch!nw|U^3Pv2c5kXTj@4s?>({oIZQpMgxvTdX-L#9Y>`9JVWwVL}tF2Bx zoA#^z^8tEIm1Sfo)i(J_Aaha`;1@C-w@SjUY)Xz*Erpqi0#-e!L%Li^P9>@obhdw< z@0Bja`A(3sN{|8kO6)0$H?8VQ1!v~Zdm=ysWs-s)13yT?$^G+E#Ls-Nekr;@6+;Q7 z=Kl4{is($GSJJrfG!@-jm|HRHqU=&O;t!URC*{MN`@`@0<3ay+C2p$fNlE+;f)gcU zq{>_(zea++KS!4(o6PF*_WtLsRZ}VL52ug=r;r*0HL41;Dg6uiBA2^+Y>TlfH%Juw`36lbL#?E|p^JL!n!<)BUzkFhmp=}R(f?L?czc@Q2%f0pIx}6^PnEclB z8ZWZ-FTI?y!n_n=$wRlM2G*NJWl7TYLziZr$-m3ygnzuZWb0M8?yrjyH3x3>Nxl%?@N+skBf^pROcPlnpg5uJU#_iRh^7lT*(_S@O& z<&71!$23{`y561ZBVKMZEDeS z>9PAujBQ6W&nY^-Bb^qgm7buh_oRu=w4;|c40Cp9{82D7_4eVWweJ);47nSn^&-k5@zUpM+Be)FA?}|)*5CMaN9}P*XRgkkJeFtA z(cGVGGR(PCk9VGX^tx$pdh?{w;PD5%V%h~H084sAh-tJ&}=T&*^%HqDA16mj-KxWvF3i^kUb7A%+&c4-g4VonI(>j96Zy-ciyLo=a|xwO&(`!t%`pqN*gft z@b|1CUFlKoWmZmE&%Dk>ADkE%b+u#q^zC^bANq-p8NG=4Dg9{cPlT#L72x}F6sIXvo zsnJ~xwu8fzQ-kZVoD7mXZ=Gc0w)lM7&38eMH;d+;iZd#@uwvAxz^ikbH>&eZGvoWJ z^DDj@jm|nb?(p+T*|W=*J50Qx|N7cE?$?PH{f_x{$j#DUr#Iu%sSjH7{14pU7x^x- zo4-~&ld%2yvo0L>3AsD+73X2tD;_W2@n^_7m&>ai{{Aa@!$w7ZO1K>7xApwTlwpr7pG#Y=T;!B9-NDtnZ=XY^ z*E}bg+YaqoIPaS0lcMiAw9F@WZhsEx!ivAP;~TTD`9x1k!-UPRW39AaJ{I)LcTIMz zyZOW66&|{b(5}m~Vx}xzJ>B=}7Ut<`?>-%Ao3(RjrXcHM+>F!1B{{e3>YA-tzbbLs z`Z*nphp4rdy@v^YRxkKc=2|) zZbC6F*W{t&@=W__Q|G=3`Z|4WYRjLc9V64`=p;wkq?~janEdMA=g5+8MW4RS_TQX* z^n=f~cAj6QIaxYSw`K+;%@=vu?Oi^O9wz zR;jL?N{h=0eEDqQ zMtcp5vJQ8d`=%*#FO_H_DY%z1b*4YuOR5&9j)Gsg@+%H`S)q=_mPj zk4G2K6$iYc`(3jsUOwPVGSiXP#rwuUlLl<$mzh=27yKu?sfDYa0Vgv9Pyo5zBx+y+ zl~ygg`M8RWT8j|sE>JqhTBJ+qLLSigOd(Im7AlN)CAsOEjW>EjAp-_JNU-0cr4g(c zP&7kH7BL#Line9Nx>Y4vH7f2a7t&K5kW;dH^4Fkv>E8=Lpb`EvWUO@4Dz2;~RHE#j ze=h)`w*E()TIr@3NgnoQ(qGTP5%Fi-NLFQ4m!sB9>I=|%`twShK?)Iar90zNvOl3S z<gwIhF#%Vujr;b{z>N)G@PCi`!?$wJL22@rR#KzLX!^_hq{JM z<;593WED<#nkC3Pe*297CB3h-q{%DnN6b%t)vATj5$B9|p@(ke_UN^{=e#SU3vY>9 z8yRbP*}h2B4(ixr&-S5xUcb21Sd^C$AIB@~IdS^=-CZ2K3L2ISl|DQE;(YY&H)j?l z4WL=>Y&fvdLf+>QH#AE&C$HT;a!KMs-;>Am2QCpz^o$?>;>-R7HNP%E_IqxgiN+XsHuf3d=DkH)}; zojSO7_dZ~^^@_`J#_}z9hI#}(`rK#-J3qWv*2D$@b9KYY#>D%FbgkC||`fB&%0xib!p(@gYe78@<@ zGc`LcXVJA@yN5dXj>_fN$=|oK=k?{AetA!Ac;WiK!F^ZK`b}NXCb($I`X3Hklgw-r zUB5=`nWb}4GwW#Mn>LSYJuuBNZ0G2knEWa&rJpcd_uQ8o{eJfN=i|Y9o>$N1jSbB>cgL>P;!nI05loBt ziw*(PtPylHyty}`)dlO^+8NoqyKTBQ zZNZp5m+X4v>bKhDpEtnxp{0xQ`q}#7CxHRu3J$RJU*LyFMH&Zhqb( zX7_uES+}9*Yqhf7_2P1$r(g4*c|^PUKhI_OZ$GsBQ|k~98;?_){Wo5^!{*p)%^!Fm zqx0miPL5G$*M5s@JI8$flb(aTbnPGcU0Sk#nE#vNCo`6$_aEQ3*}{mZh}jb#8|8nK zT*?<;YiMsu=hKPg%9($%+ z@g=)P7X@!wPcmoxsWo5VFXOVSFj_4C8m%W<5Wtd;8*HC?n_vb}w0r@~A17B?M|9x(mG+qAc3 zBiR=jcLIl4{hHT@7fx$8Jk2q3@cP`nu3F}k@5Ozx@71VwSi>tnrVL3kDN6hnwJtAh zPu=T3>o4djiB}S~ag?w@{Ta5&HsZ?d8XOr#nmWQZN|KIHt5GU()CYO|Mu{gWd`Trq{&Db%mZ140g!z@~meP8;%}oUQ^_ zQIRQrLiru!SCkv@Xa8s=Nxhmmc5)yk8)_PW@<1gJKrkW(%;Nwp_;(5;C6J^_l6y6@ z3V{>>Qi3-ez)8UAfS<&Of&q^xX;c-!QYbG)x3iKmU(IY|fRi8pGbFe~4lxe&DMU^b z{Oc&qROJlI$kCM;SJ6|fnQ?LiDrA=}c<_)IU`V1ue^RSrR~h<~kz*+6H?!5K;KE=7 zgo9N~z&sq}BN&J}38)bux2n;Sd}`#B8CTI?Q`5*n>y(GuA0aNH0rvwe9*nFqhNnhO zG2Es03wgX!Gvf%@74x5k#mPawg#lbKp;rf#sA>wL@_|#ZO}2%p$F|>74p2-2TcbmN zlpxqy(B%Q-Th(G^D9E_TwpBG52QVWawMKy4srGmVq@l5!AQeV{?yA>=65}d{NHu$_ zzekSja!4ByG%*)KLBN7yOF;IEtAm#@e zm6S+TyjG>gRV;4T%s4sH7HtMdr(oppUmD4)Aj64tNL9u;3g{SHI)g7n0*k|tK--$n zMhgQ3xcCw%`>+(WqGd<8n&u32k{Eu87VS z2_S^T65+rRu%UX*p^2pozC@_daKWLpxzuAg1F1|R@dS}p94N@N(IFN@9WY2}asTco z(23z<(5H!{5(!fPp>!dV$zT-ZN~L_3l*WX%y+Te|<|3)baKd!TBihx3xR4w=IDEL6 zGQe9yidRL7Q^FUDp_?p_Alb&2NRj^*p^KLxWb*ke35~8G_0FlNQBlJd7vk&yU;W!~ zd2Uvf;S?}iAb>!#l#5mZo=Ct&>P{$T38f5{L@E+XXne(OO$jIRe{Cu%&lS=kC&fjM z9fTx6WKhQfjq&$=QIqXc2`xy#9$y?Q+j2^YLv23qQiG2=q4qP`e%au&rzZy(t(SiJ|1w^WBK3KYdOxh&+WF2 z4Yay7?PXx8>3YJlcdHF|LtkVr2r*Au=WP0_YnP3Y=6O}k_kU59b=73&6PB=2@aj|W$pZio)@bLhD!dlx;vRQsHhje+~t zR;juCuDe!i#28w8IS7p!wLfD}n#`RvQovb%Wq0#^!7;&M)iRo|yEDdnYZfEle)sANG0SWByE|rH z$?ESn{PxUru^ck2^u*@Tk4omYiubzT#VBxJ-L1T*o*OCn#isrW(L7%v5_19&qA1B9$US%XN3iEk7Yur^yAMFci0XgHg4NWthu*Bk2 zvnbA}Yljaz==5J`+*o&X|2pqJnCv{T)hg-h@ueEm+2-9^_CFu&9lbIryTj)~_wTVI z+VtzUr)k;6v-5)^N{UDKcz(Q{Q}L1ZUfo6w*>ET~uVcgF4ymtqX>lwfI=Wc7iNbeW zGj3UStnH-uR^z|=yx)-Y^4HdNUM2Nk3n#8WKU84cLvyN5aL>_+gSP5Lq~9F*B{*o) z!tITM)AjP4w?r1(nWv4;@;dI4pu1^$#KGu&D}&b0aoRRuOwQuK^YexP`qRa}D`_s4hC+2Y`x7;VI7Tg7lXpJ{O8-kR5G zhG#Q28HcYdidwhvemmpgpH^SZJ#o0N*=f!7@2*5d>O6ShGii$X;dqw;dAaqoI~45m z>iRb6VTz~6;O@y6-b|SMRZL?pRru-0c zMx3#=v+QQe_g;Ufqq)nRZPp!vx6OIx9y3&8vu>Hjv-$jZzm_>Vu0|4ivgPJMnN!>R zDx4CYQ_ruknXP7Wc)NfQz4u1`JGNym?J}d@#(s4p-kr@k`;;|Uueae0ukT5LZMvtK zE%mE4SJeEy>A`jHIu=gx4)N_jZKTM}*?f(M>FKY79p`9|F|Q?=?VR>5^r@zFQ^RyE zo9(y#c21qRzO>_nrF+MRak^ajdi6k?E`wPnosN6e8yGqG*Y}NQndWQs=k~Z1UT|z& z!)-sBjU&&L$GRi^xjf}pCd>|(;`5PI@5&1w_O+Lu8&vI%4$aKj6 zSe|{BQxkCH)C3%=Lz;Xcyh_zh{>?Iy1#-FEW~z^pd?D4= zNPUohGu1dq{X}kaIYJqKEYFxzQ2alV;Ii?Q`*SsOL}iH>h9QR}CNWd!(7PlWs7O+R zT(QbT45D%YL&A_U5FK)b5+J2STrL}k7_fH>*%ASb`6ny`&9N9%^%!0rYT>QGZiFse zz=lYx+e z%w{_22Ed}gj6~F4W!|njPsU?|qJl1z@IVa7Vt~vN=r)Of4(&;SmCE0Ar;?Xrb!VRW;rjdauFez!oA)02+Cq5Lr^FY}0`W;RyK>iDJg0 z62o@Qp6vHB0yzgd!e#kPfRHeC5J6X&3C5EF1w-eFU_C~G#}FYiC#8!3jsvPs%w{S^ z(9{;G*2zX{UR;7EL*&mP-Zh^G9A(wZU$x-^30KJHb0s{HREkxE%nXmi79k(Q5V5e7 zc#2uvN}QxMdnM(Q4NpM`NbqE+?qRlU?_RAiV?qhCL4O~3Be$MnBd|8sFc)N7*%IltMOzasR;Bn zTpE`zBI$3?`6A^YmU6@rQ~?A^b~ef_QqMb+Pd2c$fOZJ=2JpL(YB{O-BEeAQO9Lob zjPyR%l0Ym0C|QcdFA{RGx3L6tAxo@SZ>U6{tCm;70^J0SaOfaw&%%yRw3pzfRB5-P zO2Y+WI$O-4i=bHoA5=<*91k7zeNymFivbO0C>A6t@nov!$$)|+elICkVQxSQ2<#=Q zR*|YVBB1jG*qC{25naj^i^1i=WlLBB2A9DS3z_hO6#RoqRGc+?u-|hH4grY+=p10P z;oLzQ5P9jU9}Q4_nt&;0N_nUuVy$p^V30xfUC8501#sOI3&B)epr%Cvc|4d|ZZ^1$ z03<=BwHjtSs9<#1k^XR>Z~Ai8ydBxpW4KH3E@{2M<(n*HR;ynf$@9rV04$_md$t zjul(w3jyJtiZ_Bn9}`@B0x6mS#5gNycx?=k1Vu>%7ZQ#{p+i6x=&NV-%byJH7~-h^ zJsC3LSSvMsG7e6BsR&0WPbd*eL0%*R-xTPN_-q=3D-57d@?a2P9CrU0wgm1cTgVl15GH_f6Pt;`mQCfB)celhr;!q_{K3HGgdAH< zCnF0H9G(iP1N1zxMGTRYAr^DNp~;ep1!5(KER`!$>w|%$6PYRO=p4K{ICe~g+%?}n z7{F#AQNTe|B&IRg0z4A115Bw1y;Up_(J8($^&uC__YYu7kh%g~qx``bNc?bFRpU#l zF&FuC37^IjaN#}RxrBVNlm?6%U%(K8qDw4MG8fh7KFFU8fhh}K!|x|UOwQn|3X4>) zGCERMbe>d<<{hN#7;NO{7!nRJLQ*Pkr})m)hnbW=8Bte(VD|4PBM{1}A(PeGKX@Y2 zT8QK-TZrHS*eB#3xlE1-jh+k;KPo<%+8q!A7bGR%-%kc;1?c2zx`9Y|OmtpKS$vk5 zF62v*GC=}aEI>8|cqkE5K~)E*pW5;!@^^+v5JBnxo(v+Fd=;tgYOFKteh2~?0wkLd zeZW@~1DVEPiWoF85_wW3Pp0axHKlvVp1-jMx(LtJYsZrLQ;x{?r9XF1d3i`C9X&HJQ=)3J{4KX zjxz$7E(jRQN+F>5=e1u)3MORm>7HsT?j%^#dlT_O`@JBLlO)jINlW@ zriRCly^^jnr>yeH7(h?b#au2+!eQuP9`wa;{W9nUE%BiE$*erJzS_)_W=xZc5&jF=TnUp7#%hK4feq?^IerRP zMb+M`fwKeSK$VvgoELeB4oe_4$;8Crq+TQxZMtgK0HjdG*SH$!eR+l|y7c9>-@Ec^dLI30YSmBwEh$;tu+UdH;J`JyxeCvurTB2Ft*Ep8<{M1?)!Aa%-R=Q7n+C@O ze4f6%@Fo56%-fgVJ$XAe_>JC9&x`#h?zmiA=WCaC_w~m7TH#(azwf+!eopAlrkXiR zH-W8k{la!#9|sH%d8s?{+sgEiFIQGBiXGq9r>*f@;~kL)X-Ugyxx1T|-k*1}Yo?9S z2C2j0{RWxG{1$d-W*+oQcgb45RQJ`Rmzr@~UPhl_*>^qNdur#$TW2+&pjWq(gH+_x z$Gmhzj`7Y>#n0+Zo3|n6(6z?4o%79u=j8hpt}|Rcd4b;jp9>>z%{_3~vO&MgYc{rx z?LYdf^&H1K=kJFboNLOgA2W0Ri3Q@tINoTSHI2L zeC*T}v$>PnWiDG)Hul|%r}nAtw@f$e+t(xV$gtTX%xL#BbuJe#ox0#-V1kG8hbbQ#)pGBk{<6sl>EWWD_iQy{PF)Uv7N2fol#qUU^@PVG%k;-eoz7c19j&jE z_4NL@>kD(HwR?8u$f{XiY=q-qOdpsydY#R{scsJ59YZhd>>=HK&|ywPx3hy!MtLmV z_pR9TRoL?dUiR;{E@qv+nE3VD5dN~*{cnEOnH&~<7s~pNtrs}0_PwWW zcLQJUZQpst054+LB*&9;HQ_D?y%dl~nWoM4rhu`^xRks1@JelsMlv*qKNjZM568jc_U-$;Ky2sE$Kx-OAV3`E`!ZYMTaf7bPiB#omf!<1t zN{o~R43tQMARAph45^SQ0vbyyWY850la**;Yvx3jN1+51Mjw2Ljv4 zuDWJhjmZeXA%wP27Xn5VbZu-7YK0sL-k$(JL2}~HWhOfY)H507Q7FOHA$KN&*^=1^ zk^(-f>bkCg&K2-j91%c*LR9^^5)S%!QH8-{2w5nuE9?)lV@o}Tm+yRRwB7T7-X$IG z48&(Zj$w;eRkc-RxPTxt1p+`#q`=Q1Q70BbPfa2O(nN@&1z(}+B0GoG`(z+F0Img3 zMi7r|-~-V@s4|1E^2wM;DuT*|CgL;EdCC-mE(2gNK97S}$&xDWeB4SsdTQApP~}11 z4cRGDFhhY6wC>pBRc#O|pA33;bTJ)m=g8f2m=Ysozp9%M7?i z8GVo(I!#0KdKL6Rgcbly3@Y|?0q|Y~m@8)Sz~Bma4l?NEQ6(UW#c{<|$e9A5r|wsV zK3NM68i46w(4nCe2(}2|+Eh96DxZucWizC}8Z&7GDhCZpOr z5C{zqgTUPZt0G#OgocN&f;_bv?~KM23fNp8fb^(PpnwWSVJU%oNWquD<#Uy6AnHrt z1Je%hH)KK4SIJ|X;1MeD?gBujm|_|Yn0%HL^>eHsbSsNRI1@>^nW=aV zqvWlw{oyyE2@D2^op`eVjW9t0!Bi165^f6khwxVchL%dOLU>{cf(=5;E5hqlCCm9u-v zvMcJbt=vgwV5tE{2@Y(O15rFfERSBgzo!TO6PW`5scKw$!1QB-|7|#qGSX71%5aL= z254{z!V)GLtpq}eSRzI@fPjwf61qepqR|y_McC-oW;g}g13RJIa26?3VzaA;;eVSA z>N`EGH>PDrFw8BQ%R33gRBO(cOU2+XBKz-0@WNP!|%h$SZFDL{W^ zDPQ&MX^4U_vUvODh9k9vj9_)_{@Y|wA4Ki<3PVf*zz#KGiPR|_F$HiLRR$GEHvqH5 z0A@r2fSnLLQ5ajEn9pMIfxQq470^twthssy6*yT7wjrEOCVJ5!evRA@%aD&LtfFs6 z0O&A4?I6Er2uar-I&>sbp-{-cHbIl1#Zn>8snnH2pa`;JOf{egqz&|czMbNwgh3E# zZ&1=Ju)}aG$=oZu6nGY3;uSgHVP3^DgtAK!G$P37DZ6Wh6H$!-2%tIsLMB5k3k(uQ za|lTp$!aiaBbMP&EW7da2^0{fM)?^EY~)ig{_;?Z9R27?Vz8V>{<9`B6&q z?z}0wiN>|(OPy;QEMEL=XX-QNOEITUx1>2eFC`sa>BsdxUUwzmC97R~i&IS#nuSal zw2Ia`GU3K!-?m%bKRD0t*?wnSOz;2=m(_cc@{_cJIzBnDX4D+9C_TvBuY2*!@3VRP z>V$0VtgAhH+1BOX#a|?)bD3{%IBBjiuG7n(#d`VF#LVxox7m=W1GkckqDuG8DO}v& zeo#W^SwDDDQ)ffM`O8X}!4IMngo5u{3+@7;SFWFG{?4vG&#U?G*t_*0gGB{SV1#h?Mrc=G9IfR)$ z%5c5ayU~+^O&TqKbgH8@dQhXFZd#`2`E@eavek!)wNpleF$eEIve-4t!R3XLW2}&QEOQ zdHLoLvnDNkCbro5&1To2c9#kl_3i&j!@B2`YstOMj7E<*%=PHqJ#&@wvN5Yq=(Osx z$HSIm>Ttx$)apd5oo$va%*{>?5Bz#oH~2LCzD2u~IqTKd{#1H>Nczeot52PtUOhH+&bD_0eG(U6c3;Tf_2Xx6!_Rf|HwCS> z?KkY2cmA;sJI}6o*RIQq^P5+BcBvKJA~A;llh#~3&0=JZlw~xRWfV8#vA_A9-Oc^` zxd(lFUKCVs%t>$ErT3F=1vnj^oLR8$ioqpr<7bn620fqGrSH`HcSS4qIa+qTHHh7$ zWxr1b=hB5%kM0&NYQ-?_R$vviK>t?Y{Spt8V%sL?-kL6Iai}0;&HV+gQhHad#Qj@( zi*M$2&D(hfA{-fwpl|&U_qoVa4n4MA7E}H@!6>j@|p6Umut<#-;Hm@%6aXy^}s( zes#xr*UE&QFY7in=+-xXUFN_Lo%f-w=MUd;^3j{?bGL8JWpA)MGW|f$^}(4s%MJ&; zm-J1V-a5l~%gw0`qqi;ddmVX6WBM_(rJXLXjM~mPwsfkyz`elyz0;Q&Hv)d8eO+|M zKi_=p^`#SfrDU6Y>QJB^YiJcadD0@sahvK-)&2gcSDRG^T8#S;uUtB(KZ_@PxwHgjxd$A`6ZuawTwdwXwc>(f@2&+Wzz zH@|LPjYBBmz!N8EipD zJVbsX2SiXvNPTK+c_@gccf&X~`YGv@Q;EcS#;RrZDD)cKWw6|%>dpW-!3HOt5= z=)YNd2q`vdN!4TN;Y(l&LjVjs5@uW}SVp7>DNzeW{|}lJ6tiU1>r#{9l*5ZIbr2~d zJV)Jzi#LwS2K;svRZomJcmmKXA^^7q8Y{$7HcKc3j{^YFG_VgSxV+TlQm5H$G&>Vo zZx9+;uz=h_c~BLtw<9P{5Lf`>Aci^>>MeZe$bn;rjR+o9GrA&iwQMP<)okDbC{Ar) zIk<%J1d~Mtr?#Vj13U(pa~MK&KoCx7t`I!d91#s1K@6dUrGRH3ukn9TLJem(CJ6y4 zK}iWAC*hw5WebYqgnwQor8W2gP_*NsEs?{Lh!`l`oS=TtqDt}BS7kVrlwe{fL7*oR ziNLo)2TX*Gwre(r$rp*C3&Bt$~jKQRlh@wUey2i#`|@3MeSHpth@O7o_opG%@=B zguqb=aA1R02IvpA6tkPomnfD2sWVbdW|x-=km7~mNDG5-geVALS3^6viZlzz#OPp6 zX9Bh<@c$e2~(?R{=&5fTj3~8%ia4yc*7Lit7oi31nd+^Ga$4Xnr+hl7&fAk%6V5 zh=kS~z8Gn3bbdexgD+t72_u&XbxXG5{H`R?XNF zx*~vya^loGi6PuzfhIp3E~s;nQUO+<3N}3f81H#B6u7`C$CEj0BnsXX`8^qNa;gw1%4!%+WxN$jGax5W zmy1+B8*mPwLi2kv5M&q7rhmty(=X|gPhT1}%$ zp;%V(A~fOhmQ)fBq)Fjt_-;V~4-rAXCO*cYfm9+io#M&*%5X-Uf8l;WjTG(qz!$$u%k6ate7UX)ZG ziMrzdHZoQ zsp2dzT4MXIZqc&9#(@Y(Lk%ORg|zkH-7yc&aKfJDb3&J#V1^FswW0QAfM}veoqIg( z;*{;9PS8!XauzNs?Xqx#o15Ev{^zMS-G1ba@O^*3^?*fd_bfVk+28Ge{aX zdG{UvFM~Rt-2Y8~aK=VgQRYgW>uEYPQ~HFaYxwDnUR-qwSUvp6ivb_+)2vc@Xr5ls zSO1n*tKRLFG}`Apqk&DTS*z*$o=-k~xTuR`^N0Pps|I?k)jqQBpocN9p|-K#xosko z+yuAL=gKbC+1~o|`z>AcO`m9v`7GW%djI*Cq1PP_wFt6mUEFj^Z`)4wTLz8$;5|_9 z$MRL$7vDSzoi?ptRFkpWIxji2;zwB2rglw+M@I2_bh0^NSSEbxcY5mB>Dt|*ch`=o zo4D){&u)8|%_j5v17a@f9CW+AJ%n~*gXf9a+{g|5Q6o3Cy%y4QChzeUmcg~BpGyr> zZs)(PohCsuWh>>oEip&x9S={%DPUI7iWVT>^E%claRCQ zZqWVYX-z_p9^6>s_RV_2h18yH!iOy$(0X={9>dwC!H1Kbc8v0#|1EG>di{ywwKVs` zGj4Y*E_|^4+V{87B}V?wSAEQR-g=wu$bv^l!?InEO#gU3@Li}$-sv9)u9|GOeg8W9 z`F+zLW)C{A-N=7Ci7gpiFaFh;vDO7)Tl*!vDQ(xHp68&+U0h~*&(SufpPtoC`|7ww z8naJ6%Ismcsp~VdC!5!_UNb(UuYDj|!1N0YIu7_zH)q7ylDPQi!TGGIgPabk>ub~gjUu^!7*P3UTHq|XTrODUWv(4+SS!``QPUp^K<_5D5b|VG|BAf=U$VywE z*!Ws{S<#Zq-V@rdnbE#kv$R$j@7jEN)!ydYsX6U@Y7P6?$=J5E&~scXt+(G|f5dG% zk@`F;#cuEI<+B3Q6HT+;t>k#xUrzkx+9bBi3cJBxTT<+FeROEq;%fsJx;H7Z2s-5S9YAtjA^wo8i~ySK)T3*pU{N-SHYcjzF^T%ytY)S(><>^mO4 zcVN%~o2eE|?fel1XM5i{@itOV_h^$rMGIn#qP^^B8IhVN0s`JdUzI%2KIb?gH*$(c zgy}Ntu8(%u#Q4}~%|Go>c*7#|*|F>|pY>axZ@=fC~?bB~boZ0=0!J~|}i`F{t3>$o93O{xK-JZ@dy)9#TKVH4k zi#ndsb=3MJ^Ha;7J&UtAf68fVHw|}_b~=U*_3m|?pWwQF)rzwrc`0v~+taR_Cii&$ z;5cVTt;d-kcYo-lq@svKNQKi*7Hlh26lJO#m4rmlZHepD(asGFJ^3cU^{M_8>I3!N z0I6A(3yEcVCnERd!Q(_cbWY&%tSdNqXUqd$X{76?;qaj;B`tLYM zbD_P3CqOa=4MeE4p!=B*0Z)md0*36B$ep*ErDA^D4O(RusyF%Kx}B z)t8kfp*uS84IyYOD<0xO&;W?W@v04{2At32a@b%y1PvhQ59mYzWZnuh=L(o|!kkvumhAMxKyQ}u}dB{x zp@9lGNj%b!P$z?|s+smLrcq9gdR{n$#VO@5FomL#9u+I7A0R8kCX4}`zn|IvZH1|` z35sY1!=sbi%>XqZAIWW1hEppHsWBj^#5^XKDaJ*hreuP|oJK>-1`B=i3Lqt(I-8(K z^D4pjln*$dYB>C=XZOD^Fh$@0zlvnbKpbqa?xMg4-wq`rs?(j){I2>0L3bwz@DWhZ zp<@KvA2cCKdFW+_FC;+yTX92EV|Nr2;@BW@K;yd1a6r?bx*I#t7rJXkGL8SZIq6QMiLW%8NkudpM=^4{)^)nB>Yu+NdnAd%GPL6rayQylw5 zod8|#3`AKHNT2cfe6f%V!U&o|zrHNfpr+A=CyK4Z5UkE%@P*YFZ1CiPtBJ>}5)&qX zONbdv1BaUknls>U76~~5Fdp;3e+Cw3#Yj=16HS(aQe8@d0%(QTL~1&fuS5?UYG9+@kE#iY0tyHzggx zEajJ0Oq%@Tr4?NXrI!LiL}DBz&#LOR63P3&Tw3u!Rd!cOAxilOS3J`G@zRQiiqcC7 zL<4nCCC{pAGEwLbWtVa)MMFyO3etJy#n4J}3;%ejs)E>TkSGxkezErPpxa2 zKTJN&Fc`a_mVn_3ySYC6H>-hvmQakldx+_|u5 z>b%#XJ6G$C^A(x2ZI`mSb5kF>rBM@SD26b>)(AV#$ z{qe`&y{E^TJkl{sVrU&s?x;6Ew^ctz*E0wACm&n8uHTK~2Yw>$CWB7Y3TfoOwtxLN z_j&WDJGM(*aIkB5-@>ue0@6Cg{FozZWjK8PNa3v6;yO%UQt6x(IL(&-wj^Weq_e*| zkBAs_;6`Y~mfo(SNvH1Wn=XxuF6z@RWqhlh8q0491H?LxuV(c#Xc@huSyR8^8$FXx znQt_>&L7%vPtLA49w+M>hYP+tFRImajLYL%-2-p9?|74X=T#Tpu0s)45l_}+_T87H z)7^dC`sf4hjfMI{zTeSIJ+(QY;kuCRmyS&eZ*G}vtlusmE$rH>iBr23H#YWj9JcpR z*TN^KY?~CUAKvrVZJ$2Z4iCG=z4BZTIW}ruiybE3BQF$c9By_x_uQPZaok|x>YZ1L z&y`M1*J<={!q6t0#vkh1*LKM2%^v4%M`m;oT$%Xnfqnm$15^4qj@~(bah$!^<#gYz zYt0XN2W0myv(h+ktD|r5jm@lo_VI^a`afM8mySG?yRbLA+s@PH-1He<&Ahfp=M31A z*EiwVr~c;`xO9!Z*G!^k?Y{Gc;RdtBM@`$lJ3Z*o!TOClUEDopN|Vq@5#hV0*S)2g z+o;*xj*V7#$ldp7t#3Tz$9n6THNo4MI-0j(PGlsPyIP7L0D`ttT8B zD1o^KtZ z4i!zi8~W4H_Lyh4@GR^3yi4x&VtnixhP%HuJlZ%Qz;)4jx13I_FYi{=Z+q6HQys&Y z_ZGF9FBe)DX6U@&X|%tP8&dMlr0ik#3Vv3v-8wxZ=XrHKSm#(R(d#?SKM!`EJ^JcO zUb9aVkMI8)xX*NJuM5i?t!iu?Ze$fT>fPpH_b%`B>Uws8LqWGQ0Tv&0puDcU%wcoL!D%n-}d{l9RfkvGul-T@7xJ`StCD*{$2}PTg|a{L|_42fI`^ z&8TNn+)Y9rdM-P5D!`@Sl&f~klA9XZ0eNTulhx5EVqQ`#lXEfiKoJbB9?2_I!QmA4G?R0kw_51wPq0sMit8_H4DQY3hCYgGMTV6zvm-p~*Hpm~URkZn6-EG;LP>rv`@7o2 z0L+sR2CFQRff)rcF%l6HD23Am3M1UOBALwK=1W`GmS_Xi;s6t~XjT$K+FH@tmUXd^j>cRmn8`VcOpP)ZSv@04 zicch4OnQhx9**l&pcDyuczYy!49Z}s;%TVH zme%r_010?>3}YtX-^A4e6(h{9YHxN#Ws(hTOkmKUB@C`M@R$%HXCV`$)F|jk6)QMY z{Gq1dF8B20cFR0H)mCG(U<-v@&=iC97ZC(>;-RO=p`nPw0eP{aID#xzQjgK{u||d) zd>K>_LmWLq@`;i`)q~9!h?$@$ zrwlK5^r~UEfXxws7#g@lxJqz4BwVOybHPvmj3r1b6h$ndccZqQqQ-GqlJgHO>IH1lZ zSb3cf48}a-F_k-d46+4Qt%oWgs}c2jA=WWd%9QdUydVXBO#*NV7OA3)ge)tep6M;0 zC)ffZ2PAhr2v-Q?*Q;KY|Ll6Gv6)ovdXUvf*QIFUNC)P+zHWmRpTuXixDV-@SQ^w z(81&b9b@Em#8LoEF=+%+#VDOhP^sB$pi5AJhS|WFlWI1KJvjKQw41>rm;oW`*68=g zj25y*JZPnmayE+wQE)EN^I zI=oY8^+Hes&6E&oM;#t9z9Om;;?foNZmPN^<5)B7VQpgzpV*KKL=G3;g+h6Yuh2}% zt8^*ph$JXGC3S4RLNn!`mx9m&?B8@{cdeKVQ8XB_HRdMZZOSgKn2=C{2!`7EDwbm0YS&-2G#OL0E$zfTQfvif6LYy8=f81e%hY zR*VmoT?v03)MHA@{UD^NaxwwgKxBWEjuOZ${+GN^de^^Q%Bh4CReC8N2}+eNS4x~xzMCr>VM3iuJZdF(RW%Vo#eyWJk~Ie$n~G;;z<);@m6E$s+L=`u z44ypdFi|v^QroQZQg~H3RFvISmBGO5B6FzpQdJX?j%OvOo)UvqO+>gS96Xi6mAs0L zVE>n(4Jddac#;*?D#95mf*#ZcZNPb1VaEK1HXut>OIdpby=X!D3S3bv_zVT(p zJ_*xs#yX9~{l16{*Zm*z{yU!Qw*3Rgk-Z7oJ1cu{A}g608Oh!mWy=;Kl!VA$8QIA! zvdZ2wJ0d$p2*2~K`rPIHzSMnR{eF+%IUnM)h1QP*`=_h%eQ{oQ;TEjM6iOp#QRn8Zl#b#gZ^pdM+DLP!hhFCL+Wc;S zglUvpy6`6R24#C>?*7=DmhjG1G=zrhe$w^Etf%~fSYN?sYt0BWo307QW6NBsan`c# zG#@<(R$v9y;mZtyvo#i`WJnI*9%)FL04SbirrV44APLl7W0 zJf5`g8OE=BtJ}b!R^7qK=x@)g^W4shGEu3k&IBl^!jrZ1f(<6GJG1ne` zY13R=Ae_qt*}}mW`B3@&>k^X2*Vnmd;pUNwMy8d&h|9s3aem+z`+_ML*MOy~fo&1v zZF^OWo^`YcOGbi|;YIWWf0y1OsFo+Kz;ibbSGUgDy=Avx9O3_Lx(mt7iW`x{8qE{9_>+`4;{grpa zw0*jnE{^e){h|U}Zx62d<*6X*%cV5j%k!f2h`CXSHKw-gPinA8FMxVgV@aLrYU|U; zIm)6>eHYB{xhWlx56$zcHVzc~+Ik3c$_ykBwX`CODo-7pk9c;s_!-seMD(Fd)cZ}- z4X>d?9%_Refa^s;x-|0`Tda1v+F2)8w-r^A_A1^^#yXpYhuph zoN0DUzI;aS^_6_BJ6Hu$i$lw(*o;V~@+mqWo6g|E=e&^MfBYgY;t64Y7u&YA0G*1D zy`%ic_OnyL^w;v{-vaIIWGjj5eN$UyzO|xDaR-#W4KkVp-Nsa5Lmb^DuBW02hrQow zeoo5x8Z9smx|;`G9mTHdzgwwqTrbTtr)-aQ(P)QG@YO8p{)iomVI2~RT7;e9!Ai!k zP{kyhlnO}`Xe)i&=A(p9ZF%|l6WV}c#@nfxx#Kl`$!R%onRlJ>T%0$?hBU8N2@mkD zn%m1JFSjMI7v9xeI%msrCW22}p)#o5R`j{@D~gfWjm^^n!D5s-|6Gyr zBOia{Vthk8A@RfS>x7Mf1dJJIOahugfhZK{>j{~FpvZ^^bSDu4y-IkYfR%6C^>?Pt z4KQWMX7dB2f(J|&L}f>My^yxdKqm8~(9;6M_yBn`=QcA3*=#caC7A#rUUMTL^$QXZ zfL#q0Gk<%$e`n%cpe_IqTtLc{9Y|DyMj((t3{qJFDoJ=x%<6zr0Ao<(%5TmOngp4E zLR-)$&>VmjVCjOUCct$)9x{JBjDL07;DoXRYCV`Xq?av7MgjQqWSG{7U&x#r6bP7t znF5Lm$XD`!vK`PV3N$walA2sFPRY-q)xQk%LG^+F;KqPP@85(7A+pFo)frMQ1uFSZ zIwb&0fv8V&nVOoLfU0JYLE#7JvJoecA_RYd_zZfte|B;H(!9ZZfz0kv8!2AkokHR} zLGFJpCI@wC;249BpP;Xz5unxcf!C1R#FXEd+X%qBoS?Zl7gW2LZ}-w)IwjwM6_EY| zNi%RvK zkMe+!iGwQvM0}uT=l2sg0>{BjfD^FQ00wu|4jU9TgQ6Y4N&@=uMm$jLhi_lhzq8+< zgc+n^!Ms7N$Oj3ZfHVc9TP~y>ArK)u@is9QH01>4*8mk2G6llyMj!_Z+8%*QDbTmm zScnrUpZU!J_*?V-UfKn|Ip6~Rza+#_qVVLd@kU%+V2VHkUQiIEV?nDdz&rr$kxf8n zcrH*Q#SfKZ`{u9wrFnmMO}N2($qm>ypg$cDR0Bm)AXFDRu_ZjXP58m>C%|n4darVW zhP%L{5d=!*psLW=M3Bb>`lE9s(DGy25FF*3oB8{4BVM6j%EF*c0b&ZlnL%|IRG2RW zuJ-@DR4`2eGz|zpuuOk`AO9;;095~OQ~>A+z(h=ckpY4^>OY$vhj@S8;cqM=h{QOI zZ8{FEL79SjTp$z-%k((324xE5wuNBAh`*HX!&)n(M>1$)3~SV%T>+S;5cd@v9O$(| z6@wk0FhsZ?ay!5VIy6ANUv~K=?y^tV3H7;A6M|A9Kh$W1SVaPW|O|$Fqz< zrRa*Nw+akzEOGIp1heF|GnOh|zNki&SL8OSUObCJhFdW_ZofT-#HriK@u)Nhxqn2k zf5&-!NK!rd0%x}JB?P%$<%OHCGMu8u4V{WlcUF*p<@#bKM64t|%_(clTv@6w5_JQ= z`7N5eycDTOTmQ}iJ3B`ZWo$piS}9HX>f{%dwczB~m#+MBm#GNU@LL5XWs*2(rI1`j zDep^ird!1?iXvS#dKc05&LulccR)ucg{7ro1>SaD;qFC;21XX<03Tb_Ys}8}rD;UB zG|4Cil*&hQatHSYv+ z5pq^sNpYrC0dyvNf-D`t>TeQvdFP=FKn(#!bSn zZ0r-Ye3Q7(xp4U>TB3F=eNiw!zN%L>F?8AT=)JzY5v->0s@uLv?cCwWl@u3D&MKwA z1o9ASdhZ8F@_O_U4(s0JSV4Is%MD*_-M6O1_b)DLX2)$=6Nr)0jaoidiP^--n`^Qy z;SBqPE;k=xtN%_--|Z5%p?c4m@Hk15GO1a*FlVb~pKh9W<(crqV^*3P^GnYL14SI=e{$anpxrvAHaqi+&v*(H{b?W#F7k zhc|NkL_V7GCY_M<^F#j@1YG}usq>%w*Nf+__9%M0R`HNN>~lJS~;q#aFkMUT1Jt zw9YEK2uEbGW(2pU@Nrv)QwFQwrA=QVWfo!XbzNG-C7m-^`J~_}>Vk^#U^AlF!pd6M zHut8peO4}idTMl|yiV$k>t{4d_)7Um8`G8oi!tJLqOR#}I0bOueeC9f&}HK#oxAJp zfbJkfKm*5`>%7M0;QzpnebCXlpf+ecySwv@d47pf>Wwg;s{&@eH^M8oVi#Knw6wQu zMw$zHE0yzCS+uV-I%p|qOJIyt?GS&|XB6hS$j&cQ>BI2UA#j7*ZhDlW<;wOG$Jd$8 z9lHhAGP|KICjNM68C%qj{Svu71vD>ff{Frjua_5STa{ClyuR&rp1Iy{H=VFAf0vtL zx4&acbKkU`F1fn`V}}^Q{Ss+gRIV1=%4*5zU`K&%9^#`|no@kt;Y<#leRBK!m6kI1 zP2;uc&QmM<)iqtuJpA?|Nx(aSE>I#aduPp9BP#aI=MP!!1LApWK9byt0RgBByEm9J zZT3Y^eMlhv$~I=3Twqh-GX1pR`cTnks(&q;oxSnIcyvNY*~-OU%+)OZ2kv)7)(rYX zo({Bp@<8q)GnuuL=cYfu>~kvW^T(zqoQM<|Eq5{KipCTL4-awe@>uqzOR*@Hck2*4 zC$lflG&tXNd1^b#i=)z5BUIIaxn@XfzB=>u*;V-{y=8nsZLJz18&&Jr%zJd_D#UkR zC)_M6y=OSF_Ta6Z?d^vo>ux36SCqL5TOKcWT2Rw1V$GPM6{-)`$dkN~yG{QBbwg-( zgU4C@N)RIgo`Cjw>eQ=&~nvCv0>&-DpJ5Davl3fNCx)1CNmH6~id zBSF$G55=59mi+F=;P?GI1AAmQS+yS4YO*#LcD&1f>R&1Qgh_*b>q}D34AClE$XnmH z_T)T|4tG18Fx(ylm)Nkdeu{+jO*>QS^o|=AvzEDXi)u|K>-IDwD5v91-gd+t0{!2 z|C>>PSTsLOCkbFs|D!2rvi=)WKA`XqOU*zCJg<`n{Rc}BSpUutMC_0<7pN!0e^h+; zOB{9VB!LV#fV5ydRH36>TJH~YfN4Qms=-+E zi4XUOIYdYt(BNU1|L!q?k{}R{!F>6C_a5*7z9HaD!W#9&WA%N~Kz)uKbn<~^e&WdA z&AEkuhz|g}VXXOgCk)Vp06q?L&A&ATu@yv)1IDO7JK0dz-1kXCT62S%4_M|W-pxPE zfz}=1-oY^cg;okRItW1_^f@4L1#JqU^ql-AgEtD)T)>(%2%kC{ zI}}B8(UCeZ*nC?a7pJXGy7$4AD7X%yg)u`9PaY?+^T^un+!?0pkq37+r?*Ff$3+rc zoRrRvJYTrMc{VOmU&Sa{&EzAVZ6}AePKJ`$gm|EgXm~p7)w^ffD$Iyc=U#}h5NKgi z4!9INs9_3b#~7v_Jhx;J6Yt`&(}R6M?ctd$s?o){fW)iOMs_VFd!3~((KcK-;Iz1g zW%DY;gm^n>S*5We^jnD;;8xTlgw7zBwKtSip!5V18;aRGb=b^#P3tAnGo)0UL(O`@ zo%iGw=1tZh_Opn*D$n!>M)Qa6Z%UqN{p@kp5zeo)iXg$%fMCPgpgcWLEVa5yD0&c! zSPKrbxH($U#Okruk|0jo@{Su-xX6V>Ygf_GOm$DSWCS926bJVm{Y5Y7|XvD%&c)oRn>M#CsRprfCbSYVK7w|50jg#rNeWA zKPT2mhw$dpNf}MsYwUN?>JgX}vMpcs*=cFL$gsq+3x2^ScMa9bq~dfRV_8BIi@0X1 z`@4JjehP;B%&0aqObvovBB4|t(iJ(KCGm#NHQ6|EB1drR2M!?6Qu%bYT0I*vWklC! zA;eDUER*c`P|j~&_d!+n3P=3s&vguXPotcyXqL`A62E0x?4U!QGxJ$!{;k$ViF1}<$1PTFLnAK^HJPcF_pd5@E6q3**IEg-1jnJMtqW}tT>tv`D|hewsB-D`{AUkD z8sdd7Rg_9h8xLoT>{}GhTaRwE?@V{^3I}{>!(`yJP@gorwWr*T5F{a4bS0&`%cGYR zEiG~YT`7@6z(aiIHmc?6?dX>Cd~>O9 z?%FkhoqJNW%%Sb1mAjV0Yw>hkcRru>O^TM?dg6oL$D%)wM8>#zmOJsyUV0k$su6P% zekK9iqGZYBK-X1$SYgDGq`{9n<{!d{Z)yj>=q-RWBe<*ofT8gFFycr;;l~V)zBS)v z7Jf@R=KqC`4`urOgTW6-dXR2j(BIf!Sn*Ki5WQwVNCX*cXj7phxrcwAG%$s5RAGIm zfZ+7;uiVe;{XS_v2im>Je``9Kpth(;QeDbQ{B zgE{j87Yfoa6oxsJPw?}kzb_etPoP;eEJytpts$t1|1byqXFgb1vj7yYT?p3byr7jO z47Wl6irNm-8u;rFT0Yd$K^xCM**TEy0yG6!=1~4Rthob!9dPqung7XI;{nA4JU}57 z#^j+KZy2KkU2Q;Tfn|N-QT_hdAc#9Jkimm7_@A6P&>;M%`UHmgpPV^JM^HXkQ84Hm z`v=brQbNZG96_kjfegY)=HN92@zqi7E)3HX*9}a$A%qq{QiU=VfFj+)I;-5^tnvU< z0){!1{|)1DL+H}r-i2ZPCnp_LR|A{`){DaRCnp_Luz=JKtQQ5;?jDOBV9XuTU=T3D zU`_tSlMXf=l0X-P6}5oA6DN%fF$K&Rn0Et|4kN6`1roA=0t92q(AhT_D+X*4*kw0I z-|z1qu)i2B{SpcN8YKNEkotQO(l2G3KO!UnP|ER#5z@0OV|HV*xL!lr>pAy)Eo-$` zOS~@5Dvr}WH905gOV4R}C+d!BMysOn`y~-i8kvh_GH457l?IMoNskhRc-l%wRK0%h$yt*X#-yFSD$F5shR-jC{Eyp(ef~yjMC>>Ya2I|IS#*Wo%Xd zor!3ccRa>4FX89+SNbI2&cd-2_AZt6cPY8w55iIChewGi30KIFWJR44IOVcux@bmf z8)nHkOL}HSa`j{W`RqWtPMNUx%Znyef=L-^A?hy~gl^KGLvdym2{s?uVRLa+u)O{v zEXva01zRW+M@$3b%>BhBBTR+==VIr0+zed*WC^-&}P1qJP2Db z!{}tMe1m-LON0Ohu3u#iHR{b+>;|bNCx$>zXg2uD!$jZG^1{njwtqt5uUCn1y5N||D zdZ;j;SI<#ao{*iM+2KahE|e2MMtq@EZ%Ns7nnl>Ii!X{o_a+W<<*9L;2P1~M;xi?w zd>Tyf-mg77+`7Sw*pPP<^rPO~_A6cQFcCi{yI zb#AtA+3g&xo>@fjmyf5`9W5|Y#brsg=7uxMiAF{o#onBh*2hK@#VS)-^19-?e2pW@ zQgT#k*WS6aAm1j~6CpxGHhsl2snqN?t*=H5N#8i3yiiL>sf!L?!4rM0#hSZ3wE-Hl zo3_~8$p}?RADA_U6S$uUx7th7%+#?wxp8+Qmgthm6pKGPhHam_DdS5+KgP>6w>CFz zXJ$7x8E%|aDpMr=%xs+D7{cH8Le}?Un7S{$73~Ka)->^nyXSQX^;pk;(lf;TgmGmg zMy)tPt)Jwx*DFcR*``4zPkzHu_cF}9j9ampbrA)+L|f68EQXgzJNnLgT=!jlZzm>L z(1L@QhF(gyuPVK@=2)E z`84SCk@E>->m`XdZiEj%SKB!%J!_Rd6T+zz?as%M_qO(Qwj)Wf!dD*M;X7tAW9Kz_ z3x_}XjGZls>S&w_S z@|H7dW)hd(B4=~HyGNGv)x%!yj(qv|kw#UyK4MLnSUl}_1&Z!WnXHJ`S|+m1%$24; zd`dy*MXFZ9v|J;uC$@xXy}9PtD2>QCd%+rehB7fsPg%BqNwH)x%qsczeaAqx$`G8}(ED9h?_$pnbUE8zE3&D1$N?Ck z;~!+V>5M1~=!Tzqa#hBKA5h?Jiuo-Rq7m3!$a=|e>malisa^VY{fdseM7y4i%0l@_ z^qt0PGOvYvIm9jcP->PP8{+kH|D`+9oY&5!yjpSE!>^Hxjzq}c;wx`^92ZH=xLPwA z$jwqMV$XduaT(cKB)D)#JfTlBP~XS&eoDDI(}fT_qcm&k*ajUEmv=l z7x#3?teyRw-nu;j;kB(IC~_tb@-Mib76I+yG$ zOuBGEvU+cqG(=ItQgc6Txir@Af}*4PQmW!1?yFnRKQGK-XcEwdkLVQ~sG7v^dYMoO zqIkV^QL22=(>{52L?7qH_~AyWJsGp)<(+z>V0pCb$qP1)A(MS^zIM;ZPVXx(%u~G$ zG`LTnrQ~-=nY2=h9Eak#pzqKvn z9&>%MWaAa5q1LnPa_i~Ytkc1|^9Y`!+Jo1Xp12n7jUT47Y`@h}IoIiR+x>ZsWu@Uq z=ke+A`Fes?%GZzOktLo2+TXsm7*BZ*uPn%To;dhQ>+Z7trxN<+yROdZ|Lc2d6 z`jzS-#)n~8@jDE`1*kOOH&MV}3bX5cmBT zh)W2m75E`$DD*?jPzaXf;J5$OkEr_J5jO$(614Y#Fz^6*9r%`fpj`b(tpijxg8J{1 z2#^*=#ytFHg1jJ|1@x+b(wUhl5biSK0(vPx+suR$T8Re8|NP_w{=10-)+3+{0vV*tq*5TOBSmlK(r|I5UGcKQF^#39YOfDj8cME8OhFsXrXGdob>0}BDU ztdnci|CfpX9Bur&iG$7_pd#d`-vk8cfaE72oj!oz8sLJRxZy|LYM{#hzn%8KbBuq? z4fL%7aT`c7fKVNX;RGEq0Q6-9>MnSV1%%9vfxJ9mE(6s9K^{S< zqJY0BOT-J5GJ#+mHQ>}kK)N5ji9n44kP`XZ^FF#t{_1vvFcG3*1?oP4%7PFzq+L4C zi3h;~NDuv&yA7RUJG#pMfIT4qC8aO)v*~wWr0fI&JTwOemN}$L225NBn#=;r z9N^xNA{ywegQlgxGKW+?K_fImP0OMMn{WPNf_-1y7a} z#R@iqj$=kp zl&zG#eme0WyLC0TKG-u+PbKg)kzu1Gvn-eSc{B;z>jsGdXk0k-7wH*B{V7d~xl+$G z$*USGJs}!#h|zk+5}a-<{wAxTDpmYT|7qgjt>*CQZXG74;GiloPj8FT` z315vU?A67ZWXa;X1!VLIwxD-BZTW6#E-F3=0kD>((;sW{W$M9 zKl9r458zr3m-R=_WYRsn+pEDLvh`c>P2mPv(AyjqBZbJc4`o zEnW3Ug{JOEZuGtFdM|mA0OQ6LY{@%;=<{4Mtd3?Fx4)3gTJE}0ZuP!R3Jx--a(dub zRirYevpkwm&e|t@Zr-Idw{7l;LCl8@(-mt69-TV2q~9r9f>a*AkHv?BQXGyXIe z18r)}Vp|=YqRyn-1vZRLSFc58U*{mBR2Zwm+c(yUcw0&0clNo95c9xLW_+ZRjjl72 zYho?m`Re$=OQ5BB+-d@KL!`+IjF)IwJPWK9MGKhz@`1)P-KW|!L+A!q@FXef%t9Mz z$)s&fZBtT{vxRwGKj;r+TSu{P?lw}1NoU|m1-Ey?K#@&1c!p?QCNH1)ovRA*~B4 zZZ21oj)g0zjW(53F^;%nbKMdzy-(8Q_Jnt9>C$dpeiz)6v2=QDj!;K_ogFkphx=SU zFTx&)Tp4A27C?h!)d*ZY??MH5uE9Z}Y%v9Y@jE?I=>@4pofSQYU! zzAQAX9g(*y^4b5<%}JpMv35tVr@4-*pIOJadshTnR>YfouF%TjNS|d%as0Rtd>5Bs z3aw@4HeI84{8;gm)Jo^TCxaMYQWT6WsuP4x*)8CCo+2dVY^mEcOi5Dm9fDMX7ZoZyKQ@U-fGkkb34%z?0@G%`Eal8b#Q; z^qw`w8`3mQ=Y%!_hJ-_k3T|dq#Qj_(eWUeJb{87bkW?%6=RPa^ymCoozWvqbsxiJ? z8BJ8sXgIs7GNAi^}CK{&c9ax{6>$*u2J4vV5g?dYj|Uwe#v zkLz*P)fLa{BK5lrM>pP0(=|@#oSJGs&wZCkE+M66+*Q;$r*|WFB=ZAzqW0H!&#%(3*Q_LR^rh0`> z(3ZVcWE?H2xFuNO?h#*so@}Kdj+Hl-rYnoj-u{qWQ0CV2ot4>4u?a7}Hfm85%c|SX z_M6_ZoT}*3K{i`w7=3Q(M_>ISuBtibapCaO(4L*i8SRVDF_n0?C#TLV-g-38;5(@B zy6mdH7@}dH6MDd-TX6ccbLPkg@a)4H)X<0#xcqQ7xRB+ zeEf_U9SO-E!9tKMDddm;Q>i#28XWxsndQ+R-{2)M{x?ku@W(fJi4O$lNBCW3#^T$P{JT2}JV(%F00>S3 zp-I5T=7;E!o~+pTKj2BfFkJrKZu5c&6v(!LCM+N-0Tbrq0==<;1OP;kLV)MQVqgm+ zGl0$Tahd>SNn;}cULaY*2lPqI%z-#FfF8{Sp|u5$MBo0-hI0eX5+9(Kf~EpM=mWHP z1Z|u7jsz&U1%IQY`rl9cXBYI}?e}+#j2F`27I2)nKm%MLc2CU<&>NsL@Y^?!>lhFQ zu8O~h=KkN@AS@T)=0i3ZXk!4uMFH*;-#iN=(1MZ^sHd2j0vS(pJ^>>kGcz-wkZmMr zB51}hV1B&kCz$r%!*clmO#}2|2iPrO#RF=^4*(CsS^@X&NqhP~O#Bz>$6q-MpadUq zT)jC2o{~VD2ySah( zxIo|>G-L+%8o)HTAx#-U(&wn@=E;%_7Qa`S2NFi0C#)Gz7Z(C*OkC!sKxEJi=llvcomHkfA-N=-S z&lI#R0NN`2yxgD(5kSAqOpQUO94^oxK!E$Wjs{rSG8TNy_q^taSx(ESUzn?F9w(58?p6(k}7 zQ|UOC21fn)9*1cPO4?u&Z3TX2bwP0IV-p529H3i5O3+}8`txN5(-h#-KmrVg=}~0x zeXV~HOZycG`?=ocPxL@JWPbtH{vLStOU2>;foGup>km0+O;_3g=Zs){>0HG*q${)T z5=2)sT#N-}5Qen;;3AAT@t@*m$;W1pmR*|^c}#P*HQG!Ky9$r0>gMG8c8VnB!b15X zCON??h=LWw`+WFubXePhobt&2D4~}x1frU^^(@XsA-&&Oi0rZN>sdr;LXf(L=a1YM zENK`To$8l{&TQ+yC|ZGKU%=jSn#IA*fZoKv;pK{o{@t}KD!qiYY{Qs-28HYQ3^Pcc zBipYza0YKvow^&>Qy-~ojaE)S(^%(4`qoz@VF{0m;a(6m+dD;JNnN_k+8|+SHi1*L zfpo0-?6)hn;Jw0HE4E(D^5xv*?ao!F$kV5j)f}=;Xdb=gwH?i zUsn*rQ8;n!l+69Wsfb*7VzusD>gTmk9Y^BkMzrrP8oN>;>rAKxE43;0E}?5wR>xTJ zW;xr0b)U)JaL~M<8D(Kmz@k-Zt=U*@p}gpzt!OtXdP_NQ&ARSY9xeN9uI)j@cz6I$2;1fkOSsC^`923OA|s6^ zkw7zm5{=N2s>EqcyQ|Ai_Eylb*pe!rUx~qX`RkmhKv)N*GZHJ#slWQcr-YXR~G>6JSgyhkd1f>l9suFv+H~dog znQvYi1l+``>_AX6+Q#s#B-YVpzCH2js*4$)tW4S6di|Z5Ra!(@DasaGe?0m!j|by2 zoJie_g~L~abXF{$MGU}Kx7ELJvVqHcMA@m~Z-i(j7@t!?REKjlOFb#475#~)d8rY< z4n~qK<4Y6`9nlPv8No^3cQT*6KhBVooHaOa@U{rs-OpxPf#bG93g<-Yy!&V#o-B`o z-b2UJ7dXyI^({VYK99wnNq|2#B1Lv6!PC8&U(tN9Z*e) z;3nJoxS^uq3a8&-N)Xj0403BJG_g=3LBbG!9Q*San*{x=GxL40M1kA>Jtg&hx zj28kO0Z~UB9HI#|T^E^}T;$&UhoZbmc4v!+UB9^9pIY%?pD-jc!^P^!ZCLk6m^S*T zfBqVe$F-;Ld&4TK;Qcgr;Dd->&!mYu$(q5LRWj5h^Q0e`^3TU(8k=O{#b%hB6kuDJ zAZOysZNTv%qY*ny_=k}=rSBt@B!>lqP4@$vu79hDBN2Ug;4bzAj!~^_P>J-QKu7n= z(O)1p?Kvy9 zr&g)FaTy%Uao?E*A_SrNV0UksY%rKLM0E7Gx%m|2;*8^yz_Hl6W$fT@?6f^>v8lOa z?#_R$Blqp?=lg{54*HuhDht`A5-&vwx6aAx$56fyc>mIqT!7bsLZVTbDZxF?E3`yY zgDUOTJ-F+xH*1ya%9k)*;LnaK(_XaKo{}&vR5yf$mHi@={>>wU!pe@m2gk57;7kIr z>EE-ffHUw7$od(!`u^h&=i=WviV$yJ5U6BAd|pTb_bBEE88dDWs&a9kC@5$F#LA2S zO$v1A;xgtjK9acO0?G?UK%f+KMl<7tb_9>7M8Q(N!E(QMsE)|(Kh}KYpZsD9viJO; z;tGb`Js1PZ7dvhWihp>4I^J=w2(&kV@`{d`g6a{7@FJ8cALnuP_+x7Y9w1~BwO-H32f3Kn&?9|VJ&=21cw0itg-*H7p5mM3p zVo!U4=@ef>Hq+|$F{jeSG?~E-1fwQ&l(tCB<;i$ z;+YgMRW|R#m>DOeTOM~G79rawMr&6?HCbjRaP#+!0^Z{~QC`oRoly7ek%hIMy^)1? zIB!Iy?&14;lcq}H-s%~b4)XQ>=8TFxpnJ8^hX34RVM!-Cts%! z(*@o*q}%md{;1Qa7*7>xDXWmiFUZ)|*t;vWQ(~2|n6Ng(GI5t*r-Xf6 zx!jerE~NM1MVWDm1xjJp1l1`8HMlSlc4S0HOp$X&+Nj0V#i5~lu_m0aKVWbTmyb41 zmE3L3b+e$#6{fuHZqqW!Y2K4a5&LL=f4?oK!y}LoC0H<;;GNLC0k+xt^4urecUK?X z*))F8{&cHSQ@^aW82_4um|l zQcox58rn+{ejQyrV?z6tDN>8;LcZHW<3I&&4}u!Bdg|2S1l7B^)GhDe33%GA1!|rF zUn_3nMVSdTHPyN!Zx^8~E#|dtNk;nng-GY661i14ZwIO>z2RKEn8ky8O6eu;o$Gx{ z^iiZ9c(bV-} zwN7)Kw6-j|q0DmA-p*N(JhKV6Lxyw_`_p;tjt6dct3ut?IdDkbbdw#e18+V_w;z0d zacsi5V$?<3&n(uCGR2plEgM-3BRJM^M?5#C?@9jzvkqPQ%-(&qLkUUB8)2El)6cJ5 zL=4{Axp0s1*#LJiU;CtesB*_H?kNw3edJ!p;G)URM>WfrbZBn%>zwNn_7Nl~5yP!ZerT^< zFLf8L+qYGI{K>5yk7@S0SYp?UdAxKeq$ z@5WXW7f#!taXt2B&4hDo8&R)a(^e$0;MloY}h#wzNRhvE3Nv<$046<*ErE( zxvEF{{y+Sqe{fZgK0n7?Reu)e(S0VLc@l?n4aXS0uJOc*zmY1B&!7yCeThJ zG;Dax6ruqu2!q}V9uk!Acia?Y1Yt_53IYW4!pdIB;zRE8uLaqrD%HD8|WABZ`8PMxUjz- zDA|@-yQ zNRT=l7o$5}bBO%_&En1WOpegSAr1eAC6dafiIwNsQ{+9Pswy9jW|A5Q^@m6q>+?UQ)qT+8G0(A%S{7)H>zGM>dkEQSz_3; zpLK!LQ?#fO@}*ES6cI|HFhdrZmEIMp_xntLj^T2Wc+D+c3fJ_9zI1lvEU4_JPiXYn zdYz(TT(iU0cjg(zR#h%L7E2fqVS6+BI5?w^yrB%3aun>AQnxTAeykaT+DCP*GiElh z^dL4^Kq6A4U-lJVz!snFP@8pSXLF{siN>e1`re(rg-cFcTcrzfr@Ba6!`T{|sZ*bt z4O7x7PGop->6b{p&=RJ;hHr-SFe`(*l~5zK$Q17GwC`N{En#J31h_XGSA2vLzu31& zE;a<)#%F7%-y)#=(nz3)9521mWt66K*mC*2oRb3H)B?VIatv7t!nhI55@YiPH%!&R zBvHd?nzCU^8#V1i88^YzP)-e|Vt1EtLB#!g+CgkuZS!yL3|%9{VX`o1R69?C0be#m zSVN$7ow7QC-Mml6;~JsrEcwuwF9%CH7QJ_mKW0(Cpw7$zdxZm4=(|PTC5tTV%$TM5 zOs(mB^*UQ?w{M73u`yJq_oCIjUz`z~BFhWMY8V_X6CWg6sVpAH z;~*{|H8*Ko!ru_dzu7kHwH<7A;EFU+IX-pO%AIm8gwn7Ec{2P4-%dg8+OzT*%pj`i`r)rw!V@AQo2%&V0F`{|ijrAL(t z64}$KP4g@3dp*Wt@q}VHiS3jM6%`}l^{GyNOXE+TmKu&2%^jjx*D{mCasYpk%Krxk z@aRrI<^Tc&>Q5X%&_3sgfQQcU4}!4Z+xioj^DX;$G^al(X@YP}j=2%Pm_llEK*<|O zszQI3fd}{-Q_zF~kgA|e0YB^H2?GuhaG5}t6ev@$)}QJJV5}9G0yq-n{h>_3sK1*q zVEKTGN$3fKQK8(!W81{T4Mv4k7XoqoPTnRiuvTt>vclM5DEIK#s9a#J5P~5L)1TbK zzvwgl%VaRf4gY@!-}|NK#1Eed5YhhBXL<@LtPsTY-Y)D;$Lhxneopy(NvX%~vgzqF zO!tWV2DQjjH;RQv-%YOFi?)5E^;o_$Oh)ms=X?T9SC)1xE^7%q77;v_IOYMFJWEJD zAC^=a0y6>|F+6j?$PI@r$2S3QJl4CW_MIGD3f$o&rI>X%Ycc4}E(Ei7UplSSU?_}Z z7c>-0C1=90=n%y~m(p-;i&0rlA}hI^o7t$BePuSlEN{uSMT)q^wOz`X*zH9u-Mt2; z@EAwRY@LAdYnRIq9wQlk)|SP~Ogs>fu;gsnPF5wxQCc5c(M|ghii+yEkE@^V?CgPH zM9h?V2YrBxvV!b0?^%@hROugr;83N`e(Zhhu-frOpw&40mb8@v(HwRXH`)+Kb`GUH~a=HoMq zxsTKacDPmYmm4Q$}pJ#Ec#-nRcpBo=@kJiy*EWTM|r;z-$fC8cF2HRrrb!POX6Iq<93U@U)SVV~`)J#6L zT=7oD)iWbs<9~*POY@4t1nub?-#IosTIr_f2PT3&mTbtB=Q0Uqxz8JYeJtaxW0<+4 z8MTw0Cs!ROmTw`k&#e-(A@WjRHu6o$m0gLKX~DF1QGG2pXZS=T(o+;da5-gtcvzbY zI9bZ5qm~{RYRD^O@Y*A!OTm9MEv)PDRv&XLqj`pM&t))^l9ROtF?Xz9w8 zH{X{x2N)3Z1nm&Ita6d>r%jisC0@RSdcdD99HADtq+J&0Ej3pEl-+5}h~F{Zc6FB5 zWBo9FM>M>2+5Pomz8Vj%JX_@Dm&B;%(=(0ck(YBc18!RnIbY|p!zkt>-KT#pmrmo+ zo828Tpsu-7t!o`-X5mR%T-L82Kd~P`A~KgWAs&Z|>fLb)JN-H%f(>7kCZoS-YgoSj zVy(_N!tR!!u}G_osfym7T>7@4j^6g+C9SYduMlRbO2MZ%D-`beqxs(Naz1A77nv~e z(~T$vv{a94w!Iiz#tQdspzFjq!k!^}O+1S@nKv=&iiNhbU8So_$C zvL&}ihM(ROuRfD2-^Z}WWs1IT^mV4IaFTx!wH+6wlsmG3(Pu4uOS`z^Mzs~+ImH87 zT|&Vj9TX3`4b~55v_CIpWqlxTlUiGSx$av*<#z~wf81ajmiGm4ssDUl|H1n@`Z^r* zzJQ1DFTAh+R8;`?41#R@THx^g#~&!xzswW^`Z_Q8@biKOMm!+*$OFpad4Vqj>IMNK zbz-I%!cpad&ISX3=0AIOgyJ0S;ve~oP>#?qrXaZrxSd=uq~^hvK)GMXO@S;n3_l1s zZcu*EF-u;MBIO5(RA@g4s0p03RNyXhfn5Yp)NxbbfkMG#$3{I;41rN=50?77UE&7f zB9NA($43RG|9|SZ{Y(n{dz`*s6cv8>ZG!)W-=;fu1GF^q+SXoIi_gJ(%Mn>wmNTZ% z68`W}XBhabCVbL>V*c&eWY5AR`wwH`|n#Cl^z5j>G$SbEQ8hEEu30jtaSqw6^pPdCF;z&iE27)_L96CMJ?L;nCQ16=+r?iMaKo z3Ru$2jm~jhu?Y;<`9H68m{+!c810~YrGhCVRdgRNIuwrhOQ$hh{rb3h?ZLd5o`BWB zm!W=%cowz~BI_l%JY_g)@^_gA8fau$MGD@pk@1>cwuU|rth_3i{K|DspoN$WDI>X<{a%xFdFi9C z*L*GJuC=kH%Y2#3!?Lq7+QBj%aB3m1e< zUrrD>$T^jbj_8Lj;K zXWXm&hL*Y(KPrZqx%O(%hMSFXl_gyk-Rl)`q|sMJ?Kn*28K&6D z9a_OhI3s2gGp3awoHvoFG`e=9;a<@8>-R;Nqi2b)e`+g2=E#w~5ayCy4cGo=+3qrH zLJjNJYwq_2gb-)ebiTZ;xCh5!&|ep}o#mBX*Yvnulwzx^5NGFO{d3(cqsFdOiA$D* ztT7LLn2pvyOf59ZrS_*P4jp6<4QW3MW1nIa@$Ae@?#}jjpzBPHl#hNUtmcu0pQ?me z8~J0Eu`A^dt=!?sO0SrwrkjZ)z6j=5s1Myhy1yxIGdidvVzAU`_=ZD=iqH?! zh%d#f=4DY|3W1cWs_@WFggZqSsd(0c?v#y{>vYwnz8eahRta4Jp@Jx>!!>Z74IDK>jrU}jDwpSFWqxC78uKzYrSfrt2_C@LqP_2X zJ+&Tfj86g}z(LMvzl%M~jIj-O?{a(l-Y@w4oCYsQkOaRDk1`11j{6iQ33K*_Bu&qv z72oM-JN-9-Ja_5O?&*{#2dD+%ICMYznqxQc8GV^*V_y}ncrEpLuSfpcRiCVmdk6cW zkC>TwLhcaqBo@T+i9L<;ITt3d)N5Z}Q}H}ar#y}1-KJHK?NExKA}^UoxurR3+eYpV zxn$Ao9rBcTA)>1TZwGz%sd;6Cuo~AV$2ZRp7sxH|kgRDS7__ZE$rQ6{^Ce54x^tg8 zfRG@=y0NLuslzr`b6JL$ph1#V%fj-BqZXi`ntndZ+i&Q=#oV2cYh{&SjeR!94G&A^ z+4-ROQr>>S>ix0{E_#&&gp^*>wB!+531+1^2u9a6=U+r?YOO_|+c1g@uNXORhtkD4 zGq}}kuG=GZwtxJB(pv}gUebV+fcyB@9ncv+)iy?Od-x7V^aRxC@;+}XDf;|jU%U`y zktCESOHPVcY+~<;ZqYsxNe0o(mx)RL?ggIjnHpUZOfGXYj|W!U*AS%`?!P;0rxgM}ZQIzG z@X*oMLm>>VcDc0f^;#*BJVssy@;5S3`syrt9%H)JpMDE(vRkseMF=Nm=O0h zobg1dxRG^3OXkqHw{SL|TVEz9klGMnUD;XK(Q{a5HD&-$GJQK`sSCshFQrnJ=>uB^ zXcMlm`5Rd&Ylp3s&R?%|Oi(CQWkAnSj=iHA!1=T*CnQ>^@S-VxOx9}U8$m4!1MXHs zm5uQ>KS!AvgQjsd{LQt3MR;mVT4sR;md4D@Tgm5m(}tI0f;~S|H>n0<5UvWlISus) zeHf*DyLNGUWu+mB)#bHn1`l@3Lj?5T(=4G9=TbN?CU4y@dX&mq_C9Vpe)hRULBpKP zR$PF%z8^KK*Q4saYnM@5Ryl>gEH{j)_=r}T!Y_(5btJgHT-CR~)xLc9disi3OK{n+ zB%!6nDx=Og&gCBkLwLb1gLwqyv zo06HSm64Ra8?_$9ZQ=*%Ng$>M>eW<@ZkX9QL7IU74#hk!kHp9Mt@jo6Zxg?KN9rR# zyzL{0_7_utVghY3&{!OXzja(y>DZ_c02EOEV95yc9aoZqGKI9b<_4ZRw3i2xtH<2Z zV-w~9x;_FhIf~Fk!(*n97BQfr3f8Dle*bY((6t!$HvKG_{1@@WUkgfpMi+sv{k_cm z1is*3bVPsl*nc>p5W(!D|DkipTG=|8-2gH%wnk277tBoTP0i53=r^5im>FG1cN^`{ z8G#g+Km?7|5OOz`+tSGdaxPam*dn3cLqull;WxUYp}^v|`MI`KmDbE!p!>y@X!ChH zi}}YQpGFqu<1a*-;mAeeMTmboSVU{TA7nvJLQhvu*ThUKbzxR#ZTUuXT3F3?&i39D z*DZtM5M0y1l%53|{5V=O%Zw*d=n0m*cV2AS7jmFTvp5{4$)g}{`wUAcaD5Tqt@v~Y zk8rEU`&N;;X5aF?n=8xMIp^c??YBK7FG#S@=%}BS8R6b;K`o(XKV>`{t4o`s|Ml9- zSxbbMK8P0W3k%r^d;#5OLH)@lZ`JMPcu4(8lsb*oJAN`wvYGyR|GdbUjtdY1VNoWr zaeKBSRqP#%7lgcygc7}0)gFkUEl;e4t{QWzggPBW6KwSRxb{?JyKyr|m0Tz^IgRg- z7Thuzgs62hT{!@e`}BomWHj>-9()Cxz5~;?O#+Jti$od`{~zAoJdnz+dml%Jl7xg% zBuQmB^N^X8L=r`0IHQy)MM;QA(IBNHMJi<|QxTFvlOdrfG$E3qfhbD9bss!$bv*QW zI^W;>$Ll<&bDy*Keeb>YTI;&jwLax@M}?$YL4TI#ct7``&vqixcS zlpopIRE)TEFZWbBf5BV2td}yJo!4 zdn`z9UV$QKbtUvv0trQu2D z;m!Mi_lo)L8%|mU25#m zdy03}^4i*c16ZERLEpLS_2n(PB%c~&C^x+N@)DX&R%Z1#E{qeeUTNuSC=%?mTKm#$ z@N%`K#135kghrjBBzB;V9mvC<3oQA5 z!l$X2YwbL7u?3BL^`?1bX3yNpy{kC-t21wrOYlmR_{B4C$a0!LYNKXZcnmpf<%I89 z(j?EjL?Bz^(A>~<2I$$-^kz+K>*&p&BP8&>WhY}@#H)hm>LTfavD(3^%dTFUZZFN{ zXHD;RB~ z|BukqrMGjl&G$YzHe20EmLGq$P9SyrHMbraDQcvA|K%k4rl_FRm$Q}c$6a}Mf*99X zVxt?}q`?<#F8rc*RnuNyDYUQPWLfRAheSj~vb1=4>qX{QIP+w746W~&vS-TP*(M!A z2I~56R|xT-6f*q+x=cGB>!!?mGUuv)Y@OTCMjyYaHaev163UiS>Dk*0^YxBYCw^7B zx@sn07tyzBV2eNTR&}q&`-IOwf-9!4%9y5>x~}Q1q_&&ZjCb1z7d=vL*y_OR-KYX->xH+ji5q1Os&Q8+E4fTx zxO!K!UBc;kM%)4mrY%&S>$#{%xPJGZTZ^6({y4APip8x-IGt=rHWP5ihhy2qT4kvrc3m5?&#lKBIMlo%_U-q z7IMZVVoQAe(kT%u51Nu7eK=P3>URM?a88ivjOO@|I#?*S9y4e5dy`Q1OF`)YKW5JC zcild=OA)_jj2;+JlEKCg`)#~f1QH&r(*}}z*Ny=R&D&Qa>zDb3``Xi zJ;!pUeoAL@&?EZU2l~}J`v2J@`b7$H)FVO!693R6x@Kdy^%P0muQKgb)tRKVehTdw zuHG8$t2CaKoNhO%e;^{Wz{KVLrz+Qhj($OIZkhDJ&Xws0uXN}38(H=1zEDe3+rKp~ zmeTg(_QQKw0S_TJciX9RW6P2t4WwkkV_kk$j-*Cw>~g1oxB`bW1>Y?&p(5u);vaf8 zPc}L7`0)|w@o)_~j}x;x%EG?}32JH_-b22f71w%Lt};MHXRY=R>mb|6e8pUYpd-{q z(v7cyr}5v09^BsN{N~%K!}Wpcl3Nm9_tfU{le~PFUiIE!8X@NPQWj-1Hz{n^r`^YF zr|%Ovl#bfEqGYY;qthq8kO%lTMYe4`Z?#ayK3D%hX<{8zn)=IXYJJJbx%P4^>FWab zfvYv~-@G5K z>9?&~!<8;>s25!%CP}R|xVzfO6mu)4`0@(+WFy557bDK{b#CLca9%2vMPBdI&~-W| z#zND#lSx0;BfRY03mxnChmVJuN?fUy7xdjBC3yb9BwUC<0g$gsm z^z}{m+U(zXVNs=91SWY^I^52x7?S?hPxn{e&1}6Lb4z93*6DphE0*lb*W}jJ`4lfw zKku-H{=yQ&i~%k+a9NxavfJi`l#96WpGvH4;i&kE~7=>{)o-luL8wovMJ%D;cwP%y<~Y zeK}~c6Zi78)^DUmO~=oaiZzCW7jFqOoNX1;R&}@`L-_!1#zlH7UvXN+O5ZHAm>WvkernQTrZ(LzS8=Kq>!O48q<1vD%5e&F`Dlxtt$1Ls z-ltb-u2VMN6}T@LEFwAM&X%3dwbvzmHRLoG6lf_3>_nZk+?l&lgxRvzW@&fceXl!H zRGUa?U0yk_KjUpmZoi6&y`JcPvBv0i;tEDuwSs5Xn<&jBL)o^RJ;(E2X3AV`SdeLD z;pc77Q&@P+z<1ukteGyQLFoZCkqv$>_9*L_|$)cl6?jY753i z{VSf)ibm;8*LU8?)@t<1w$WSfx;`*Qf!o$ic6Y)2ORu#z^C-)@XS(_@4W{f1=WFYV z+NVuU-fQ5J$y=LvFt$W&TS25r%{eZEyb?-~zQyer6}#ZA!ezqs(7j^E!uGA;ocEM)rADC+{Nan5H7{?P{>lW$w{9fbgXw%kPHjkW3^cFApvUEy{=%hQv zx>2GF`?4iBM)$A()L8sjnYYX2iKwOO+6Tr`QMlVDzbE>rKP-B*2xXvhVArLGduQal zdZZk)Gzi~(?j*0Tq`0IE^`+Xrq@XlUGm*vT%F%lW;nVh9+-Z+I}W6TvDh+%IZdvYiK(yNDn`4=7rFrFY<9- z6xnYNL%roum}4DBx$b*DUUV`34%Wi!;mQ?4M_1JElMcI>T$DSd$W>%Or(S8>+2*Z_ z3*Bv;_TV?<-pJ_4h~8}GiM>E^kbZDk#5_T~>W#m8oNB#$zklA#*tV;!?wTd3*BmN) zs*?|)Ng?sq=A?!%+QR>rE27azFiO zZ`!}R_JqY9i@68(`}nOcjh6y14Fu+h5Nh-rOs#7b+=9+%9iwI*d%12MYiE)P23X20#IU0&R^j-JlsGq_wM`*s_5)Egu3FM4#>4BePx<~)-z>oi-3yq)LT4dy$}4B4#A zbwo>`|A#=Jhlg>>36(gVUElkTZC1I|ZMZ*I`^V<@=r2+3g2A)IuY}F-do~qIQZi{F z-2d_-L+*;bXZrNn_3p|&YtQM6ztlIKSAoEQtSY;ZfJE8^F-xKH$a`k7}Mhn=4vO68yPQaQay6((jloT6N_o0`-${+b*7o#eZ?;|i>8Zw ztL!_v_Vyxw?R&AB`wkhccp@62AAM*ceea?}ho($>W4T6xZ!XVn2jYsO7xnIQt1cEO zEGN1#Xjdc$6?NMKm|Glgr9~#Z$h+VFp23(I_>%NN#%Qws6Nm6gd_ym3wePaNt_Uh` z4NZu;GwWiJTVPEO|E<|Vmpd1K6+L)BYW4dSr>_Nl&p#7+SQ*c!rQ1QfdF8T;(%_=Y zI+4_@%GwH5{NxzHS3lOy5PWRuES3;|L`1l2-)#R4zH^l)Z>2;%&Dul=d#Fs@v|F`@ z8YlVeoy6J9igOR|=bv&36Wrs3=}*@>SzD(nk#OW#1DC5)e0$b@olo`nXML9EP3ZXX?h~*;Jtj4I)xEukY_>@i-l?7YG3Q_U*)6IazXLz5dmBg^I@7m1|-n{Z8br z%&ZqBp472_=B0AF;m4liZ40u^aEnf>KGSJ=D|C7+v-*p`(!ZEh)>8f5ta1#VU{@p< zZpAwxJb_33-f0)!VSyndm*V7!KtdU-T_9MSpE3X(v^M|6ruY$l( zb4!XS?`|dIEs-yfI;SZM_R4Is*00vi-M{2=&-Dj0!&ikEQ~w0oYFjd+~Ah$o%n>*`**);s+USPo)Ayra^NNn)o)5Z*cPz$o{?NY z;VrsCM$6%s_+{^6jEy|B6lSNoX`RuJ6uCU@$^I81f%!)+UKZIEkZP~atGgyqq-^q{ zrOM4#>srt3I*9vnNG|&73$0tNQ`|PKI1y9+iAs`bF(e4S)RHMI9r&)GzH%V;RQ079 zFXL?O#10(aPhKUKY7(fmN=)gJxbD^BZ92JqLYXT9t{Oz``E)bBbmxoOZKT`9vb@n| zDQ)p<&c{y6f1@0p8#j06o9DN(G}4CRBo7ySrJ1|_z)JP;hX*8Hdc)s%fwy!qVC2R1~?7%#=i~Y zT3)5MM3Cob(=7etXNpv53NDk*Utcy;s=mb2HhM+*x3fj!coT!Kf}TFhEZ=0MU^7Fe zqh$3brG0WX7OGxzL`m9O;Z5r?mu#DaiWT`mYehPJa>^#%^!5HNIA) zyXN9Iuag2K4_gIUq_oJG48@;scz*tPua=3wgPWHjD*AQDfU;rqovf0VcbaETzQO;N z=<|)@Rhosd-n7$%}&z=e#hw2CeA$yLSjchU0CekKjfpen3^ss zY&+klt=HFj*M?7pM9@?GpmTJ^qW})V2t(NgsAUuld^GYSHRQx&HH1u%l-pz6=~8n-lYiY z3;L440A$BsfA1X&78$t{qrZwcy3qIuT)ivvE6oyy%ZJ;r9PcL zTlCJln;N!uUAsCm$%B>YFTNEz#9h|rzd4_};B&!!8}|rYn8Ll4Je%#T9Xh8sxV(Je zozdG=BGKMd_qkBO^UM03XJ;hNy$X~7QbyMU@ zfve=1%|x%7#aeIbiI@{c={D+Ob#7TUU8TZ&dvuS@TeIM^^+QI=`on8|y5h=vPRr}g zC*GeTJ0oMuEz9!EjGHPUM*4%&Z*~Xu<*Z3qSecb#CD;5^tY}5?Bih_E9g}aryOQIX z!P{T2<9B_~=cVxlY3Y~tL%O$ax<(A063z zIkh+N;l5xysmp8jR;qRuXES~brt9VhT=l#rD=W9VMS3oyze*N%s;P& zX~Om7JWXkp_k*c+%{1PNpG2){eV%mq6`A)Rc-{EOG49&woy#}53@mwHnz-z6bK{-1 z#Yzv}1vyt8efA)EeV6v3r~7e^-U^?)Qlo<3MX5}_P|RiSA{fK~t-Ezmg-*})pWbqg zB7RoC(xKJox;NHp8tT1NV-_2v2d`F=1? zYguZp3~V+_;Jcfy`qhGO?vOq$Yz|lHPJN%N7kA!aW-7P~N?b(kx;t3JG{^7TU^!`x z+leQ+ioDCNOC~O0C`jMniy%mI^KH6RG4-g+$$Pl_JasqgO==?!#R!D#Xt|jH4lfYK-Hm+m&eit5?I?i9VAbJ=7`tk#1qPO!h z)hE?8FV&|gP9hw=Q+~!*klnRMP^+uo=g8+p_RoHe!LL8Bc&s|;m2>xwBqxWH z)f#VB?V94h<7~hO%7v+k>yu=J@0m_2^9n)*zbu)SDzW77jFxG5wS}LjIQ95sJ4Si+=v@uiu)NnsHQDDamtj2esBJE@r^*NsC#!PFilM zWT5cl_qBH=hmH`=m!4PkJ;!7G&VI5@(06@oZIrp=46Y;3`h3qMdW-H>=)l;JT?9hh zCz}oi@%%r%G@?9uYXmeYRz}@Ws2{oPR}b`X#x4wD|S4NncE)Ny-eL_ zFe#Yt!^W2)?bDV=N#Rp>w-)p4yKereQ{cwz&oXpWeK;yzC&x2Q=H|Sj(~bD9sdJsp z{3y(Vz{}B@PqN<5UGhp(**^!VJ>U!HgGsA5HmyV(H-HrMy+AD&t84~ zN#)y{(_;UGd7@ zD#jrN%K|T|$(~xWzn3>IU-bRd>T)!G*Cob6)JZB@L0dRIDCC{yoRqVe7Qd@T#3b`w z$ws)e`*Mnf0%*Rk`&1n+tA>Ps8%T3e@f--S)T!Kh&x`x|oF%qWnx(?udBUGs>~6T4 z7x=?x~z7?4{CB{TO)p! z=sYZC8*0XdmHY15m~NvUZPq1by05EiOE&6o+C!10huMckdtoFm?Z)RRiXY5ytNnUp zf6Dr$+oxZD=-GYCGI20(-oToQvZ`*u={uuq1a!9!9Jo{_oxkDS^VgmGY044U(7Rt0 zJwvmcwiWvHa3>fnz#nTbuL{h%p_k;Fr?-R zh9Z8kn|i?Y!rYtomFRHI1s^Jk3V$q2d17$%T8K?W#-|wz#M>L5>kNm49CI?&a;-JF_5q=GebR~owz(3s&Ky?v4 zPVZn0$^nsuXV6K|N{@`A<7pTQ6~n+1(PU_*fFYnT)G@@-f1z&^BQr2`5&=b`5a>h(0s0$Y znH1_OyeLv#sNPW8q@$!`QJMW|Hmc%Q;er4Ugp4D_djGPCg)%K!a-|4brg5s_Gyel)A_@5mdN)}Qjc!+6v$ zm;QT-n9N=r`KMR17dZZQB^XY%F=$?}4t^?9WFIXK3xdFqE{8hRF(~(Bb^`lvKMPh9 zXZxxBN58_cVFn9FInXm*!N!JVZBJW zZkXlTOHI!Anm?1Xu=i4$?^G=`Bt{uNnx^nJ{Bv>SQb#_t-rT+;;>sdI77NYuGH;xl zxxBe(fH}M5_;x9er3-~G(3Q{36a8YH$;GE~i+hTB?AfUr-aM2aHtN;%_Uk5M`AJu& zRP!d3efbiY=TKn4eO-2{XsJZq%mc2w?Gn=~leiP-GBtFTOR8Nk=E*Xfb8^xXv_X34 ztow=Mt(-Eq@0pAE9e(%3p7OT#x9h?`0Qt`5$$Y^H)mwyv9oD zsKk0XwQyXL7jsTz{iDU#Oe-&ySfZ3^20tiP`ycTtr!}Bu;x-u8INz9B9=hj)fAhV9 z)i1n`99#dUE2v3urSy=`dVv~q+zUQM<#lO`Ha&fl(#7v<8gQ}p*Ooa7z8_P$iTCWb8DS9bb(k!y-?$`Yv%pbf$-!oWS zVA;?nbwmC67yJ4=do{`nz0Et-)uxon`w5w7TE6wqat^trT%5NQn_jFHmT_l~fw5dD ziszwT_)T(iU5|yuOOrGS=Oa$0Hj<1d74DA73%{kuYHi%{sl9WT-4e@ZdC6hI^j*}T zs`evO$`9ANmDW6THH*B#J+IOGmaJIJ#-O)fzj}BK1@`)SCO?i3d6fCmLbBI>EKhih zCTeUt@2m~;ngPC|Lh5mbU^&or)9m#nS&D%_W=+O`qDuQKxm8wy~>du zfC!tFfd2PRWEj-%r#bqU?r5yjg?0D-;BpF%uehevrCNs@}vKL zB_7Rc4mo-g*?$yihyxT~=(?o_U-`SSC;y^f%6|25h8{mwh92CZac4X7!+3Zih$qAT z%Rf6GWBoGHL5KjFTfbikQy%})kzYo7wu9Ow+x29YBIdu}F%T;NRtgbB>e+r7^>Z7= zzdRcMcj&1v`;%jmW6%SdrHD2<|B<5+jRE;j*8e8vn!K^LvuI#ZjvtlZe2QIabbMyR z^uY*`;_66USEq{_k3LE*KR;`qDMO}oTVLV(s5j>ogO8^t4yLQ`FWlCh+vfkae$d=w zSyk#=5u5?RB7&t{aY^j2jg@M->+Lo{Lw$%bvN^A zw$_#S{Ol(w8TrS<8zc3 zN5|M1Pe!krX=LStmQ;Fkw?N-=u~hMyvfePt8m0P8)}@P77cUIMm&M2SSd&qd^`&Hw zNCHz`B|J@)=c3DDDZ^<=?;V?-?Q@g~np8bQX2p))2Y2G_QxsOuRKkm`zw3O)PJ~*~ z^l1Ub!*`!pr|98DXO16K4a*ae;(96cUMwrpIQo2S+sT{eIECnP^ros0-JP?Hv`gjm z9KV^U)J1ivEwtFZ%|9^nf=OnR@%_5Rn{_V7u32d_>Bn^Z_gH$3OjUA%^rt%K+-I~r z0*=T(-+hwA<}U{$En_6mpZfCdxLceJ!^}vVdYiICEL0@q_25&vet`x48#YT#-_xw~ zUH(we5UEpc>Aj21Ln|6}G=zy{Wsw1sb>CBS9(z7*yzdpwT=9&zbCG+pF8#q9OjwHa zit6{51(aXQZOUD#mUHn<%)W!^dxq#zz4LUs%@S(9+?N}CmpW4`r{xD@jp=9en#(dX zk0x&@wR5jIXNoRU;K${cZ*iP#;m6c2 zkdNJHBOD;q>ESX%une#GC4)vfg9;gZA(pnNHoFv;o11G=-EmMjt$xvJzA($G$A&Xb zx~WzWswLWQKW%l%d~v=gqb+q#o{3!JXt(w>Ro(GCCG_)~N=k-mC1}w4DA#|L8#WW_dmFqS()??LwDaF*OdDZF#3S zTkUgxHu+s)e>3Xc@}sL~>SqlYwLWp(<>?(yF}X*Gc{O8Z;%V%mZ~JJE2+QlW@4fBF zh-t5H-dGTFNOddS{p>k)hMKLS^N+=myYeGkkG8mrc5yKS^}HB1jk{NE-+3|bOhjg> zjpB>VabHMrk_oPXr~7>7l+w8cb1ELY#m$PYwC_y=^N!T`UW-y%bHnsu zHSy^={tv4r$rMVpF|41zX!YD0i?iuIs?^MXgQsQfvqa42E9VaXc(G*jSM#=&p|uVh zlLoZQ9M^?TnP<1{p^hjnr0o4IzG%@ZzweKDws?fb%ZPhxr>^c5X#9L6EmW({-~c7B zcgMGnCKglfP|vhJyJ}pvL89W;^}eqsiWKjvY$jt42`kCDQ%bi^GZ(MWV32kd?o_W6 z2wXiWey8%vQXk!v5AcIA4DC7hcc)K<6x-B2X_UiF|wo$XO!#X$kW*^ufn{3PgM z_|uU#91#ERCkVG2al^GW@PbwP1YLGn9ZVo~2>mKy zl&lvcIYcxh@kZW3&Scg<4d)Qy!^40(ykPMQkjqEEnboa^^Z+0tqCR z$RqOK7|555(+LvtC9KAxSa$dCXST!N4GsfsaA828)dZb;k(>_ns)Tz^fHC9$z31sT zL;E@J@pnT*kK8vX>Vm3!=rc(oL81n{Jy0_z62{H!vF;m={l5JiZ~xukFz_(RAkc(| z9!(@jhQk1%B#k7GhfD_u9E_Ju`j^4|qFDcTgZt;sfhH(at-+mxzaVCcC&G%u|GP}s z$YVvZ2OPf$+yC9*Fu?xCuoBGBOC0VPWaZUxkZZvcVCjw1%XXZ>{hWgOyTO5V0nK{I zX+q)&a#tX%2HOHWUr1%cF0^Z=GM9(McEzfr=;4 zsO$s(Ik@4_9cMLEg>Jb}Wr?(!$05NWcHFg!w7F)INL1*=O(ZhuD7ft;0tL^Yk#Q&* z7KftJ*$2)}a{I494;t`Xctg@RXT5}v^z16D$0Qc(n$3`kKE88j3DPeP+fOfncuJO=t=W9bw!8AWD4 zg@0+J_jj9MbY_5EVPNeeCK3%N9jhTAY23r)Pi6)QCRk_CEgB#>cu;66WF6p)Lem%| z*yLC?QAp5tY+78Pe7pj6Mcn1h6pB6B}UN2~fp9cxEsw3W`CcP*9MmXVRGf zg3)MXDw%|%(5PrElWqV1f^%@>nV|uY#(_tSK|yO~KnO4he}4kp@egK(oEP9S)4*}0 zk;rsdqf}UnI1&Y@u6P24!ag(hu;4GB85+(&$bPaGBY3SK=1IVfZ;$_AUQ{M@^ae66 z5sPI|&=hE3P9}owLs3b{f1}xtnqRVxoOxn!B;rBr9+?;sd|sA6{rkAZDQX2Nku#Gx<@cClPU8}@&A9cP{y6j4HtOR)6NhZOuyG=yU$ z0`_m88L$GFcpM3s>^KyPild<@z<*&d&{Px!91aS*#s8%#CTC^_c900U3dq@l1Q|Rt z_zNOve>*cgkxW9|4jc|mW|ANQ&cKq$SP(D)>I1}HB=*(FxmbB*HA2fXpgMrt0e_)X z0CFA>$BY{*|H&auXP}{7FOERMkx{^5p<@^*3W-KUQ_y5^WNBkejB}Cp$i(0ecmwiq zccIM(UY-m`H0H0(2Ll`|8cYhj4cNLkI+H=6V5uY`1IRj5Itk7Gz&P%F5WpUi!C!<9 zxKI~Jg7bO&K<*EYF^H9L6bzG!rGrKufIxJ3UPKZSHBqn(G>*nLD^|CTQ8yStl7{nm ze}vfmY<1W#9fuF{%dY;vUHS8_8SBb_LkVGCKb!wCFNK&In0V~S6`HMi&965Jgo;?= z-eX?+b9^+`ud+H70=t9_F9Xl zup2nB|C`Wg#Sfsj*gu8cDy&Vno+F7A^eo;MoQyugHH*}Fd;iDO1s#XEZ$u^0!>9+E zR^NUl8nMND^N&Hoefd%Ovv= zmSxu)KGsbx#`Y&V!Ce72gJhf)p*PQ$5>6=bUVDJ|BjDz1M z+m&I{+6Ii5pR?}nv7T$~f5~6U>gGV)nbq5WoSBxYreJ+7!!-G_M&5;#y@5+&E_iz> zWP6jWgcdn%aM7t$I6k$<8@sL%P0>6j`tb|*t0{9c^9*^uq2mT?s)W|gyEMswAJ5l% zFtO^g;oCgg(^qCTYIm-OxlW>3>%Fck;%`EIyc2JmmiqRX&;6+(_irz1+450J<@Uk& zr*|$fhrXw9$;;Q8dfejby5#Zd<~oJwMZu+bN1cbo?o-13_kKCGS}5&fXK`9kGk;FL zK%LJeljAqTQm!4=*l)jmZQPWOxHzS^Zd4xez_fUSZBf$d7WO5UPuDswmRJzA?JI4G zj!mg+=&ebYMCA+Ii(FS1C|X_}_z-yBH!ik8_i)jTr{wpCVz#X{SxkIi@-dpp@K2Z} zr7PHKm@Rnojv=bD^eV|;dexd%?iQa7HzbZ^d)~5AQTcIck$vCGOXr`caFHm1*G~yf z5sX{)VctG}>tMa2>gLeryzM;;nAt6dQZa%w_C**ihb@*S0H-$&fDiY*n8%A<8&o* zSFXX2GV_gj9a|*YI&by(Xa=h1`gs;8`b^4LxAXSJyz4nF?pLPVh?PX8p7K!NYmwN_ ztKcnjd_~q0CqwSpCR+~%FH1gI)7WB`GLK8=v&i*scV6#fUc1`!m%fuUTT`(8oh3eg zpz=iZXR8AWQbW8Rd9G*WKA)eTz;)0(NVC1CMy*M2TiMq7wXS8$@~%XhDjY~l)Ymwy zN}FuV+vIdZDSt55ScvNh?&%GCa$dCEW%-@Q%RgyuCbT;Uo+Hi{EN0}Gm>9QJ)U+v^ zl%bNeBv%DR7J2A&Pm6Ken7kri#)I0d+OC@!z+En66Eg-{1@Zn*24&c>{2i?tHafqe zRTvgcg(GMceiY}yk0MAcQ9A?zVhPQ$UW_70Kq48&rbZ+{;4{M}7G8`ZNUT~@)>Wh5 z%&N>s-WjRohZm!$7fULD^-rVUJX|k}e0cPmS#|HM&mboe>&56d4|A5_!=o&H^e8zW z0d0mqJj%&OWbH=Y8U5xFHYHp>{LQ~mn;?M+pC9!}{?6$iMjSBE2N?Ri!GQ{*!+_C1 zUtq`@5HaJ&GZZovPon_m97c(Q)CrA71@brr1>qPKL!eUFbBe4d$(ezVxV{9$=LJ$a z289q+k$%R&)gn$5txPm<3XT9_DisaW!VxKulp=yhPoxqFI1-f(!6$pR;}^Zfzk4L3 zGlQ%E8oIn7=ozx)2#^&ZVaEpqpn_t8M?#^{Npxs8hee_36f~7e#xO8cG8#>Tjx=;E znK8!9IG&$EO1crYEP`$!JY52k6(CKNp_*`J1c*@}K*3Ij-T+LK=PVSfOItkreq40${?bl{Ds7z z;vq8yYmGgEWEnfoZX-aQ5U3V|fz%qX0}#lYjGZWfJ<-4cZvb$F1YgV~VF*MbR4SoR zL^@zRB$y@OC~VOd%X)KkW(clJg2N2KeW7xIg_i?rIYByO!kH1#bS8+$BcDSPXm}=y z#AKiuut%|wKqTYGcxH%c=j_Z7Ix3LfF$gS#fW%P70j-Drc4mNXk%5N-I|fB%FaV{a zFkntlj)kHj%X5rp_HzWsnQepzP#$7RByEVK=}!M1&4fR1_J5e<8OW^%0%O)P~cFd zLIRhGVnDV68kCaI6nGeD$XNqwf*#|6aLzp#ofsOh67V{pE{kQ>@K|WLg`3DcOgJ+v zg~0$}I6P#@K)izpDM85W0xCoUa2T@i?8qI^Y<{gs=V*;=vJt-T(_2Dk@>zXrMVD z62}wm{fPz+z8nEVC(vM-;ZO`R398e`6f_-L!4a59KAN2f!E%>5^FT(H8V131>!!ge>II$$)%NaFC`YpmFfT=vWk_Gr=Wdhvj~e3i~_j@Xu1ig0G4o zjnIx63w(JT6tZE)-$dYef+LLwU=+3q4NGT|&?pM%Y*PWop@Hws?$ogAo;dqN0P;nM zf*6E10UZnhoFb1uYNrW@O~Ij#^k6|4+=NXKy zG^F^Nz;X@X97QTs0PBXv*yEcuB8iG{Z@`Df;HgXsP%IEv4G)JJl}sh!*{$&}vTuL4 zMMgG1QhW^rON1B#JC#*?O(IVe7)&&73Y|_R(QtSs4i6iKP63>d!hmT33kDCADI7b* z`-`3xXC?-2HwiJ{e?2oAY!t{XU?~tbz$*f{26#A!2n-7NgcSN1 z=9=T+6BalTVPm?}?nb2_G4TPGLY1GaU!~0u-4*#xrRQ0)`5%9hypJ z;MnaR2c;QCHyK1ifbGdc3IGT%Xn8CGWuwPW^&oa{eCq)50-3=CR}MpFqA*PO0V0-3 z#Z!sEFQb5t13TS-gBl1UGsA$HgOifA7y(-b(P8k42ooiPCY%`*>w{)81?v8xeveE7 zyGVj9hEUA_M#PiZ7b6G721aKFsS-RA5#b1cS<3_0Obxt{}b_J z%$V)3v4C{^VZm#~`m$BcM&i%g78}QyDtLwMy#CF)T%kqpVxVpVb7{Dnp%TIIh=8lc zqpOd9XydZ#MwAQS4=?C1!R5ZS?w_Y3r5R-`*e-*@CE>&7qmTwlY3Jrzv;V2VJdTew6(N?^&V&W$yKX)QMZc~w)58k2Wj|NJ3if5H;E?$8farql%=BjYs>UFmo{ zEkV*`#`FlwdFShNwrE_77nN!1Eqa=N<%*g6+w=~bI@62HLEvuiW3`);h)36n6#t4zw@ zDrn=kdre!lhP}8_rN*r#@1Gj<+uGeCG!$oetr4N_%~1SU<3(cY7W#--;*Z3Hm=?* zJ$1?VdE34au;q!eTs>Q^M@z=L5k%E#K+` z!m&p`hSVffTpg%4P!^_r*}B*1Tpe#jxz(9VKK118LQ(v|``Qcr4ZY{DDYCqa89-0N zCb!gN6K(ug=^U^aSYaG6<$I>}a=nC-mEAn}$!(5dUlo0?T{pMFJFQ=v^!a9Lna3Fq z!YXfznd!UF$1CraYu)%V0=wBu^(Zb*j>j;3ZtWKA!wl!54YTO?gF3T|KJjM1I@p=G zSzXw8@l&Ryqn*pXjRFraF-}Q2cgZ(m)Sc>bFA>9C(v=1bL_(%-U-axo(oQplHS;R_ z&q)wt^kh%u-1eBGbe1?Rz;}Q73rkP;?vy~e;5&!=O}mZ5Ru*2uL=7@dD4S%7htP5# zKYy;T8m&hxyMAZG_Lav6kMb!Ooa#7%zB-8Jjo|y}y(e5XI@149fnd4jHh!$!SALv5`XJ<(Lg=4z}i z^TMo%J7#|0mc1}>G*?{~)BnZJDyIiyq9@b*y{S*RSyexv4Vn>&zv6n{SecXxm z#72rMMTaUU+1d<>u0oRr@!b zHQ+8wyc2HBGxOx`P44nJGPe)5oL?hcJMUw_BMj*Y#)72h`Bv3$Fse;J_PCXI9Hj{J zxpYuS`Ce?zHBXg`H~2}Sw`R#{m!vhu7d-CBj^!n_dI*c`{CLCi?C~_Yd7gVn4g5Y? z`&QoCbS(GH9jOZhHfY(K>m-%% z46F|6QZo1N%0CzftGn~!CvzRihz)ypRR?_DBQN)2h$q#vY^hnhDOPcxrnu>mnlE`_ z3I0=+J>zL*S4CnIHriV)JJBW>HKSaA;|%Sqdkn>$ge3e|#7DHmUf!qOWxqjNHw5+O zBjX5P`|K3Gwq2L_y**}JI<@Zeo5!crDP{|-u1HMTYd&f4t!cjJL5e}DG3HvB7&qew zkIyrHp|O|@tp2#a`Uz}IhB3M#V_5BSIl^RMwKhiR0pREk1O20teSj2F&|aCByf z3>}mWBK!=5WJ-WI4=PUob~QqRpTMNRmqJwymIO}?h$lEuW@G{ai&S~CKQm;ba&|Q$ zrRCtj0_%jOpHBd-9AM%8?aaVa#?zs82ciiYjsZ1aP-zPan;1aNA-OUJ+Q+hloShi} z${2_SklHU;K=Pmf1f`!705ljHRK8FEqoJ|GW?;iO z{mj4=0!G9lo_8HffM-J3N5hhFR5S%NrWj->-Gi8%JwwDg zt2p}1@KC{xu!Jz6bBcvTECEFX&8NS%Aan+vO2abIL>d!{yir)7t1(avz@8{fItglI z+4mXeXbvM51n53$WJts!P$xoZ!~+Noxa8mNGbRdB%rqPhj6M~xX$FY^Q8)nuhb4{) z#4mPKfaOha_L%{Qi$fGM;2eXjJ?IyJMHyc)14?ZsT#Xne1o>9js~D1 zpzp~12vl~2iluYL*_lD@HBeDtpMh)y(Cb0H40w{`BWr&$GoVmXai9YWy1THu;1wMU z^gJR3vU+$leGCi2al8YM$k~Dc0aO%HmB3wrgPJ%I_BP=o!-4-nBGRGI1}c;=Kyd?D z2Ly+KW5A?BYM$*l8}?s0`^YeeUM#}OL5x1sqJXq1>95ae29pe>=L{l}rvw>tetq@W(DXalk?4$ws$;HFUXF|Glj(21Z|fLJ;_3Ih)q zNUdl*)KM~M0Em*Q4E84itDRGa6#_Xy0U&5Z3=bTO3>>6~CK@yR)jD`sY4q`J-6byv{#uSYPVF3^sK-^AXo`FtLvR=du)}E}4Z@%jz>{Tj8^F`#*d0f_Rx!fxwN0@R|(FAOIWDKw7}C8)E>?IJW(eLI*_F z3o6gyeqeyWO+xA*5C>%*61kiQ@TLDoDSsoY&6L3Z-;PL=gh^7P4A7PaO{7C@Jnob3I4G`O4uJkad5z+ej}x)iJ?!USR~BL;|nf=dx@%~&0?VP-!s zEB3j9y9!JyAX<*`tE|NI$X4PYRQiAIUdXZHWh@jg{O{q7Y6e43j6a1?pW8Heo-z{g ztF!u`yw)EyrD=+U-* zvY!ko=8%p^$C55eM}1N4`uDzOj)yjo?jB#7J^%U}kFb3r$93M%K3#dzOS)gXZ&hN! zIV<6;$$D!hZ!%3&>87?Ux$;)e9L1s`n05Qmt_Z1dyG;PA9Qc4zy5T~y3g`r<}nU}3PwqHt&9!3 z6gtWxRyLYlyJ#TS+bkx2?z&uP;QG+?7KvrDLIPQ+9I@*kUOd<`cVW&IRBscb#qQx_ ze#5dThm2dy&)Z%#4W(&BrSU8-NuK#w;{HrtH5|WVc_{-=o=xIJRp85yy?yy{vTRaOeDq*YL^U%mFF>#KjP zP;|}oqPO+2)my&$oh!d>hk2DCC+RYI(oB`8rPCFr#>_R=Rp${Q6-qvU(fvjza&TCZkYu z38!{?Z=b28EMF1fCH{x~-H$Fa4-!5Eby&|^dSczIv**@#wyEXgb<)CPr1jR$PjHCu z-8CaAu$@%%abx0*^D@Sk`YOA#gm;VSQSCxhK01e6C7D?>z0_00QsOk6btkv$Y&Tk7 zvqC_gtY=v-z!jOP@Q`RgKCQXE(k#H+L-X8y370zN`8~~3mako)(4FsBrE*0a<=Qmg zxb3Weck}@bN|DI9(EFQs!_G9yPVLZLD6Rh{%-MLe%a`hvy7Cp7S_kyqO%g?%nol>o<@3n2KD6K!o|i6szB`B z(y2>|N?ez2_;}2f6tc_#_v-#kGa2OrCzN?9vs8EXZIj_MsWGy?Tbo;@Da(l(>rl;YFN5s)hR~44diF>0}{XuSRNSBFW-2l@!5p~P_ zR_>EE{YH&$lWl0Uyy%-{NrRd1)FcDSqi*is^J7Ut7%pAFTeM|~-Hblz+l1xh5&=i? zC?WFGn{mF$2eMMted*Q3GW-`L_{664ZafoLiGPtgXy3(6QkH7BDyJr-w;9hrx75ex z`)kA7o%YUR2i(e*TPd`r+vRDCP! zQIT60$X$vrY|9o+TRGkS%L^Cp_OCh9JW6+88B#T%Uy~_xQd}zM?nFDD+;VkonPKoj z<;_B&ZRVE@-1%Rha_bH|sMG&gL{4D}Hdl#DX_4TIdsTO1g(O|`^ZENqpL^HsSVfBF zoilxs(3aZnIU1pXRh#Auk@kvDV>tOqy;WMc^!o~FPuryLGw5!Wn~drRZZ7twJ>J_G zH&%5p=1wo^+@#w$w05m#QwsCQ`h^R^Bdj~g?{n1`?!P&BL#@$kmVW|w5jtY33YmLP zlCqFn>&~3g&*`5&ZgZdKo1<#n&}w_ZcR#PUw{d@~#3@_Z`>kq433|!Y#1o~LInPhR+4%jr`MS#W`>)N8cI6az`u95j~{g?-{K)$Ba~*gJR3*PmH40AR0FE z;Zf8Yg4)q{M!p%;oJQY4?h!JuQTQ6NRKxE8EqC;vMsREhD@WfML8L*~i}lVgkn-@X zITS>&d|F7_sevvZIJHoc186vSh!CEQ4+udK0Tx4Jq9L6Cv`a|nKus$JR6#+!6cSNP zEITcZgS2~4=>b=q08u3j9fpmAzkp*wc>yr*#}9*n>rDqJ4?=bng#e^;VDXWl)`N*d zGtmU_&)M>4tQEzfyFC*55TK9{skwoj0339X(uP99iE3^rI5H&r2m~tBq(TuSsFgCQ zOdJ`KHWV5S#6Ssb1Lq)Te)xXlq38+JqF~ra5da3{d*Cl_f@MMnNhu;Qe;G6=;%1N_ zzXDnUC`2)VK>`6!HtY<;K|=hjAxr}x0n%JhlZoV9$ESH9^0rW6MFmMp zA_^*F>2yeLgVYrkivpwuGF9xu{yAXe$gm+U25<^8J%DvVyb0hrega^KLLox=IF^nE z`#}M{IM6-@8a^I`3_uhW@EcdL}Ddz)LKQd@oemG=ZqbEqG0cn}a0EiL9 zP4N^4y1Hf|RoZJ_ArX++`?o0Qdux0_5SqKxhc# zn{5h(Ob5IMswDxNrqS_uDisbJCJ~DA@OUPf4bB}tz&UffN6-W41PbGZywymC5DRO2 zJjM6_mLcRIUks2U7J4u`a5yW}fS&LxPk8jz4hL4M&0D z1F;hl1IR&SCKI&l$z(E8{s-d8@D$irIOoY+NH0-DynghCBT||miNxs}&cUM+Odi4+ z867yH9SnJ7@Ce5r`0xtGlb^G=e|cbn{RTn> zkWq#Q&Z>6=eNxN>183dv|7%a{;kcADH~gQ~Ju>irR`!^35t?oP|%(SDJKIFWvB=JcQBIm z#6djI7yO;%@>GYzZ0Y-f-$crw1q!1o}Az56KSpkeo&H=gew=l5dDpU>U$)q!T6x z@qi2l*a%1^Oi)@3DGwTG;6Nb&k%mJvpo0tOcYv*hhTZ=rjU_Ci7X#EHAO?+pzfoi;;U_R4^T9+SE|6BCfo>tNxIkbXlwsKJH&i$|0-ap`oLS;^pj14No!8v9^h$KT9GE@i06p~rSGDj)%kS2wqB12_pq6lTm z9Em9NR0*Z`*$3a!Inlk>?|t9<$Gzts9((Pz*Iw%xKF{YflluFMili`JV{Pu12~839 zXP`;|$Sy%y5xJe8?-emq4Af!)HA>9%S6`HvDUx}CMq6U0zeL1KSXM;N%bDchul<+s z`F||PpxFIOm*&!y73WoF=gNw}CGodq#kzwA4ha&pUgLM#>CCJY*O|6w9zP)|J#Z~o zvNkTe^9e`EUetY#@a}JuS@NfjlvsL7MqF6Oo$*N}e`>%=S0W*lKiJ9WONNsTw$EtD zJj`U>MAdU0pA}3le3jRO>}(aw+nNG5XYr4=#k5UabNNzl!oj^SH61RwvzsABT|8IdbTMb+L+zbc?Y#C*TbbKjT-M{nImQ2_%E!R7H;IIs zWmNLIhbQ{!$)ni!Pr0y^jX6|bJF82o^DjEpxh%Ji5sK6nj|xmeT{>Ew%n*1ypCZei z|FnzzSw$wAvF!#Ww|B4ZABycXiwql>iqa^QwP;%#KPfXBymEQYeP-cW8#Pf@@-J~TVAkjfZ`1Dv$5U%Gf*YCeLsoiKJB^ zp0ST9tTL*yzdx=$;_=bgyL}fh!L}PJSDncc-yUDFv7=>7ZReR?mM65YUyWxoe?v!l zj_0%$?v2Z~_grD>?GDc)Z0qtyH?_r3>e2{yJn?0{>gO(9zUsj{m4y9iPb6*WmIshB z^(!)W%IGI~JF|zbh-Gb;$*=W0ewxQ4&9Woj<4XPh2XrL{4&$Aq%8@og3V}xyQO_7P z27U;C(+aay-!9^sg?V(DttCo|w zeUzwby%ft#k@NQA-NUb{U5=LDqWe;Ouw;4EvvO*Sp`*T@%%0+0r;i6vB(0=79>$~e zqlKsY)O6vIM8-t4re&#~0ZHGNf-rQkH=l*Pk-LPYf_4PXe=YOhKrJR*Xtf% zWet^{(v=s5q7~P^xJf(tnJet(^->OclCR4}PO?~Ogq+4cVI+Uppr}~f9*t@CP1v>S ztHhbn)dzOp$q8Q@u)V}1S&)rsOoYzTa5_30#Yw(xim`a}xAAKO(d(xooSAe+C{kJW z2udH0GB`64skx!D*;dp4jo1!9lh}3zgR|$|qd)Xug+h2QT$fk*-lyRuETR$bYwo;WCFHFV!iJeiHO zaBT0EgHgI&u3yJX81!YVPJW)ErE1mp_J&OqQ}q63GDm(TSDg)sHcKG+ zz1Z>$nax!LQeN1zpJd2BGmRvMqj`&4(7J9PT4@>gqf1xrj^cmEgx#pukzd7nIeSGF zl`t!-+H>=w7hlEcPb3~rmv^$ZET6g|RIk}nXfG@qF@7nsDa;h=pXpZF{87zgK4ROV zZogEzKANdlQMCP5gi&ouGF#;SZcJF`hy4!Qq73dNXDM`TI2XyD)}z&(Hl`qRJBqsM z^9R25g-=B%WVuw6mg(C+8>jda-;NY&eICLb}hzTT_d|$Ip!s{J!ZnRsDcPv{7_XRg*x8DTzw)pV=80&yFHpseZ53qnWW8-C4*bEJX2Zm(?=d&{YvF{f6?>|2&e=fC8_W70VpI_Uw*U?x%8(zOhzL@lF zUYaBy-`Tn*b*nS(hxHjf58prJOmmrb4exVW%d<{0-ubUpSwe864`f$&SsaQ?wm6(` zvq@v4aC7~aO96);-J)^|=Pj!-tPd5C>3!Z;PO~L}_VnP*{Y>kfJgBm^zYadbM87wE zLsH7n<~Y^!B`rJ9^ck4ClV#K7u7{_qiPZ(?L5XHUAcDH!4D~;ifELc-n3KH;&H(`F zc=Xaz)CB=<1+D{B-5~Eh`(aik6KZ)WR)!g%yI z{+roa9YC4qetE7|H`hIiVB2Q?&RqAXFdj;Yn9p^O3eR4a3x-5Tm;{V-z;}`zYj2rq(fp-(?ma_wghyx!>FE(&|mp+5v6Ck+FAgqICV5o_hh?wD^14aZU*8~_C#Ryo( zX12Pe8Tag*0{uKh{E(`c-7`c0PrX3k|1a0@(j^5ExG_Y)&~7+0ZpiLJbqA!Q7ali$ z2}5s_31E+bxfD_>Ks5+;KRh)WP7E}?K+%zK2`^z%a5nIV0z5#EA=QmWG62wl2kl&o zumB)rmKYG2h11L!1R5bPBLdYOQ&SN$K{Hq}gnWWC$KKK`6J*aodWV2;7MhJAQvpp+ zNPQcmlptfYV8#Lq4b!kcKp#IyaG^l|Q&12T3PE=c1>AGSP$eRq?J-*KFG-ehbiQX{=7#cTZR}ySPLAN!q9X$PtO!E`T>^hU-Foxo7Y2I2>_F%ERXz!cKt#^R=CSgaYmGDOC` zbVnkx3*x66X>CE^-_Rif?ieEW3$NIMJ2Y?-LggLL7XYe#(AO*uw2L@sA~gXO6c9Tj zSS5IeZHcEFHgABq0FnSotblVvknf9Vy^EOw90-9r07tGU&{G(jqHuyh7by;{*}%$7 z0Faq+FPC=Ma0nueIIx*Or2!mcq(c!p3KyJifN21SCl-1of#(-Gh)kg;5l9+9LL3DG z%Y+)xNV;u_9VgfopmiJ(h)4Plk#spiv$06Fc`*eI!e#PHMo{3t`PVZ__K>cf9XMVs z91hLD7&sQRpTSRpzHeh_D8T`fo-xt|3IxF*zW}nqgjrjBtg$rfWcG~5_nRS`3366~ ze+^s~FyDVJ$m0*o#rW?D=X(hcOK3ZUssdEZU^jt*BmA0pCDz3U4$YIG>Wc;P5#UmR zjT>hMges6AhrUrXJOm*E0K`lrx3u$((=@kV3&<3>#|a1y6Wjz5IuwHBjDKy4i*zAsozj>K$a@NLd(#)PfctIMx&d zL5u-PiWNthnTVNTK?@mVH3R`Z2z_aQYa@6miO`4rM;a?+RRgU#Y$hNRf|TW9^9HS- zh0Yv=W%Qp30nB7fmS&yc)iIF1#o2))N&xs8=i&p$nn2qy4y19#U=tU?b0&aN4k#JG z76ULmLOdy=X!G;1#8o=u%tv2LD{8sRJf{uHp0Ku1Mn!1JWah?&A808A*c>LO%57QR>5^&o*m zWQGBWw}>f{x`IXp!U>+Ic13KwGu`Y&IwcXz<-+5gY0D=1Sby<-marQ7Ym?=lDxd;N zKWnB-R}Gy)v zZ8tOwtTsy={nF>cv*&DAqiu((@DTxexe*VkZ?Cka*3;bK$xD+uHApLWtYGNkCeowK z42&JiR8B@7iQN<25$7`M{Ji?|aGU$1GldbWp3z+wiwwUq!bcx}S$g$JLz3)G0A9xz{)r@}K z#8&yn*5V5nlieIm>JpNR*fHBJm(_7|w5+i!pgT9T!u8PmE#(8Qhbq#lCoT<1Y~a=8 z=v~v^dGi@_31?>R?U?3`FRz^_?R=50ToZhp%cxv~v;&p1DN9Lpl|3c%8C-0!eoe~O z7y(V*i%cU8FYXz$M8&x^Ufx)zNA;aypo48MNxY|LVJ~He=;g3;j4OpwwR`s4d2`+} zSE;%vY06@JcD>n|rtSM*nT!?NC5Mjo)=%W`zb`^_Kik%B#rfb1dIN9j_8j2Jsi3kh zi#8T zJI{5QwzA!iTF*TdeC;gLebCmi5532ERA<>%3jIqY0`CN_*=P%#DU!``4bB->)$4b# zd+2klqgrBs)nm_8^tBVW?+;*{(-M2U<*g@7>^!UtxXRgdy;xG3JSR&(zf<<>KY$U$ zPWTPC$leH#wRb+UXWbSdNsaBh(sN`|@9AhXj^ur6`>=ZD9+y=w#_~yX9`0!Dcw#4Z z^Y!-DG^>NuMHoy1kJw_vW!m2U_G=g;I+rtCik)TyyAx&nf{6@q~S0r4=Vw;-5K;onBLW zzQ{gCrp!!ubKaZ5b#diun6hvFsN>)pr`B?dWtL_+Je9e8WK#cKUex(7-=`X<2CFBX zLz?sX_%qwf4%rxaZ{ay|G z=g_*IKQOb`*K9d*TvS(`E&i60L$;9 zD?>)_W4naPKAcZ#-AKQ>dqt7xhC<&}E50{!4OD43rY?svnV)yvYhqhpHznLpb&9&G8%4znO@hv;Z@65K? z&96_*<1_e=@$)QN3+PV)2@csI5cq*G7tt$QL~aEu0zF5NO%Va%QZb}y4Rkz21fgLD z+%IT-6C(6@mr!a+5NU>iNC=o>fDjJJY9T;;gpC0ONx21KeMp0bke~@Ld;+8ohXUp# zXm&6IN;}YL0~$L*L4cV@xI`ZB+_=#&-O#=Vk}VKEi$a485JrkGoF0PYp{X#4tU@Wx zSQG~hYS3O{Y>XB+24+%0G?55n33ZeN!F7XTE*h|WXrQM;(32n>2F@T%_aYEaQ}6|h z#f448ASo_l3NaCsRLo2SQ5dW!XaJZISth?azW;G`LnH)xSrEVhn;rzXND>jGPx0|I z@Qo}K8R2OgK@msQ-FjYN>)GyzUnf`D!*yD=e~A`1Q~f?9pDY>J~G^aTArs0fOIxErvfprC=&1ZeWih>Uv)1L!&IC#3X60s9Fm zBEWEhEOP*~FPLTlF)dMOi@}0+5rol*LI(zTB~8Sk`4##d#Z3vCHD>n8C3-6%{sh1> zvZ+8l1ZEl10I{kd5K$?Ss+5rA!h;S&}L3<<^zt(eA$0x}Buf-tj>-`SUF z*mJ7{S>3=HiL4Ufy#?eg(mt?Y(iTK(VE=}m7*Rn%Q%G>Zwg?%PP_bgc$qCteLZQ%t|pP-Ei6h~Mn_!vWa1u4x!Wk3*^2gQM|8B}r!x-p?K z?)N}#wlQ5m@1Q;$uN-htfiw$-NO(A}Z44hC&ND@Dwg_b>(eUusH;R}k!ov;qMPgU| z_onmIK#5)zDpv>wm@pKc*Rl1_Oy?X9Aa>P%Zwhqk$e5urh<4f{kb=f*bD<_8C;dQ2y)L$z{%8s(=^_IL@iDk6 z(HUNBAueFL*g`~D6d{2~aI3=jh;a6uTf!{e&-~l`o`qlg6n^bsSh6e~bkO|r0A{mv zfQXw((s57;C|O!N;_NvDl&rCiI0c-^F;g5BT;0LZ9*4D|a&2ynHc)RtF_yfY+V(A0 z>UCr0#+EQymy^N4*X87mDf|udf<&;v=f?(YNG26_0#qX zR}V^aSp-l_q9$eN{Y=Q+Pock@Z&$Xb+0Us_z`XGSFLQikl0Z_Do#XH!A%$)A@~%7; zw7O(FZk+qHDOw>3C8zu9*(Vf5Yv$G<;T!fb^dGKFsO%V*kn;36`sma(4}S4(1>?h) zGgC4uQ=`81x)~3n^H?0zF5nI^JDJ3*UglnrJfX+HZktQ$huYy2+#Pg;y6xnEUlJ9g zD7CLz5o7(s{?9kH&#lW!!gZf``XcxKm-kmk={6q;OTHBnYW&vRV()sUf+P`)CXP3U zM`e4A%GO&)-8IadF>kf zj7=O~`6#_1v$EOVvYyU7s@#7+f16mT3s)0U7t8jhGdH-D$FHtXwe7Hwd32^Df4ZeV z>5W)pU;mVvpVLTV89IAVBDYj*Nts+~D;@!|IX>~@2sFM$ty}N#~VQWp($g?ibx&!-} zi}Pxv5R{oePhXJzZi2&mg@C`%6 zrh&D`**b#HxflnNFkTH4l0N|KLjEN30oi%+A7-;jXz-h7zd=q+{Eud{Nf4vYej_xK zO`4xOT0*JKxg^a0z|D|D5<>2GQTZGIL}5(;i6o3Q!H7YOjRpm4;O+#*Z~&N#1LE@c z;Cf~%=A5Aam=u@|KSV$x6b)_@UJ)J+JQ2XWfZFkb!8HgVKocky7}+6@0p#qWz_?>- ziWLSV8VEWPN3W)>hQWU5i1Ly(^ zM9c7Z!~p>)2$Bv2U;qT)_S3=mkJCLnY(TLBcMTq03DhpY&;+x+ND4+!Tom9707^6k zbRV!QLkp{jC?sRhIAfs9FeM1CXWojXneG39a1k&il7T_Q>S4B#wY?Z4miRwx%?FX~ zC7W&}2>^5#fWn;}IABJA6aH@oj>W)CW3Xl>LP)mG6p_%u34wwRTErN1z|j~Y%j8#| z`#&xdBxwN?4Kl%ajdlb{jxft(khc=Oa7GsrFeacR51I)WQxO<6g#D0-7B)tM01FQO zMYx;%>hS-^abrXwfdGOXpr9-Q4i$oFKvIrKhrZy#vQ0o@4B#S+2(a*g6b{x@81&WA z&^G}x1g03Y36>z80YJbdTPGkfH8XC65&`BJL7)Mj6`W5n--{%V09h#nzmG9PLwARn zAh2QyqJUKegTV;`D?XM0Jq#V)zl<9RCTC9B#jzLgi@)wfgr>-VA^Ig2IN%TDrT5|g zrqaH2emex6KR4o8za6;p|JrZQ(baTF5JP&6t11fHr#G(P}38ZfE`SGH&>8>-zZgePd?o)4Vdp z^CZ#bXL_6;v;^H%N$@b(!{f+#Pcq}l^NVEXH%xPeYJ9zFqPMwN&$c{Ib6I|YwQEi3 zt;fEf-CSjhGM@;Xk>mYbU!{0fI?zSHbE83VBU8H?C)z7f2gR)v;jyngomORR6gT!3 zZKKt7`vcZCuKBRb(4D5SaY5@u@0g=29!pzp?QOGJ6JC;>e(}a7(k~&#KCKb0gV;+| z@ouqsgW~$`m9O)9EeGuO+I+}d*1yieC^02x%=%VZt^RmM>)YhdbR|y<3=a?Lqvr#-VpE8Xi2ls@WVEIY!1fPCaTjEw5j&fZ8SAxurKA% zQL8y%dGL-x6mRX-uaW#i`B|t?p&Vwva;f#^XHWr`hMZ12F>eVBXY#y|Guq$2{#s*G zzK4#j^v9OlOxicLcIi6k*6Vjmma{so&>msJy>>CI3 z(yv(?7^RcZV#*6{X$O|a$geyeYhvYCPUd(o?5yN=Z&NDGvHPcOBXVDDsU7aNq1`eZ zY}-~*cazcB+jUu_X1=u4o2P!_i50>>oQ5+-e0E2o`tu6!Qe5x#zx()8V_WE8Iw{Gk zE5$eHsZA~gxm{33dnS@O{1_Eswm-egn{DEbv0ddOwQugf9`awX@~d8OukIN3q<>pMx^dZEv7)zwRFOx!w6e52T{L@|lw> zzkR=-DkinawY|ZE$%<=C<)*I7W|B+2UGt+S!D5m%!c@nb~PNNEJzNXH(uDYUMOdz8Qb zqM~ucu88=7=$E-SX|8)%`D%`F9GjHLPx}rT$T+s1@+KXW!D&>kS6&(Zo#yf>9u8tL3%*ED@e&9Eh6CS66BSD7I3kv{?QcVjDDU3#HIs~{l&xv48oT9o+u(Syx27T*>qlaFwv_b)h(jw{drLQe`bpB z!Cz=0LW~UDphTbRVjIk#P3JZ35*skm>xUs$1O#T-MHb?pOhGehF-v%?Naq{DV+GC1 z#Y~~N1${V#rf582z(NxY&2_|0k*vqU?;OIRgwSpi3>f-i|E(!>k1b@1G0GI<-LvQZi0;^x@86m)GPYC^zRu`|{sc89_EnK{(hVRDF zeA&QU6r-w&Uvqd`*yf4xe8z1C&Za7Zs3QWLCYpgC+S~`buD|+FOPR@*MUuM`aSh^>VWkVrlxCM`^lJ5!`?_qnCa2`ZUA@5LgC8{McQ~%ubB_B$ID4*AC|9LZ zuSF1ZLBUgFz2l~h`>wX{VA9*Aa^V~l;e zFGT8dXTRJOHuIBin!C5S-`2P5#QT+B-@g8qDeAl$E6-XA0Cf`yDwJXjvIdkNb*iP*3HAla^u1cqV$w_)PRmYsRkSk5OzsNr2 zTE@N6y@3y@bQxTBbCrm{R8?e3HrY9@&FdU;wD%h0n|2;2`P0gGlDA@CJFZRpc#3U5 zUrBhkNPJ3a31=RRq!0UK*{5%<66!ECZFO%~?a@J^%s>=b@0-cwiO*Xp(s;#?~y^fE(vFs?zv#=O) zR;}AM`)<-~k+P(_H+r3U5C8G0F$}l0p8AEA*MnAS_=KJBjxQ~_bS?yQDIlUIO+BFV%9Z0-UFRd z0TdT>kM-E>@rx)-d8c16o_}dY<(`XPEMMxj%5s${EH6)CiKn}9>dg+-o4(4C=u7T( zPFcDklrdg(k1aQ}ZJtPPv<^ofGaq_T>aX-9<4#-Kow(!SeJWdku!fw#8$Js-0ul&)N-pAzCkAFFf!7<-qX9aZuAc>AYa-c`yQ%{s-(zD;Q} zJBl%PyY{sQ)7$egEcdPUJYo_1{oJD)G!p6(;bF4u-N7cIPw#JXG&^uP0rz?8wsg?T zqN&sx*VqpllU^rxtSLTWFIX7&1Cu|wf9E%C8Zwt=i=dpAubdz4#8!OQ^t$P$Li;UJ z`@OOmD%7tfmbrf`1GoE`m>+MmV@dK2I2r`Dk?nrn!Zxz5EIS1q{8^gn&CQB_nFO?Q zgyD9Ptf)JiTbkOom28m6+9lFdru+SzT(5f7<6OFe&skFaVl@wZsFm1o_v&ePTWELI zc?_G#mWAz6@YFIUJ-(%G-F1Pt8EYR`4#~+^INbVRU)@}DSVX7ZdiZ$A)koq3)eO{z z=cHHhyIi|FGB~DC8|U9=5mzjiNp=G#d8OfuuUd~z&%H;UlLac;B^%5R8p}TS{`lhA zdnNVcD^`2zuDV)w9Pcaff3%&iK`A*b`r_WV8$a`QGOA=2+R&;GKA<-ILZf%K(Ue$x zJ+F6cCSObtU*osy-{R{zPNsiX6Z|#427ZU%dKS`D2yyL zOMq(u#4bLFLlC_LGX-L&e`78Loxe~eB4SPuq5OK!b5}=%4FIV}#C*YZHEWIrKrFC| z5Hnx!G0&NUk4db>5kUKYaq9@gA85XaT%6DqCvxiuvK~b&W{2|g-&`G8Y~Vr@&K#j% zOzi5=V2%jY6ER=#-I|*@fS8KHZ4xp6n?)xKVw#9v6cO{kS#;2R0;B{)`Zb|;^cPbH zJ^etGLS)H9Ep;Jt@cM-j!Evaz5)2$o(C+#BdkPFY5cCllG!#Y`y6WtVLud#~ov8WW z+%r;?7bg~w2oWX?i7Xd{y#jiN2=5yd3>F$Z5`_U+P@LFXh6tG~yoCEj=pku`48oVj# zGLF->e86L{8gvW8-p6+@_`Sxkz`CFL$FT z6~0PFSy`(`ld~@*;lL}OE=5C`h!nLz+I504=yy+eZ)Kd;2;kJekrCMcilZwdAx~@YV(cg`oR6I;pOK zyQZ648Hf!p?m0n!tLyl6X=>wvsE2Cnp0w)iU|naHP?vFjdaLk59?@j6^vH831i$+p zQ0;Zm1v2GtQ$-2&f>PN|Uw51*KR)6hr>Stm{e*^F;j4s^f(*82)W#VPaz5*opSXJO zGgGtsas4u>JI-D5$?rV5emq{j#dWnQ^Y{CWJaBTF)FewGrv8IUO$cA$#=eXIzKX$) z(YwNH?RthK^Oq039&Be1O|&zL9dAi_6nEJ+-;O^%FW*xCveE6Mx~wW=3DcFu3UEc zzeZ+A^V8M{rrm>F?6xbD1Fih` zf<+@0v9@hlBP*rFE*JtY zMF@NV{FoMx!T=pa;Aqb?T_6lY8vlOYH$DyetLf}H2!w11-iYut1MZ-|F$ZBK5n_>% z5FTkdFX8>`)!`TwL7FOwRsccHeSv`^rr>!XO7#RsFbK3PWIB7#80exEhb~|u<_pgD zoH=x_q9L#*V!qhGF^JwIuz~P=T2ooHUh(Kr_xa&`k84B(2oQTcXuW%=U zKbiwaCn8KwFm9oFuxA2uFhPTo3R0^lFhvuzfC29>Ov2n-fiOJ+n=r8^JD@>aXtrjb z6O3^?i?V-`kPxvk`~bPPdIkM9M7*SX71vUv_QC* zh?o-u21Lw}E?y9#C1(CNiw+?cL=ju=Liif{LK~tWKv;>!6$D+2zrQF5{Q{KJh>V#q z;wEy-fV3vskc$45ujv1(8YT*ixv(hUt^dCYVt#GP5||5OMB&x^%OIxkpuzqYF-EV- zJHxeSv$E*&^uP4?@8Ybwn{Q)DA)I)9mCIudcfvpEeWPcsBL%pjb#CS^myX0#R!o?fYRk zpudx5Ur@1H1zicLTciYcFLxN-^2uBBh4E4slpLIdXpR{id8{fTNI|E(g7Kkt$X2W5 z4$iglL3UB^oF%5G8Vfw1#QNW}SbjW0=ZrWP>v4Yl>|`2-wMt?6;@A}upRM-Qax&O5 zZJFTWUwtP1)W@PyE*7P>6-k9_6?t0rZC?@jP`}2<=)&34-wG&Ju)<)rvz?h>7mT@WuWeg=2|woW+U}=%@uh{T(3NqE0Y$V6ZNzA$#mD+ zlEt;piBaY4#*{4kEA+~7CoKGx&uuL@y}?;2^y=dnH68_Cz4cqklXU$_gtZ=NKeHq~ z8&eUve)<$S+gZQie!rfoqQOqBxPfa`q-3Y;3OD-JoV{^4O+LgbneKA!<+U6tI+%8e z3hi|+iJABHOen9KpOk$w88OK2Q6CeX2GZ*waj0OgCq7Q)ftY zUVmPPfz7n@1BRq^EftTO4g(oCSIf<1E%zTeZC5yzlFnhiE%t`0;d8k+QM5Q}@%L0) zmXn__2x;BYxBtDvovjTlcO!a(RM-Wrgft&N=+c@pSSO+9w6W1muk3hGwzp~MS)$M->knxq zOqK^;4Geo4zOkv`b>fk?i9;4$?Rl=IUFj%Qr*JhiIn(`jQtB_OZf&m!xw1y!eBKQzS8;)g_xs-W?H=QG zpv9fh=u)=ue$1xf$6_LQFjfaq!o1`6ont$3gVI7Wft8wo9JJb)F)6>Wb=-=w! z&1J9I^K@`z*L}VE*6N)26p8h3TTY)apT2vm&1lTve7yNV^WLkYwBNsU_h(C|;MPkY zG2CUp&PJU4WXnlk)xFmG$s`>jPkuD(I&nxXZ(%4VeMr|Ont5gnFp_zgWio45yF*>2|30)k?RELizLMHQ8si4C6=Me9w|#o$U)FrvTWX^R z`?+4NfR8)YQxyiT)V9fGHuQS7kF4|D2RWs;5AK-pv7UVQTFy~j#^u$@OZt6ul%#=L z2b~loQAcuV22Lub-uCdg<7x8v-DL{BDlfU(THEU8bQPzNPfv|RPgvY;A#ZBSIbNN8 z;9x<){)1xoETz*U9GMk0QV0Cy3~sP72Y*Rv5LuPpE#sYdFe8kN>5lL!Yc9^!Jh3aO z`3CYHwW0-@-9jwmB`;mCDys{eRC?!8^C>&zx(!!FQ+@7MN#2GS&ldZPcHS-P?k_ZMKE3SXvHy&zRLD`IaYtEYr&!6ebq<66x6^C_m0|Sp6dZf}SCdS{&X> zJn$93(~&xG7(nrAj?M}}wIXLcd^2;{!w1BT#eai1r1%erYl(cAqq9Qrp~yG$IuU37 z=A0k(-jS`=pCPDD_q6e2RTUqh1rHm8C@ zK)Hzw4oX-;2&)WI>xD=J!M6cP>w;~P|5RRJW)UsTl>Tqr0CWV7SjeWscmYv~P$Iz3 z7996KWdujy);}{G|8c&>Kq?xD9iW#KKW-sdyTTx!@fYJZH^(lkOa#f*U_n9b^+a)!_BIZHHb zXrTmrFL3wI3|j~Qi=vPTLBx3$9yUCX#YoygB~(}xxQc<13pmk$j7$)y#e`5Mf@a1z zpu!R)Q0<%iV1EVv?ms_MzY7?>4*|dClY31e;qcGz!d|C zH!(B_dLD%{!F(@diZ}*84?v=(f673@aQ?mNFIQc{eAc|;`+SBT@+#fM z^1|#s<&GayKXMiwdffC}C|MXIfWqn2))nf;tYR{{R_J}gyt6HBCu0bOoDv1gnIW#* zf;D?~pWc2gBlx+>ryJhFCmf{NR^NF1J;YjsfV+di9MjCf(w7F z6Dk>T>0vw1$?+ks(Aetgdsg*a^m<(T)`otlrdWn);5Od7DN6oAe+%jEP|iJyjaMYX zqhE5V`g|GNh^G-P|iHpC?Lu;drkmJhW06$K7F)8s5!>_^S$Kyq=+3jU(< z9;rl`fESi=YJ4Y7P;d%z)TXdsRV*-2dr|JXjzv>xoSUP4b76wZwsYZ|!oxZFa0y?^ zyL@&rmW&-7-Le5y_efyftNrz&<&w!107dMQrtlVGSG7-Cd5ohS>=j<|H4tq*Fd_8Q+U8P2e+s zwpw`t+vh>%;mod>(s6WuF}kLsDJMt2(q9Va*~NYcvuc}Z7ki^Jb84K#>pjM_yXZ5Y z&|2MIbs%7BTXE01?E{IJ2N|#SPro$h9X`V2xBBh#<-t>Lb?e2~q|(w|ktJs|MJbA- zg}9cf;d+FhSEzF@yH4_gL(x<^tt{0_XM<=Yf5irOO8ULIjqF+!o0B)K{?r}X87RRy zRMwRpd;Lnim%~QEi)%lZpvQbiN;JG`pIOV^yy8X73mi+p(oK z!%oW)R~Ue57|p(R$EAOd$3EG{IN#vPNL5Tt)Ys5jr4Hd&EKxf>ZihE{QeNq5tGm4C zoLyg(+xe*4s}+vq;%(jqGuk?{{jTNjS&Dn#TX%6-GEm$uX=xDK4zIcc}XpWe*yYj46b`k<9zo8FM5cAOKx zHjrA#!E8;B5^xTPj4V%oX4-alt^qR<1 zI4&35nqd;dFZBG!Mx2Z6zy2lLC#me!FDO)2!7ls{YaM(j0TiD#>3&*XP-$-PP@Vbh|%) zZP5*mmQSd+moKI*ap*R4YPYgQv)1aXX`ffE*v*+huV>V8`V|}B`8PohheMQd z#a8i=vs%~du1uBMZMgBWy*M>Z8U?p&U+=QAlphWs$mO|A>Q5*6WgN+td0Bs(R$1Yu znMsAbN&g$SQnDcN)C~SdYd)0dX`JggT4}bNO3sZsW~Hd>g>Mr{<)QRdRTFZY3X!KC za!L5p@|+8xt+{)iQp96?k8*hq{VA6a^tKQ6VTq#O$UZQf!%%SyH9zz+=oX=DNtsrC zu;=FEkEkZj6IRO(y^~yKn>}I4_ps%?Ye`P}gMx>YwwsPL4t#NMSP`Kb8>sJe&rALt z1Kn^c>iAg~Q7N9s&D_)5UOQX+2=cI;V^ua`!`-eq_NX8rkER4?XL!GFeddlc@jMR&< zykl!hX&>I!Z}GC#m1{h60X>TZ&bk{O#gA^jh$^@ z)Cc{1HS_8zhboWB+`dav?Ro!rz!u@WujMpthDMW5atgD&YV28z`#lSI-}Uygex#us zdAG9RrR>pNfqf*7jZWK^U%a>eEBjFGLq}rCuz6gie?WoYkI3IpAY%Be)KVnF08LDo z&BA}Vl+hVp&Jp2G2JT7FMZ_}@0X57*`3rNbv8f5x3?nQK5`E$rag;E`_~K^J!Y5`7 zx|%{lgi*fuFP_v4Y5+gKe-oV%u%Ut)&y3@P=hg*u2S~*TffGvL;G@L}DzLws0!No9 zF*K{tJQ8`l!}*&j2Bcz;`66;vf}rL%Q^Z3S1b3If6euQt@wtdyRTzL3A`nXxm?FT1 zpY9q_Q-oTISP%ySKR->E(9QjgZSt4G?caL2uquUTz*4{Yyi0~yg{S!Q-hUQ*0g3;Y z#ok*7TkKmzXg$Yuhi`B@@shdFdxk_jSCI%dQi*%<`h}|=Rjzn6kAIAqZOV_fXZ}Ll zG{ZM{JtN~ZbROq@#a1QoyhxS)4bxV!`)lm%yhaBUj-2JP=#=GLPr>GQfs0GwT*baa z1qqq$pTE7YjK~^!5hBXDib8G=1xx5LQZ_83zb0)Bt-l_5r;1(O6#9fX>-fq8?QMNh z0}OAbY^OgK{BZi_^`LLaq%pQpEx0xOu~ujT-<^jYM@YX9Ef0-7eQKqo6%z$7-BzmR z+9PBuFdw+D9^hCjcm7;Uy-zhAX-F$o{dHv#BQ8H~-U@xrj`J=(L-(udC!_0%m3kf< z6=me?2%}BHx;H3stdme*=OPi7GT6#uYA|9N-gi~DubVQM?)p?h!>MN#(Jkgf0h_NW zpL$gCm}~7*PB8}g3lujrZ)|b96m-b2w=YXEbNv1U z`C2zF)2a(){I;Kk>Ad(4AhT;JUgWi~kR?Yg{<*u=HVEpk6s6!|W_w{j_awNsFbm=$CNL^sAlQ#m=51J9dq7s}1+go8e?PyO=i72wi3B9JyS#jdB~#llmLIdhH8U1Ag1IIF+|A zX*U|Hi^{9H^9zN&kaDSPlDdOovXgs1u)UYRP|C?eC9Fqh(|C6NnoWDDd#;Ez_k<2& z-Ugg8ctAs%!B5M|73d$cQ-L#JQ}}n1D!xs^%i_0uCn>tBd;Q>xk4`;ptnV)N)nKR1 zSQ0iov3ck9{S^5i%_dH-H}?gO4;{y@73J7UN}97T_NF5PDzzVt*3n<}@JPiQ6zLX` zy_*B34@#%k52aC)#J$jv=GziA6N zEto{U-L{cm_m(N8`^d zN?haevTv7u+k4c$>N$nBv>Y$i zP*W5AVz?n@Wj8~R#u;Ud@4L$HkMfg;X%!>Uoq@FW5#Q7+n?F3-IF)X=RY!c)5%Y}r zPxlY&3nrEb8})ijtvy9YdFle2)1~X%v&SD@8sQ8&$wqUs*2SAb!n$E_gZ0sdOJkfC z$Hw$CG{{AZiXK%qO`IC|%xU?8xAOjdEla9&t3!q%`%X~tD3I(ZwI;o&bd=;!wDQRf zIaTx~@o#PgJqo$P7rY{{WbJe7Os}yMxvbU64zD+FU6GS{ilahX)yeh96RMy5RDWU%!tZ04MoAlJ6r{G^ge>YTe|^e_WoTU3Fk zj1STzK6~c*;S~KziRR7SvR*1x0oA9cCA414bt{?PpuHC?F2C)gbywX5iyPu&e7!0! zoBAYu8uI!YD90~E-pcV&Eluh5R(R*-tZgXJY1DK7meNHw4$3D-4!#v=^*Yw+F}iH! zmyY~bW7~z@ovoWRgK*^|QF^!5@|_fG8R_x8Luarqilkhr%y6$VUyF>_Lr03UpLo@} zo38D#dQvd?gZXJ@VfTd8x8dH}5B`Pu^4MXmW1l8z8eH8bULBS6OlrNHKFu-7`D%MA z>bb**O~r380WUo)6JA}W3NUutvu#V7)3mos-+B!qZZHP&u0P!h;%@NF89Lt$#^9;L z|1}u;*P1Z2wIOaW25G3A{V?Mg!#8+e8?t10dUW_50KMXWXMP13{}-0VX9mF$6ru+N z6;u$dhLQ%zI)KxLMneHubirb!IaFe>Vjx9>6BELLOsTQ4poy>vXm~(51gbwGf&_{w zGdH|6w1AO!^pC_V8@ZfTwgqFRF# zx@YffuI%?WcZL-fHp7^hnm`p_3cQ1}M4z`}u}5J+HyM!Bh&nGmQ2nc#%6BA^#+3fi^s5X^7{NQ0Rd zdTGZEWmZ^7NH-!1aN&rG4fH}TIPAYzNTwkAZX#?ZC<^6Cpo0~L5+$hh;Bcl`GgC2) z7=h3YhM+lhW~=?Hi1_!q)qK+#u2LYgC5#e5Z|#@u9ElPMOp%TQs4Nf!mS)j`rP85 zE5Sg6#NP&|FQEj}p~UF*LHBF*0j$vYNVa;*mJPW4mSn#uE*$s!b`@j3#@7Lb%HKz% z9Z0O@@5)wf7pU-$bC`G)=O8oTTJ?yd+OzdZwWnWF)~iPwPm1q3a+dpy=_c1}Vu}hu z7U&+J*9ayTv^kfvA&uk>k)AF^TS8EHe;wcP zl;y*|V(dYmWw+3)bV=QR_(XHX)R64@T9r?AiSY`gs>Q(p6JmK}KjO#fswKj;IB#@0 z2(>$&dc0TQ31?(!GPj?dA9<-3G)A`GS3BAndThNKYq$G$o21>Yr?bn=l33OowIc1M?Yl4@F}UCDa#yqKN) zh)I#oDY|6q;}ttOHN4)_-1}}!MVBKcQ5mv6si;Zm1}%A6^zL=rCX_EKoWNb?kc+&k zw)?W5P^3>ATO`NJ2RpqI*L4=4L=%elC_6nk;FYbpy%@zA!rdUVNv=_!d}}1vKFon( zvh$n#9EZ=feCrl_n6NJC;2|b29EY$Ba*uYOCmdYaKUnGjAoQ;Jtl8 zbtraQH$!Ub6YiIt!EtZoBS?)d)PAk`dYVQhNnC0*^~<&TTe~%J?(LIiKhhbDBn6Ay z5_PiP)qKCQ`)1vgtf$6Uy-4ZZQ6}d6uhILGO&s384c_%)x)XPQqP;9EN+oN^t2c_o z>T>1KHO20&-_z7y2@IZAy5MEwGKG@g%tUv9%0F0*zJF3uy&(3mvpHjUn^7Qb@uj^_bZ@JfTc*?SsH7Z)?Em^U?ujR17;T<;RK7-R< zO|%LY6voxG&b$M5$NFlf4+!=s#?FXkR!WOgp=G%;+Z5M6)CtN5#O$OyR^ zO6o=5%g8X^ZS1Y5M@jnhGvl{zyQIW2>T2*jFzQY8Xp_rlld>3%hiiHwlx4#_{jaH? z-_ql&y`p8)A=;gd!~JTvg4p*O3~A*AUnBS%`0x$c zuR0C7el$#jo@uuz*pu$ceI=`%qLbNS=Kj>Y&eh_|O-v)(5vy;9zH2z&2zpfC_B3O3 z)v6295ly0K5$qV}UfvzqN#@QQ-W+aT#}dOGgz7mwF_NSClwqj5veVD^QU&GIol6Y# z&klW4JNwu*^_V?b^GBVx@4xKNi}OTBu>~*;S{q67*twS+v+onQ5859c{VO}Za%*l_ z#_)JKeS5;{^NQ6IeZ5aj>@BxUr1IXKu+W*jX7EEuO^k=!PQG?>xTIvqlnO^MN!jCm ze)Z{35u)opTyc$)?4((nG8J*#V!f^kzRv9}+E{2=M+ z4Wu9zPyy8L=P~++fNDlsW1e^{2H&Z;6af{&%7~AnFnF>DBx=I*FW^7Sgir7dlCQ^q zGlNEeZ)VU2@Bv9Q<9{?0n9Yl|X8!oxk@X*4e?+q$AP;lwqIhw32=Fj~iGibcL5~e^ zhoBRSGBy)77Q%quBTgJ-{7@#q9|5j1aQG8(G=E8g3;ef%&pOE9a|2rVfBrE{4Y)^( z3|m}KSjfZ-k-5c~nF?V|P$)oAikX2tCCV72H3?$GnMb!Y^F2FkD6fFB14vOosX-WE zMi6E5LzuDPbOXA1k@*JUO=B?=G;sN2K>5)W3(#pS4ju;<1lmARfl$%}q4E6vMZqJ* zsRZ^r89Tb!;Wz~LTG}3^5|BS;Z;G?W%iy4l1e66-1a=u9wkQ~~7S6#1Lwaf9v#P*eZ!9YF2_+8P#ID1Y&G|MPd?*Fbb>?hG0UkeuAyz9j^A3UTFs zy|aJ3ZxQs@1C|nhVlGNiFFf!4!iU)NOrg{bfKE}OaWX+${`{*V^a(H>0M{i5v4!Wo z9K@~)ic_GOLo7On9p~qUK-3htk%{ur&G<93o8S@#_CFbN0VVkhE>b}0FN@CquLSn< zTKeY#dr=@M{>!TK%Yz5^w@{mv)trHuEuXU{=k5-zjifF(_N{4n+e0!n-hoUF1If}g9(J8ctMROdP)TAQ+vmC zk#|wX4S4q*Yj)o9ouu#yvYi+~n z;)TLwAo1pGc#Q>vL+}!<(Wng)b8IoxUyeT$CW3H=6kJgP0-Y1~OqB5}UO+2nH<*5> zzl2Aof!uB71Y#lSgaq{Kkd?QJw8$BUGTV0P$=IWf69&_TUwhpqecud>Qq~PHKb6L0 zzp%AV9EkWVb!)$u(iw96{9~ZEERGkPdlwfu0lYNS;9{tkM8kf5UPO8GS7xoPx!k8d zNRmR*O@xHO$`A#gQY2nps2Ks#WVcK9P0S^snxWUdsX zU~A=ZvE+f)YaBm>+Q8w08CPT_aHW0w+sAW;<-DWEh)v`P&lbx-_`}VVq`Q$H?<-Ao zhv5<8qaAr$sTpH7=HW{YgzaN8)dYKHaR;UQvnRFDHN2#xGk2oxl9i6a*HnS0-civhn|-cu-I!*S1lvVZC*;VSw;RS0Gmi4&MMwn$TGd zIgjpbB5CTlNq9qv+@w@|&G>Lkh{SZ=^=A5rAP0dKjzU}%E8?fu@XslZo(^^i`H00+ zv+U$m6Jk1nc8cTpYI`ve_mshOA)|}Lc#Ys1epChFl-zOw*{0g9bIt0rs~R$RBt3z9 z9rJl3t2n=(ue`>-J*{=mzXKXPU|L$|$QXawa|h1G1B7@LIc!K7RE5!VcPz8$#N^GX zCn1@zW=@^6Wngwa`{hl~u8lUK&kU#}re{XrMTPbUna`Ub60I}U#drj8wVu3%G=XMC zLdK~2V{MUpFRNazLMUUx%Lv{~!zdl}>ifN>1C`fjOZw8vEOYue$927>2~V0meOY}m z4xCgKoX@1`P<~$)}&D6599N8@mb>oDI`}Vu9j6DSg zs*_L~pK*x&l5_Wtr$12Jd_rL>qh8OJ_kV@cY^%Npfhp?ijWq@S!gjB20K`lcgJVkS zl_^^^SEB@ze21KmL1aU0yp(uk*gCl(@hKBH)o0JLkAeC+5eA)ca7f0F_136COpMTt zcWZWSmz;~51h_}@rjPp4%7q;;tB66~x%HQjdPiPpC*3>Fe7RFEVZP}xHO)y6FIRS% zuzFvZ|6XB+>{GHkCriNj2fH>jeQJ*Pw9226^ymaJsG&ZFIHm=)M-}G;HIKxm2Q?L8 zLqR&AqT^wp8Dr^vtX6CFRK)jSeF0gl4-x4JiX(yge$iN(L&sGHtkEs zEyJyX@|qfY8jY!0QzLHAunDGrmv9Rqh_cM z`(@*RZe6eE%*{+p@nqQ^;o)SNX;FjPOjz^nK00FTbE7n!*SrVXA#d-lhHs0(5>5rT zjMY;(euyRJ%_ZcgYr z5pU5~dyD97<|t9C!y!{Sz?e97Lm75#z;RXiF~e%ZO%jYJ+|B{E^-gvw>g|)s%sPTV z66H-Y^rutZv7QL8Q;QPvv+|22C5PrnCMStYZB_J*`M9wy{lN}5_6Qp#mK1xR4k5_| zj;KrGgEZ^h9nZ#BYzolHm%d*3{Pm;kmlcmAq{reWlkILCmsjSm-Uq;gu5*v6C%N0u zSq|{j#@Yf^<`1sx$>$_Ji5=^Sy!y`AwXw(0cwDT{0--uTY%}H+ylw8ggNCP&i}@WZ z@`FUqzu@4{qsJ@{o90ht<_Be-e}olzkO=x|b{KFD{SM#!?@%feGtjvQ$jq<;>Ge0Y zQ$P_4U@-s_Q$RloJgmkpyYS<@@x#h9MyM*3jEYqln?FYY6QVdH!OalpIM#na!K<4HaiyBKR}#2*mk zX&dnNP7aOqAOo|_c$+{l>G%O zr+kbn<0*xB;d&4SvPBqVUnxvQW7eKGqIDP}lrCYIIN??_^4y%R5$H;48|G-QGo=yD zv$rH)guHP$m5{V-xd~M{n4Dd$qq&SW%jAMi z8lRb0Zad%G+n4m#nZqXUB)vWo*9M(0G4}3J)iUq8mpb&_w49d|h8do5iUpxkJ(;dg zu+zH7WL1Rf`EWHpri!%D5Q!mcVYvU+!PwK>SjyOQR@7Lh$&dM2dE;j~y4i5F^3Ns1 z-V|IjpHV_EBm57xg0+L^8({*CA8#FS8H>S}=?Lpdg(Co}{xQV*9m_`$+Ul+rz0W($U;`{kV?z`w+xe$}?cE8<+0H`&wZKhnX&Eo^;Lj_+{h z_DU!;dT8^VFgo!iBc+)^r3vhpgf`j{Gj>o|t7K=t)90XSz0~Ybps<8UhLzCZAr&+8 zTdInog8bg|rtM$_Qm+x;`fiVe>(L`kf>E@+VHhH>m@lpTd}m{b_0{PSeFbJas1vOW=_s0p08OH|EOPEEq@h$}s9n zXLzq&zo8Z2)C|YV=I)VZ8uq?nj{*L`Z_3KIk3#$UVmL1fRj692R9FT= zZG78X2>f93{%L~GHf)OI6mt!mW&jIO9S zkTfa*Tt|~+&>J(&DRK84Iey2m?YiW*ji7h0o9)XoFEB!0j#tt#^pO;e;kMt&4Am?FE zPHsO+94@fJ>L1lFOToz7#c#N;Ml!xIdxL#_bT@lSnTWC`@JgXnJ^9|iw<1Vdu@-(` zkdq=0do>nc1$qr39ggvhRiRa#RBQ3}I@fjp!W-Goj)`;ke)SMfeuoXe_gMOOW#$)b z`0%>_2^&5PIsXl82+Xkndj;^pKhtAn`N19cVcUH;roRQJenBTcgaVl8Pbs}$ye_{P zXniZa{fkHhLjRP~`;~+IDHPD`0no|s5XLW%=;ugQfUpNd0e=BvOutf)KZOFyAV9t4 zcNh+sqxoaE{`CR_vh#r5^*8O%->muz1^Lse3_zezLf(0E+jHn(6CITM{@(ZiI6jwFJ{-vG$!&BpWFM0htK4H=nxAB z)7NHQTRit7kjiZK(OcZM<&{QPx#Alxegrc^;AP?6@|GA3yA^^&nSde;9bTS7Ru(d- zAuz)L^#-=MLfbln;{zI*9AlJuI6VZmd6?l-(}*-P@05?-G@}?9WB-6R*s4gsg|tBvo$2+_lXNfV+{ld@x@lbt6v;dR zh9~UEy1J({iON+xcv^)phDh4R94w>WJ54*IsJ9%y*u9GPBj(>D8?o3Ow%#+Mo>(u@Jf(*atkkG z*rR7z0?_GJ0g#j4FH7|)hR|KljUnL@R2m~_MF^g}l=Ncnwi~!U zziP{=EPtiKe40(CKI$-vPiH3z31MKi5PH2Ix-Ez;i#k(mN*b|7hggie$0fQu;gVAy z=VFsO>DG)#buL`ZxytYICVQ@LjN?Yd*je?Z$g1#mngQMw%ehiXy%m?g^YP-bv_P#z^hi3 zS!QvM+mu5{t`7F8brM|dfuugif%sz%rQylDcU|pHUowOu>HDNDxUN};ge%U-D-igGuxYtyb!!<~X4`rQ zK;mkVg-ah?#NMKk-ko1|Hz@|^mP%YZSt2T)dXpvf-mJp1h|0?)xvroxJdV&tHPnfC z-hImg%`3VGUWO}56RuDPt<|Du3A^Y4Ver{_pJK7<5F*AO6@0sj4gCJ=kw4~&SY8-& z`7Zoa5ptYLQrHX8zWRqY#-uDv-9oDsTG947r*7kj?#3KBHWwe$j$E6l2^nYj$jw6n zNiX|Djv6v8$UXyIQm4DshuSXRY?>lKazD zEAt)JS??~`0?H3|pKD&Ag?fn0zVY}-|IDKu>>4$|xz2n~g3H|{haI-6#TB! zC_j+Rda0#-eO>p(sEU6Q*~+L~}WJ!Kgkr&t@4ZQ4=2D%G5() z2PN{3cnR0BjGeti(f8;Ekb5Eco)As?M;3_U z!(BJgKtN`@=h?B1lflBR47Hr2ePPiBvwH`=mPVV5^-_jjMz7Y*g(+%_?;Rs|V*1qc zCVGyyU{HZP!AUY^NXoNFW1f(4g+unP09P*9Bv)UoNSNN8_fh0`5i_bWDO^Cv>Uvk zELW7+bk(RmTiSqBbDA7zbNB^TJj*kfrR_*e*HaZ`)B+0w^#qq`}IQy;eVG% z`0t6?FAmm#0mirW{+%NI#eU871GWFJt^WH^V2A>cmiZj5z^S0`}iY;RAyj|7Fo{0**|-WsSdg4*Uo4#s4zT`RCvTAf@of{rES$ zV5A4m`ftLEDuC;qWkvtS^=2^36M$F~983{QCGIhA&-9uZj=c9n*9p>#AB#{(-YHr1 z#+DkXGz;7ff?aW-#j_f4Ok>*)vPGGnFk-I{u{t@P_84S06cxaJ0T-u(Q_iCr#&qu@uztb6~$dZthAtE3+f}I~$ark*qGcAwSol}`+vF>|} z{Q_69gD-T)FO>RtCtIrJJXF24b$wB&0J*9ttZ=RS4q2$%773hZJsa6_~>Lq z3&OE!GLGDY;=+VtNJQP$t;kvA@9P%Z5{QK-iM<#;DhLU*X^te?IZkKxr#F{pYZL_& zAcu>}U=_g#23xc3w?`ZcR}s60FKl$~O24Yoca?qK_XZvH3DLk-04152v;hh7(#YtA zfTdk?aNQv{TXT(5)H>dx{4==8I;rzF8k?VQ&rACqXIKgx5KBAl4NM|GvFVJJ$hoBEc0kNAv7KI^x*-vOVG_-@IIj|ha_Dniv82=pbu(J| z>_tn#ZVqD!w?BxT%Iz(m^h9GQGxY{zJTwagJmHsknQl>CD_qD5WiF^H`>Yo#Q`&4; zYoln-UepzA`t^#$d!TLf)Sp{-!BOxVEq{I@B3`~i$wv>RpW>@RpO{jMB8tQTb=+B_ zgzua{nZb9aa9M-cr5;PY3ZBj+W4>S*Q9;Gu%5 z;HtVdkFeV<%*!p@OZo(DAsQl?vAT;hdR)W^R&s>hQYY;-ucBr=S?{i}vdoBRKKe47 zkr%n`+pDm2^C#sy;Rz|zyf1Ob62c_{P52BNuAL^K4IfL6o*DXOO{Zem-VOMNXqer_ z1)dnLwo|*!h(wu9;kadXHE&*3CKVdtQ7ZSpFX4fT)(9o*RNW>u#A;glSl_b)UV*ab zOf2h9_*U1f(BXs^Diy`hk~9vXuQXAWlNp<>qJ;*$O~^NXc?K+iQE8(q8hW2Hne_^z zmW)-4Ft4r zB>9cR+vfz66O7>Zrx_(dUD_h+}F?iIV`d~=UPyP$ex)_&2|$y0ker*OD_d>qd}3`L%VwNZTmshf|j zG5&(eU4&gh>M#hjuPekz4i)lg=ab~v1Q00r!vUOJ%nvJi#!d-gI}M)#!u2*CQA8=jwsiI=8I%Y@U&1&p zDZ)WLn}`D=`YmxSKkX-ssfdX@2Y8_R`66z7+AP^NxcCawVN8#Zyp#zEdofY0rc*P= zt?6H)+`waM^w3~lBi*=_*J0_$^k_?s*CL`vi>A0b@7R!jiaK3Mdaj7(N#^!a%FyOD zexo|>##n6qC!XleS&c1=Jh$_7E}3oOu9EZ#zSliewJdF-{;T$@E(R|{RTha_QAMjW zM=>|3Ex7Z28O!{oM!(GVuSgRJ#>OqmU^<7N%k?5F_F(RZh|MiGt+zZqI0w&murCta zO}S5tzj9^`dFAJ}a_9S~k%kH4J~y+%@#4xYuv}TAy{F!^=Fvw4gb-`|VZ+Rk{&dP6 zm-0_GxfR&>4H~#RcnWwnNal-@5Qowve8NQ_n^sAfPv0*Iv?oH+^cgOj8rN&6uSsPD z-K7Ny4k>$BFN$M#xg<`Su{WqAsWcG$|S403-pPVXjD54a=zr5neZ*1%x>XD#n+OL9H8V%Fg&69W@!?+%sa25FV7b;eI{he=d zjuhLUovx^8=1g*WI7eDB;eT+wY}>7ye*Ffw!P{}-ynvyg_+3fSe(&||RVEqlKbmeeehI5@q2S4GvKEuwXC8(5Wuzr!eyUF*qY3PV8H)+?@dZgRgmS^(t-*luo6RabGCOJ>sCc<1A3b7*s*r)f$;VI5dua3%hz~d z?h--ZXbD|5M#Sn?leJDKZ8G+7QH;*67Xj9c)aUnzq-A+;eg|uRaNPY9So;7ueuA|R zM!)~ZG}L#J2f&QY1Bm@`vgQXH;{RPQ3Nru|*}rLh0gB8l07VU;&~HNU_<-NiHx2gx z(lY&R>|Bo#&@gAx=b)zt{$c>+?12FrW=1wcU3ykM9RRoM0HV!0I`n{i6CmKs3J6W> zap-aYa^QNp3`~Zo)(%rVGrmJqvP z3d|gtygCWSKC|$B<+N5yyI}d|r{d7&DU|;B%k?FklfLP3k^qYWCY*T@b{E^T{rFZK z3a74c5k?fQz;9jA#_k?xM_*EeOM)s|1_z9c=-;`pl73#GSDPgw=iRx z@QUk--OZi_TROKjW72??)ak^SXT;{l{;WDO5c$dauxk@T@CwfgY|e;HicAO^$@}BA zIDc6=(sPsKm{+ZPp;(*zg^xRo@uP(ptDm~w1!h1Nwm|Ag7Hl@m+vC9kT3t_5l!nnx zwnvFR*b^HBXT~%*wOaUsWUpw`Wa?`n3$T9#bLs@hEm63z*AG$f_1n89$n&xBkD0t|gSQqT zG;vBG(MxL})+%(kSR=1fyBUd{wGH-LN>b405V}x>#5E`?`wW(5yiW?`C$9O?0Ib-!paDSTRj3__Lz?K0{45gTO9Ss5-NRdcHOo z)5jk;R>eR0#J$K8Gf50mvK;s@7$YlBV&FE<*i!6`0|nzyd&QS5C=JfAys9h>&TX}R zf^*Q^o3eJpj5T=u@t%Py0Zu(bdFg45-1zkCHQeJ54v1Rx+0KgdSwR@3X(rpV zcPW`!SDJ?Q$u1W5%gsiqb8H}(uD@hb<&B*Q&ylUt@nmsn$ntaxeL4DvvsJkF5!R6vo+KGolOeoOC z?}N=ODT*fFYFgH+qi=x$TFO0Sn545yJL&A*ieX`yi|36j^3|cl(qFGsP4!KdmCU12y?NFw~0bR?HE*6vOJ*@x9@+a}$}8^MkK6 zqIL;=q{=|ko)khu6v)=EZ-;xFKhKTvWzD@g6Ao9rv?PU|5UeuYlSo7La6(1&F{jnm zUB$jEkhw(^c5kL!U*w~SU`6`|?i0phs@r2(S z`-5vy!t6SAL5fPu_3?Oox8Dnlh=sHRW@%TD#q7lS6_CKR9QByaThg8ZBrW~c@^|lE zRqO2^xP^zj4yioi9`*b1vVFHG&mkn$-P+|8D z8^n}^>v9YE7<*$(oi>s$Nd?OuQw*pz^@|2KZ{937sjlw2w)#858_QMocRa^wbWDCs zdQaR%9h%G=GTSsLpe3b>$M@Xu%RvJd`#60@WaJ(Nxu5ayN$lL3EJ0YNO=w@^O6KE5 z1qeBhe)EO41A!V4`@(4x3!N}^*n5&1XS6GlfpN_m=kA{QFLBLt@)pOBp`O%(=aO*j zb|o6K=Ur9yJXIxwa-%0<09!f1rjw6!)YyJT<`yLH@+IePpBH#z&ooG*B+0t4ZRi3zS+Nysob21H<*Q*p$wSBy3c4la7RmTW?JB z=CFjJ)foHBKyO;NfXn$NNf9KC{uSk-Wa zl2OI1h94oq{FCl5#`gnlF)8~mITBCWz1elN&mF?dpsiWy{R@h`oemFNA(ZA}XwRRv zLCT5mGI=vK-@_MoE;H4(>ZcN@$H%r&xEkNRJM+GGB`1j^BKLdKpV=cNTP<&b@rmF4 z&6$?y2rZj)j1o(*{CFF*PKCtc&PAH+>JHgG>d~ndLQ3CIDtuUf6zk>tO0tXg90_QP zgaxBxLM>+7xR+Fx;^>ATNa78g$>%K|Hz(SblW9H|Dy~;obmt)LNvUh{8Tr#ugH8Mk zWX|Bb@xyZzu;AHmYE@^oQJ=4@4~Fl#`{-6p6W=3RVrq&04ut<;Yw;%_`~ffg1j7Gi zY5#x9E&l+*|24J8!t!s`{h!eI_Z=($J2d`no5|dB8VKURkgS`27#K zk%nx>jt!rl(;}tOfOQ(0ftE#$XPKXEJCcvQU!4jdx$gWxKH$rgWc!XM@ntcEY7~(d zx_?^1a0`(b!~{Jlc^916Tf#Q_Xq0}%jEYdI_q4~uyct1Tn>(TYMB>)eB!Z*R#LR8a zN62-N--`xk+n%so*x2&KztbZXvr@?&2&th|ZIgbr`$l@pT(AL>$}WGx2>jwzIVD=(SFN4;y@_oRQj}|0F_4 zhhGnq_XGBnmKyFTyNI~3a_3i?XQiroR--1ygqg425JkaB5^n~wug{sU@K8vtxOSV& zbhxGsTb$$$#Z~0$RYpgX$!AJS$PxMuAWBWwSQ3 zb%A)io5a_Onq9c}Yo$CZUmtNIZ*zDozr*=zmU&Gy=DwGNT#BJpuONjt+sN=_p2hP* zPNeO(TOgM(-G!k}sHnqy{t@{B0po(0jrGPun6`!VsLOFE0$z-_gYUs?mH5>OcR$** zA+W#(5>w&fRI10nJ~E9k3<|nxlfE@57p}4sSo9}eRu+FUW+2y!1Dnr@VLtR|*jG|a z2unXO5NZmuQ{a(R%ohqpN=->=yHaoYNe6;8>nkwUU(UW)ZfeRP&(ex3`MLd6_04ybKmPL_tL4C;hNZuTa@-wAa zMbOvjLXA)Jr~+MPcf0H*O;e0D7B`h|-eFF~!7kU-fMILjPN&XnClQujN@CdCv!%utIr@jiR9 z_F@vw`fKLOH4RV{p)Cf{3ifrRo-~^tVv%d&cX}pxgu4 z`cd%+Q2Bgl9gs56H_;KWbi#j-cwq&kiP$*4ag;JTHU<`UfE>_&?ce^zEQ{sAyX$Z8 zi%h>x()|$nSN+>;zf|mh4*m1~?eBNM2Y&IVP-XyEeDhuX70m#B2S4$PKZgRif&EU09F(I8>^WCKSsTuN#6>x>yYz_I{OnTmf72vvgFk`kE%$NVlx3= z(~nK1+`!0uP(o6cc(D6889T4BsilcvSkKKjqZ{T0UWvOp?C0=ztnX2XiQ0(1H9!hg zoQ}_Kvjp)(Dcv`_czB$iv2_v($Phw=>|r2JVwz+T2|tlz57^rnj=dOcaI86xq^xN)@FX4iTd}=YMnbL3p-=oRABQ4EjmoH^?`Y?!PawNSRa&B6HAx#!sZ7ZSFl0aTAYEcC?qa1bPcZAL z+9-jD2vM70v~&yJ#r=dzlWoh?-s=D)YZijitPh&{`}9vkD28km4V4X)4WQ3`}z~5 zBEL*c4AjSl3B^a3oD!pY4Fe*AV%lG+`BsEZLFbh# z@<;3^`0yPh$Dipn+Ge@B2bapXAzXeWW%UobKuHk5uVwyddO)Tup6&y`Rc~rkk@4Ox zWJ4c+V=9ZKZwI0`T5j8Ev0y#2-zmI|q zfpF|0S)S`R*&geFDXp>WtBfltFTEtdr$HVqSrNn6urpkr*am-^kBin@29tphwhoYT zuI%p#b!5DbMrCeJ#xTu8zxuv~+$@4zy|3~|+yuoDJ6vUkh-M1Be4{oMf z#(-(mhQ7X=Zu?PQHZ`~xaUQvy+!N>4* z4*4epB)xox(OL@_jy@E|4h6;qR8;rd=fWzIc&%eCj(w0gmP^QHx6bi{F)#TH3OEWt zr*_ghoCo-oO^H#T@M3=)X|$F=Q7$i>OSG%9;dUn)8jmX8Ah2zD#Y%9fy>E!df=RhLp;eAH<%yg&}x)qi~ z%48&b zHfzQ}4OMh6rzTCYOd;i7b+$V~V+NJ>p6Sr#+cb5WGc#ma#b_hdyg(BmS$qW(HSj48 zEntyGJpf#f&#NpEBkrW@#FL+H4m(yXok9AoG0<0C+G%>f%Wpl@tquvfPraNS{)9&< zkwu&>w|ArtqglgYGa&sK8b|*|+`2VHz*k%ehqB_+q8TWS}4=ER8Tg zy!F|>I;^V6xK;-1yTVO}i3Jh$oJD`eaPro1?tDcIL8R!)6odC^#X3-w&ie z4y&JJYP|{@Xl@wL%w!QPME#g1r8=qd4E>N%ou8q);cdak9!#>ZskGI1KK{&r0O>$a zLvjsX$p^ZyB73E-detvy8&zUex84l?Akm{jT`gGVQLKG}NsNfRqo;<^SP~>7YLtyf zSM*XPh=Bwj4W=6%njjh$tpwi+)|$1Qcy@ zy>lC)!GU1vep+ph<6TPoDC?k{(7 zaX^ffK@PUznLm8Yx`5XV$+o)mp7Z^Yg-3U zm2uMoT4#CQ;(^5I7@9r|9awLxm_JZs;S3?7*v8C(cbu%9`NKLw#AJ?i!DH+_9<$%7)bfZCZeO$g^lc6Indt}xoui@r%_4ABndH3!<1&3(k{^7tLcqHa5{#7AeKeil5{&9 zA@?p5-{bs+Lg!uYgJ$;4*aNIV2anFtx4#BPJh zpqAcgaf0qeE!U$?_EdA=%E4%j_wI0GLyCoAa?*bHc5Sb{MSW$yzshvZu&d~En(q4LB@vBkZdS>no~6D49I(2rosEHx zIh@l>l?uQ*iy*m80<1Gce*Xg9W@Kb#u{^VtJ|s*S1QgA1xlE89Ipf>=<_Zk)Oi5X` za}rG-PEMnZoq_mgP4U4cV$50yR?MMRb{rQBNWheu9uC(3Bx$2-Oa0>!!u!W8& zOZ};V!an#!5Fw=J|C6Il2}P=$*k4?(>v9FWP*_^N)3Ak)dTV!T2w~W4sJ(kg>`a9dV*hy(+`pOk2%>6iutm zT&!b#}_gWo)HXwbAUG80RZQXezbe6#8`!8<~NsQ}NY z*I%qphSmh&$nD{=(z^!9Z>&ewqt z(vmt57=~$&bbDiVgb68h6M2<|z_+*0L3Uw!;9HWFb+r@rD4yRjYtF4fx^}nQB)uH% z92}Frdk6Xc#mCL6_>T6CoG~c0Tx7sT7-1P5Y6T3db&KA$J?>Hrf{(TJslGOn;XFyf zm>167`bPD6C)!7$aod7dC`b!D#M3(rO*9QM#sS3hhyUmM@-Eq&A0iAC0tdy(Ied>;_{I z!|Fk-+5kiUN1EOO?Xxg7qn4d)0vJ}~B)^qv2t3cjY3asU>bSKvR^^)b(lgtqw4`%F zI>%|Zwj8B>@__;e#YMt$#C>RA%D?ufAW|HtDHksi*;3K4byNILZqjP&pS<7cQ6r4}x6X8pGRLtV^DpdBJz(nY2w%N3@isvIkc7BnlLHhKPsGbNAqid+B&2#W$~Sg3Ozv^i>pawz&j3T zdhIKZnQK4tg%Z1NGQkI$!9UZZ9I~vDR+si&9gY8bu{}72t>fal&_QxYBKk$Bb56_z z-Ex>{pzA{7$SEwyEW{&(=sNaLZNsqjk`c2Eb^PMNovP$_If>;^DT~b>-?&T-o#1@wF$MHi zL zT6HIS%ZzMyv3|SgZe{JZ2EBqt3Cl*2_zwRjQvXR~!_L4hX^`_O^okU`W+n{zn`q?? z81YXIJ*RjPGa@y=!;b)w`(N?n!}jzOeq?{Bj{l8jhu`8yVDmDt(EqmM0b=aGG_*b( z)89ZUOyBdj|0Se|GENg#yk&w15@yZwO}q zjr{eh%-=N0fhLDvxN0WWUwHYS4ww-zgk%5h5FXG(@z<*Y-n@Vk_wN|;hui$`bN#=9 z%Kk16`wqPQb2#k5Ecrio_TM;+`TvDXNtS|yWg;I^^D)&r40NdDSyOkVMMkFh+Kf;j z+8Q5%H8qx%^~l{VZQ+X7XnncuThLwCV{Qk>q=9URKJyN3Sa{kDyl`EVq7p3ju{2`L zp71CyVXTl6anQ);SLkk{8HYwho-oOBI3q8@V$xaA;n|GiyqW#3FC5$%BqEWOs8g5= ze3Wl0+|ZlzxW?%re957uZ|sU{zylUdxRS+jj^d;6S-16mb(>?L0NK>>|R0%*GJ zr?1UOgaMMy)I>7=_$zO!X`UZ}kBm9HuMefaA*O+|fD(L@XBKE!CMXA|`o+hr<1NgARGK+VkUPnMPLOGq-g5w{l3E=oPo)Pfwy=U0#XO8uj14puMeY z(sn=-5IxO5YT%NkZTTI0XG*8hn&De$EJ{5}76On>7|%JRLp`#<%yIQiMS49o;M7iAYIT39+0 z#jeHOkxMS-8OL_QZcxYM8|S?gBIjmR&F(gl)G}?<(xubsR3j{j49H5Auoo5h65bqx zADmbbdv~WV6KN1>$EWviUGDEq>>b>rkML7oa7Y`ry$(?`s!)ld9?^aUS+m^fR>t%p zSu*mHP;zQ@^lPj;r)#ztx`DHZ=_0KX@5sj*4f9ny6-@ry=sB<8rw{a10qmf_ zqwx8IaD$y)8x%h(<4)%6iNOSj1m9QG66qPJFL{Mo1InjA_^~>p&lD6>geV{g+l{_N zLC?3yX~A0#*6Ed5fwiK~ZkT^rB_c1qE2M@wZI)}==wFmyKU4LnrrOFBME-SjzA1f3 z1$E^n66TV740VzlMUY&|ew(MHw~#Ki>!>JEkm}v5oq4*1z^Bn+exr&Ps2iYLcHxLg z8yt@&ab<(FJC2w^u*>0_AH_h?@LlR#nSgsWfYx&|BUE&*_kglkOW~YV>m+<3U9neN zDmx_a=dL<=8FfUY7m#b5rhwqUreUh#-Iy@oe4{mUuNp_OC*uDFP0m&XNh9zSLR1|@ znl=eJXHK%5YYrxnxPphu#$ST&EtE*ovgk|qIaBY33-V$dS#3g4B6|X-z*S=uBU5CtT z=bbpi=b*)R;LMdgJUtBQoAE-n^M(31Obl)lMz{59NU#%sRM)LEAx^!ssosWc7agAI zXLs&+eDB6{%Xd!txbWm{?k+E)tTDPSwvt?qMn1yqM=kr{QmP;K8jzJ38yE9QezG#* zixzc@6v9act4ER79?o-Jx91COgSd^FE?jM--(M#PuOxnYaM=gyw4$KR&7JWj-YF|u8MJ!Q-sb57Xkgg>uPPJ%8wRnjn?&SNV<}rEXCDZLACW!fWFG} zX7|*_Lb1ch;IDn#5AswMKmTByUU;}oc`jhA(i)<6sXRBXy6%>;KE-prPZ9XTM=#C5 zdktiYC1cSyc8MpAj4_|26uxR`aYU!BJUf||>@&riO1q)0hQ&8us?~y*RuVam?D6XH zO=&un(&oOk%us1}n38OrZ9&jRm%FV2vx3q`o8keR>*xawQ9_aulslZ$s7R(rB3iSp4`>Wi(%$yPX9QN)(cKOD1_ z&(_70V0n~+TI|XEzn-m2QEt%Pg>aGrM)qQjA7H`~c|(Lx7IAOz2T@#v@ClJVMEGNo z!~*_U{PJ>aocNo|@9KYTGX;f#?kof>95i7<15y$cjg^4`J#7RE140qxe*(x*%n?f* z0s;C0At0bN85D@it&QDKPAbA>eZ}ERxjlrIGsI8V(u} zp@EJlcqPF^!wCF2LR)b{Rlt9zc8K$hHiMX&n!+HaV6x582qeS;)G~l}$ao8^IR*zK z?a4>naMo_2fZlS1R>LwNB?SZKLILzaSTEoO{q=OiF&J}m&Z%LQM+@4`4w073^euV22}AVf@-~H0X?mL7_m22oCf( z2e#u(!7?`mJwdSub5K(9v*F-1_$lUEJga^q=0cIQ;a)!UzhxV-B$UVBANjM&5qqNk=amWLVBm@{aMBRk0Fqw$ms3X6S^)UuN@f12 zWsNUMjD`b^210WP!dZs_pyJP029I?K0`ZqH!ZIKb%|}#B0B@BFAQXUGAoyZy2O=yJ z+5QJa03_<)1QBWsK=r>0qi1_gpCGMrrhJi{7s$VL1sNWRwBp;fg{DAtud>+w{z!z_ zr(W6mL+Z)uhx2raYX-urLf#~ zx?}#+b5JcWCZ{pK5yC3bK))T^kX>Kh7`|~mAJ^LPT(e;bhd@R%tDPWxFq83K& z(+=8Wdz~!dD-!Fr6S4!XxJ|Tj1I^|=)}I-Mdv(Xmy&3c+)b4qWGkzx zoH|7_LSd-Y@RmK+A}*!hqfe`!V#qCd~;htrJR0_&gBqzzf5g}veQtKML6L)D~`aJ%MbId7= zi&+&Z7TMP}-2g4Q*w54-a5faKmXInR2+`kKv&-kTnq$^(m)KJc7trUoW(JqOYkNJj zA)s%afKl{E#v7lUrcPYsuuU5|q(8LX%W-&UYExu#_gN^o{=ginwV!G5(&Z45 z{70HweAUQ|mAWBr@aMBJ8@)tNbjcR+gq^vfe?q5qaw2fX2{D7wJ%I?mz&w~viLge- zoEfp*kkG!H$+9gw%j)d5@dt`%Z~7_B5xb2MhpTtLMbQY?R$QZ`-`{sbuP8x=P2&99 z*x-li)K){Gs^{(XnQs)U^g8K}HRw0b2W#IJ_|W8VM{7{<^Qa5YIsNML%qT9`mj?>W zvIhm~1h2FT)5Z*k?CyMHEkRp)A0zwnWpb$2SwpR;0Y7d2b@B-EXRms7IoN{eT5LB| z)$_pBjgU>(4_IGrBERF$a?Fyle4?{sh%U(GYxBc%+L)Gc@2-Nb9+n@HMAC}IzmsOc2XS+N#Fbacx>;pjI?k%FMdal zfv$vb%9oW_L?=Q&&Dsw;c|&(mGwh%`dm|xa{~Ju~0$bf|d}w3KM`0c+p=j9ETS>>+ z*bZ$aE3!{hDPnnh6USy6sF|6Zpah=^J`fug9D68?y(#ID_#5{82{QF2D5vm_50@0{ zY^ydi@>Muo6)M!<7m`IkEYClyVjvU2w6}<}cu1IM!%%_y9xDDOSvYrX@)--uOA~Q6 zR+@@ZQaR2h&>5#~a?;Lv4yN@|FJ;B=_vMT}K3APm^?FwQh`xQ=Liy&`y3>*gLiatU zjXY*(^%pd6%qOak=13UNJe!#l49eqH=8LMt=dtYOP)m=G_>3zr(fgV$tC8m#@aof0 z?nZ5D|I!TY1AS2$HxtvpF5HoKWX>7Py+@^~U3BB#-KS;yA9=9`*xN`<=456>o$Piw zK_pzWU`N2K;R(RECPk9)UjrM)iC z))Xc8BzigDJJ~KD#;Ma-G(c<^!X$k}n1R7Z_p#@>*kM ztO8CroP^hsyG$L^LABq_t%qb*kLX6Dm`m5Mk9t_2k&8VsJhB0sFWX9v|3)T9Ra3MQ z+_0>J&!RW_bK3_L@yz%MZdjE6UlX`t5x55@Dv^E%jz5qTBmxkq#SZP@6Jn;I1&beAONqR5TKm|2#S87 z6(|%j`u}xofdNg&O+f>AEFNJ&kfg%nFnBX027)(7n?fNd5`y94BVQxK0cDaf;h-S} z6!ho<_W)ucLIoL0=D*t%6Q}!+ttPI|wXqsBtCj)X*Z4qx4;dh91wuF=7Dfp9!Fs_U z{yPRm!Aat@Wo=3RZV^C1BusF;VIcS*^hE*T5>d*GP-g~%{xZNMkTszsUD+33;I)}D z7!VGF0UsH>6d;By%2jM9U z1ba{nkjnrlD-;btKCrby0i**k-e11}O9nJz_ zj>Y1k=A@v*GA-w|xifG_K*jmrJHvn-8u`oRV)+|)hCrC2fu4v39A$xr-~dAf0l}kT zP;&&z90MRM5@dPtm|B}R;m0!rfHHx#`{R)T^bHO9H6Z>QHwNB$EMSPEQ07=us5u;u zMVo@=isq)EJQ#(xK(4SDm%;&S^T-GqEnpk^=gyGeWkUXP`}v(mW`P7cDR>A33%KVv zG}P1#jswao5FFmz!U7IJQ_|OLDctaPcec2*0;rAv^@8jL3?v5-06Hat>&R8GQgZ|b zYJoxkNE*=haZo%C0K8}<9AXaEo(0sL1S=(UL|rR~=Equtfa8_$NHCyM0r07yP;k6L zR@)y|GaQF91rC!L1O+iSgJALIAl-mB1Kth}1H>>*VaOHUqP26?zTX+>918_bB@}4# z5ELz;fZ+!J^=Sgu+Nw8Zibnuf4tQ~<;KnSVSQr!s0jM$F6azz<0$iSCtu1SP`gd#X z`;8GiPEacWo)!=rg7JY39t&MRs(R=Q)*3>d)BD+r*1es{kz83CdZ7{Sae17KrsYkw&9oZ~h z&O+FY5mXdP+2#VQ=~E6**}uHKI(#8CUGUj(#cSUrwmY(L+1|VG{OYK8Q!$+RS-xSH zyu(5oW5X-9U?H{sAyP^X)g!D-{2R{pV2iK7%_5w7oIH74wHj?L7=4WR)1V1l-GvcJ zg*aXAju*&U?{__0Ty5>X7Sniao0v_ju!vNXpOWYF*iwE>uf3PA-BarBL#R@#bJ$kr zh?+q5&))l=8QPV;J;4zVlNtGhtpYyGz|rk03?nLZEC;tT)L+!t=a?`O1!=@zW)E=} zFng;jBs!nRfSi8&h1I=mYq;p342uOOb{v0eBCEAPtEt{@J~igUS=sx=*pkaL534il zh8sR+-DKyant^tWF@<9|I^pQ3$(Cm}uZPZ}PQAF@x}T#&*^To6OGVxuPUeddnUMNB zf#wQS{^{QXuhRiqezJ@VWN_@$MCIZu!UAP)KLX7th^X zDFa6%(T8tN;|EKrtDb#y%HoqN-+o{0+UeVw#zn9?L(AseKv$&2DOXO*q6MX9bKxDQ zjeJ^#UtE!fj>XF`M4qc;Fuo>87JA8ShI4mSM)bz!DTNz+&s;Q+d{s}XgiItISat`6 zh^;FI%9#)5#6Rujt90P1|8nZ|j(eAlD77o+eEWyH^82ZFT(9r7e@^~^oW3-lYx>j7 zhYsrWROL^K3%mz=H*978&_Or#39++k!8VrBRHE1H^}>Fs-JG{QyqpVK>oHH1w$Xii ze^Q!-Y<6JRCW_64-#jIXc<-@VZJ}Pcb}Kxt^C4YPxAe8AqR%TMxc2VwPEE#(-;JDn zk*mQ?D|+Md^UjD}*)KIdQPJK}e6Bqp)w?sH>g4VZ9s4R{xk@@>Z!AQ^Ok97B&KHVG%pH)vUQ7w6bI{18>IhV{EXQA}Wi`Ew= z$T8@d%ol}eBVu29wyoQ$Rph;azaq=bm~Fr3qwOJlbnl!GKDXT@;!Sz}QX7LH2ieG` z+j0rl^(7>3`{jRpDlCKH>2~qz-DbWcLYIx|zQE%Jw)$|6c2~>=JugbmGZTV!Nqi6Y z*7cRvUn2`jzNgw9X!SZVLq?UpT!O32fBs8z#xAXk+L^_$rs`8MJc)EqMAl!~X)(#= zAvA6JtbA_3K&4$R*RZ*|)Ha;|&aU-Eu5*3m`QuwA&zwKYyT|6u_M^EubCf~58(zY1 ziz%pUX4AC>mE9vtVK==}VAFy9XzTGI`)kMLbvz$7~2Bqb!tPK(y3R@m+!}&xA~7)Zv6y*Yu-zJaqGKPGkrxl!)(pfa95);8-_omvDlo zbz8Gut(#I_S)S`#&QVh;wwklZ$PQLqVe1zi93C4y-A~qS~mmGsw59DGHG}g?lX`FP2)MGIsCmoE>?8HxwVEf71++ zW|DEW$7G^%pH^xD>wjF3f)cq@k&y@hc8NJ8DfQBJCeyPaO9*!uShjp zRv5DAVv=CL#AE0u?3Y-^0zO++GHS(wm?cy*AW}(m{lEeK1I{Ii)DsZe?^#gr#}Dup zq}>-`;qOwRK-1_4V*Fjy5q$FFcZedFgx?|RP7?q40ShlGUJ?H3$1elH%EezMR-O>Q z{Nod%ycFRRfQ2l6hsa1Ee)0o({$33ZzVqV}-%EkPCqFJhbY6+0e*E&{ao^W;GTR)*NO*h=^fkC<`nWFaYo{ zECgeYGsBpfp|A+j5SjQO*T!xb95|-{xczQ690LGp00;es*_OD~?8dL>|hLb|;%Nj8Jo#EdDN#NrHED+E#2v{ZnTu}gk z1q8EIu));~2loN;8(545&H|1DFa|-6fWQI+|1qkSiqS1Z}6P})>`6Ly)nRMGXu#e3>shx0M#``U`zq7YibU~;mi;ab5oMopSaVl z%}YdJ%L1Y%*k(Xp0qlG*fY(4lq=f_^Az*a<@|ofAaI6JT0)zoV9vp{+B2nfD2#8q$ z1Z{yv0<4qN@TKAI-#rl+;Qm0tPXEJjIKgm`$Xm^D3=GhGF#wu^V{kZ-NkN)Iz)ppP zn?Z3f6qJC!n88V5q@`lN+y2gQklzB^E1>xTJ!2F&V!^Z#6^6lt0d2ltle}z5rF4?>7wpk9^9qRwHXPt0*i$u?Vbh2*{5CQX1HkfTZHD z*YTfnc|o#v&1Mw}@{nLL00bNC&O{~~7OY|f5C?&;f?(tRlsmoX>#WgYAdu5R8uf?a za6pzs5lDcm8~$I5VGa4w1kV5v_y|O96k$^%B-g-R0bnICtE+(L7?5lNdxHfKPRC=h zrZ|x3HpiObAQ)3T1W+AFPyS`4*MGN)2_#MwAaDLK9F$HIlhnUu_-e^DlHGV&_4eNx zjvz3)LDKAp;Xl{_zh(GpATo*JM1Op3*70|jg`lKQSiXeg5DIu92v8b;T_s(yDyUCl z_p-wEznk#IZ37MmZy`V%VF(N;2mlQNkYI~OuXbvKA`=W04O)+(009FyYUXB8&=Cyu z*)qc-Fe~^`U|!bh3;~@LKu=x_pe-yK4hQQ73Id$pGyG4}-pjOq*JQpys6-&p1A?^- zfYm|g0kCRTamdj)3V^~61wh>4 z-|YtO8Bwn4w+#Q|{bU&z|1YN-FuIAH4dCTrL9`5_N+R0`u$;h*|2mYw!SG6VI1;ryEH%qb) zE-OX&JHx@nfHw_h{JY_VA_O#n?DIQ@|M`rs9d%)G0|((AV9$Yh1}r*)FAW3cBVem| zC|D%c98^L9f85N%3=hG800x5q%N*oa0ic8>DLq?6nbvAY0hk2gp!{w)g2+n)JL2yc z{^#?(G%x#i3k3>lpU|LFJ%K<066;`r5cC8=_yta+Uo+J#aerDeM+iXHY(oJ=KY-Bv zV>fZa!H)PlcK`8wFH0!@<$QnN!2whP0XcI*$sd8Q2)M3b!LN2?{$Z`(B1F1I3mm|S z0BPd;Za09^0L(z3dHjame?H$!2}8sLJ`r>OoszkwG9`-{_+>*Er700$f&a`_0FdUA zb7Kv&;s2#@@>8B13Fr*q?O4O2CG@f?u^+i`I4A)9&0Kh!0TA~`v3kzsJZ<44XRFSX z>1v5V>_(;RwcRKd!R{{ry}j>{le6{HFQ0gVqiwNT%@~D9`5@Lh$DO^{AsyI#zD7-W zWn-A{RoAE-7H@3u(a@`$>%#lA9sy~8OvlNSPKD-;L6_a*K1XVVYblK|`k3;kMH$IT zRfz>th^Fwcw>^NR_>t1SBOX0qYqq^QNAJ7*scnCMVQpV?++_9)09csG6SJHc3 zm!X?;K#u)#e3RAF{Ap`H3Y85dDP(rLwug2fil1O|ISkj%bT`jCRI0Y7pjpDwRS&F7q_Usw4bvZ*%h)6qk3Ws>1~*Kue4M@AirR@$`9Uybf&wuPL! z3jLH(`W4Z8!MG`-O(Fa~hax7F|C$GNsM)ci(kS+Ap3p?Y80IWfkxP4WZS>~FY4Wtr z`zq14V(a(1mF^k4`QSod;M7#z?U})^H#RV^KxaRuKjdG3y1U2a^e1WQ$1@oPEgEOK z$L0)KP9O6bD>gYwuD5-5XM+`8Wz#z?E$#M>JFoks&tK-fOMmEP;{3VY=dz!T@9Zgj z^|)}Vt6<)AR3f%XnTBqp^9+}ilB!U5RDou|f$MMb3NxkM<$7Lol8aFsR52vm;6llJ zTl#t6sU4LD0cj$3V%uyp;Z1t$PAJ%iwzw!!P8QO>dt|utkX6eG4e47Z`{a$&V0R_& z3x7D8)V=Yg(OIoRF2{xY5AGlM(8y0?4L7K}XCR%#;j#ct zxAaPKoH(3%r}-EogBD#V7t${xLyB)-|Hym8K_|Lwd#A+8!1z?WHTxYaZSD0K(9_Xe z>GKOi<UK>FPvY;bz}XxN(o!1r&a!~U-yxH@YrRu`Qo94?ClGdkg`j* zS$Vb_aalTgUdH+&&vI!Llma>BcBH)UPkKQoeE&kHUJ2KJu?BPiS4pN0YVK8OjArEC z_`q|iNlX&9>>|u>sZb%2fybfUDNn5VonOiO4JskqILMfHh7KN7zZg)N_)2i1TQJ){ zVuukjSk7qnVH~f?f`erO|s&jguOl_Br7ky?}aW3$j zou^IN$tjOcmj?FjJJxg7_*Q%@Y#y*ZLQ~lv#BuZtzdL^*t4&~Z%(a>-lXG!Oh{|G` zE0lJ5&hHaU1DM!Or|mq$70kIm#L;n`u)F)9{s+O^ii&a>Z}1LAj#!51a{e2I{G3pjyus%~3qVH-;ztOT{{hcS` zW;JkQn@PJiyQ6PUXmcNPmH*-@Y)Sut@_hv3x&BYg>mGilZsd`POm)ps&S$@u@UUip z^LE55j!bLK!GeP+{R^l|?pGte)g!vCH0YJB7{N z=*Hvkahf%yV&V2Mg>QB>oKzRv-hO#VFW9IdLqE3Xozf8MU0DTCDX(_!XX50C3PJ7{TCuDOoVQQaqU zyu$n&xtBJEb%k0Z^s+MJMepP1eDmT~zdYXz5`Kj9Wc7SA8kBnwzxZDQ-Y6o)jF5$0 z)OiHSghkmD!XMu=$7rI=7WfVtIFW>74E*sutqYX5e|)l-)?KD?viRd`EE*ZPkpP! zC$~0sf1hpu`T$)U!fXT5FUZCM$bOaNAMQ^@6c(4++E@*8TQZ;)45VL)b`xeB2B6K~ zvir|xd+i_;==aD0=+^)}975d=Xq5%92m*-~Nb9e%y#KHyZt*Q%n+acBCV;FW1CUh^ z(i75SAhQZqJ77Tn+U!3qEnD1k*2Zi&P}+w88q5#F;aFfWF_ZcmhX46OSwjc~P}IT9 zf}9f}tpm_+z&8Mq8wh#`e3oBN_@Cy^(4ZCYT7~q43@{R$MIaRgSm6MY01F)nFcm=3 z_+_hMKqmkiPv#g?4B*)S5g9ZZVgYg|0PqKuqZUZ4sVRg6YXf~8me@@QF&B^7)k93| zGAVx2kzm0PvJJp)(g+i=G?%yHP>^SVfKBx0p@d4zf2a9RMk3LmCE>4!f`|}c4=V_q z0DjDBLqS@Z0OXR|yV{+?0Y?N1R^`tl0TX`do3PTY!T}?I@FM;^6uXT3{U;;AMVBsP zlA)jk1V(Tu2sN=Q>ahR-?H6lp?5t!&d+YPS16c_u?s}tmv!0Sdj9Qkw6!m_pe z{px^z0#+rd7z|(v{`yq_MT~?O5javmUl~gx8@=qpplq8!HvmaBl9Aw|zg(3+Yz8PQ zz;jm`{>zp5eK@F41hPRZjsE4^^nEm%P{>Ux+XHr_Ursl;YjDy*R;Wt>Tg;MWvW5uL zf7M%ne8P83X?eB9HWlz(z_ar|Rnk?Rpusfcx+7uXUB~g$yGxy+RXv^6 zJ;P)5sz<{W4a~MFHnVi=UJ(%RyZa)vQG|Qss{Nj`;hynfjWVp$GzyW7t?{?y8X;BU==okJd9>!-x15HXgQg?ZoJlS=U(}Ub+4m*&G=Mn7wvxB zSniJU_{NcZsIDhPT$KN$&0c41ZI5HhszRLh33QxQrWlXAIWCEB#vfT9*X~vJZu5#c z8c5OOTQ;xuNv5J!ANtJ?8q!|#O|gr^y42IK{fZ#@_R}%hFYBLe?b}+C#N;=`KL;U*}hTEaOuEKi*Z1vD}7n(ghswmD= z@~6DjleqnDPOE*Z)V-}5w5J4ZS*=1xwV2dOl>1m?v#>549G$sSM>d>e4PmU29x{z* z8{YQ{5+tzM#cX{z1^K;%SS1am!0>(Y=f&Tu-i=U_t6V2MNk(^4BljeAjdzr1L0NCi z=@(LGYx&k1y<;j?F@D=<_uW6HeC^O-kItjsM8kvDOD6UW~ z(_J-B_Nr*f88IqrTNiHc=SB7S?2rNIVSZb4-iAVZ;i87bvM;uhI{pw2ZMau~dgX0~ zc-j=Pu^LXxzWe&L_tIW}?DKjS=(ziim`$M~8f{}S zZ3j7G8FseU-GihQ*L64MXyon~u*x|7EQRi>&zWo0U!4nr7Z_B;>Ac5-7%bj8W``Hs zYj$s2N2bi?^v%bWPFmi;s>%7JdU~pt_;@5+mfG&~8CkmowxpS8AC%7E>t&=q6tDCk z|9U>^+-)J&-q<_h;rr6QUd_^^P4ku$wMi6l#<0w!d=)*kU{&ZLS!wH*7x~JW4nF4k zkTsI(l;733WBvv$mqqELzMg)^)^P<}-a1@tQj_%JpzVH`sBPB9f(v@;ew(owu|oo< zwS*)@6596CS!vaIA}$vPxC~cq++29gBg)+R!gv?|u2VV>4*PGTewMWUocQAnIux_V zG;VfqIhI@MTO@h+1zeeb>i1lxxK$E9#&xSCEa%3?(3XtNHwTQqIUPMld+z4G6o|Ed z3=Py|&TnVuW%I9%fnM^bo+-UE5uWTjh`!P#cDbfz^aNjJb9t-JQO5P9-H%Sxr}Jb# ziPXQs{>6fO*V)1Ty*$=TEU6)_x8svbf7b6?eCWsBGkLR>_OesR_;BSlE~)jmVvhUiCeQAz+POc_bABZ_3JA;nyUG6-97P0rmZ{jI z0YUgL;3#lN{pbfmAj#j-suq?+Iv>6CqThW6;70=RV<_bC`bUwAW|ob#5r7gVS>8>SS)}7PBIdBDkNUg(xKmNMuIpOP?J|0PU0r5G#u2@ zBEjb*c9XhEOGgtleSqCC5Mcj25>S^(oWq}nA^`7&P%``TQ0%Xt61eDpjRY6{<=ujz z1mFk;idIN3x|+E#;CqAGVUm&HqQ87X;G%%fK;+buUUapQM2UfwTB$F-iQhqz{~z4Y zWzHs$XI$cyLczKt2xSm<2B6%yv?Q3|q!Rw|dj$~qM?gvdPyq3(Yv-~Ob+CQ_Mn7Cu zLdT)sbXi{?`X@))bv((`P4q(%()KAs2kapwU5Y}|BMpHkF)+0^xo69jbP9#{WJuSo z`;;qsf!WQD*R4@>;6apl zp55E$&ED6WzMu6q-O4Y<=yN(`&v|$#;vK8LYJbIHsry~y0h-KgCoVs6mzbIn2t(OK zvEt^(?A}@5WEYe;-j0erke9J{_R@s|1=^G;;wO@GER{8?rHtaM%-xha7uJ1LQ0EQ& zu-kbI0!gK=SY)YY6bTVSz2kIfgW5ucUxM-7J_XfUxE@%cOWnqd7W6Bv6+WavIo~_oaMkuoIxpbW8FVIFS7iQvC1}?D!TJ z#BN5UZMDTZohcQ14%k@r=e-KhM${e_5fZk!m5K|objDCs(cj?a+O{aWr|S~rh{4$p{P3ibFKuZ-+c z^)NQACS3pN6Z)=OHLl1ZB{%8C<6Vo#ZLL^Dn3MR94j!#Su}w$rp%vV~k_D@ChIibd zM5x-V-{pKOWHU?4mwE9pObT80bex^q1IG_SC;L1pZV`~!Jeczc%;ZYw(As2BtaFB{Tc!%gs zCaU;xFQ=<2jM4HAvR@v?c{kZUJ@hn*h5KkysLLY`Dz!Yc$dhl!qUc2i<+pu&Cb=VZ z-#Bh?!>x}}UP-D*32i9e>{`?Q_m}c6`6ldpA7E|Uq`UD}Z|cZgVPOz+*2w8C+sq;i zsjzz*EGfijMsK!%R>+Wz$e7%bCZ=o?UjF-M_-gBVUqpQI0wIfrU1 z4!paitHk(_@u;eycXY#p=L|KFJ3L2Q+hiUa-Wo>O>G6#}mMx$@)=F3Q)7(N4Lw(ah&LBRDTqHF=8;w50@79iS z9BiMT|8#xwNyGIMQ5VuOY7XM^XpNC4wW=<;UY*}T9dHBwC|v!O0k808)5dcw#!%=`aPLN9kNeWP(1P8=V$Z8bw)v8s0CfF|C-`W@s1)e^@%z>mA zkmv@;Fi713q8A)gEdimqU*}l<-0Y=*|9!0gZulB-j;~4X9CvLwakdu?7ux+e`c@5g20`EZ)uLxkW&7188MUe0?Uj{EUcS>&XSJzD zRI9hFr>@%tbiYA3q);k{{ZeFJ889&PHtNWz=uOMub%aji8%O5IEjg{3#WEtQMB=vj zOipDr4oXPBuZyPJ;wd(8`qGg8P@&yO;`TcLeZT2~xqCtX$opt<>)qPv3YVF;eLAVA z%z5z{Ed9bsWW;ROmd|{R>rLe&3xnzfe`D;tLU7tyus0Dzj%*c8P9_sTBVVwGu zsT|G+Qd7o93W*jPh{YlPM4?A{gc6^?pJor@!ZZv z;T8G{Gdfrpqx6PGgGiT${*r}zUPB*G3w0%A_&V9E=^vSQuTm~*)b4)K&+c}=)OXWi zcwUZXb*O<2U-xgYwECiS8}xxsa4vONsE@M2 zyD3vtxx99UBwyM=%R>l$jJtu`;~ay&I=z#FX(KE_)x6r-j}*4<-o**q@}8C&B9oNl z>uWN1F!YkB;Dyhp@0zO_;RbDZT4<|ZobOS#_ER{Rt~ z5pT){dpvqKaCd7Rdyb-S4m?m`zaFC6a5!%g(bOzgyg5o#7~)LP8>UXl+!krHP}+^S zIl6mHh|BIa+4{`^gH5xwS~BT_vNvQL8@p*e>0b?X^EJ*e^tr`7e-|wG^poyWM|+!q zLlkyF=O-O7!>aq|*~hF8D@eGmZ>rV<&ro}g=|%BfwQ6@V^v(6I@Niz;>HLbQ&Gb?; zVpFVJw@zG4?CRu^$MpFPbdZU@x@*=3yXDQo&Va!=ancTQVa~+!OrEH)uA$^Qb={u( z%%u_AmHMwYS9-n z!Nb2FS9FbMW+v&~OU@Wg> zaCUJgMaFooXrQo9tShLq0Z& z2siqtsjXkXR=MtZS?y$B2bL~VZpmG+Rx8|)+Z@X;Gd*S4t$6=9x!KXIQ{w}S53ccE z=gi=as_sNx4yA0ft5Z30E5Jsn-C^T2_1DkI5}!E_7hR@dq6l^rIm{Zc?KS5-F04P- zfs^67uMKBAXHQp_p`et%w(2QN=;4iC2Gw)fQ`u#KugxCXeoNcb7>~Ccm_nVm+ECn; z=~I+tU$%$5fDBSJffS=c^Qh;5-t*4fiHYp{R7PigOghi++YvJ2*b>9};q+k&4mQU- zdygbPdgBpfVLDglC!Xhcr0Vz$EVf`XrPO#=`xHc%?GZG15 z&70c8Bwd@rHReinrswT(6xUV@pb`=wASFB0!?>DsdG zN66*xI}mMFZqMchGnQx9pKi4}%WTubTqDqNYL^rF?GTe)ubR({dM_3a9ueHB;2h@Dex z-;xqCmsl=$ktJElDkNCtQ9$pPq1gKKp0K@dShS-bYD&o@mxjAb_Fwg5el40m-7{%z zwVl#RH@W0zF%Xn8cWC`i8sWLGpuS1^CrbeRHOj|c^mOH|}x1T~LUI9z`mUl4C} zZ43tj=Y*;(BybxE9wHFxL=c)U0VW1!6*1UVwTDQfxuwqF--Sg)K>!&0z!D1*T_hJp6B~N`cpX=|D1jhJko5X_D1n>yQ)KniMG5!> zY{eLon4SIAMF}F}Xs{*yd{NMWVJSSZlD$wMbVVTK|2!0E5-l0}e<8G5x?}wlT7iOz z-%K_Q8+6!#(8{Cz;FJzUR^6+i0`2sCK5L$$LJBGe3Sa&`FdZ3*SgQGjonZ;IVe0hzIpw3_0tSP-_&3vR^#lAUnmylC-WaU-aYoV;INZzjOAIgIcecA5ldeYZqOa5 zpb7KR5%=wE5Z#h8^;KHTQi86m(|9)_h7&UwtwbK}jNL}|SN0~1xdWUd-h z-41g<2fuY`6pU0w`19|uc3aFTZw58w4~8;K`%Te6xfsGsoZR9Yat03A3miGVA9ri= zxW~=O7o*-Enz9EFx13vEZI=`x+nxC86{7$ngE*E};F^#Rg*>g`x@T1m>>5n0aUlmx zVXtLm_Fm;xp1^diPnzI9@+2;EBV{JRofwU99hN@HXvarc8=H|>qp+AhAvLBe4ryrJG5Oq@3kWazw|e4O=RW1eC7LZ?Y|!o({^;RuWU zSNxeRRptB0%=$7hp%?t#FndeTN{8P&ir;;$Wy9$wy!uzR)=r2GuFst~WIw6(4HggI zta-~Lj{or{q(Ss)m71B%GapT#pXiylKU_X-|8=*usNNCJcOwJC7Y{%0EC|qlUcvc^ z%|sk!+(=VUU+U&Z$;giB+af|aS~jroCa$n6oH|dY1?MSjY)l#T!g{#xZub4c>hZbO zkDFRHr6_qibrrsUwIF>=%KlwInX=duIM?RS3QPwfJLBm2YE%UjEgXHI2`GP4VKSrwOOOW?YMhSdEoy{01XX1Y4VkE!ltVq`(7 zDnXi9ox~i)Y`zHAK6x#0VfMbCAO2)XLxcXKD0t3=5Bff5nJKFI;C|i@gIS%=#CKnu zw=Fq%Hn9I`zsgzDlT)5nul+aZv`rsk%z>wP?A)cO;-3FnL~=T-HE~uvn%S?_Vzk4= zHdnaYuJ}a7DZJpxy{TS4fqV?C?=~JW?#7qp%dFSC_uTeiY(tvHF`iLJ-Ho!&xPUze zvb$RfyBqz(fMV zDA(H%dryj6mQQCdrieD|uz()j>DLa&1o+Xp$F=iXI)8i0ZSY0>!PmMT1|5oV$iU5O z%6;3zYR(^>4fT?~v9;;d`Fszu%(8bZabKc5xCAJgnd`3y6h_{}xCej!y0u7je^P=! zv)V{k<;AzJ&%X&BUH|B*_l=#eJP+U4kzm(#K-eosta)^^(-Sz&`VSjAkHp*)t>(?{ zdV!%3VQ&@KqrSxvVi-2N%&H(d8VJ>A~y$}4kKw*B6t zir#{~of&p-WcQx!_SU&)%fT`Fc4yY)=AauDu+NvWk9>Z^-n6Z^sOF-{vAgLS?t$9| z@}>_SbbWN1t*EBWV?%9rwKMZMMY~Yl;D|JDqE`%umZGeSE*EJyL<~=U3Wo?7 z>;GK)x0Gx}BE?V8LGXCXPC6I?e z{)N;90*soaGq&iBEWap$#ZTz^yTV16X5v;HN>GJdfdK>@nI)L^{{cq^p3c#wq|wmh79=X}05RHjkwWc!x>A(_g$hu3-c0Llz5jK$Yn;8ZeZw{oDloa|}G z?Phgq&NFYH@m{}<=4IVWqo6`_In<7&5z;KAqsMvTYw>28+avAr%s((O^SQ*uBnpQak4#|}P$hKb`AhYzFWt>5l(U>IoH z)lHicqbhOMC(|BtiR=r@n5l~BCeL;$CIbPj4Ot;W%#H2C03=o>fW%F8UN5=Cd4x}G zvhI*y&%Xsnp2w;bdd{rdJnTd5T&PIT=oyLEvP6(rKGkwMxgmh#kd4yOPIrsR+|s+w zo;&Y2AMkUvPdtK;QnQU8Ne4yO-D7WRXU(X(yVI&osrIlb^Rfz3_Eb4=iZ<(9DXEod zyK(4Hr>SGwZ1a77J>%i)cf}M+oY1yC96wI>Ghu`DjKor>?@ouszjRa_&C7$WN1I1 z_v_<`IbHz@)NE)`;u*GN>l}j9YRu?>Q zo|ggP+_GJ5o9G;L@6WN)e5QzK-_nEdqSOh{Ij`xv)0#`}>L|W`%$d8#=*UN_t`;?1 zuk`2ITmvVDtRt_sXfQ$!*tKAzarYZ#aG!yHfTRfgh zgrClBS@*BnUWL`6k7<4GZNjj_zqR(W3ywcIxGNsn`%+fRvhBgvlGH#k>VlT?okz+x zbs1ywN<^OK3k)1))o(*(#l-Hsn|YGvL(7-x)OCrxHwriO@@*E_Gj)QcCvW?)Y$XXz zip_6AyxnDUDvM6Pyn3?7!1dy~nJx4264%3rvemUh%9|;y4cVf0WeB9+s)C2e#(FeA ztKB)`W-HqNdZb;^fc#xa2xl1oCx;TI2&}4azD>Z3%m?%pZzZ=4S?_gW%X@T1*l*$G zyS|OKB*rH4i@Hg^WiCF@up_;k()gWkteE_kn{c&=t#?)FB_O^-ru ztlu$0cJ4O!Xp#F(%#H>>COB zH28MgXeE8DON^3^*2rKX-NVCQE?%kFouDuseCp)vo9Wnv)4LE4^3szdqIOmfyq>o< zFnV#A8+9>+_he(<$%J#03K$_)MFS(b?MK_3sBKMxhEJ)99}25DD*#eBD>*{|XZiQk z`44A^cryJYgh1@A^FKR7OPMmn_CPG3YTuG?eB{&>J zS+Uh>cmK5QDX5QKGM_|$hd9H(<=QPpGDwF4o&zY-B=iGY!OL3eJFGO60D1!o0Lf5L zC%JS1tTYq>)XNC;<`u5`>w5*naG+m+SpnYyS6$6uLO&|dzH6nclF&hx%`l*M15^#V z0v!ZN5bOJAvHxH2D3`kJ-#tnM(2M(Bk1|!S-mVM6SUM>=E5@tnIL}yTb{M^Te~JSS z8x7-7l4_`6#Ra27if79a>8&xkCAnvsgNNQcX>`D9aya@8izMe#(H%)nj7;&d;?RW% zk&Ex5RoSQhDAVe+?uj_$v$-)dF3lXa^~$Cx<`HFHebH7_HLNSnQ$_1?RqcNRlX33c~HSD0JwPOEsdv9ht#OL=nB_a^~6k#C; z9o}-j9%VsLGy5vn59w`mHDpN*peqx-`fAQkjOJpOVK9w6;=>i&EX$pyVJ0`|buMyU zqtnFbnzfdAwp~0Jl9jLUBs=Rkchw!+`VX15`T8H{s63+CcH5xi=Z@nQY0XCSd5Q}f zw6rY0bqUv~4s?FwjSYdHH|Mu6taunKiMYN? z&yz&P#H#L}4};$=Ln0PsgTc#Gah68Lq($Gv()HiiK0#-L|0gf^^7@H?2}Wy24kFfH z{0QlP1b#s5{C8i|*FaKk-~b`;`}j;XzPk8DZFZ-6al}Sx?)@>x1@Q+Td6C;x&YDI! z>3=A9t#v%U;e<>|!`?QIGww3*UZch*9}E0_C2>z$sL>|&%%z*osKe}8Q-IOKPkOv+!B(` z;W?GBrt9FSkZ3tM#qi)jjr^<#8*9E46--Glsq{Kqginwms`hmxW47(AP9u|Q8NKg~ z+=hkDwp-IKU5q|%h0=Ez`+Y76scn{_sIYaMt{(rOna9wKxbgP9WjS+Xmf4Uehn8=& ziu-BJcARb%RpW@i|LsFrL0Nr!sn;bR+h=}(o0X&QYjYALm%>*j@beSf@J8>uN7GE+ zU>p6OCQJ&T1L9eBHY;!O7%^%@+eE*mfrnFEu8XWZ!H(IDnsFnD3YnDlqo3{Q8JY>R?fgN3pwH7&>YZH=&YTB&qYurMlC^Q=N^&Wp{^*BE5U^F z`Sdi`+24%BWr__$WA-H$+g&ibo3GUPb$MLOHE*$5r}sVa$JcEMjeSITL+LZkytiD;Is@=$bV}*YKx@`fzqtw+$3ij(&ACmK|!%F? zGhVS3bGn^l%S&SSTfz1^%=y!iOM?q$ys1q#Iv%OzvT|;@_Ljc417+-%-o_2`98qz1 zz8c28A=f;8gCXJc-{T?_H8lNpT0aqbCecuN$HRE5^9!HSo`V9Ly=&K$ZC6RMS7&qn z>A_F3VkfP`!V6*wUC&mXL#?ozdEHxOgKZ1? z(S8N{9k=(GHXKUGQ0U+07`rG-O6foht~W*J`=v*F+?!YWHW#;EELgoOqgY>vv~HbD zL$&=Yw+^NA)FWPPKknC0%f3!tmF%(Y;HQe?DEgjl_b(jC2%Q~W(%~W-`*CLE8UEC# zePZ8w^}blT-KfiWu+(C?f`#mYeaF|F#lJc%DgN>O{+n;hE($4gR$jthSR)A>fM4T*!|N)~Ka zYks;ve}AasvpG-UVeX2JBn#1#?a>22yrz}sS){gSV{H%Z_8UyrZFY}HUgG(h=#plU zjy_86pUHUYbZX#G`O{m|@x+iP`A-*{AKsr9L9zu^+kcJ{eXH+H$+_%fT-%nIpR<)Q z$<%e(sK3f4pY5`NkhF(la`+?sZpD<-H}8M{K(PO?S05+8=i#7rYw*2IntR#!z4M(e zCQI$E*IlwhE0wwuRr_*#y6o)nbS;!F{$q>&N!Jcn=8cU)173AO?Pu>>rgMxl^_jt3}tQGiFH z18q8u2uw&omQG{g6B=Hm5iLD6QbWIAAemxPJV6(Ca7+PFPWm%{3{!3(rY8`nH0b&T zyt>f4n1G^F&=}ySB9IX7Z5BEqz+u2knHsqvg`fz_1s&U=VgS14052A_l7UJMSbnVX zpAl397UF^74K_tmA>}Pl0E+<*Immk;#l0BFVgRQwa2)-aFpIgG(C>{5EYZpYV8X@_ z7(kT(Z0o?o&LEJ<3}BOH!5KmI@KmiP2I)NnCF~<|18hebjNM7ejmFc+Xa*5QC(%$q z-c47g0cjfzn91l=GI5+X_y`hbs^tEME*|L?4u}~H>*eTf5rd(qF+HnPXIh5;F!w~?KF|*=6_>t zLgcn0Pb4^*j3d$L&>A1B44i6k69UqZfuRw}bQBf%{LpmPnT>YN|J!{sGBZfuVF1So z=(^$l=NKr9`Afg~NzRN;B4Uvic62I+ijXYONhDw~1EzI48HXXG#<_7u$FZhnW^ks7 zP=SJhz6emi4m|^K#6Mp*lbja;b{-9nC&O+8`b9uiGJszXSkX`btAc~YLTxat-lpcx z`t`;jD92?m9WiiQ!4WiAg6CC^)#(h&T$7hQiR{v;l`Zo<^m! zsDoP(+dh5S2j3vOiXoO>$mX3XK5#2+)rZt`IbXMx!a?=?o08 zZvlrPnaFy?rjU^C*Sw(63`7c53h)zTbX;{QrVr--E0^B&Ea5VqqzJ^N6W z>gNyp_W!J)+?#dWV@1KWgFjI{5-&^Ik1U^m`?|+fV_~6&o6CNDmF-#Lx1(Nmle00kAoV^~iPb=@|nYV48DcjLtsf2~!r9^^t7l!O=tBn7cC+Tk$c)^iob?|k& zYy6vnJF2v8r;9r_w7n_FRHf;kUeU2(U(uPy@3!^JHNKc1Z$HhozoTOQ!>GOv9m&-m zEA>RL&*@XJG0f)q7CuYojLx^Lf+s&#oL(Q{=5M@vzeez#$C->PP42#L=Zii+6MkOM zwXA$?hE|o?Tl+cEg^kPPGew@C$=6<5diZ)#-9R+^;evh514Zo4J#vF_U&R!oH)pNe zc0{T<-BlppKCoH*I7#?TTGYUdb6M+>e_|IlR0qG)w)^-&-=FJNPNUU#Y}}a-pB0_= zrM@087+m)B%f8UBkMtckaxUI%u;I#{z1m*wOZi2=@151~J(@FGw0d(iA;vg8Eb&{f0#9pS#Ik)K_$q3yc_g3ooMRm(=;!Qt^$DTJrE(y&N zWix%umei1cQqT2SpeAQZzL2rNi?6Rg>nRlo?&vl$P_(Yf$uoO?Lq?hF)m!n1cCpme z+iY;zcdA>`JF4q}$N&(w%mNmxW8=HVpVk^ zxyUGVRrQ@s;oF+34Vt`X&k4-JlUMhLn|xZ3S{=BNzClP3o9#BO_EG7M56T;=jRGtz z3+HeyS8xk+*SnNwl)SP2y3|pHEb@(oM<_!@&owm zaN@(mU(57wb2(KhZ9mBHvCdA~^ok?p{)4TRC%U&O?A$B3Wcwp5NqTM}Dm9X_`^K5pszWF#GkJ>(sedjzn z0ey2W|E4e7_kW&`#pFCsN{LM?;<#+~jRgMPp98n{I=PmsW^M0HtvTrE_%K|s z%1Ghx56Pi{vI^zvxp()>dwo<;{L6rM$jeq{F<*)O3 za@JewKAz(=SW>KVXByl4()aPWsEkuDc@p|}9*sG&HOGV9nmw1?+9SKp{lyQilUR%B zfmdaGv!fHQ2)itN$w&*0+POlj_UM5k4enhvHsy!Ev>tj|fb!zHvu1X$ez?iv+mEl# z_NXxNTfKVl2bDYSn(eg}i)yt`|G@YU_0CI4*CRd^)r#*Os+0(0e^SX4e915+-FS_; z*Pc1M6!vrJ%3JU)_Uk+{-&!kToFEyA*(zh?${uzleosgZU+ZHNQl#Og8Z zuSSAx%oYO7Pe#6(S!&PxWaOKfbt&)(kUb7Rlr+L0K^ozYAdT=xkVg0;zylch<6qwl zp|6ooetk2ztG_;BavdR$U^ez<{_sm?(l6x>zxpLJX}A?3^2a0J%tVbcM~!^*NK+Kj zNG}x9aKjZC^{eN=*hnFB@yEXY|Eu>u614zEDsYY=b_ER65V4eiMh{><{@s}*|Lbte zn11H}%>@Rcc0g_*j2pv(W1y=D3e3032yQi@Fek zcsvT4Be29R#&k{pk5fZR0^!9Y{X0-lRfV{V5Q+iP4m5;w|Lz9^^Ck+oXV%e4 zzB3$}0@yMNG=)*d5+OWKq);(v43&bR0}%~_L}uNM$elQ~?+l_x7(i$IGc#xfs*L@6 z8pLYCV?-uUATff4sVLJ4Kx_+a7YMH{1YpPv9ALXx!(>y>n2kq>Zb7My%nT1D#PIC@ zx*N$9sxp>Ag;c9D3Bs8`XNpy3U`cSVps`pKj>_`R#&lnuk{bld-+@j93HlG;AV^U+ z>MyiPliZCEJc4*14n?M*i8RpOB*+lqs1RTx0536-%(@%Lw0NDGnZaEM-}=wQ5YhtW zzh`46u^Ayw#=ygSBjV`r(#Wt872 zKi`ZP*oqL>2D%lXNC(m?903J{yJ!lX1pAW2K(X#c*7WiJ)ifH3Z9=*LF)T1}*Ta5+ z_zP%Z)PKG|AWg-93L<4?pkyVGNoX<-5+hJA1STH}XzyvPGn={;+sMp-tOrio|7L~( zJ&pg*cRq;=qA(Al^9F7E`X(}|B7-wcvXMv2EvpC>k!$VLP19WFd zf&!0ZKkInx#<&f`Yz%{|ZkZ}-7CzC-lla(o~uVm^B44?&ryBh;3 z`eDJbz;*);$7JN5Sa34X9RXtv87w9`XkWPOAeT!-(dY~;m>ZBTV4d0285sY(5};OM z<~|@f4h|TO2%8P}_nVJQN7L~XIPZWmz%$4oHW^KWbUpx}F(@pRFwTWN<>mvEeHgqs zIGf;aAZP?I`6f`Y6NycRdjv=fY49pwYGi2k4QX`-8PE&LbgJ?Vl{?XeJ>kpj>OwOaq-7-z1qR;)uCCRD*8^Zas3@Rlqk^tw(9t9u5pwk4 zVgZw;m9xJn$EpIX{>eP%J`m$$#C76ktGvX)q{M0v+NnFee-X!XV0M z5+IRq43>*=IB++mhYSlBp)%qLL+v)~f9TT$6np>q#)l0D;T!1k1G^e747iiP|0Oce zR5*7iEQWJDCdE zXbPQ1!=orrgHFK%L=3}co_OEC`+KhXG6H)Fo1!C#>0QTmB{}V>^L0upBlN*pzolh53W(L zzQ8I5%?pCV|1*0F|J`N*D-vQC01u=P83Y>GrFc+x1S}rherRtF6kFQ?ud-CK}Y`KZ4@{o{6-Ho|NGKev40?+6C=8yr)qJ zWi4cCAV$WFvV)5Yaq+3%<5TF9|586_u!NAT0Gv@2EI5+D!DA=TJ`_kR(!p^hqu@wW zP*hN#kX?Xq9SudJ07Q{>i%*?Pj>UnN0X6wcZX6s{G&oF?lKWp@;$vFL{6}>U=zj!0 zi8QPyLAe}sIaGumh!SW5Y?KN1iLx>zQs`KS6+i-tM#n3YsTdlte&dwsV5u;$tm{2> z4mTD;A2^8FjL40H^cOI_PfG58zuu$aWdCu!NA@?QOh{O`0^vr%B8Bu2D8ys_9w;PJ z>B=}Vm4XG(x-y^~!8ySYPy`0#f+%A#~>pA!97kLx^Ya} zZ3Nwj13kq2k4s72#@3nc2yjeWvNK(cPPM1ex!~ze&W?1l9hYleqp68~J&Et|*P9Zz zln7jn??trCpuH=>wrYwp}2`Lqpi6 zt=ngUE_cs=#r|F7l%Sz8zxRFj&slC_kCSG|Xr?SqXmM2$iR-Qk7`Wlk6#X&e(Rqbs z5pL~fYk2nT=(QHD72E$s{Bxn|qSKFVN2%wg_oWPc(*OKww&PWkd%VJxFXUWzbvkss z{+<&Wx#(23IOm3NO|E$yw@#u;GzAkP`wVL4&Rfct!?t4GEg7~`07VzRCU}Y&R`b`&m|?yUZV1W zkZ_m%f=l_kiYO~0oO9=t(UNbnk#EN-|UV^!)L|db%H+2U&$FaxqqGY@zSo9yt8I*ui@C|(J18@SY#@`Z~G&s zihH#}ee50Rlrtf>iofU03oGrtyyO@Iv&W&T*p2?FK>4j+WcLMyWa7bfglA?tguSr_ z**T$o{W20eoxVtJjgWN8l$VZ35UuqH7I`UnVe$68I(xb0Ej@Ry4XQfSN-*&|^}NAv z?`AKNqJ6y2ZWm|GGW24QG;&>!q?BXkD_I`ZG`MW(@us+_TkK-X-;je1D zeiZzEUSFSDH@}y`sHoBK+LdwW-kz59E=7ywelyKAkA5)jJiY0r(EGEgpX!%bS9yAo z?)z+&-TdgY+-CBsUN={s*cW0-Wu8^0Z+D-*Y9jX;`z=Z%F3QGw%e|DE98}_xm`gX3 zgz0?^ii=TJS#VnvwWCRQsmxF#&eNyLxZh4c7RVRY~!7XuI@DI0{NvNqmy~ zVw8mDy|&bBe#trmzo7?td7qMcyZU#>38*dF`aW!C?nT*lgJ;)cXs`X%|(@V{|&ksr}u9eA)h_o(OEWQ#QesRLgZ#j@+7 zcQ&LPlXh74vUBx{#XG!3S_TH({i&*#R?o}d$CggxtLRTuZ)o~qlD@i9X--(gI@O&< zd)4gb?}=R%?3P!KE(=sFevtKcS!q`u`VUi^|^UotAL)~7qODOXC5=EuA#pPD ztC4U11r;3wa~xio5i{mL+UUPbUC7rUBwk?Zg7XYcC=sIa6Ir@oqXW_h8jsMR)DQBJ zL^_s^ft&&XQV3Ycp|bcy%ojN|awDt&%HV^J$c=-{50bT;jNJd)Y-H}csgWDaw9pAi z*bq(_Mj5b~a1aPke~ud zchJSLx>-{}WYl;7B+H%Bo#v}I$l%~NB1=ksNIC7s% zkVOYz43UflJO)D+l^vH7kxoN`@g$J0duwpA-Sm zJUPMt{fbXv6p?wOK$$C&@rD}(>7a)JRRlX6H$j{nZfP0}B#;OM1{na=SON*awg3dA zDFa-S71slQ2l{Dh*8880g4`$&wS~rs%pMU)*cm-h)D}eoWFnS9AW|_zXjlP&MP$PO z;1ml88mOUX(L7_SLjR-2gdGh%@sJD<)Kg-h6dPCzp^G-G_e4S4f7^=#dUQ z2@72=APPr>8y|}Xq#g7nfFL4dlUTM1)B2m5{f+R$prBS}L~z*S(5?fZDU%WWUoSY* zTAdofM-C?Bks*BoVML%cLUbllybO8fzw1os9)P8TwkJRa9ALLt5(A4?#zDgwJOd($ zG}g2P)4HA-!2u!)Iui|mtzp6O&}W1My@n1UwDM^oRhd8s{RMLafTL*v!-k z=u5Cqz^cL`dmA+YP=x{Yb~p;$9ssk!0+JA~Orrr<4Z5B{;S>$Ws^y7Or!I&62BfJl zfd80YF(^>@7aBNB1e+jY8PE|7Lqah~$|MQ{HVa)D106?zAC5>O6IuG-kieRlvLiWy zXhJqO0-HdV9q>CC*xYE+1m+->0NVluE(e|rpe8y2DhA1rRYvfSWGIkh!Kag$A&n{8 z+#`a+_J&ghf`c84;2wutX8c|2&=9B!QillCdo*P-Oq@oeLZ*-gn03g`vT7Y>2xf`} zhm{6T9^fTVE(Y?$21ifSO$;f!0q86WNet2`Bmk+9A?*gmH&`+a?nPFAhZ!-NTDg&| zJr3ed2$T#!^#I3&Ej|JG0E)YysSXheyP!8ZkwycGJ_t;p0R9FjQm}$ql+F~Ew<7l) zk}@5U8wZt8NL}t^|ZIj3(pehA*L+@pA7zQ);C##+AWTl{u=rJfF? za4i>zDHmMbpJkhcO4JN%GvUK%4(ea>`T4aXy=m*khd)y)8b7*xCeJajP`WYm%H9=f zE0VMdOzxbS9rlDjLDyHmc&_ScvGrQ1?6rQzwO8HP=y6-NF@!5Bw}p8~<*e@(MDwiI z;`~&^c>Q6z?BR>L5BM}~EkA13aZ@gzJ+&b$&&bT5=$Xy;sL^h~QSgqW{>#+ODUOjU zr?vgG7M*d!I!akyiq6t#e)ht=XoG+$RobTa$GOyZ3(n^+{2rMT9MR;6mJjw2`02L8 z!{+@XuSf!g+d1}RS6Pd&`i(DJGLDY%z=&BbfKb?Xn1xZSE)mvdp;oc??j z%Zi_$$`8%G^0_4QsQ5P9eepw12}Uj_yNtrSu99j)j1F(HdX~_3`PQXZnJO38wHXU2 zZ7<@xD=#W9$i*Xq6WUW;YJp43D1VSm>8RPTt_f$ZVXR*NUAYaX{7PEi*l=gq)rE{6 zY{{KfJ=p$xJI=RK=IeFI{?xRZF@4VV*<$pxzEx^#%z|2$xn&g7eUyzX&N;nodnc;c z)3*$*llC(F@Yc``l^;+dUA`yxZFzEk3AHi!)1HFRm(J`rmhz1#i4(m zU)|+sZKJ8}@@U@!h0>xsUtXxX?fv|IxBYAP-nVTj+^OR9^gK2;={X--majAH|2D(; z5%ttz+dZZdnw^VYiSlG^sh8_cED_^>?#6AU!TaHgOV~?yF;d87lUU7*IyJM6w|73d z;pWU<-nhK4%l^n=^F2*!oAr(I3tFngZ+v=x>BGXWZH4I($t&O2Yu>$>o@Rdj^)BBX ztv}kXgo&1(+qHiWeMMag?}`Bq{(#tp<0L$lnH@^T+E%|OJclotv8`he`d~=s{a;(h zzy(O(Lqi^mS7xFA9hPYlI)upVkTxPbq6o$g9nO=D*FO$)$Y_oGFNcm&B20onmZw68 zVldEH^$$dCM_t$BJ{78pvEy`|1A#|-dH-f8R7U@GuE3X$fz<#aL8wg_Pv*Z)cVskx zGa>0Q-tfQ5Ju)0xgpeTL$fB{K+rZyeY-BjV<8iQ)S%;7D)mT<-WH=NpK{?KN!;z%* zA2-;@aIiKY8b8i(X3G4J!vPHkK`TWl`eqpluluj_MutMB1_xm%mN}Ck?=-Q{I1pMH zzJ_)9XkzSfw>J{_LP5Xm--klX8cFv5ZN69#ngr>l--klpW-=>{r0D^SJl^opr3`<1 z&roFx!RYZukB(~oaWotd1S>F(?4ymaKMqH__l!UFzg`NzHW>y^2ojGT=joF<-AFkD z)On3Jd@{$IS=I-6lX0Ftnd1#HFGYycjyHTVdlgDhp%o|VDS>`Jf8B7umJX?mK$>Z? z3O}0YYTT`khGIWBXe>ivtN(Ss!oh;z9n5*WtaCC&;Q&S=wUj_`5EmLL3{{2=U@1xDfE6uZ@v-l2cQa*^?p)~>-PYd z*Zu4Ex8<{{x$QR?1Y}7yI;VLOKe5fMlhmU<7LwF9*|_J-;UV6QPj8EUYf?QPqKvgt zjKsdG?LUy`_0BM0R;9-*@dH2mJhUi#b-V+wOru?rmgSc4YtKq4y2shHAnV8h`~0kw zISOn~HgP`m{^Tw(Q`&cC`0i*M;ghmC=YKqw3`o3Fz_Ee%&d+SW1-()?qrX?Beaerk zO__82K55<7Cmjp9lCCE&A*#)8JL{k><(U(xuQi~lYI)~Ds2QI3xWwh>by|xwT?_Oa z6uyMERduzhTzgg9)o2*u)==f99!E=A9;@m(UlS#<9$-gI?EQD`JN-SahCAV`Ud-3yiq-v} z%yIj^IJZ7?URf_@eO#7fvv)?5g?C}l^kYBv`=bl@J*nPZJFn{H(W)WpD%9oUM%SiS z`-ys%EU%kazxCDUkX4Un84qbYZ(r_lXkY8g>Lr=)Ru9$QJXFrfzruD6>!w=$ z`(ItK4W{?)2m+jV_G4@EcoU}EX!7YfYHF0piyacdFf7jv-l<)GIAT*^wdiH@7jrp# zJHNV1l%+VoGOF>+EUtVyG^pjt>pSh~5L!&G?#SWIDu$1i89MGTydC@W=2@<^Q}Wqd zNA<7g)9o|-FJ|5)SbCZqekaT6?G|B&dBaCp(=4o`OUw0WHR+hsosGxFzTLKv{_Yul zb*Vtwt4;H6)??1cc(23r+iU*~Itu_ueV_!xOt$gKIxMX2f){T>GvxTfO z1fS)TMA@enB+7Zd`1B@=>~c%54{M?g_`{nuvUZD2+p1Pw6+V+lTATEAo{+C+ z9?y>Bb}RR$<%H$zzM_GOG1XvuwqWM-qaV02j?2yLo?pM%ar(~vCSz{?9cf9~soQoE zWP&knJ(_aeUkfDNcoqn@`HAzUU645&UmuqiH~WpJ+{|MIX>+bGb-l99I&xWRK)s8B zf|kX=OS@yIlQ$-3efPoiy=$21|GuP3mgZ;0ubZfP%f;jVv_9*JH)giWzTVU9plK}fo(DUF>5(@;yI<&sb*bzfp!k;x3bL{ z@pW2<($=l2mfWhXc*>zV-Jh~*^J?ca(w4H76j>84Z3W4OfE84W8UD#jvIOC-*zo_qekKVI@~ojtg`ho59g9eYW8C{zdb;*B#F{>59(_sd~BLI7hBsLgC>E z&z15z#i;eEs%RZwIYCQx{*o73i(Z_KZ@s=R;VRwbPK%}U%%7HgNzK>|$8#R&a%CrP z*zRijQNAPE)~zwQ^K@;Sp<`qtPm4wB!C;!^j&CXG9QBlnm&ugyCuYeGa`F2JdZtk! zbGT2nb;j=SS&KkbrZpN>TnLOgX}_u9THpTC@3|rA;=ArI-hX!1bH`32^MPk`!#ipo zWY$-T^2zTL^g0xGZTFq+Qh7~E?BtRQ`Bm*_5?@EqE=ro!FFN-K{qDQV%3KkxOz!1T zO+y3UZi}KSHC}z(jv;>d>F7_4(LQ2zzCm`6q1ZvfH^Ddl1&upa$`;u)3)P4dnvysp z^o>hbN4|GS{wZGgIwF2tpLVoKGYorS^=T2+@w-opSW;8t)3Ta1h)fgvv|w1UTC$^u z{<-x$SVOFK=%}H;3=7C?LF0+>EWy#tfWNGvafTy^ABf$KW0hDL4A|G%tXq69OngoOUbSiEBm zV*q47)b*Ax{QpFL|7z>%bNhStTP0Y5`Yw;a^cJm`u5?|D$6p&CRdBEuG z3}pl96M+sPmQ}Z}27kWt^GET4#T1TqN<_A$Y}i0?DKRBd$6iJ%REdKW%0WsO9Tep( zKI6MzR9I?8&Wy+MlIz*aDSP*&TIOsd^jm#&SV(-u7`FCmoSJ#CL zD1Co&quNcrzsBzbIrMs0vP@s4;NX&@N_lRQscHuEFE%u`TF!cTWcr2Tx6Kc{cJXtr z;7{Oc3T_q}yxy~vvRNW-eMP|rjECvcIPKXRWA9x5zQITRq}PhaeAK23?^mQQmGr&x z@e)HBEjT-;F7bx2(vmwyUj=gJ?Ac>f(?5Su*w5_ag7Q{9D@FF^X==}AOU`oev*B0P z3S4W8lM&9)vUCl7jJ+}^$u~Ih{kvQ#-J2EXN_zW>Ix23IrhH!Y#JO8Oo9d}Sz3h{4 z;k1<4kHfbWXX_o6l;9TOt>Qc&a&D9LtoZr6)^YaI)GDsIvoF0|?AwXnVQN+Xaf5tt z70pZB*VfwNm2=;;BVr9sRdPFa$Hra9ye@sM-a_RK%UdQqZ~DuwE4OU=LtmTs*DQ=$jz>g2;#b{b8bex38U#(RU&qu)RB-OGvw-!dGr{P(Es*zRF-r;eIU*$!p6* z1Y?b|D)*$Tu}7;g1fOm`*zwLO^K)a{)#~Rx(R0tONxGPHewTFXtU#RiE;<0t%=_O+LI82T2>-m|!l zJAKui^_fMChqtG%bn4kvX8N_h>QVqFrv#()cxj?%>w@)t7CfPQb8lR^K5MXR*QL+( zRn01e_GIi%rJ)aRC=##b{il1r;#aV$it(TRSYg2o=}qov>rjuDNb8NKbsskD^}{R3 zS~|J1ofcTsmNDaFg^b6neRu6|G&cMB_j`(*7pXk(G_Fpf#7)Wh5?5}tbQAq)9M@U9 zK@zEbUu7Nl9DLFdMunZ-p4B=J73MxG%&(N<3^L6siq$^q_3(_LOyiziUteFncDE$9 zzRvH!XU~Y;jUok$j}&Qs6O>3W5)8KAE|E~SA+<<`a10&4bZ3C~>XvnBRZvc31)({)Q% zMt+{h*SLgJx#e1bpu!g^HF50pIC+y^mj>|}`=YJ8b_J||=6YdW_bc3S!-FrS`0jG$ zTDT9C$?oI&`) z1?+5*lv`@HA%XAh=h>Gf?ab2;DXr%3EH1L68=aYUcFza12N!=1bV-ZzuV#-_{MuFT z|6r)dB6#^vM{3k{S*;k%T8mug#WF|Rn+gw!^`DQhQ>tXJmk{+snW+$?_LjEwSdVcDPArInc_Xk*MFrc4uCuTVC^VvLL# zij>Yk1=BdJ^Pt;DDd=JI^Y@`(79gEMSadsL)ctSCmSATZqj8Tk+bgm^R39(J?4<#TCfLMnD&($dFX$tL_(b2j; z!502%#z2%6tQsa3(LiDf?U>Q;=N~%;z#WscV@iy*k$U~?f$eXtXYBUPCC)u)9U-%6 zfkNi-1#*G2Ja;WWJ?+7=qMe-&UM`Ve6{PThw*U45{~%>-B*%k*+{7R5bEC_nH^%6z z#ne{^gv5FYi&hp0%-7_%$>H22!XuNEm@zxxhE>uUvkwDFiHk~>PNT%p^nXeVL<-C} z!w9$D74rji!1m>e1aFlKI;(cd*bE#X80!Yvhz)GnGPGrP%30Jq-)@KZxcA9ziZxHK zc4M@;y)WIbnO=K3#Wm=)=G`mS11~hzJRF!-I)g5#Uw80hzgo+o=0~yFOoVtH9UVX&3%XxTbL z`a9=MRQIagTBZ`Fk=qR__&hD;lVn6)-1ZA`dKYcf(J@hveO=PI=lR*kKZ(UZ#Mn0F zwiaD~(PVa1$UNmW#}nbi8%3TsXiHSYf*ddf!Q|=l2k?T{-n3@S*GlsKLrhRQu)F6!)k9(91U1E9sWA-S}zH72O4LE`3G(dkhz>vQsHmrB3^> z?eYMsRP2yj=AwYyv-U=mr?Ez4#|JUi15x*^c#H3!^q99CHDDd;q_Z|7)h*+t+#B*j zxozeW-8`4`cGaCYMrdpf+GJ=TbNSZ2oHE~mJQwAqj|4kk9?_Y@aJw2gV^*tFsnDVw zhMmW__#Zb7)qUUL({VMLQ!%ATnDO-DA@zsNXO=o<2e|vxw+SdZsy!^`+x42?%7?M- zePIHnuSQfr*L)9NTdqWE_h!TOyC_l*a9d{c=E>EDCa}k-EpDk+y(l2~F|g>F%(Bu* zMH=@t?==>T%Dk?n$q|XVce76Qw_o8iH|>-?nHBiq_0?_d8&uCX#B?lr{hqC7adzAc zDFekiZ69>$#omv1XZ}pnH1|3}lz#ZYpba%R=jrXdDssO@kYR_!;Btz>OWz}9*KO}C zzqR}9l^b&e*7(*2ymOA!4Nh2@#ns1^Q88eaWDpr$V<@&^@Zzpo4pfEcgT;?k!(K-H z#C|6)oD+H>e+}nJHBJZqA7npvL0Pf3D463nWR4%76&5AM&yEYTJ{0WHvq^CM&hR6` z!RhTf)F0$f7Z)G1fqo9|^#1Ns%l98sb4%B!aOMxD6Rw8U)~Q~l^-)z^H@>D{8(LkG zYpH4$J6)~8Y~|v3Z&H5x_w5!Z_uTU0C+<7fanakv_sy!P%LUFxa@sWqs!zFZcWgCX z@ilDavBi;=6!vQEb$KNbsYQ3KWI3`7>~zDE+lEAhRTOT|`E2E}J65mzS@A3r?2I?& zJTE&Aq(<=e(j2g-R|$X94>h@Cdtz~U@{+}l)AR4x2CYAu;*hocyK~KL=?fvK-P@cR zXI5i>sAO1~CuSC%Tk>@M(cGE^7G@kX)}8eA=9qC-kI_i`aZHx&^W#R*3*`aZZV>l% z-CJ<}W1n)4w4&IVj;b%b#gCtuew%IF}3rEH882L z+awGZR<(-AG%3SnwD7|w#OR?A&w$cG=sh`(E@z4LG3l5_9ttA~P%y%3dw{VtTDOh& zOkl}?R>iCVFEn)PW-(jFiVL(MQ253=6rTF`MFO)0Np!P@P~f4XEz|Mj1%6}2@j_g~ zulSdh_5Xm;G6u}>KcfXRX`{sij23mi?DyM0%1tjnU}Y6`Oe`p!5Gm=|ab@kae3anv zkePY`21for21*?@&CW_|OPz~e;H24|Y1KX;XTfdH!KYKRSWIWB*v|bwI^`6@;_v~Y zm1ly^obmEBD0gkTmmWrSzSlX}^bOUyV~5DeX`zN%YPtEFB&~!bmvUnpHs)$N*JRbL zcv5S7?`_}FSB*t)T(JQQYUPeNuNnMo8-l$&SYdG^i;7ZXKm^`Ew@FAV#E{PG0tV38M?1)l=7j( zaMvP6)N3B6=+mx!1s@9iYL>kf@~1UCsg+Ua`LumYS<4o}r!(GjBg5uJM`wz6%D?dt zsl5?*_GZ#{x^U19l}M$cjhz}VE}rn3w^{zW-GMY&+eJK>1=|F|8A|6lj=Q|>eSLNvqF3>)1h=nITUF0qmEOu;5E)x7Wf90_y)HQ8-tw2q z`L}rPy!aBfD?q5o?wgXF2Myu)>_s|z0`0!;{j6$1R(atOSmu)#- z9F;7%w7E|oWizJ&btrtk$7kcqb8S?oRj(3GJss>C`8b#@!Ca?!eXtVgoNB|jN2lUj zUTMjwLnNp}Zs+pBARk$-<`q&KD%3cx>RO7FT<<=1^F&qD2JEg3vm^n{ zrGES90vEMfOsstcLzL}wFN>5Ei(RR_Te}h$b9Y`kWY z%8VpQkDw&iSrxRcTB*C2>4@r4eS8BEa3M=Sg?i*nyA z6OW%wF2bq!$r`R<MrHF@yYR>FEVz{N>vBvMNh6+l)JWBwY1mkCflrQsw#M zZl9rk7kiL;+#)BL=Xq>rZJvb%?#OfGYmc1WuV@o=Vqs)*a-`0q#^n{C(VE&7&trBh z$b6TTum50;o@`gY{PW{=JL-e4%)4oj;C|-`>T;F7X{AQAW`W(&9Ygy&XIU3~XK;2t z$u8ORL{mj;e_@Ggok6Ba{LDKc_7;XweT%p?s@sTcS5xoV9*tysJ7?3C6h6^)`lL6y z5+qnaj#6X+_rd}y%+vHPJGErnH1g$J?Y_o)BhN);^Y96sq-uq3@ zOk;!rNoE5tdZC3(NF>jgdE613^#&*^6ux;u)i$2s;~n5Okc>E|)I)M(Mg z${%{@Z1YXOl!$5Z96kQIWr>S}`2!v=LyOjLwwXIN6IyLW%Iv>JEtDvfD|s!M61C>X zC%bcBt`u3nP?{rs{=w15135az4Nn3bUfdC0AU~jDYOAg(=EjM+piXue2=d-pbEdiI zN_$j<-^1?Gf+N${$&}fRXRVBZx&6UfVcz4vhglGHKZzva#MTP5So|&Yz?5mkaKK7H zjsN)U$D}cQJxonJX6Rq6l`)maOQ2JC@MSZ$r_@B8`*X0&``;p^(c|9uJbIJQ_mz|AM_TI<5APy#j2QlMJ$S8JpR^ zQWrejzP-PEQxUDSVW{j_H)9?>@sxFl)^d7G!SrLs7(%K};*WugVdw=jmRKemh<|5m z{S-OSwaDURw=du3L@}QD={z?M9{D0QKd(7_7Qeoypr_DDkFzspRqpZ6>JeZSaM%=3$0E3ab|%lqkJrLT46-o0X&U6s7k z)?HCE51&_>C9=ig9O;djmUpk4#$~&9B*xMx@V%VNWyx{bV9eEJKlM}wetwU0Ta|fl z@wX}!`O0tF22Vt4tF|rU7G1ToeqUgqsoMSBfugG)=gO9)rR)j#u)=IQd-&N5?T(GL zuX`-qeczo|2qGFhi|^#$M)ok*S#2YHd9(PJ#I-MXlL8N(2y5v`%JZtFE*?jj) zEqCgIz4>#4GZXuhR-8n2XDSRisT^KswToXh&Yg4jgNqBc*4^Pgq}6-eop}0vY|koH zWrt6;^8-@2EfT&x{~#S~)4R{hj$C^H_w{O4d|OMp9QsrH%pMI2PVAJhr90P>tbM(L zn#%YB(M6l@h1SHb;Zyf{Zf|k#M=)LYZsW(~t`6xNgOWA?y;uNTkGV_ zwuUJ2zWF>q!!meJ$t%owxJ)3l?g}T7B$sq8(V!<`?QI?R+nlk!Z_qW}`c)q{&|Gmbb+nt|%<|4EFwQtajy(*%1XPh=WN=~}%;~T+4t%RT=Cs_6+p9wuS>a*l2v*zYcZwG|D-Z4X8S(vu&DAhN8x7_9f_m}i_-1pcE7nh0E2*|!Ru?*18 z>=6A@TrV$eXM5)SBlT;GRGiFSAGf2tc8T4e+_}@wfp<>Jm2+=9*J?cTA&HYEUP+!v z(ecSiktyMGaN3gIrI#Xlg-s+DO!85A2_U8z5$K-6=AGAR@CgS-}N}_aMyXDE?D!XH| zuR0iAm@k78+H@TLh>x6pR(TfHd0xgEU4`2T@F(Z2-Ci1Co4%!pSL~*wAFvOc&ULf= zk@GAO3Hj1^Z-z~#=ce1$yS|-s={u8#$Cf{~KXj>%p85=DoBP7x(;nWU@XR6A&++cs zjCbh;vi=S``>XE`^$D|c?VNl2X4H>Ph;b}{(Hdsh>=^x27z!P3 zkUG`hhk^z0cY(p~zyY!zViUg)1q)!pp#Y7+01Eu~p}!XV|DlM*=pfcV766pXPSOIX zf;3Aj0ZFrbw4Q;_B|hFTH=|IdK*%KBWP!27BK8x-FW77g&%F^G`np*4wejiJ{xd>v z3-9E)IjEKrV^UhWJfc5EZzvPycdq)Dvh?`F+1F=djG>HF2q_~aypP=ZjC5aa)r0-2 zb5~iN4+AwVof?Y$R>GjQ2iHNRzumc8N-te#PPeVbg5G+*@Y{H?^9kom}MlfILvM zH%Mx*q0K*2_*m-VQ+CEW6&sBO>5GK|s-Fm+w6#mAJH7Yjvn5_vPIt%ci3r)wAu&Ja zr1UZIwab6%ns$XWojaoRjOOTynn$rtNR8@_^$rrYWG=#s2kyS0q-Pt?bc|Eezp6l z)TyU9Gh$u)w01Sr^8^7g-^TrJ=#n`HAL!gPxK)BXaHRH{;N7=XAsKs5=$kD1>Hbup zc=}BDQu93z_XcUGsHUFKCZyWTfB8JvuaQf4_4UEHQ!frLOT4vdr(^@=qK1N7aPr27 z1P_z@3*Q{Rv9j4E3hkl)nO+u?9I@>kclV4JO4>w(=_v z)w~p^e@&6E*SU*Ym7LeSf8_07RaJ>T-S zz5879)G0lq3+FKH{^hW8k=u)v=UjrV*$1FE{5Wkeq-ect)ZMls*Bf&TQwyt z_1oFKPUBH|V8u_4mDdKP8v_EuPka@x;9uZjb$LtlQeK`lxB~I@8loKxwyu%^XXnVO zF6ZaT-#?U<=XBq#%;TQcB&wsKYkrut$l;OasTbw8d6%yBu8yvItiG9Z#mQRt8|$fY z?{0kJ?U+XQTjwIX+4l5)|FqffHuaiuWQO!9*$_N;Z8rLfzL`rgSM1Ro4^xO)Biw2oeZvqFa>UGOuzWBHc#w`%jZcg6|k zyp^NBQrG*M9wySbGW?B7@yYHp;_DiNQ7ZQ}b}zkhw(iuW?$wP(`P(}}x2JWRMdtG^ z+%)%@@uC!o(&F;anQk5nFDIl;doEYJ@XKrkj}roKL zZ}PO0DGo1$Hd$PAXXwr1)lQlFu4qABUzMn+ZGVkB-ZX0W<-r1m(xs%sq0b&x7Us56 z!mWhDL+xZPS$J0Pcz-*voKLFSE_KV6hqS=5n!AHnRcKpUpBS&Q&DU3#8SqgMw7=_l z-%b_vj^onyq*C>pIa1tO4V}v0pZF*)U-UAe#VRtxYp}De*b<3kH~;F%P5qSFl;#B{bG5}1P zq$!hXGEx-wv3%Ap-`rK=X(fbB3*>(RMySh=Pk&h*lJVlfhrqL!v3&`P6Ti{=6#~{QOlozXo1ENVrg5ZliBy_2WLHz#_NzAFA6Azkij; z&+f4@j`VKLJbB^NwcNz#D2?w+9bf*qf03v1)1m5jj*sik_qH4eFRobU+E@1TF1ko= z`{8%u+s#wtcXe~cWOXR+f9~ja?cid)t{g{{P6h4WGMj;v0Ee}H%)HAr9lz!uo@e;8 zfBN3_NqPO?)^07qN+Yk_KA#5ip^a`_rTj@eRl4F8o*4>wLM0!D=7Q#(rWv+=r@Gz} zitrZitpbt%A9-&AR#O|jji-sygv!)NlBCW)^C3wSrAQit=nVTrq)~>HRHjI&C=w}T zrcg8>b7_<&m5P#tD4`PnwND+&>6PEFbN#>T`o8yiulG9d>FiEstM`u=D~1Ia#+X^BW;)2F91tIWa)mA74H{7lcvFB?|smudD?r^3EXEz1xjJgW1t(96k#S68_%wrhNSl_eS+2cgGNiU*Ds?kfElQGO9?nYG)&DxB3^Fb6dfeF}vAlWy>D+ z7`dkl%G3`pZL=nMfgVc#Zk@J=D%ONg+bo}QbFWk9Wy$ViueR4sS($w{1z+Pm z=a|LAtM&9KgNutFH_V#z{@Kpux$GytL2v1lREe#&tg5Fab%)MN6i=FLKP7?{t)8Ii zHR?*K5t{ONJlynO@RFAm?td(*Gx^V$+^BV0V4jb!6 zom_EzhgJaj+3Q_DM%Z6Ky`{~KuPSHBo$p-saKw(om$o&EOgK~Obh}OP#2IpXONRe~ z@A7lT9op`8KIN02d`2g;evH*Q!Qi@34okX=chV~Ucw zfgm&N$=K0jQ(eW%y&dLnle~G@dBtuQVLzd}dqyuCC{XEF`TQS&3TOHLBj>`Y)8>LhaS(`__VH#MzyHUfn1VEMz>uMz z+~0tQV!{>BN}M-j=vx@i$B?0KkkCJT_&*#n^ofvkxFG``#LfOOWXOwN?pjHf^{?rk z{!K%Oa4-GwF1>3DCY)S8Qs%$?*5-L4%LlJ~4y36i_$Pp)jQndM#6p*4D2hl0(g zTpg#yO=Im>c05S9ZjqmulI)HqZlb=7yx>*XJ=1&7tr0ew0pp7kcRfFs9AUfbl(fyw zLrzx5C#_0creBk0HCG_aIdS){Lj2Rj!;7`*)>Gqy!qUo3--SB`py4)0eJ@wVN<%xpfC_vZPcWTwS}ox@1PHo<(LYWzq>}#|I-Vb_NU28C%*QC$2N9p?t(@ zfsMhdcb~%5y1mb(7~H5ak*oYNL29?y1LsPnHTn7TB=;S1Vw4;;m&hIKD&NfaPYc5l z<3}rXR(~};q<+}9{FL3a@)YmZ)L1k6f*UV9TH4Ar)_(2WjSr!H&f0y|B6ShlwD`cH zi>g-T&i1UlMJ2hN#-8fU8-CPZKCob0^;1(%tsLSWm!_`q6PBhmz0xid`sisSNv@ix zEPYlYe$tV9qcm~?6U=gCraufNtCG6r9ju=)U3Dep90&4H#RZ03y!A%n+?M#`YpxR# zg(Ic%?Vh|H?o<-xICI9jinCABR)4^KE4eG5&0z1N{RmofAHpd?>IZMf!q!Ur{9XB`JB7%BuU6X4Jo0zrrfx%Uh`99ZWQB}22+KbKVr8Tt*C0Z998I@)AeP6N>}~0)XqFpf=Pi%ih#!(rQ%kN z*V3S1y|EzZp}FVQ`&ct zcdEmbXpNm$uQoN;Pib_uShcX~Ns4aFtz3;+UTXcoj^pDC=q)K_2(GW@?cggt%` zyQhEf`OseWuZR8(oaiT7%X?L<66Qa^2^#3p{_TbVoPZ*l0pxTLTtCIxfi6mfCbn>A zydED|rhmUC)`9>;Zg~!ci}uZF4Rlcq)qrNAJcp8KeM`>y7-#g4E&4_s|7YV25Y77i zkhyUNU_1XBXOuvkF@XkgMu(iA@LB3Dg&L*Qm}_QsXUiiUjLi~CWYvd>iOTPEXs`KN zeoT!J6n3+4mmVUd_w`j<#nF(<^N#AS^Vz2>W1{!v$NOob&t4KH?{|*(A14zz-B|s} zi;4!T@HU&4f)`!xE$;#k1bM!V9L?IgNFVP^L+)DMQy9M}+vVpy?U?#D8}c~u2c3=Q zv)3PHZytRsZQ`~qcpt>%iSE*dEcJN~qcidL+xxZWguW`CS)E&U)hj~l&4P^w+19&Xf3VG| zKh^Hu#6-ng4Jy=I-&}9Ccr`~)$?TZjdASFlcU*Hw>{!3#bImrP6P?+NsP&=bcn zytcSpc)vMssvP>zd#zqWrND+^2|M?WD`>PiBz5Dhvc|NH0h&`{DqpmSSDK2bTfQ8D zTyhZ%)Gb$ksp{kZ>amkRcc^dNh10f`(bTMI-aE!g(3lr)w;QewaH$hc*>T^Oc)XJ` z{!77}$v1v0i$ssMJ2keswC2s3@$|;G=}Xq(tmWg&H^tfi?3!sl{M`cM z8z-f%%qdbnD}p{>R9c&F_mH*X;dPC!kcdT0wF@)Xeo463Ni8hMk=2PDznC06`uW{g z$(5T^qMe$mRd*~)2=iId@gXinb^abPIo9LM4udu3=!t7-V|U${D9|o_YLgP{RYgX$ z!&kYP=Dr?do4j3*U5u~{{FZ#*Ece`9_sQ0e1a~5`g0oKJXsxrB ze;j^mq$DFXk1|JWv%v7Ax!c}`Cnmj*s&9%HY@4bTzAF_D7_M9DZ4!EIlYdFZKBb$x zl*G`_-%>|!IahV_u~L$!uji+YD-?+Q^~>`@?rJr%X|@SbJh>-jT4Wr7HXGINW;CFjYOwt^8j682=!5>hca#0W~+P zfW;(%XK?odHgNX>B=6K^QzAMW_U{S=FIcczJos@gHHIyGSf zHOmaqGIdL4rHd)F#TbDn>t-oh_7 zC+fe4e*0MYCV4kCbJ45#byjMPn%UmjlZ=!Ct8~M@xpf~6^ey}J#wcV<8g1m+FO7B5 zIfb{42Xfe?zCQ0i95!bm`@>;#R51Q!lRl`!<}D)S+|xffY#v|K?@;i%pyNG|F9J8l zLs9tiP)yMR(EkCP=U|6I*PQ`$OW>M)gCmaX{NtKfe=bNx40O%G4#hP4@Ic!9^LF8? zeYd*?%%hraJ4hz5ciuME zOR-pf(lAh~-CxiJ(ZENHIB?25obvg0%YjH{w!1=h>laxwy%(Pzr?>3Nsd(8L9G~uN zP^dWR&8zyBJ%9|1>p=$QW5__Zvxj%m%QqR(-;vGf(MNrEQ#r`MvZ_fKGGMHAcNDTZ z$*AVEa_MHPL}R@eg6Zi-EAL&jh%Ux{Dp`FzG~e^t7v07K9+w((9rISZ z`H~VmCPaP8DNVUB^Ti7t73sI0aoR$s9=p3EMJD#7*O`#ECu} z-znH}y^-lGVWoLD=ZvA=on^1)QFIu1Z}VoccF=J}#bds!g}S#& z9YGv#)#wd-{yIfq^oSiQa+PleRa$W#Xd)aW@SZ&gd%H?~PO@oLLU zt@J$+<0lvIa{L%OA0M;eY(Nv^^yANs33kIY^!I2yGSOExSizh-=N^`Rs`NWo3N0S)?Rs`L$g;Td;^~pJ>tUTx( za&XbzpR!RQ%{B)TH!K#dDNMLESG9?D=CX|D$t7|VH6E1MevTXKM|OQ;B53IMq{cfE z5lifpIktXQ^n!_@n-_1~8nkP}%EXF?l@Gmc3yr<6ZMf0z4dI#S$7TUN1?3> zPYkovUN77K@`RhHQEbpI!TJNcUr-ekI%!gmZpiqNC%;{-AU-Jx+6zn3)~S%rg&4Nu zr3#AQ8tDctQ1UX?Q3_tEYkj%RQh%~%Qs}M~yAOGL-pPB49?5(2%(iZaowQRKBmVg7 z=yIeSUo=}J$vr`8%&EE=>S@PgI*#qh3)jueSm0Ch@*A_(1ypwIK z^I~nph51TuUsrkR5Qkq_^wI7|l4{EP=bI|Z&WLNLiMsp0d)@r5wb^EA!Ps=Oh)Jt1 zjZv{KStrmUp3rs6DaN<+`-g(dp$+?-Yh7)K3-h;02U6_D(%oEKU2r)OY-znm9W(Q{ zzE;g{mD-Wj?k(*dl|Q$3a);M^OM&z)ts|FYRIeW}H0bN+{wp-#?5+D(cyja^_!AoN zx-Je*prW(Xeia&|!5T z*=`aI1jGJ*Rp|T)^akG22e>Lv!r_mrV!gYl1Bq_aKsn;?SH(O$Xkzhrcm&7O(gUl@ z_>Goe<)IX93dr9M5FGRgzW5j%^xePr-`W4uZk~Ty6#e9_xzPXu)ZBke6I_Qf3D~}4 zWfG%KKKS(M6Z-wen&-inmZ3{*&pop(5>^+}l+cQ*eqGa&G+R|dX6D#0BD#SE-QTt< z-x~K~g^`EYs)_#`-I;3GS*o1 zPHbtoWXoZLtTB$Z%hvB;-b1>c`=(~kYtGhfX+I@jeZFHg;YsU;2`hb-{mPHXt#H2m zc~wg$JN^94(9%sMzD=7Zsh+#_Vf%;EPrMFYyxMT?5`9ec#f zzJ52dFWu{)NaKQqhL1srqM?4f8P#k#eoIrx&hV43KH-;Ne0lG?p5JBVy{j${Kfcrb z`r|_p511+DiQfyCyPR=LzLnZA>A*FQlr;weGUD<`^-ml&s%>0(QBcbGNj0lEFZoTw zwk_e$UDLAtiniQBryCiIY{_ibcbm69)p_>&^gGRX-Hg^xTaPpzo?|@EOnl)}y$h~B zx2K2OYFdY-B%WF{1^0Mz-o6Q~s=mSOm_@@xWG2p?*nuxobIU`YHV4Yw8XG26z^ZCa zz||F2-O4LYSK9r7=o7Ipabwe&sm5O)H598T?~44n&*!$-j4i%Gcr!^K6R+d)bQMb- zXVJ&8@yFE0S{6P3y56leMrPc`?FZ721t{NryLoxEc0KEBs=<^G=d1%prrX?%u5Vu6 zd856iIU%QO{-oe5aneVwWjvOAI441$=0e<}qL%W}-muPOb4K9DNhjr|*qI)9S@-JX zxCLLQ$Yg6=Exzz=jci2MWWDOya`&9A-o<9HX6aojwV$aiogU{JK1a6AZD#e`r4Kw8 zgF1xyDzmrBb`y76Pr8AaP9J9_dc(BJ-f@1>eIt93T}SL^?>C%s-e&t5@$b*PlUFE& z+g@^T(XgKo=iu^2!(V0N_oBs}wLywHizeT@9O+c>@x|Pk2Y#e2j4WRCbVM@JIXz&_ z@J;JK+xg|2R*(B3cVNwO)eB2LhVFSS|4Hj(s1$X=^6@{`R_X=)B*XJBJu~6n?Wp0; z-q$G?dCVx${+>Sh<0^rU5|+)dpACc`BOOG3&e(A7f`PyV({rN)ysE}KgbJw4E)Nj6 z^YflSc(H`zy9l3!;xcljuLaJLg~FCC*_14oGhamhzP6}ytz!+(P!Gt z%rVtdWQkdy#x__FKezw-p}PG)E~<>KCL7akt$6gJ^Jt!W!e+e}`%2qJOzVoe82A19 z!$Xo=x3-l7FG)4R4(Es+{AbJ0%AH^Ob7m4UmhZ-nx4}P>S*4qoHc8UC;IqkShL*N;M%v48cWnRb;Pu&eehv-@~ptBkRLuyOmv>k<1VOtD2bhmW87 zYP;#~yV0p(akHDsHoBiMces!gvru{6_PrukeDbpdqc7MksAs*`aKle^)7$5_Z?#M( za!ttT^*kxb*l8#9f=@5B+Na(YK#eMSb2)a~&#p&Xh?|v154Y$ZpLO8si!&z{%XUzu zVmG|4N*lY}cEO8HOx+NNeVHxIb2i?qs~NZCc>bAB4%+Me2>YKrytjQhDmh);yXC~4 zyqit-)`#btx1E;taJ?1fXR+_TwBhxqCNoA>7?Tb5`FeRwX!PD^X>()14G*fx_A#rcaBg@691xgXT!szye9sMgt`h|Y^B&DRzJQRJoMf>Ksor&)c&vS^Y z-)iJEZNfUKa;2T|YZZ|~)tt15h;tDinOZI-O}+;{3cW5}DUt2%G3#5ET*=RaM!Wrr zvTuraPl&hpMl;!d)bOL%Q`?p;8ItC9w@$oz$#$8b+bHrb)oyg>^gRx1G+%_5?#~GH z@{-g$dzf;zZLIw=pCIRwrJ41vQx+`CK6`rh_LT)CYjfn2d>9W7+iZDClFW6~yCmxK zYMI?5!m`kxp0YO9wqu+>dDdnf4p)l*dNjw+ea`54RmxcxAJu^xvz?IJfrCx;H#MI5`Y*pKrTETXo0V*{>oe6LNkr_OP}rGa zO+ryoTN4QHJ;cWD63Wni*J`*!ksYS!THu9CTwFz;kn`=D+@9+DVpmgqW*L@!VT?)H z)qd>a2IR41T5^`f`KoE{9~ht9e{?jYnS5F3U~oX`nxlX1!XrYN{u}d&X%FqccuKSv z)d)uhUJ;Fr$5&MjGtIZZcd@Ly;MM$f-*Q}j-dcCB3~BwWkyBh&zj5uRVAXC3!?#mJ zE=PvFp_IS>ddDD+LnjZ+3GAM~J9LZb)*ort zQa7y}QLFcU^o?sN-i8r%tA&jN93>)}54;w6wcUA_?e!Z)gr^<4u2LO#9%n8B=PFW)Mp{JS;KJ;uB;R6Q;P;n6Nn~LWg$gLpkxpmHI z#dVf!V0dkILsfLl*Q{SFu4CZp!9qPa^$0izo%uSZI)=+JUyOsY1S^#3rLr6WzB>^* zWkJy(z}zI*mg1ouH%)~IQUW9b;lEqw(xA~L4UY$1M`$Ywty~F2luo9jY&;HHLlY@v zXhM$rv$JTAq5QpZ=&a1yy~8a)(Ii&Jh_%xFOLJ)K#)%9noee#H87$!YL)&>4j!A`L z1}KG~fblq-me_H$q{P5e9?B03mWJla6Om z$z&XjffA@Fk-@~#cpo@6kNA7vcp6a~2im51;6UJkF-gFB(~<{y;B*|^GYvYRQppGl zazs=LN@K7Q77NEh*(jB#(|pgo=ktvN#S>)ve?4(L%p90Kf1lzk1OXU`Os5b@OeUR; zXQR+Pi$!DONhpOzV-a|sIIpA_XQAQ7x_^V#cb{G?K$pf-$k3I7=Xt^N?wi2l zJrW1L16Be&bkoMem<}+|e?J}?h(m&wI*_^_)^XwY3dVD31Q_3VKzKnSm^x4|J&bDg zm&4(4Q{e;y=4ks$8V_;%*biXs)7=23G28(b1Mmx7CHL>@+`B8p9^$|53QMA0LW@lx z*V?t@-GX_OVq$$i20pVD^spX2d}Fb?nz+YK z$>m{N%=nfcqzY4Fx}b;MtbJ9ZO#C+LQG-n<#O2MOKRaIS)0D(GSyS8m`mIwQU-Mcy zYtba9*4(ke{yWXwM^}$-^09V5{;eueZ=~rpHOhKo>#44?nG0TSCB6>6=@RQac~8-n ziL-0srH@BAjea#!6FrmmjhVe!dR&|T7D1vw+5IA1JzGLXBliyTC#!b2q3G(WnCCA*j#Rw3~wCAIX>K^@$l$k|GHN{vTi;f88C0r&RmPV-lxi@jhsTajt|C7 zz?o`#eJ^`?VH=3LYk40?%^Oi=Y^$|FSw2rSq3wIu34uFkopgF}u}H=v!;Blz^G140 zd^%q`oxJU{WoedbIpdE1cI8J3w)Z5*t(TrGF^(=hS#sPO=>&;!sPwDxxMP{IuP5Se zWjYHAsbAS8CK+9*Bx;Tq$er|9Ls znC@Pc2n~N%cS1C4nATgt=pguBh%!wm^^8Q-Nr|f0`y3TUYb_Lm&nwC+H(+1I}kCYwoia(%eI`zo&11zPgpIy`JQ!TnZ6-MQH zEsk4+V`lX^~;;{ZS(V%n;g3FT_I_b#N)&lYm8-2-APca+yNtjt=Xaqqe8<_Q{DU<9fYhYEJ0A|g7Tfu7 zNUxj^+zk(h%ftBwTe&$OIKV0P;~wM>YHhG+2m2ETljMBhZlyT#YMgJlTPcp*3g?@i ztrQ%^Ju|0#ne(TfGm~+gIw32_VR9(ToDV%`hHrW{Y4D-v%wjU6d1jup6f{ z@F<=MqsbuPfFzDGa5y%~V$exslt5w8@g$z8zaQ(3KbB$pSpxaDWx#WXcBwBDG}ZsWIt{le=H+FUn<&f!=S?}86geTFcL~5(TOYu zLZd)C3KmKsvDi!|n~ai3I3j_}yTj~9-{X&AfTa+iH1gME#6xOg$a9gxMi4p~w)<=v zv}!ZQeD3LB3y=>yC~USR8giw<$$GAufW#qyV72$X2zi9;PS0AYxDXmCbn z5E&>ADljQDGQ4>VI)Oo=&?zk5w+vfM`2z~{S_b=45a}lTwhRZL28B9Q%i#55)0lLa zhjcod#2~VvM1w&_@hFwXCc@D1Sk{j&!k5WN1Q9XJA92Tw2pb(L0n;NH(#nXEsVp3U z0tg$GLI+w7g~CE8L|8~zWCSG+u;%wm8}i38$oP>U&GpMN&=>(+X{eUbsDKr~#G^1# zG8u*Dj3}PKqEN^HQ9(CJDw}uA`l1*7u?%*z2>AYAmXW{<6NkL!(@6}Nj#MhF@N6ap zLD&Fyf}18X5jF$Ck(j)e^@T_HV;LyKk-=a8vWy6XY}(KleT2%Sl5v2#P}w*X!NCN? zlko%^iOL3ukv)KAyrJ(9*G3`&ej4CVfLx%l0Rez?@Y6)vkY^(UWwQa=MGz{`+i`dX z9>L=nM1UUY3|M+m-ZAS7?(k>KpspS3MD)ut46w%iJtq8z*osU*@eCqB@FW70g%TLR z6=ad{Y&@Ao!=uOmh-yFOLcUl=0Jw+%Ko!?A0u3BBX~>=$Au(783tluP8Pt{_8$v`- z7845l$W#(Qj#S<;>kCft$1<$pJ;v_qosH0~3-HJxuOI{*SO!)Q=nO~@fqSO1Vb!Mq zc27gWh2wcG<9iH($zpS2tDe`40H89SJmf{60-!#N1_MXOp;Q(dPX`@rBH;ai`O}DO zWB^Ca_Yi~t@h@EL_mDw_D0Rqw8rBXL5l5ynC@7muATvoMI)%=FZ43j7Pv|@m2y9gQ zW)S!@7a{y50TKAuT!hAR5IGEWWn?g62gW9{8L(uK*%Shuio?^WbQI45(k)7){4p27 zvc3rp{#XVzK?vXhzbu2?9SuM9sF4J@H42r^BoY{qlqBJ3-~yOkIKq$@5SWXQ{3NkqlSv|?02Qzx5lu&^bQ+b!#_=p5U>V;d5In@c6d(-z zvJ6@*0V#c`?-}%_CZlu`olay@Nh}%@q0xy1HWi*B3wAdsgLgLaJp#d#z)wR$>X&6? z@YBR0M~wu8Nr2w%Fk}n{gjdiY5`Y58+96;Unavtt1;J1_zV18m5dTskTKr`h*7Auq zL}0V&3^tugp#15lVK(wT0)a#zM@Z?HWf)Ch5G3&* zqDB(zp8<>`ka2V>fCMx)gN6h2fQ3y^Hj(yc9v&>?djtXni`p~}*4Pt*;PK$7hmJMU z$tZ;m(G3)X5C|*?gNd*MrLiewi1Q&Z{*y!lmhn9VL9qB2)JcDh83LYq=vX70&8DCT zk%-3vdjL`CMBvvD0p?)?V1VFwXJbEh^3Xgr0w!RcC~-^U{ZTHDl2@PT5SWE^B-Z2^*ho>;`EHc#c(TT9T9N<0Udx(Jm$Uy~i(l5&Z+6D}1sFsl# zWLUgO3_zw}ydV@L0o1{QH3jf0CW%ew37&u}#IG^qE`31e0ZtHyl|uo-6J+*~zmFIl zWl|v6r@}4-j|Xlc2{s}?WI_ml6#^`e;Db#p0{jrmR_mBxFAkxX*~>S=54I2e zJqEpm9|{y8{VU-oGupxRIZk$GOWX9V<4@AJ?tf3cS#DgmKY0K3X_8i_E*WT@l$)?> z3QPIOml`LxWg#iE)=te8$x#*a+kcVb`mr)+%d{(%c$s&H#Jm-%M3!kNRW7>{G|zlG zTh^oSNJDX4$zd~ZqI7=XM*BkNiP-|1;z`Nxc1|1P9`p&T5Rry+6O!`NII4Iv7Xhk$%4EsJO+P2VKU2N-)6O} z6Sq%R|A?zB5REO)GH!9Z^22#Sk_c)S!+w!=%;;xGdgn{qcPbW^E2dc(Z1=zW({S?W zU}0I`xl@K6ycHMhuer+7LccwK$2IMOce}z}ueF_hv1D5J1XpXbJ4qDHQ?>Ue9t_8g za9wJ4U*Ltc!s4Z$$;%Dtrzq>p=?5w64CvSAT#nNc*WDu95l(t>O(N1_`<&x~IcM%1 zUos4}c~fxCzg1Is+X^V-IBq|z!B(TY>2UJ&&rWvw9dey*(@%aE@9vPZ^4p>G)8;@| zKzYoiu-56H8|~(I9PIgTRpzSe>9N2M~QM>z*`sR7#7g;WYj~|(1FzS76%ql0=5V^{^9>t}TfSY^nAok;4DiD0&-h3|=2)^N7qL&H;-*6ubFmJJ|_hsDljtgJodom~o zz&-?6^i&X1qNz|o6=~2=aWMZ(r?OBeRiLr(fRHj^pM^r;O94=T2w^B0zUB=(`nuAg z?YMd~>ST;L4F+)yBSZ6T3SqGDeo)IOI0hXu&TK#cD0qYpIeG$0BC`olzXJM)%s=yC zy)O=bhYZN*n4Hru%Rn~*wo-$Hii28)Fo0G>V-T1KlZ3)Xh(bl-8w!C5*(4Sn{gVX& zuQK0}dNQ!5u(juxWgx*#B@UM98`Lr)guM{qlL$me8R39Lj*&fx1eDG~K|gE&Y?QOK z@psG!*hU5UZ5au8`Lw|@eS=y?W)Sd9HlBh5kOn|{Hh~VwX23L{S_Jr118k!?Yc+o@ z1F}7ARDN4V0PS?HuVpxp zWrb=2KtQ0t45a2jULE&$#KvjRtqUEGLYfZ+SRS^}L>3d+9XMdEz!x->iNd~*1?mu$uu&AMD7umOknL#ZNod~QSCWB05APg!44|Gi4F~j^ie=Q?} zpN6P~J7y#b_-XQBn9iWD8HEM2k3}crfX_h!jGh4rXd;tErsJ7(gh>9AxY8TH@z*jE zARiD2{j!VZlpOSb^pgfJ_0?8HhLtec`FvP&SVe|pWk}`O6EB&es`4TlkV4zKcqP1U! zL9HfmSB5%dbP5#7qL6H+u&~rRkOY{ZR}Z-eGO&$+{LHg}aJE2v9Wo-oSWs~I+cF&Z zX~K{feLRH*g@R0kiZIA5U9utK=~*z>Gi!n2CG`@nKU4d_JyOu%ku>$?*MyA0r?nFo6Vo z2QmYp17QGRF-b%c9+U#vM5vwMeZlx1dth?MRLBALPD3bHrwp0_95nL46M*}RpmbOW z2|&PvVit(>aV!!Mw$ccTI)Ggm3d7$e5#nAVphdlQA>f?{&HoK*7Y?NWuLFuDfM`Sl zPe!LhDFy@b=rjTfiKPLiA>W}70z|zufS-Epf{ZhD=#UO9fK;f>0q!M49>CrsfIudJ z&7$E*K%qiuyzl@AedFtR5g_IzVZsTV4tu~=fyT#O=7A6d`^_Ooi3BKJfgLmo0vBvD31A&O6nsFr4uMDo zS{Q>j{_Wc&OouP-3+v=g#<0bn@d7mj;*d8iz(fZMItyq;5EY^{CIiyz5FkQc6HiBR z>;cT;d%QsagaeNui#=u$!7C3PR|Enn9uP$m5oq-^Hl#d3T?MPvfw-3rYX>j;h67yl z_w|BUmxAGnJ$4bW6#LNQLplYxQY;|JG7u&TmeV)8~&92}m%c7ZSq z0Yepg>_WgT4;@oPfsTk(A5ri?xrA*P1RH?4p){b(LX{kzmom_|Hx7SZFFeG#RFFRD zwF^W>$U{dI0dga=$rwk92_XiG1C|98`N2}pf@P6D04I@?CE@FM;UUf?Vu&JVya3q- z-rkU-Lty>_bASO=vvi1^7z7gF0U#3vY&XzfV)E4Z!7|VHa04oWfVamr3(Ddkgd6I{ z66CUoL@2%lD}dyIrI^?hAiFV`AYuYfjdx?&uX`3>R(TAphoFFK7hsBmvY7`DqCiiI zi51Sm(gy$l9Tr#=DEzQSlIQ>g^9F~UN`L;&LVz?drEm@s0FxY#_4MQelnC@n3UF`8 zOcoXRqD&}-gargafSU-LFDh^TsBeo7KCK%N=#sfmB4!!T#h^53s8bP^Ltyj4iiiO9 z4InnCh=3J?0?8yOWrX@Zo{b78Wy;?%155+zu78gi6hcE1VW^fd00>11L^hj6q(Lby z1W*v(V~`XX2!60E@><6Cm;=PWU}xE9HbNydY3NWQp3H!nOfsZ10mlYTECs*-*t-Cs z8A{?90}$W($>0uc`QXyS5G=`u?JhC(CaBWI1nCE5Shb6&Z%RoVnh}EKV#|+kZ5DFWrW#DlkSqYkau-pN`1qXpU4IF|4LHmItU%7dtM&SY=kwQLLCe%9CS8f7!#JRgtrPbDQQd~a8U3}n4c(}in1xZ zDLoF4g|A}UI@BQ}FrZ8d&qM)HV*ywV3kN7cB2*&yVLHMbfS|(R z2|~^-5*}Plb)~nAt3pXYGIr{61hIM$PRZ)%^n@ zxQ9j8`$+gm&Hb;oC;TJe_EWgv>agRWaDwxXxQ@x1bzZ0k@PF6Qy-*{R>B>SeHD6CJ z50vgAzUle(r8S;0R2jd<%Z0g_wTG7#y&WE~%ja>4`@@UHH^?8n)SGa!5eiErE^V)B zU*CD#P9^*h(KbR>Y1~D0^PKm7=L;_E|K1>2xPd;(D`dpX>w3!r+}>%%s7TM6@1{n3 zpduWcQd+d`NugTmi)Gt7N{g)V!v3>WHCivrL`lh8Pcofy=)U0(QHSy$^F6wrXz#h} zMLZo)ZZm4_WbuRTS=%-Yx4?a(PKutc72t5UXr=F`;`-KWCWq!s3O)P?w?SjVlCiTS zh@TT5Y*jpZx%%VD`(oAtDNa!9EfaBVUM~ z^}n_^Lvy3e+4n974`pkI%!@4l`Os(fO=V=wqsa^3HJ{Wd(UM8OqgZ=9AVFb?rGH$w z3aNI=sh566Rg=As+AN>_^UaEso8_l$3O^{li+gXXt9vOd*%J(YnT3Xs}gwBwQGzhwP?;! zroU(BW%H|6?Mkt0{XM&OwMn?G{ORTxE~g?SFkuHOfDVheCZMQKvv?^ebY^69y@+Vx zSe%%IL>$gBe4Lx80CA7R<6*%M)d)EMe1Fr`jsmAnsGm9^q#;R~CaL&R@!_}8ggeV6 z;D69=yJ^^W`fJXZu324RMu;ttFYf5L`|X@X>~{T-63yX)B-;zKWX>cxXV!cmh0Zf5 zr_jT9Di^0jTuQ&5c6r~+co)OVpEq6Bc`#i6rt_=A;!nm{+|E9jx1z*)iI#$0!on}v z7RC3>$}UODcps>*2$Yg0CD+o;4z4o8`Qs?b+t$5YV6a+#&gGccORuvSmu6OMz}e@& zh}9Bmzrb#EReJe4d_*g2T-UpA?b*XFhs^7YKb4_4*MeM-5Pkc7$2OH8)guJneaVT- z&-4zRwzE;Da!V{~dN^oJ>#C*B$AfEem8+R!rdD6x72kFGmRfd1UR(L4P`cuyxgIC% zUxuz=Y^xwmIYpj6Gp51+aBI!_2$|ALZ-dmXOs%doR=zjMk9eFJCn4mX9(jyaBkkU? zacfJV$r`1S%^fG_INN^s5EgtR|@ zU7%w;z4?9YSl_JYl0{j^npID0Hhs}~lh?K5MX}}!^~J|r&Yj8qY8<0dI>TM0b9Shc zPFc%FGxHTTX2vgO9?mFz_=#~k1l=mvY;6DRbe&f2Ih@O+vbpCb6+UdeG7h&eNj855 zwZXyJI_K7~g4wc91`y?gjYgl9vnRsmAs_e1-SsCQ_lV;0fqaZ`weJuvxfbGIxF?{} zf+zy_LoaO?>D4WTKlRdf5l$@#=f}OYT?BOGdJpfV?Ltvo?>C$lCfJXAHPGP$_sqQ- z=@n)EM<_CWa<8DUDy&BP=asw$DnhLNGK|1a4yE0g6K_cZr zEu)}7d4NJV3JC??B8U;PpavZQk_VLm_%M}cpACXh@Ivx;%rJ!}P=fen8MHb8VTvJJ zhOntP$Y%m{4;nJqWCV5xl(~U!JPr12JQ=c{C6vFG!B~-C+5BZ0RHzdMRe~6F%pl{; zgyaO2rxSs>jY1(Kn+1B9Sb-oFG$eV78GC#JUo8XCR(QW4_s5-$psx;#(@@6@_#G@z zd84sWK!R}~*oSq30E#dka_cZnd9q18PL98pfkG1*JQLS4X!!vws=@MqgO3@M5)eo% zfQG3+Rm5-~jO+m~8RW@8A&mz?0QoC^zh{{4KSvh6cg%oG19a!X_+f)uhEnOEiw5m9 z2|y?XnLg+=g7Hf+7sdo!l_y8h6Nd12%s`@v0#U%PF@wqw=yWjD*$C1&B*+~BLI>R@ zK=BPU3uq8UfW#Js4oK|)Ya{;&rkF+(ly?2H3@Srlz6{ke$jw3yhY8t50F4PmpkLDQ zfY|{fj!A>G1rLd(Cs5??n1MzU#LB-6gTfH-szWsl0SJ}EW{`1A1`cK;$^@|$1cZ$k zpsNc-6ayHB1(EzU43lVr>^&Z!N03rd0p|m3=b;)#2kJct^1))kgus~%;tH627^aJi zVO+d4mYzVKuZ9t!kp&3Y{5A~gLa2ix{e!L_WG0mbNFxP-j5Ll7?-d1D=}`L!#CtLc z#_rD?M$gWKzm{PNO%T{{hYYm6fKoN&sFB0~U?2F~keWt70+RuXtibdGNh5^71lgPc zEbEKb4K1t0)zifkngCw?Wf>HP0Ih4NvylY!GoVkgfkQxJLAD3B4X|!tGQkWogvh*p znzMK0@0ei2pr2%ZG;z)(&=hxiI)K1nDFY$PDmG8jA*iJsj(f5!~s zUkWDv)4Mc+jvgi{G2}4=%~DK!8r=2LEyJ#fN@X; zRh=EwGKi>vY7erkKVxz_7xi#-0#_068}5 zAYwC4gTgm-C>jGXG}v>Im{9vo#1T;fi2UQ&P(%TRYbft~)~_EKf5r^rUw}G)83u(R zgh5qj2c3)rXqy2Qf1qAR0O5N!h?hfW2PO+!J4j3tm3KqUfiU?yWB__#!U(@CgVGS% zko`0;o&k&l^>hfXAQnaFBmx56C7|3Ey8S`1EzkPVubUcwMhwDVkU;ot7_cfp3xB9@ z8Ic02;w%6{fDXq3T{|WlK|v}BWbkoRl*#0g7wf^8`8#BQdEhx%WA9rAtO}4mAF5?2 zXu>h*kbS4(u&5Y-R0fcepl1OSs0UO$FH+F2860263>uGW0|n~$m;tK-(1M{_1~U*^ zyMg*2u!G?rsB45h4FhW0DL`QYx)twi^RtN|7yu!J$h0B9AU2sKp8@F4I3 z!hNvyBm>4nXHo$upu>v>WC|#rWAh>foU{gCXCuZE29EM?%b-YvJanuPfxfH&Hewo| zOnBiSEM`C+f&~S|Fgt;Kz&mDqk3c}r99rVS3c?*TpjH42b*N(okrW%Yv#@wTAv=Q% z`kwH9fkGjH3A<{rlgBbn;)%awhQ+_w9)@cf6pBC>)1g|1r{e(3W&;_S1nngl;J4Wz z`bmTp1o|hjhyz&0KM(|9+nxq4SQ8K^xCS;akmx{m4clUq|Nf%ULHD1Dz^;Uh&{!;x zNk>3GoCqvhP-CI9>1-Z4Balz|hTh--_l2wjOSa=6*=$!kKR;4NPpr1Q)cw)f zC9}eHBgRinSgiKE$Vgssk9p;`8WDZ7NUh4`#ovtdmoaKS=;>-@|5)v2TJ%0`Ug3;M zZ$e*$9#a!sJ8lfiZU0GqGo1M;qvG_HKi}<7h)DjL^tJL#*&G{%#lazw5+Unl%7oX( zPJSS3dq1v7!eMI5p>~I)Bi3ymhuP-gtVqoR-+fknt1zYQ_!)JO@(sV`_ORq_?~jXr ze3&F-vdne7(@oDPB*b%JW;%Uw)?KryZ4JH-?N8DI_Wnd2O3z0gU@iOB!J@SVE^W7|(!Q-(crd`J|S6m8Ws%$IHcK`Y=Afj&PuMRifuWT)&!BhMc# z$WT-_Q3()XDYTjjFUty%_EWfV@LhFOqaAZZSH`9Mb-9KkJeR#oXC0k-e2-dbxyb72 z0%VzhcRTOIl?F__k(V$vh{B$Az-(RQ`jA@-OCRW5&N?>r>)tIHXU>1xN}Fz_8L{nQ ziDA8#eR=tWy^f2PI~{fq&v`(4ZAsSjUtv)pRV$D(Q(^9W#{)A)pDEq+K4(LD?A39X zPFqs9gzfHLQafi#xs0LWFp<+&rV`54t-LA1TCX41?OH$8tzn~J?vshzX5aRR8PoFZ zxsLBcVYcj6%iRkVOGtuLvA4T_PI_T{K5G$9+W8QE{PxWTtpcybrp;`fX?D}A(a6(4OUbhE zxpHE%_?S+4iAW=*$;tBHEb#?i zHBle!^s{um=7PPY2^N9=NhMqIujF3eNYzlcn2Nh-H+{9q_xkwaSrXe9x)zQcan+*Z ze7^hw-H8hmBBU)T?0N3i2IuxW3tLAe%!|_VoGH<@De;@d3;|~Q#c9`O1eZvx8rM{O zeO^FF(w8Xvq+>POS?f|tqV|uwxa!^^QPn`vrrPST^-UX z4gOyy)F#RJ3o41L)x3ZIq=c|MP&3(Z#*S%5jLBAM6+tyKl<7L5*%40u0WJ@WCx+&} zuDtX*L+iFvyYF=Qi$>{9KjMA-li3xDq)L@|M5ai_NK15*e8BEU`u7cG_Ke$oe}PQ) zY$Lqrr19Yix}x#A!Uux4&)=c)6p?9?F;W+uH0EU3qP;0Q1CKq8T2SwKuKI${rqsm~ zM8^1vogTiKu^5-6Vy-K$t`uZyl%*Dc%hrfy$vkS)h;EJ3J*yFIEu*d|5+QY$74L{w zjb19N=%hAc3H+DHs!7tAnIGVF)VSzVrcGsY`r?*(p=qH;&-^9@%-fuGu-m|^dq>>( z@86Y5nE)zFe>0 zm7RP{Yel`@ANG8K?Tgp>=!iva6G^aO+>ti9&ngM8>&MTPAN18edD zuqY6{aZD=oMT9sWO4w;QUU-!An7ICHXj^3{lLs6W|Lew~%n)iQFs9T$-1uM(PkG}- z{_TVT!2|s^|Dlz`)#s?Ee|MSLsrXhcxIG5suskOl?gcU>pTM=sPK-%o@ zd#3+!0|5jI9{+r`|F`NqK+nTc_CQ7Jxo?0#px^;!_`lrvU=^Y~&ztj-^XGYEZzjBv zKwAMM62Kr%fj`)Rl|dnYr;R{v0{BT#x{nn*Q6Y!HfiE}pxpcBh|$1w|CieyjGM{(xcP^6z&pv2Q{dkBulMp_ZhSC^o9D(kD?WdoH}|~+ zbsQpaa$(O4y$N8R!1RH9$KN+{|MUCirDgxGUrd19AS z@y7qBt_SJ@`M4Aku%a~;C}e|`1@cpnzJVTOK#_qSMT6+((Lt6Abc{jP3Q#R3G%sVL zAnO8DK|B;z1B}Omv0}}-f3M-##=R$C8@%=jh{JtfYu-bNpcM{Y2b?GmTmWp-zh0H7 z0wv}^R^vGmLHY^m40O@|I1<>^f4yCZtng3_K*U-p10|aGmN``b+_iw`!o7!sz6|8< z2S7O>RrWWFxrcLLwSgWOr{ZAHs{^kade{$i^MArK{YII4b&Na>(e41Y_l@EI({?e_kCU@_}B? z09XH)IR^p^(13WL8Q(9-`d?S);Le~7JiyieWzIn*4n&y)KDvLIa{#SEQ>Xz4?qB8{ ztYx5{KhTWtm-zZm+cBOHgb)MR{x7o*NHQQvFwl(em%{n4t7C+hfu1|3-e|BnhjB`v z=$7}+`=u)W>(Ur+0~T|hqkABO-fQy_N&c@sIk3|9V#ocvA*&qgCHC>jf$v}aENE1i6}&uiVN6`&j$K7#?!L+O`6T>0&Py>tMo^6!epGOj zpk(68=B+t}?r*C%)%dJzw#FNc2r{sI=3`_|x75@RHw&X0WsXW)dsMB1cuYFk{Rq)l z(=DMaGUL-m`Iy94US}#Ja=mV}IB4y7hBime&zKofaK+zW^|+>k3?a|6D_Pm$i_fTr zwYJwxZq8gP78ms9v`6H}N7-5h`y!tZeZREXU$m-wSWCCcuDa4`y96zpsz4pG4*BXC zE1VBow5|?!Dxwx`OZc`Z(uP?-Gh=)`VfJ1txt*hK-o?i}8u@-r+sEj9iz!MH+iWg$ z5`Lg!TIlG)=6i%DQKYEbR&Yp34W?23zi|-Y3xa%h`j=Cy1 zZ{6Kdk800YP~VPIxGMHYA@CKs?ps#f{atQ%)sMP8@HB2u{PDhA+eRQbb9k!mk*B(| z-g&Q4^A+D;9yED>*5VkqX9$%&W!KS4W8Ahg-JYr+^^#av z5wv7~)(&!=L7CJi#mgG1B0CxyLq7#(oV1SDyUrZV7#pUb;1)Iftb_hr1(mBKA0HK} z_a1iB)Bmn^oSPKx%E)ol)V*fu{$Ex(zHL3(n7Qd>hUDZ2{~vW<0uEKYzpqtPvlW*TcB!#VuVAT`DMGw#Z%RY1*Lc@VB!vCakXAy${3Q z>0yG~XlAHnQee9Pr)4XdrZf2N*(ZWFPwCxyQvNRgu$WI*^LWoTHOranVwczKOwV`O z$+z?`6bYT3XRccqcI)KV)BF5#u0Qg#Tb`!t(J;iHVR5tJ%bz-1?A~}Em}k7lbfD8v z$L~MZ?hk$6rpu2H=arQ}E5)p31vOd;RQ7EDT>_>2_|uWI@8GTCrSkX(XcZi!%8oMGkZGm!K-uL~^o42_&7~t}{MV-=Xrg8?p(seZ z6qy1o;;#6~)TQWVQXE1`K9zR*SG-hiGqCVz9V@+Q+Uc+Ca?El7p{nfDX%VNg%YhgJ zVqV$hw9{YNl~GR9&&sQ`Ks*DFltOcndM0Iv-B)_bUsy0FPJaa$uoR0#fqL;YHP^0~$E0pDZBiiu0?SPGb`Yk2=-vqnr_QEBb%qiY zPV~8`y+a)W5oOwn14IATeH^rouXZ0_FK1x;%WQ%fb`Om z);Yob7Tv$O^HZH;{c~Ov7ad*ec{Au#=FYbh3>$r3TCb;mWG&m;>GwL`cvjDL(MGQ@ zyH#~J>_`ds@e8l>F!3ROJkf0MMxj}U{zYav;a;(3(P5WX8yo1Sc;t8Wun!4c@x9ZK zytW&n4IggWTN-KpHJ504vBYnR)v0wo?AjhUkrKW7;LxVi+WB5_zCjQV96!aSp3glw zHD^KI{_MQSmsy1$cFi^GWHU1F=w5PE(>jG8JDn46Yw&tx^8rU9yqVj(ueNII{xq4L z`KuG7u3Pw}8{$*nTL=vv#Ks2}t0ZOeGA5{C!M(+{TFpU>b1?IU$0Z0+G-cuHx|#kYtUkET-|pE7YQbLCAT;gSNGS{7B5fKeSWYX z|L_vObkhjVfaDhK#x=aksU;WBdiDz*?(|-mmQK^Q8VF0lOsm#@$|p^;;J{WY~Fl zt@X<}{5Xz3TW|b=!U3Tt9o>bAX&>+B7DVo7`*VH`^Wc_23tqKx2yS2VG4oxWhZ2K% z-HtEnU`7E{mN<94?>{5+=;FCI#kHeWtf>)pHN*4rne&|(7F}lLy}Fr{Pw@8N^d9-_ zi{pii6TN1Iuj7=qo{_=%es_zdOY1M+6gYAN`{aGADAep9pV*_!n^(A09=}wX zm_hbkoy)6A%$SuLUR8cNk~0cyLqCRP=$^^}h?1M93ggOh95mh4xp@@EF{GjF(g>Me=9z`PnXaMa}WS8_@ z6$<7X+NDqg{O6_e<%Sdpy0*%eyOKshRqI7iF(3F)m3-mq>{SpN%f#c9T&~Vu zr8HnDg*GLZtFu?xi2BewRdO{wWUTO|%fC4`#f8jNy4)3_zACpWBuX&iuIxdnM0Q-& z7Z311@C?fKY9&Tt+U3}6Yz&TKrh#TRjG?To@*$xvMVlGp^0Z5V(Nbr}qwk90CCXxKjFOS9GrbA7^$IH@;%zgMnIu>_4j6bdyF6nchOs z==|No_ZsWnm}qMmvNnSsy=_Jlq0fl@+cr+B$%@py)5s*@`0qZJ`ivz-EsRgh?7rE! zI4>`B^cmwPp96x77I}%xAC)|F4X~a*WL{z`{pJ&;@h<~zEKhxwGjabTNeh3SU&ghK zJa!pt%~~1K&?Ic+;xS%>T1;6gTGBXS(hS#|KF#;oCYU+LcTasj@!bZ=#)Uund^_Tt zFt*1TuPZ0+t+jq>Gtw{m)y~D%@9#eSl3)5JGjaa!*ZHNKSko>!H;A}yb>d&!_59(P z{Is~KmAfIgF^~Bs)9?7U*jsmR$B$b0P1t(3t#$7O!%KM?N0V;PI{2tg+=(Sz_euUb zf%|I>Dz);u6ngP(PcOgA7rk0D9x)hXYX*7wVpvZv`^zl?VryOFc4ZyXGk(~W;qpMM z|DB|owPsxGd->vnEn3mu#$i311`JPnCq0&2+q5OO#tr<_`(EvP=gz;IaoDQ3z%zIJ zpp z=A>9|*_~^Cu->}MHKTX+B22T!-n`~yWN3HOw@0spJgrer&UbA&@18_3Y>12VWBb@0 zLs%agMLzJYS>JkPy65D9jdGmZ_Wbm9M3WwCJhzA*x4y#o-DmuYs4sW#jB9c8RoL@s z;qF6qqwAeEEe!4c+k9!~8>SmGmj^rxcM26-MGdrwop>l}&AEo#my*Xv)(H)p9QSI@ zXAAR?CBgB&?zgS4?eWVko;RvRyP2mK1|F~T0DsizC*i@QI}XR&jnh94<2^m^QVDVn-dAo2!zXzLpkF~qeCaBZP%n|mJ`+Aw* z_ObBhi1v@{|4VO1RC<=^@%^Il`$zNIhJByYd*0_y<6Y_s*n8@|8c!6jxjH?Q5q}U9<-gLyRzq*r2!#>mmM0ZQzK)?6SvOw8pOJ^aq8F6BFnRHvzT$6 zc8DAf)NNmX?~)C9+SZqYHeCNuXhNi!tZ_f!ddu0W>GA8C#?!{N-nD<}mn)xhCmsGU zK6|Jr++)yz=d5l`W)^#x5Eh%Z4l8+)nRz&FPD}4KHJu#=rMInSIbLe{`&s=c@u+JB zqKyYTMY+Az4gSRJ!sQiuZo6*~vn?^nq6eoS{lU~JLtkYp%}gssPE}>5l+*b;Go_rd zMp}lIq-4rM0qUOA$V^$7RQNmmsc@~FDojR9Sm6=j}N~%A-%FGm^qv~84IDl=s< z)!B7bWu{;hQRl;}%1ps}sLtgSVoO;!h?4D94_-$bTr$QY(Ca<(W=aO#&Za3qSL6_b`vnNc{daMI&E z{r3Gg<_uWbD5n0E=84RKtc{~WYR60`zSMQtwAI>mK_lIqtaoSK4%`ywr%d`$`s{?& zvy07yJ63mdy(kvnT+F!Ec-PvlOXuDB8PLS~?BioE7hJ4Y{Oeob>@#7RRAH0*keE=tCcIg7wv1)xUg_g#(2}Vi#lD_3B6x8G3<)FwSR1} zk#$)Aluo^aHZ|$`V!Zym+Y=@IKOgF8H`DH>j`JfUSoG|Y)(+S zfYx@?W~?_b{iwC&OnTl8Egx2`8VxO^K88mceLA&uvG(K#Mpn-@&V9Hk-#SO&9Q1gk zTdw0rmp2y<+Mb&+tmUk4yPxnfy>D43WgSl`xOec(n9LizT_w+#luX{_cQ4CshThgQ zdp9SyYA~@u%76zgA9ebiPL9}oxRuxEjXy$`7;5u^J4d&13f^2lbhyXF7uR|om=s~O z0@;H7`wv_sIU|F^JyuJ1?RYmvBl$<$TmM{a-ZI%Q8p+OWQ_ zcCHR2Z|yo1c2{JSHXwG5yXz-&-rO;5uD|#ee(CKo#uHxhx~+TOIP_|&YmjF%r7*(R z(xm4L=WZKojhM6N>AHgxcQ|;z?tU}BiQq+1fp}Tuie#^|FMav_O(JZyLrQCT+?ubn ziz3rmP*l6Bh*f1z)nBn{nNWl3E(-ARcqDQ0;AvB5_+Y9;_OFUv6!@<{&#Wv~m1{q! zg15n^(hh-2mlCERm#U&ET~U%ygN_ju5m^+7Nc&&j4`Q;Eq=NjYtkApGoGX-xS|}D) zQPsd8jk;BhG`GxYAhMYM(Dj4!wpERu^q47AYT4=~To zp5?pV{+-l$c+dO|eH%UUcrO^$%DP91YiRp9qx^h620wc_`OV?A7h6pj|Kf`2mU@w$ z92+-o*W9sZkGmba&SB^OO!F~L}b@9)pBC+?)G8l?N`0k zd%a{;xA*?eabL%@N$>Zw#mX^@9yGCiSifob?x^J2p|t}}H*30s!Fam5UW=7lZ*8uvcRp*qwANmqz~a{Sd(RwZ zkRuJPHW*l~GHUqAWltS@^KJ3F>LjkTd3>mEy_XGiqIaav8P&VR!%rLc75MNvuAO#n ziNT1%Oq-)&n?LQev@pB)buwd~%OE1jE~3dJQ?rbt8$Wzn_R`_?yy5&CtyXIpcv1Vxk^1*~{a(zhf7yL>7ia6J4$|A?^HCj7 zm9%>-=4=f%?6+CeT6=dNJI`jqfscIdiA;+b&u#S|O%Pty{gfPfReXTgu%y<3Zckff zxkM!lxi$IFs+#=ZneH=Ywrf$<#Eu(ljey@Z<|eK%(w?$w~h z`CFxHV$;1=j&68b$A9Iu^a0(jx3GO=U^%GqLubaqZH8Y%FW%) z;Q=!i>E-3sDBQvfI?i`JvdT8$O!Cff<3U5Ct_lJ=NB8a<*yhcQdA5h=ST1WZwUv8o zgRofRN!q#{ZC1@}*WgsY9TR=^20G>ig&%WW*80st?cQlxBR?#)S+G{`!0;y<@{U~F zvTu4VY5kOf7h4kgyBsf>o$kbYBHTOZc9(!Oog=QRTfgzwW_Esh@?%c4%fV@k$dM~J zjp~XUr~Sy>uRQ}T);>D6dTwj;bU$OWvr(6y40}KtNm7P#3X*TcVx51bpI|u!= z_{_Rpf6y$x7T302X#X`y@sl?Ux#gR0)$T&%IpI?6<#h=Dy+}?vr$NnE`HoH#jW^bu z*2JxUP`^=YYKfD4$DRzm9{zCHjqq!UX$;@EeLM2|E^5)gS+A=d%x2s->N8*Og1C3` zcRla@Pk%2A4GbFQJg(-QYpYL+7B@OFJH_!z!25>*%ThBRJXpPNr<48ld0%s#3ugK3 ze0O0x>-pWp0GqCPFHQ}-5MyBX>{R@`9TQr(wQgZ^_~~z}OJh0DU-N!;Boo4SFzkA) z33Y8c&BMW~$j|Oa$31$7-g_Awp5e8vVUMT)({FQXwAHG=AUNROBwo)k$u{PVH~sYN z<2P@c_2fm}Tt>7hDePmA<255QO*=F?bJoLVfwz2?GrXQfblLc--REm1`VZR_Sh>H6 zXlOY-Gw$?@!cL#>zRvJ72`}KbZ_qsOXR{TB{((&`m#19b)Wb_Zqh@mH2X2BDBkE3G z?OFY64rabtKXlFYV{aV_v!rJRf4R_SmX2AB?oo-1}UT@Iyl9$C4+GbEJN#$=*P ziessYc%w{@sgmdv6t&C32nUIH0%<*Uw&@ruk^m&olqVw*bt0!pruc#ALutIxLpDJ@ z-E<7~2UHH{Lj6GHMzSBu&SAV#mYr}R=Oh1>Z1U5^v=TQ+**jFW;lG{GMgom?IY#%< zghe3$^S;0?;@@biA|L$sWchzQ=d3}&4T`al2QUK{Jux;qrXb=2rW~+*0$e95)QbOO zt_oJAiQ}?O^Iw1AXd!}rPd)Od8OwkCWL6RnkmW!!KdHK2&jA+@T$N&}=$GP= zgildc`$PIft1DIdR3LI>l$P`w8a|a)^Ze^l0x$(-h#Y2REjML1ObvSouw(x2H{(-j zrP9AXm11zANb3K-6rZZ{sm?TEsAip_zRfohxy@1CrCY>^9e}br)~$+;EV!bu0IAwq{pnzFYUT zaMsyL<6A|waG=7wUSR zaGO2X{;=m`mrEnseBFNAB%@WkyGv6nKL_>_3fA1aZ82=a!DQX+A7`5HobR|^yMC)t zcl(ZUh}UUgVq$TysI8bdyUzOjc#j$R=UbYzJiDmh+w5U$`#hZyxy{?> zy=3P~gQ;)LJ$?IJb!j@TwW+iDjBO>ErL1JXyfu@@Zp!OE^}y^g*RLnH=MG#n{@_`` zg@o*f!=xSGZked%=D#X&&#Ms)M*g~aJ(|_#QMOpJ;&tAZ!69Gj3XlBQlUVe&=Hbho zN}p^SGSC0l!WvgijHW0J?<&-rdsG$P$-=F_!aG?|ripTrOrNu|KP-#$RF#tu^;M|u z{~tW)8hd2fm3lymS`Rg^BKwbO1j{+s%qcHW>nTR9r|!h%(Ka4GHfK2)PS|=S$ir{Z z`%6w;dzyO@a9&{VCWHDE|9WR( zKB4CPK`t#Ccd%{M`PQy5`_OZ72P8IqHl52p`Ehay`J`9E#E z0U^GQ1xwa_@=klZ->Q*sla)t)PyTs(rog|hwezOV8(WvoDP`-fO8iG%JQAMb7x6W>`nvdyVxb5aM`%z48_NqkP!;>?bd_@j!%e%lXtrCQ9N z+5Gy_>EWF_oNbcW>CMx9MefDgJMEX`ty}2tHsZ{oA+6T+DP0{gV#MRD?e>QzZM*qX z-(yRfyK6?x>vJSOYSxa8KYk}U$u4`sXiL3>(+_ifr_aBV^yLEUs@CN3ry^_?zj}Ii znzS^2fsf9;fp4#$|8C7BvS&`q4`dDVNFAruGidRS&UPorMm?54&x-F`t8Yvb+m>w| z&CaLl4+!A1r8Az-JgK!HJ?z-t{LQVIb&bR!@2`%k*ZfZU)d$_J*`0=TAcuFZlfBf| zWszma4YQJmwY5Ir&$^$)xt|ynZrSzoJp;3I_foIbO&a*#bVWf#PEhVAucLjtOzb%J zg=t>GxzH6`b1rPM_|SuKx3{6&uIWFEKGb^SkZ}9W^R#Y`vA6aY^)eDTT88aj!@N58 z`;G%G-0shQEPfQ+TV0y2)A*!L@P*YIGDV_RUGHTk=H&aVy0|o3 z@6Ax(W2@f!9BY1RT~nK0+q_JC7q7j%uU7qa;UnDRCk1Vv)6voH+=`iY`95`YYZ$Tu zghnq9r|NB<>$7uD{rav!OB!so^wF-jGeny?Vo_24hj*`YUjMv2)u_Ss6Z_pJY-{7! zPxsPKhdOWWkLvH)(`s#M#Gc`ObNO1o4>Wpb+++*ujo+9RzdYKTKK>$fsr7AZhlmTp zXFFFcNxxLf%JP2U2hQ9KSDU7W8_gFkIl1kEQ-M~^!RMOZ@=Y=EFl99_Zff{*)CA9+ zY3EzE3!HG!Wz;GYhT+U+(*~yUCoD5LHv0ExL(d&2yf-&J*ki*kT~_Xg#R*+!ujo9+ z(LC5C%(zzL<;2&F=edFjT48!w7mt-TbZjzj@S67Rwxw*0d6Hu7VzOd~*;0|gh;0u~ z7jr}dXB}`YS;IU#`qaFmJ|%Ah=ACPhHT~7EmSzI` z%ZvJ5Cfc^_7~lTGl|?iA4VtIlyf{Fx*eYs5NRu0#o<i6$n@3OEV z#g5klW2c>3*Q&2haB0IiC!{x&92V?Kop0rbMVV`>+<6kpA^Snr$pGtHIlCx=RQa#Uj+n}20@<4@PNM21BTOck!x1DB7-Xgh zCn<(Su!Nan!V?33RWlU|7@%$^q2LRZ7nT4&usIM`Wpbh215F|>ljMP{kd{SNq8_U5 zjU(O0ya=Tpg&-Uhivnb==+0v-na`+NZ$ozDC@6sUoCgh9o=C)zFo5%bhOYoR6cAG) zL^M6Gvc=QTHx8UM2c2W8utDj0f`J_pzR0?{&`z!T3+K}0(~v+`NYJYJZOX3_KmDmLb-cjIgzuld0EC~ll8T5u3&8XUX68X(kI$E2 zkP3>KLMh2+;Qa1ag-TU5v(QRt1Rm_fruiG0P`UJk!%Fsb zX^{C01SS>ssysWTWKh)3PQ#{x`K-~k>^H-{Njk?CMEt%|6n*Z|wJ@(G%R(mF1hm^& zcVtle7>5zNCuGl`;^Gv+bZVdDYU%d%(3*$g{R8~J7WCFxyxhEQ z)P%m#rgn_gE+ItmAZtc=C3@Laz3Z_`iU0JR~=rZWh?2lO1Qf7z4nnI(|><1 zNy$tMw*4^lVO;0Zlq-W>(}XiG1l`Vk$8G6;ZN<7! z-21m{*e#4`*{s=*32p{%qmyn4C)gfem=u#%5aT@TnzdO21843fqd?msOSkDSt83L| z2_d=MJCT)(^k% z+Rf$o`mIYYBz(Nrb^5^NodV}&`Y#Ay7d*hTsE+=y7cB%%|kk-Bxb7-bTq!!lxW<{N&7( z%f~Za-X!=Gq|aCr^k{3|$ASD>Cl9UhIOUUf=IWr=C(^s|`=2~?+vAiU_3r}e-=bvq z-|0WvxF+|Wy1|)$;1f68H*|q$MP$PtX1n}GKA%i9?OrNv{h0H1UEreIKTeF{-zOu+YKdz%cE+m?i%TU*)}hO_Jw~(N z>L*={dCnc3K)BDyy)*XYr4gaU+FwIC3yTsnmM)BJwQj-cbG`KQ_Vt(|J@4+4m?nKW zZ-I_@;%5D%h*Nqu&ph4ObV7ml%gx*7c3jsv$>~w};adUkoSG(E^ojQIH=Nw@l3Sl4 zw}ofy4oq`iH#yTxVo>*1SmVP(P3CXgmSj|`L8yc;x?P` zl`*yZNY|4yUOR+bD=;_yy^y$5XO~@FeV<(-w{cxd>Th)M-mNSLg7^62$jf4tzv;!w z9-zN+Ael~$W^y1!_frNlr4k)kOF{Mn<%(oK$hxo8uM}C4j2cY#D|uGLf+Lataal5i zKUTc6OeKi=W4R;|eo(x#Otwh&E5$p@CO>7bDBf8%Rw;W$@y@bQN7*a#jxA;~%RZfr zAYFDFXxJ!zkiRn(M#z69e`mIAcnQ}Pi0@)9Wca}rF z@GHeT%Ru>e61e)ur zbP!~*lu!!AC$X3>7DK+AD`rR`G%RIspsyz3Ahw|eui$FJ7pBqR72?+*(WYWQE|uk~ z)DxiYdmO3i#-RuXAv2u6LJ40e=1Uj?4rI(p0Yl0mC4`uu6Ps6Ru~lzLTj15f%5)Uv`3#CFXiz$}yc|r!t1AHl;$yHLrsMIRdjAcwbJqV%7 zcOxf(A8tWyOmpp z(Fq6zR*8jB(=rl?CLb(`kS&*>=0rj>fX#%A9VwPV#GJ3hvWkJaM%Ii&rMr}5sA4xl zfr9<-I*A$%L`t$)B1qVR;zKNgoFgVmNH!lb^Foxlpe6cuUeBsTgsBR{QSuhGrOp=Mc-hA5Vd zgQdgpP<1yWOTvO`B_DE|5K@+i364Y{5>YFMQW6VF^Yk^Vn6+qT%?QX?QZh60%}CXf zklR<+G7gU`;t?bXtqidgLQ&X*EH;V%!s%1)l5QClh-h}r*f^+hmM9zu9(;=Cp}Lky zQ21j&<`oq658f@H$A!tGi@U1dALIw0KDd zI2Z{YPlD%HAcEMfgw2J{GTpEW)ebpe;J>ZF$zv$dW-39=yMZ8s(djfM5wIMXI}I3;~u%!XtP> zE<~s$432~=grk&*p!y?Z^J$vIP!!knV@4qU<)FG)ZW*Pe!mC;$SIx&v%9jYCQjBwq z2NrD}gDYV`SQiyg0`VhWrte0LM<4{%KSG+RaE(;w15_;4T{9TKf)7ElkS`TLHyV{w zu~bMhU=%c(`SeJ?5+Raib|d0nWN3fZj8dTCS37D%kk8^!>PN_&c}$@4NKya^B=mnI zQW88@^fjYbP^#-e&~2s0>C1N`pn;5PKW02WvK5I$ifjx4F`L5_@uYkX^w=a!6rc&3 zf;J0`Vj4cD3535q0HlCmmyzoclx&Zn+Lno=c&IochLAJ5WBM>&6Js()na?8M-!DCcAYUB&~U^<5AJVU@H`H-RqT{Y;`2okRfLX6L(A6;j3azz)p~ns9%o2kxMDVs19cj{gu_CjhGKw}ClU}sCQm4$MU7=8P|aF~ z_!kYLa>FS3s()zMs%aR9WC~GML~I35BIO|mx1J zpUnuG7QsJ6SJkvk%7#QJN~c0Lk1Zs5Vxb7mALS4}NFT)vHZ3_Tt5Iuq$q@fS8uZVa zQ4BKGuQj6ZA>jju1RM@milj`!6ER4{+Cb-^?nlxh5H?1SHN0l1K0r?tQKQ`HvjjM& zt6ytmQCiE$#3WpXlnM7MfMeo`fMw?~d8CxBWH)L&0s$TkLq30;KAv+7&r~~VBmn^v zGDQgUd15AC$YY_>BOpkL2uC&#u9)uhH6DV%!DH@+DixF+)X2=bg9xO$P9NIX9JohN z`M?%7ODdM|7$OLkGQ}u+3x%{C2KWk%??wvaMAaGMj}TiF_dWI6|n&l3Y^2-A57L%ly7F(f6uJI*9{41bpjfy3sIG(CsYvi%T9IOM_uL%i9D&j~9czu>o1ne5B z1!DSRChII}bj_HEe-Wkru?($1gnQLpGZegeB5XSj18F9RY;j_WIH-6tNQOwrq_<%( z;iu^}W1{E*a8$WvAl*fysoGH^h14VYLLrMsNQIbx#F7ybK7&L+LJCm(p}EFNWN#YD zVIXJ${_8(cBNGKJ;vXu_YK9;Pfdx3B#X=}i^Z7#Lnvfk7L0uU7HemasM~#(u=rm&) zBK!&t1W!8pP1UY7ve7AHu_P!43q*W@fJKPJJO-Qz%8n?2)8%R&{BK`%i^Ur3a zhH|UD7kLsA=NTVky8vkmfpwMukqMuO9|fd9N-J9-&DOYK&;!P~^T#kKi9Kc4 zcIOJYsDubP0t6-WCDV8bgu-A`g+}=)jqza2LR5DvN|_S$1V!j4!8{S0K}yhvN1vL9 z@dS~Wq!q2o&^j7Dq^Z!iLi)nT5nb&kj3L2ugvgMM!W*J106~~YXQWJll))k;VtQSN zrbxk-LDJMg63Z}X@^7S?NYy{M)4#@_%TcQuo0cRh>5nMzsF>+d7mu10mQCSN1F}E4 zeq(ML`Eb^WPon!KCzy@w?PClY>NpK|2^}#h{C@P336FpG*<&$2|5^LpTjN91XG~uB z_3HPR)2H&^E;+TYW``+Dn`kFa_S&?5RgW&~Z`q786E10Tu-Tl(pY`f@`+DnH``Nq( zb4!jIYO#(RUiE1gcCMzl-3618SkAkjPOabu&@?|b*}=6xCx^WkYw z+`!WZ*`ArdEIpe&oRU!^z-bCux;Q7>=)pj<#seO@Hg9<{c*uZ+G+TE+hyB)X22ak5 zu?uoKzv9dGRV-m{%U{ldQN`Z7hh;U(h^qBmy4J09{_|sVLsQ~^7P$oIZ@J&c&MTUE z!sDWAo81Qs$@zER9k90?I5%~WUBtP$7A}*=r=$|5<1ae)U%dQ1;c$=WmDGs1>=;)& z>6c~x7R%<1<5$j~%o{50Sg=bg#^Bj3 zT_=|s3l3<-G&}OMw_VppMTJA{x?L!gjxV z%QwV*eRH=X`(u{MbgEb8!{n8H?~9X-cN?UDNVY%y36i4f;NXgo^cBZTdqT2Xpefn0K z@%@t3s`h>(Mog)jQS!*}=~w;COBWs7Gsj0JteCX*`$S^Q;jwL!;x9VNOKi;o- z=Gz);XU{Uc^KmEe;&)g z6a9x1QL<&%%#ACcm(-jH;y=La(BuO^k$Rn{DrQ%8<3ODvYG;WUQeZkbEHU6kTrQwf zZ1ge3LXt%flPYN|Yb5^TBFkc6W0hNmu@=6{08pi6sOBUVpewDiAFI+ZHZ^6)m!fhAtdtnY0H8PkeUPHSfO3?OHaCmrDSU=z z4MUd2MW?xZ$&h6c)wc}r8^r4(27@V-vIvsPf{}b7nwJvHg9@eepj|eCpi#@fLIe~a zXkHcm18Ek6Pz6;{xn{_T(cEKTLK}G&&<_NXEU^TLMYs=uN@-wO_WuCuhCGXhPP4)? z4iYVpNBz^Ik5lQ=NkW3r0DvDjViH7aT#1k;mH=+VL5~lU-CTMF`+tCSL#D+>r@7oR zq*~CDs;*^x68&?oh>4__!$bX=%N4Rj=Dgn~t z>RKjbNMQ_GLoknriB=BUDI5kGvq+Ok79G(64LVJG5K2gpfZk!bWf;I`scbc?e9lDh z6nMgTgoG(#05O90r9en90CwZBNVNQDZ3P7dk7V$)Epq{ZW z=?Asu!EOZNUmoW8$}Qu9e6`yC14C6}7KJ4uNFh(iWV0n;dBmU`2}dCnaOtoISqiLC z{{epwZ~*1=%RD0i5(wyVR@Z+p5Hm_hK9!qM$SDjUVKx=WZ4m-14wyOq_W5Nwy=E<= z=w(slEVqme9vMOPqeeo=m54|D|1=OzS&>4smLdKHw)&4{ z;Bi0(T-`NeN`wrafCE<{z@Qb0(Fj7qBLSa6O3g!lMqe|HM<6mDQcSg!uNed9w8~Di zN(X}Z1QI|ZgvH3K0hnT798Lm$BLu_fJkVpSvNDoJ*9^mIAXA~D#s=)gkz zNdR9c;=^wMWF`XajU^C>NFL&3+G$o+=+mrah<`Cm`^Pd6;t(qFMJg?mvJgeV1^^96 zu~^EcaA8QXP^+jUs*!i15i3BF$wXiUxHgYq z0d&ddaQRXYW0G76tqMZ*PBmNwL4JYS=%1*O4V`gL^=pk_a6`~2L{*NIa7h43(Z3d; z*GB@a!eK~hSe3HcvS!zefso4Yy@x!95mELNVVyfX*>dtx!WEgbIG+qN?A^zpbau`TBphpU@HANdy-8JJPUKF8l3eb%} zB1PbcS_nc9juc%>fs{!<%`}L1Q#3Ovs3nh!W+n!8SYY6Rd1$5m8=(kKE&*PYNl>*K z9{3_Ej=KB=*C5n}I_MNCoDi(jJlKX) z2_`EC+i=ksR~Kv(yE${p;Ed{ab#h3MoMzF-kzp4Z{NRoq;`W^FV?hW3_cXdoPDEiV&Zdy z#%)b+27YCT?sW^Cb#27>_!7NZ*1;WXFZW~+Cm+VdK9_mb9aGw5)vVY5dK zz8;wT(e~=D?=$1(3a(rgo^*^|@!|D~dVxo-*D&k8&-irMellgboBd^B!?;t+ueOxxG#o-aY$=*=^f)Ph;=#gxdz?cK)yf)Vd*;`t79DcW zta~=d`OIsx=#+xE8J!-#J=Ci8{(T9;x3d;|z19Eu>%*akL4#iuM;yo;`+o4QQ*FLK zT;y15-S7|jezjXi=AWNbEAhrGK?zfZ1S{#bk{ge@P>EP#UnL{>}%suLI&M92mrKPq@MqTqp;~ZbLkm?&7PWwLS z(v~-u&j0FEE0=gVz2TzIO|N&o<+|-bzehKwTY5EE|6*UstPM-{*~E=-dAY{pSU0XD zCU2Ij^{J&Uoi{vOxc1Plggrq6-Pzr4=LAQWjI0xJ)2UACXXc1DuWaYWcl|!){GnNg zxE6W)7w0}(H~2>MjA={fFCFGPci^p%`P!#UO~)$1yKt1C)&Iu3lx^tBco$9?KtnYl zH5w@tIO8ud%nIUE7|fymfI@gE;2YZudLJ2i zrtAmBJ1f|$35Z#jUB#Ajr%}V0Wv|G2u`7W{%I;00SpD6n8SqTDbp3M}BUR&i9S zvf-hNq;Oufd!0J)m<|wk3m8y z4Rji)uLv6U3cK>~UXWd|xGEqlzkD6oLWq`H=Y9E6R>3!yd( zHCd^E!NF`A4^(ET_5mS5f6kQf{a2Hzfl_UwXsydFLxBZV@akG7;i9ZUP?aJfm#V2i zryg)8w09%|F~*dYSf=p=iHiaYWa*f|WZ;1ZbtW=F6#*%j%Tz?9nArle95qo0ifI8x zF_}UhH4-W&L2@7h+JwFtHJ%?)s1oEve=I{*!BE+~QfV0`FVRPpP~BlJOk@kG$r7+N zpkfOjBBEDlE74$U#DQ>7VBrC9q*ybIM}QH$+D;$Ua|V_PlmFO+=;rg$2*IL}6pe@Dg)E+Rf3lKyqbzFHtYHX$Igkt}-;5}* z;Bl|+l9BL1t ztJ+Z`PznU_yKFIXA~861#Vjx?5uj~A)s~IEDs9a)D#=qut_(~gI{6p@1*!{-4HU{m z6~7nxpDLOl0IA^ksLD}Us;Wlis4O>?FQx`7M}KNXJqA^*B57<)i+T|LID5sI7TSbr zSB}EmC>_e{*`*d=nd`%oBKvgy(w1M_etOuW-TSoMFgh_&?}l^m{sqN{;%c-fZ#ljv@~g;k%4R(?-qQ7EZ<15&owE-%*V#KHzFlOKjguUf58Gqn&|yMy z#IQG}Gv0L@+9Y|xj^n1e9B2Ds`IB;V*3Io|n!9qFYsk=u;|cX4dJ^ z-LC0pe({fwG)TMs`Q=TWL2f(h?YiaE{L7Yh^{2l`yZXyHcS&)AKF6Z=ro|7I4)wSh ztDha%bk1hthsEvGe$%xFCi5KqkDNd9KH^--Lp@_N&Y`_7KR5^UkA3?c_k=fULd_$! zJ9nw=)@0MBrTOc$?Au=YX5u@3fbR#(93STwk2eg^*WP@^`Lt)Fn}gfWm}^^mM@{?T z8$a)`lZNTo>t!}r?Axk&pG1D`{u5hCQpaDJGy6%M{u>^l;k(SCX(NYSDLsSyrkZFmhOHM-tcX(G;HsYhC74D_Gv+OOrGbayEAz7O2MVC z0qxi7uFKgQIyj54VtfpkZBx2ye!An@G5h*iH|s`l8}1+fJm>zMlp)B-d2 zw^`jum#_6pgu>g(ieC`9`t!V%g^jf0m+YDRtN0~T6<1@}OLg%}A;O0FecTFFv_iK* z{)3{hCDV42{Yo)G1y3aZ8%4=XA!GnwEdP~^=bXAqF;9m6x#CxfcUH`kfx}SoE5$o2 zgbYw+k^f3DPeuvjmVLTno(xBw;uXa^E9S}2VN?7{@y;@EAa!Gkc`^Wk%KiovqlzEo z-v){!idW?CjAK;siu|1c(pJ18|28-ZAp=m$%6}y<^Hr|yX;Ab{F=-{Q?qOI6h*E)i z)jd^5BM7P)K!!!8Djx~I}Ikra6X5CUj4V~$G# zS__Ka9_+cOvM?boLAOlfZCOQij|HR(f!?Kh)jgG#3E)b=n<&KSEE>N^^w=mk2*i+= zkfM&RY|SVaq}er-SN9YS1VqbfRQFU`2ETy;GL8^L&O(ZLkOlfgDaffwCT!%>xpPrQ z(zs=1)xB~DqE>ZJrC|gE6Q@Y?7)&q#qp|@C61Wc_f%#A#6c9=tGy0KV&4R3=x>vp# z)vE5Pw2Z|Nh(+K_$CM6=Kp2Vxiy}&Uz;5%v)uf~#t9db+^6DPYMX0c;SKL!+7!WGJ z4S)wnEZ~W`pgn^R0gfBRSrGM!rSwX(=Cx>`!}+JUN9E>f757wH22X*GfB^bKVo0@s z1|DpY0uY6fAZ8VSI*jHSHLpceskld3rdDxJrDc3nh}fv&ae7F4Y0T0yS@8U>gp1LZJB$(m_A z0s-7jUfh#grcQBBrD34n6Jzv<#6t{V7>IyHK|Gh!bSRJr=}v zs5ArsS2c=zDlNlgoD@_)kSa#A6rCJ|A}k>UP8e7{AyB6D$4uh^2sJT=qtmq9GPR0( zDlJ0;4qaeKs7k2mS_u6DQzhbxF@A-~D-m1CW2W%{gh2Ri#pI0%_Yge=ei zb0M^VF=!ckn23Nvl8~OkXns5&)q9*`D4{Es46?dVkEyO@z}kb65Bz(8kZ{C&LM(#Y zAP`t_xsX*>GD4>L@qEmbV2B+pVZ>B;#7&{Eg5XhAM@QwFAuWdz4GuH06kLp?>eN000Z1C7pbQ1|C!ePJ^ zFnq-Ymp{GNt@-JE$j)Gf9WWqB$ALx={sp~*or4(T*YRYzE*Wqj;L0Gh;LClyJlc{s=t zfSVd?Mu3G?NufYi4%Mt>2!FY-h=s=sQ=_JsWkifs-OWfL(g3}H79K|8fV{`tIt#Q4 zC_RcP#aH@hi{^*(sev)%zVM9jkifm827?*)YWoinydg#+C`_7!09h5BA;Nxuf`BB% z%)XM%sPPI2=rn=2r%p4uWzY?UT4QzB4Aam;z+$)n4+_A0Da4*<0#*pq1izs^q^7mWH)DG7?HBqqGEXqbip{DM9X4We;PS5T>eF^tT^e^9Et6ge=C z>1v;4QnU;~1&8tncoZlRA;|5(Qwb0fi-1F>jr5eYwk)yr38-mC}kSgsues(U;iR9UXNkC_PcVn{Lxn8HU9j>%`Dw$G3PV@);FgmkAb zLxyN(&B{k>Q3Am!2HiLirkFyr92oh3*BOx?3-L6PJSGV@4x9%j@j)U3CK;4%SWwKS z`8_U$Y*E~{3?3;1GpPfQl%bd8m;V0*k3_k@g3WSxBq*NWf6!?LD7<~O>S{k;n@ zy@zynr_u8teY|YxWIvba>&|eH-e22Md&-K_S{A`4ihX{U#CF~KVN-2~R#M~JC*n&| z55<2haAU1pX#X74{fw5G|ufMxBs1h+hFxiCy}JM)Vl^Dys}aIA}dbaCN)X<^h= zE|wkOPj3E)>-Bk6P)JU;PB|IHK||TWF2^5GNZdkyr}ry$QL^-y*rksre*$0 zOEPiD@O9cg>#$=<+Ol20gneh!-oC3|=%-w*6APF1VoZ#Qz3}4>S@OKzNP*U=8pE5f z>!vlU*0W7x`ktz>@>Y%LmH`J_^*vo<@2wjCJgp1U0xmW*-Ro~Oo~Pw;x{ft3;K+}@ zFO7AdHx%xi#8`UrTf1S0JjKlluJ6sT>oIuMk}f_=n>%GK=NG!4G#eiLb?|KVyOYcB zUi2qdJG_~6R;wh|?bnj^^NX{m2#2}fO=h~*9Ms=%vF#WqD=+Ibt$rgGEi#DlyS8v# z(|Oaay1DEdF*7vCA!opLCsU?XQ~m*`S98{1IdLz#Eq}!M6P=@8eI9pWz??;2?Q3m3 z+0*8#UhP72_eL(s&fUiu?CAUZ()YxV>)U?%6^6mePj}M#r$2skx%-0O>4}${vEKSm z(RcZ&-z#*m)w95%+tOyAdp)p3XW!`ozmi9{S>1)#hxdEK**hILTlV=L>+14y%9F=k z$7hCZbWEICV6eH@t7cPPFJ8TCSITg$(R0pa79C68a`MQKw#UYX8_r3X^U-hj`C5zZ znsu*RJJIs$q8}e@`tE$H^~8A00n^aQ=jJ}U|I;IPz?jL6h>fSd1-9kvNVVVD&geqn z@%hG#{yX2FD>CaGknVK(y2(=K;>B;l{8MSp7|Y!rOo zfZTid%9O_AkNUSg)c4|vWkmrslW*PWes69U;(FG4%cxN;9&Y;Bh&SkQSWJTUH8R>| z_{0&8r?>6Sno#fitkZdc?ay?asDH>=w^#48k=If;JM7(&NRnC2*o#q!lI;dek&Zl8=G6VW7OL`J>T>Cz-M=*sYSv*bBtdYx>-(1i``;7{ML8#$Hxaf zES}wce2+1)hRcHAUgC!PUgy@$IC*Dg__{T7Cl${8#@;GvWQO3S=hWk4y#4gg4{2BxVY1WUaW5K%HA1KAG> zkO&~cgxt3PgB;MoqMi3jbSbKdTlxd(EHg|! zNT*86gkqF^z*H;cp*k)E4Gd^IDOoR)OKIGaw1I7^qVXq^(ae&`A)SgPQxDRq(lU@& z@mL}WKt5o01!9$rdIG3v!0rl~F3fP#*Q{c^q8ZENkj`?;)Pi)Xv<#f`pydL(i~$)~ zKE^~)WhF6F%H$$&2GW9VnZ~nj1*8*{;@uH6tB2@RX_!DrDfNOvpL*Ij1f`P)<1k1n zLX}l2p@-EnkEmJyp_tbO5(~Eg(G`>xRMuHlS|$QF5;&g-$Tx5p5^xEMFd@rE#vtH< ziJhzDG1GX~t(eya)4YICcV1hiVQ5$*$P%NxC1zsg5-2P%hVEYsj24qhtc8LiPDbvstAcNPmUo6LS0?dyG zT}?ozO3NfrXoL;;FAireTn0!e#UNHjQyc9yA$`SUA%#XCvT{JDyk@5!pi`w~OwhSv z%mT^{6#c1K2+<$-f2iDuK==ThG0iW^VkFI4Rz9tb(iSFN)B|*?v<$^Mf`uNa5Kwn? z*??4Hff)}ZyJBQGC z_@MxF0)$?+8Px)Gs*FyOK0T(8Lcwz=U%$LbgHxrPLN6YeUd=d$&!F!UIO71HWca@YzgXE zbjvgzf|MgV`2gV}TUQU!snRl%VqS+{Am(!cCx%(nIEhe9&B~yZMb|as$m)@rT{9~F zMFIhiGH__A2k2C37jkqsK%`{grvreW2Nwe3B7`L*6&usl=gZ2gnz4(C=n%R+rYsP; zp=!(Gqs|DSZ5G9brUI&pw5m!e8VB@lmKichV^r4>U!r8f+8 zCD2;5Pvx>@eF??3RCXzoA|XPLLCFnQ4A1_POS$YyB^cVJs5L;j0sVazrrKDvPsZgH zql~I9hv$m+?`s55bS(g~;7~_#~@8!#)d1LqVvCR6+dj8V4 z1@ohgv0!ba+12)(Dak8in9d>NbvAYx_~Y{4c`SpMUIrcmJX811i>~v0+vu2pX#D}k zgMwDAs_VdsYk#gIFZQyq&z+95;u80cpVjy1$j9l&zVu8k_0-;VzT|A!_xe*(Q+dJD z-kbO)rMF3KY@aeJSkIW}^E=GS^+NKomxFus5)7SdaW~|IOKggGbA#1g$t_v+@-Kdw zJ77w)O@mI~-F);~!FliAql$X|%Km=kuF?AO9fof@)FAzNh~2)4&wW2xv|%{LjPY&V zXUfbPn^Ssw{WLKhUZaMCRlU^1CMM%%|2R7T?e%UZI|gbQjOe>)v)7^{{Z4$~Ur$|E z*x%~nbW<Zy&yM{yJIB}Vvb6QtW!LMBU3|6A z=UTnBt@~^LdLMIe^&YFChZ_%1TawxDy;_(iX$-LAP@wLkZuV8Q8wQEAs}En2P} z#eABcao4LudhHG))B3*9?QvAsQ*iN9YofSy?etb(noinU@F@Sq_`uLd#`4!A>K)`R zejp-e@7IgGb~*LiyBd+jJ5Cpiys~TydHW78H*n@#a|W2*6`M!J^N{`%-L_={Z6=cZ_x$4+WW2-71fR` zURpf1*hV+!>7wq7i<-suy7hKc$XemXgi*I`4vh^lc-%VKc+#tZTjSFG-%j<6*QW?)F&$Ttlxr&+1n2I^WUyp zT{0(IhgTYU>i8zxVyA#76gR8T#_d(C(jBJn(Po zxCG&X;&}Jdo-bdn|910L(TZcbI>Qf~^8bI-y$Lwf>-#@W2~kKWWJ!`GjKK`bl8`kK zvLwqGTlOVn$*wFBvS!~(B6}fOvTxaCiK0}B%2M|KGt=oD%uG3-)AxT}zjJl2Yf^X5 z{eIr}JkPzpUP5=<%BtlS4j73hHDN28V<`b{#bNsK{oPVF|54-qU(4p;Y(ZKXp^iBi zXrv`)XjhQU$0v3`jm`qw1^Y8PNkM+oz*1>lwu-hpGIgBmgdP&`=G^b=Y3qrbrv7IJlruz=c>=$GD-fJi8$3ipJ)_0ch22 z>^zujLKRc7EWRD1L(viQ!Lj%UU<7zOivx5>0DFXO_3aoPKyd^p>sa60c8m_>6+pCs z&E(O5Zkt?5EE|uah~)>vv6x$j(Rc|?0I(Z>ofLn4W5B2j@J6wjJc#W6siWYs8Wh_p z3LXv1>KINTw$%ZrC7|e zemho&;&}v^$ylVfV|4tW`vBCMv59ZT=so-vo4rQyc&^L9m|ko8aVdiK9s5K;azA)}av%fA@t0D7|205Zl&)6X^FwhayCS zgYG-b_l`zS{O#(X$_mnR*u=MEbWo7h29ZBD@$Fb0ia!fj9yamqSRD#O0F0$$dGzfV z9Un-33Sd3uH{mYfdhMWM4Ca2Yy|+!^MmWVm0~46x$D;hEhBpjxaJm6jI`D15G&nxK zUmwvZQIMPm7X;?20B>R&#s)fhz(g{pG|EH!+f@Hx+`K6w-pEwBak@7~f`57q# z>)vjpTpX)pKL`Q*Rcq8DHTWsIa#0Qz@u6~q>AphUt?9Jn_{VCg=)F_S*4>c=1eC-| zGtxHUJ?f^@vg=5v9v+OL6&NON2xlz6$3WA4lHQ4J)j-8MnWi}1O6}7(cG6KY zf8F(p7+=EDruURaDzMP1>%9has-}zzeG*fo#I-ArR<1;qe9-RqAD6qYm(G2wCN42c z@IDkTu}fs#f(`O@wM*q1+54240^7S6`PcT!!Dw>FW4vbiyxuSk#!=aYl7 zN!O=0#t6_xupt7QVhjs}tr}zYXeAz}jW~+1Gg7=6y!+YEIrhgl#-H*lMoZP8-KAdP$!fgLH|l)(eaU;{Dk?-^!?p;6$}h7ETSD=^1QFm?Q$t+{km{P!#IM0 zp0@#i&N%x^&N63mbmA?+(8#%cwt0p-Ln$xP>F?cpC~~)XqKd7SwI@Yt6tyEou^D68 zg#feg6K~AiobC&WXi7vXyJ#Ex#qZJIgeTToDplxxVP5I$dg>lheBW%{?snpx(%f)e`|~!tT0*8{Llu@E zo$Gi_^0+dIW@Pfnv$MiUG%fg7Izk}z{*UvYd%Bx)qT&2B z{@`&G$>YwJQjQPvPvgXp*YIrNvNTv@;f+T=ju!GCUD_nPsz`flM>_=4o*6{2sGH`0 zZXw?o3u9(I0i4U<9xh1e1HL~nA&GhN0&+jh>u!VS&u|h~ER2GU`TP7tvju{#(0^9` zDUK@^1}$jY5yuq^1ICK&h~tWd1u(O{*p$Z=3kzVTd$Eb*iiHI*6TaBQamB&{Sdti+ zJC8FK7Qm9kz#@(-76v^D+t_)Wu`sG)@O!ht6$=BV+wDl>iiJVD!FI%P#loP|V>{xw zVqp{v!0#U&XDlp$C5M6e-f+gksN&u>R>u_!3t-7%U|AhkEDVNRx3fB~SQvl+Y)2ed zEDQ!4wj+)!76#nW+YrYY3xkQ>?TF)ug+ZV0cBFB|!U9-w7?|%3XDloLas$7&8l15( ziivL<;<#dA0W3KTEXw1Gg+b5RHdeJ3#!urOe$bo{g+$zmq!B-F+ti0OR^E5WBzK#{}KlfMS$22%i?I()Zgij zf&s#ovJ%`xUHBsa$9!_!q40)n|9>|W-sDyNoH&O7V@Cf+!YgBD;efOQMQaNK2c#4d zVQqvY0j{*YgB=nSft?5IVih9dudD%40~0m{Oyh;&n>Q>bxI0&PAqd;qJzsP*+lC3lP}#Az6hO!{S*46yKk~) z9rTsxe%$%_?Ve(qdXsS;2pvNc1>P0kWOirCRZq|Fx!iCtKrS#gaRK%WXX<9<= z>;Ire!GBlIYV8}{`}=){*&d<&Oa9i?4WfvW!lIQs*JFt6BeywotDYcdYtuI^`T3$7S=P@YZi`K~j*EX>Zz?^1 zcW1+$pySLBXu>WLnIiGEiam1#nDc1Dq6w*bcLa8MY7~2Fyl1}EyQ7(s|J{6i<;?ud zGQ&*D2#Ko?Z(F(5iI1VK1}4K!tA!K6&mTN#X;LR#W#qmr8Kd)7Cg6UNLVkhCl$&g9 zb17BtF8wjpA@xy?={P$d3jV;$60L0)$(0LWwPY7Anm=mebJPzgToNU}(0M#Aw|0?* zc8+UNwy|d3_G-NvYgW_YDWj3YBz{we_O3jlIv#aRY3^9G)i)wlCx89q034WI1yb5rED+p#~JjNy@(gA`4{>*roh#0+=cs#7Ms zmrwJ);1>=ic*q^-+urWxq25b!49|7vXv<-ThkGm74GhJZR21$=&aIrvxZdm`CpBvA z)Z`-5i#H%ebLpKF^8sjYWBvR~LbbiS`%f{p8>te<)jnT+er(Z}JLtI6IYf<{3iqu_ zhhuqDZBT|+YcbDk1-cJRq&|#Z$vI$inRiUIBDr+IJL+p{{~n$J6-B|a9-bL#>w?5J z_M#+JZ+o#SOLxyHH;QZXUN8HImKdk1tfqEyP5YeDy`7=ccE>z>?Y$)aTEhH_b{Vyh z-{ckh6KoH)48%%Z6V!^6S-0$4oo~52=h!w~%v9AHo{r94Pub6Y*@gSYv+x6E zcgU!|PTipq4%mGgA?c!69Psr+e)hZI+hdBXUuV-bgh@$yeQF$&Ukf25Gj=mHvpL*_ zrJRPP%-sA`_1&y$p_q=9w>PHhPSZrfV81niXm*}Bi+3y{ZFDI9t!!BOt%Sp)-z!Q} zN6Q%SNl8zX_{7Lpov>aeubsPgPT*QB&+CRZhbNl3FB8`o-wGa;A1%l`;o+>?R|QM! zHNQn?<}OcK^St|5+B=RecwM(?KjX9bCX1=ZSL_yp=bGNF&869#&8J!(l&br}e@zg% zzH^W3$XRSTfK9O=jvN5`XxdT_M~9$)CI^6#bVuv7je0mhGv9h=Z4~_(#N)~V2!iU% z77q!VIIbLk;3ke!^sR+W99IrNa1)UzPH|j00Dum(9p!Q500cKtV&YsKR}KJ>MsH_z zTsZ(h^1BUjoH+o{ss1Z*;NpSdO&-+i-CC#s-r;XWK`bJSt)>W&+4mAY~mo00uAEWR>z1%u!y4qU?@Na+-fpl>$YR-{Gh0a>hZ%A$1JE~ zTOC*(0LsE9z8$OMM-`~BZ9HZ@72Dz_;!pA3gGksaSJx_?HC=Z z7z`+Ev4~?9RIzOxK!z6vxm(N^hgnd?CXSlc!B!0x+(gNO>vBO=gCQUti)HogT6gW2yX9{2w-vdr@oGAb_ z|KjhI$C(1y#9V-DahxfDP3!=;q;aMIHWozwA5H;mJaPU?0iaxw?WO>VV|1MW=$!w| zDwl&94+Wk3K-bZ_=g;v{ss)7F(&RtYJJ1_Zl5=Zdo+UAUkBwvml|6Kde{yjq*6RDd}UDaqi!+EvY~sRVb)#>B^wO2ker z1Mh4Y<~jPV+9}A~cqSnjs~>|@NrJZK-!(Ir92UBVZwt5QKhZ|JhbuRK&Z3D={Y2>l zkB&6;6)NTaPCeh};$Mzm^-xTmH!!SHOOJjZrl+U7Z_oS7=_0PXuf+J$v^bq_Rmq!@ zA{4pR%b~BAEt&+^SxsrjtFY8r>Pf)cd z66#) z@PxlGF1vL6LS9?YlQ(vjkzKmH8G@DWm--~izL*y`N_n?@M9^L-96#m86dw?7)@S`* zIlOJcYzn`y@y$-?f&Qe>r8wI__g7C2X1#{An@6t_1^O1oV~x)@Ix)E7bJV%9H9kk( zW7~+&0XOcCzj(&Z+R+A3$D@C@sYZ|K$e?uEPJF(J80E*IzCrZ2@%bkD5uD;U{1t&o>b-;9MPNe7=d@0GBw<_#B32 zS>EhOU~V1g#lz9Bj_xzYDUJ&7L9aR=7{lD^zJc%_({L&I@Yp|f2W}^7S`Ujj zW>N%;ILhq=W{E}omz(}`b>McQdI+$HV|whEtD^?!Apn&Zi}-fFrvN>V2UOv)NTZg* zX={J!4$$ub2OpMj0S0m{+YkrP6960t+v?k~Il%G;*yJ#+9lZAK7#%+f6dF*rVLm%% z$?q>vO-z~ut|3K%NK_Qp4Mt^L0npl<5n`YS+%fpc-Y0cwcakX5_{h5IDe z!oKu^m&-MXApsTD$Bui|j~u<__hnG}^=rCAT-QdmXbg`$R@EEmbT)2}<>yb#XxSxQ z-gm?_fVgaS%{iR-keAZAVCwK4X4R0}ly7ds%kzZF%qo~(X7$96nBQct%a7cXp~EUv zy-zyMEJrT5Ywy*s)}f9ssg)Rf6)D#9Mz{#li~2>%e5F)BvmVk65unsk+u=-gKYw>nfEk6V|40x?)|hH zUenvPW_a((1)h97rLl`imxu>Fm+|+tjuR&=C6iR&sMSd&AaluiO?H(f-dDw26GF!s z+5K^7yhcS{QGG(`iSS!pd((~}oA%5d5-*lzCzGy;O_Sq?xOJDDc5Q0AVB&Uh_yoeN zq<&ZLW7}L84W`0^nPEYffx{-pd3l}X6KbzTMVS+rKoez&!dG|sJ`{4m7DR1oUBnSY zp>2Ch5cO9y#H)lfGBc30c4pQ=xoLpWhZ!!6;w(I8V28AF03Ff44WcmH7tuOxBZ%V1 zEVXSC1u(BT#-Tu~5XN!0O;Nykxxpd*g9jFHKmSHw0BLh{UnSTC8B|>kTpH+D6#N#B zLZ1X%{U=cwHZ@`X-C*-`*yQ&OHV-Zg+0hB34zD?4^`M+ScgBeMj61rnTBkYh$*cCn z+-g&+-g9El)Wl4wARS@?kG@K|oA{ox6Vtr3Nm@C6SOYTP)|r|{^~t20hT++n*kOQK{r#e==Q zH(qA#bkZ@mxSw((tT)~RPqvxr1Js*j-|!>l=v+QAC|z6(({4H<^7IVvcw&d|@7W*) zHtLlzWt<6qqalJJk;YnYq7;@2E#;3Wt=J41%6~3WY&DBaHX-?VBa)rdM$R?CqbXn# z-};l)*_)Ch)lF>X+TZC{kNB=1mcO&Z+9m9RnKluJp8rh6T*KNY+gAVO*rC${DgF(< zE)lmvBESp{^;g!)&x7pNAz=X8mcVLJfQh#`o00dcA>6V-e@5SO|4G9(`sc*T-EPpm zuLUe`$k$Gq+pJzFr?<3P)NPy5?X}`6fE}3qu9s2f+9>+`NsP|PJ(M@!&~&63H<1gE z`d%gz`D{c8Bm z*h)3v=~HOkRd;0a3!x8YeXIGVfybfQ#Kdv3Ml^?a)lRWeY4=KaAQgQrlNU41s?J@s zCY7eVb?vfapsa~xhUesyH7g$aq58WC*PLRXW{h9uQq*In;J-7M&w2D~#=&9<+X~;z z8zq<1n(a!|Iqc#G=3y7ozloXhmnvmE6Ki^P^bmMAehSn@EszI|iwF(5xMHP@Qft!0#SeIfzp|m>n_zbGX+X1rIK{*b7$q0$b)4@EEVKO=YUITw9u z;iJN-JD=n-(rlbQm+XRP8);Pzi`Ot%D7EfP)cEkujbB2sgo5K1=Ok4@Tb@vXPTXk$SM8#Y9^Q*7I{q@fj??n0&6Lr+thdcKO3(gG(h6fj#Gto* z+ojUyG(z zGmM+s1Ag8s{2=N?|M&ZQrA|}JdPs)Cqd$-N`2ot1KG(18T}1ui7X|av0_`Yj$p~(z zTCh;w`+UxVYIP<-^lnS!c-ko@R-UF8FJc!fV-wzVnhG)ZDRtKLh4?+_?(2`LI z*YLckVAF!wv9G@{ReI{~Q+fsM(LN*Ee*ATcr%FFv+k{%bW*f(k~Z*2tmqy|RmGD5E=n(Xu5 zarmy5FTr(+%nP(5^<$n29}6Rblv_^n1S*aOkmaA4nRAXcvGY=ghLElr8D4tkQcw{x z+jMdC%+5?bH1&lgUc-L&WW3p_ zhb7!2>eDvYlkbnbc*OjWfpgga64DzkM|GgljGtIbnI})fufLG9|2tQwU3hB{d@1)j zLiD+RHFL9_ra^2*H|rC=&^_0DA9mssGrm>~!~4J)@7i>zX6c~80v`b$$GnqQMW)(E z)owdF>ie&aj~CpLDmAc+ko5k<%~F?} zUnS!(@qM6DFB{Ki#Bj)pQ^=6fD|XN*ICkHVt#UFRTk^&SB}ADndRgTF+)P>pUELcIgSp zH+3v&uvI5t6C){~X;{6+s6E%Xa#nl%a%HloVz&1!B zv;XrdL7mgHS>8mdkiicR^!Komt08Ws2Yon8lrqZU5w@Ou{nNv`fR$8w8jCQi*NRmX zh@7a*@2|~}K93H%hz(g1h`1DFFP{^}YYm#256`vL<(%@wtAx7xaDK zt2AHv2#S@RxmPatPDHQ3$$2U_No;UFNh$T>JWbp&hP?)=Z1Xp}n^Mj9WxaW2e;wvq zbCy`KbCtcWu}+7rs&nUA8t3>U@{j8)@NMQx{57+vPU92VHA9GY7<4=+G-B#@cfEe6 ztT*>yqyfG~?g@7By99@p+3}Kxf?1~NFN%x!Qm}K;KVz@CUU3%@K%9T()Q8OQLwG*I zdpXn2+`O+-w7!R}#GeC33X>isIthQZ^0NNsK59F5-o5HD&lp>>sH(#kW0tyQ;{G&ayZBzk{ObpHOYl8lxqhj$h+!XDkk!HwcSWb`*6=&_p+xSY2kQ$pNi%K< zKT1^UYQDo#6miaBUf~xv0dt$hE;G}>gZ#BOMHm# zOZ%UTY`kbz9eZXS5J3LLs0Tj$2!R0dKe`eJ{s`T62)LAB=w2?=2XrSP`UC1xMt?wi z7pOn_aS4JCKfHV}qv#reqlW6%gu`)bCCmq4J`Dt*#)ABS7XmH>6#_W12mllg z0DpyqAP^+LoW?+`|6wnGW;?;)BEW=#|E;*7pb*HX!?vfmfB_891;d05VM1Vr5b(AF zC~N}=QosnH!6E>Y>sAEcANKuc6bFz>fZUQ9;3PB0`MpS(lE#)gS;vrga!FzA!dG%jR%#4Kdtyim;S#g4h5~d0Gx@EZ95 zf3E@fy#d5PfDb8v@#Wn#ZTjDKoF4+1%Rv?8r{bV58no1dWAitP|8Jm6PJT_6(BsCly zXj|idAo@Fn`Jv!4137`MqTpFkuERkLrozDA+5gQ6oXu$-(Co~|kG5!30RepYkzzv? z6To-+{{Yr`Q&;<6i5@|ajoWUbCqzxfIvzsdF?r$pzVuh$Et2+z>J}<~>fup{>EU?5 zA|vY^C2>HubZwb|PoODM&Q(GzHgvgidHUulDD6(2-b8&awt+J*A1%;tqz(S z7f*OL7CeAIdcg_Hso;IN{ER+0#}MzNOyqGb=mUaKPc5^@)O-pw7H>lrkbP9@w7!&n z;yDGYFy8n%oB7D$%FZ=+GMP9#u3X1p%jt7k-E8E@XCXVHFPi7_8XFW+FxQ;FLcdB> zj<|h?Bv4!9lv|aOo?=VGVd7K7ubvXfe^<{ZmOL6Fz6yJ?<|h8sJWhaA;`@|YK4*7` z>mvI(f|OIewc%C7>+{0a(qTaZ8g!R0>zqA$6i#@3xoVN!*A4>tszy)Ct2kU+ndSJB zWOh{evkQZ^4XH}#$N^;9M8)G6nxoOG^UqT+PT(i6mn&TEy{Bd_uV~sNDN*K-)RX6^ zaohfC^NRkO_;m>uqLKX!ucGI4UaP$|-7R^nA+cSLz4#UTEeE;Wv|O{Mvb!U5+#SZY z)X7OOmv6aRlRP#IcD5z=3Yb=2r@P6To*#R7^!fC4$=utP7mOBI2fTBwW5+me4&?1L zZ83fDZulVww{lV)cc<1qQ)k7l+bs6M_s7-@hRs?^chMFPm?#zB4`mSc*xO@9m;CZx z`BWZxM>!sgc-~aD0(51<`~H^@Qnp2?Q!;ew;v zvbGGDNz^(MwTf>bLf(ljNZmA(@#BWYKrTj`=$0TAJqq$a2dNu7K>>K)meUxm)3&Zk zjKgh{=&y>Hn7wV=6h-l|{iE=IJfAk6FPqLPTnXm?n`I4U<7~uZf?irM!p@0I+ zt6aYDj+lt*2~z``Kx}$MBwm8tu?U~b&k%$b=J6%sPq|v~6+LN$T=osl42>w4clACT zO*2)MB2c0@<Ve$ZkpYct?BU9$@U{tmJ?+C z&8MAW>ij$LMlPR!5jgAoNK$EIQVc#-_qPSTT;ey^3=U5sU1;oV`jtZLm%0we-24D zQ=jFRi?2(o(QkL~nH!AI2!EEQG9AdW(pdjW=j_xqqERBaUXu3{heZovN0*ZgOyjpn z(h*q=Ly@~H{CcCC2wupW^F;1G#IDwgSURd#pe15wDWiRjEXa{&9zVb2UU@FNiCM+_ zv=FM|OIqB$a&Y=kQz!H9N}t@um|LX>Pr2IL-g59iO-8Zzz<~L_=4d0c z58?BTFStg8TZtlvG8rEUL;6RGZ;W3jK1SHpgLmg%@}xQ5n&Fd%hH3 z(6LU3QF$ckRUeUM@ZS5jtJJb9r{un6cWaR@N4d7jX9_Ap_GqaOc{9RdFn&dUfk8{X zv4QWyV%H`Q>RDJ@$EeS7b#NZ#XM4H#Y2M-cVC4bmOOSe(R-QoiTQ*{TiU?en#GD$Gu42G zIMNHO-hFFdJeEbdha1gHJ>;1tu3DHG>r7WS=Pz`{vwR(4mMA~ru;a0>2;=95_PG4% zzTmo=wKx0Hkcq3B@&UV--+%lNG{s(U(0>2nM^|b@qxlk=7A}cQ;RU-rTKR++YO1t0 zt$2J@S#n6wbTz*fsm#w&8I$0YQTuAAN1g2F?%d8A{g0FqWZ9x)5uP=?It>R(b|~x> zcd6NV@l~B^>I?2Ogt3Nf$MHT(HnT=^#z7;VlzTq2fApBE#Gf~(nkJ`T^c1y8 zPug6!wOG#cPaq|am8#*06Y3AO9CA3VoR)c8S0vK$RBd(40DMu?Ot|;*`6q;9M>#In z)4kyKeenD+gxS3s!Js$x*emH!72Xnl`Nt6q4n8deTr%%6T?`!3x=_gpAqPIJ6 zn{ZqQKeXn&@Nh&=DU?gxg)#bZT2WzUy#e19PQkHW5dysRi0?b8*L8$*I&Lk>PpdTr z_uAa^(UgxMH9in7TeF8NS%jR%^mSNp?MDu#q(%SWRvu+I-P4rIhrS^qRd!@M9Z z>~tVuRgYZs2%do)^sGdWAEDohxtQDe?$-u)zk8~Xb-#boNbvFQqk>~keRfwF;q|qi z)jvX+vTA%rEkIgJTfInHzWC#0_4p;}m$dOUxpam}S6uvQi-gb1Ftr3oRiO z7rVEgH?_@Qi`+*k*?m;Ooko>v_6hz1@|!CC*GOBTkhzk$1^bFxzZ_e5hiLv|l z+eS<}nhoe+&GQihS5<=+Xf6hf>1xHNDTJ1NY~4?t5-)M=vwJkH|H{f2f0n^U_uZ3M z#f(pP)5feIED4`4-SM%_kQ1LE3$k3|xFGYn%(Esw-idX%IU!xzF%y3=tk1c|;B6%9 z!vNo*H{vGZV^*w^<97n8_lS6YWTMrGXTWyrY#RE(aqFPZ$SqDbde&$gPBt8!3;};} z)40{fN)UR67gf_iPve3Qa1eU`sPdpEY*BxN9+pLaKsETGKm4c!Z7%n08VCMwIXRG? z08{pWkr6$x1e*B3*t{_4!AGUkh2gkU>QGb(!;l}4lmQZ9z$76E_&|jK_Z<`o0mOj- zUjR@VU}ix#4I=+H#Q{AcYVhNy-hWpxwxc&cNPP;y`2`Rl`OJ?r24xpRq!3Jq-xwwc zXyf4+Nzfl}>Sw0wKk5yJ<3W-Tw6OzN2{_1f0MvLeodJd+K-mp<@)o_{|5k6JIih^&ZAV^^dg2`6_a7AEdqCw^H z*Gi*A`9Xbkt0=guHe_6WA3#xxp*mO^BXz$~9we9f;h-7|P6#Yd{mWOykK)DzXEzGyMgYqI zC0Kex9vySnz|v4q!NvwEh2kOk#j66;px_Jxw>65v77MBrSQ(?#vE`xp0Kg5Hr^5Eo zzkF5v;NpUyzRZ05fKCik9xRQK65P5ps(J>h-x&K11|I%->i?M6+my@OSa*|o zo0fO|s(WB^L%nO?M91@YXNKwXkS}|l9Q*RvebUYTrrF+QCn?&jy*a_uITvA-a3SMH zZ$S+UBlbHSY-!hRa-F+nrp&Xs5*~f2{9-&{>Zqi9nBc{o^GG4p7&GRxN_FXM(EJB` z+}{+6^eASo-3dsfH&bfPFO%K*`13_gcZN#c>`PBe%Jxi5UFVA@T~v}W%T1Q!HhL$; znSAIyeI0vJysze=Wpb9}?yBU9k&L$} zaV1g_Ud`9zNgLQ%tI!R7pN)L*SpJ}AW5)e!RwMx-?7ll%7<}4`yPrN`u%a}Ucs^%0 zKAIP3F8a*sb=Cu=R0mSqg5kw7Eo-Zx)poX1!OTIO{lQmD?$H^U2v$DGl3S3J$?e&} zXKBPw+C_Y%mWQ&Co+S8E?!9b-us$lg3c2G_j_2hUB{}klz2psH8c+2V(^B;*N@BhD z?bNPntWlKHpRyKTm^G2k5AAAn@is~@dZ+Uwm6yA9HInekaO-V#SYxksd@x@f%^s z7lP{gm(S$JrC;y5j7LMievgX@Qm{(* z2S<~7dqd%Or|Tr=yAvu1nyi|eY$Nqn8oiC)%9L|teEYgILz9`Kv5)=8^Q?~=GRaR* z)=GbQR$x=n+x#LtqOCxC_jlK>JBquq9=%|3OrCIby2Hr9^#&o#_^DATbEJ{ssi*gV zli0^^cdq1(Jbk|~7~QVqYNJaOx0j%wwED?Ksgv3}kA2g4ST7`9o^XraIV-|9v>-oU zEg$dV`BPC@sY&815)`u*9f-mc?}VB1@U6%E*LnkjDt9JT_kJo@s&cD7)UT2DvM7(Y zM7Hf{SE08_AeSH0jqg2vkKV0|nfg+6UfdD>{_CAo;v;3^ITs2cq$BJr>WuDq)}8D+ z*-XB@Ls>hPRcy{2T3R;XCXxT1Pd(_yY~vqyv_94@dyE<(?CTqY%;MlQJ`ZocWvTrp zljxv#dz7wJ9D|Lfm6g2*e>mkc$4f1Zs&nlwL^3Zx#gDUmxTC%-FclQZHO?Asf;b z=%nR@PY(!OIraQxGP@&L+E;DdW3>Oa(CYL0(|NY5mv=AT4m)Z0WNdwBiGbeR^!t|(`|Hj8-Y0BNDaCjZ z;e8NQ9N?4R zlHQIL?|Py~cR*64?(R@!c{u6fC0&a+7wL0W!?DcAUp;o9q&&m3H1z(o3016{3)T6w zxQ7%4>v--i1T0vR6DV-Qe=hqUzW3G&;Ey|Ib8-TZngh8%k(_}4HM<8oUr<*WDmj66 z*w7!)xp>r1P|ghcCn(wR^&)A3`fe7aa z$&cm9HU<&@?S=e&GQfd@BCtTQJfNUO0ecKc4gAtrw)15C2msguGJ1wcVT2(}7zP@v z5eNfe(8`4{fEgJhFuw;IW0XJhWPqLr1vLak(e!|j74TSr1m7>equY5hW27JgbUX_R zfqrXYke-AK@q>m{(6t915FppUF`jG__RD{JvcJDXU?czqstLx<0YwFPX&@2xyKfN$ zA%NgFfEpNpbhi=cH#LHQ%|ROSLEtbWA<%J(srN?Ing6CYDp7_?gb4hlHwx(n6)kT= zZxe&xDQ;j4y8J-?4{9iE422pQLg7eb(7r@F8G`gc0LVqd_z->Y51Y8=wQT%^u{e^GBfB%m=4$!SoIKbe49w;c-@PSDQlzV_m z+z9=`FF+?@|80E))y=)t5&YkG99+*}$A2o0YHLT0(r!a>9KORQT>C$?tKjv6tT6Cv z_&{zRC<_B8ixBR42=uQ0+hPKI6QcfqR2)EdfjtCgJQx>XhJv{tD6nGGZ6@@Kc^|ae z|F(Do>S=$@7y#}PIEH@e%@6eF2PKeg=#9%3{@ncuDii>{4t%q~yN9Ek9$*WCfCLf^ zH6{FOr^n#m)@Odi6Mx28`&)5v&7i>lpkR}QK}g02t{-9OuYDQ=K0_oQ(f|oTB0-tP zNC1304Iscd;|Fsj0P7Hf#5jyM0c8CNBSsx)Ak0D`mBT^77o2Cpe7~v97(h@nUP8t& zP+2kpRWJeIUkMr+fO4LppaBwr847`2`R6=CW&MAIy4$GDfCBO_MNy5VV0r{}Z*I*Z z^FcScK$xrI76s~UP1tW%7+hc|W-}}V6hL%CMd&}~u5tFHZpdSU<7Y#c{N@h&f4~v` zT#g%@&i}m1pE+*Sg|f{Y_Y+N>^Fu;Z9vyj~(?q+8b-AZ*Q5Mun4hh(1C8RLa53o7Q zezf>lHT`t-h{6?%{mBnMi5=$Zdh9lN;(#qxyU8=Hs3RYbGkjd(Te{2&IfI{*pB6Sl zJS}^6-@cj~{b^~1g7$26?`Ge*3yH+Zzoqbwr0CggeW)Ema{b6XDN3$migy&8%38)b zx$LYJcU?IqU7?fZLfxw)UL&qkT>JFQd9ni9*B5tlySAq1`GuN!y2%88hUjGJgdN}M zq#}LQZ=~7nj7!(bIg3lrS&{vusT3LbVBVU-fXY2fZO&IH8j<@@$6VR)+_T%RGhPcU z3a!Gv)J~Asc=Vp$j|=^RLSd7|tRb!av!CUc&-pR#xBApCkt8*GFDXdzc}VN!&y&Qec6itFUG^_28?yum`VbgU?G}e<-<4Pyv#bgTlXw(yH!#k3 zc>1+@sZ!fy%hBqCc+CXqM-O^>5fdyL<aS_@b--ry%@TY>+eS} z?e$qTC3>mDD=;g0x2;tf<0}~FW80E}N(wy|+q^Ydsj9=DV)j_PeEB|AuxYxGRVi~; z`Itz|*zn=Clk&v7&*Pi5&=L>sPd)7~q}1BbR-#G%;as?j+M{B-LVPv{-#z8kRY|sp z>KL1`u+cB?QlEP>87ETYYMJMCeY(Fg%NMS?cjdh6x_9oi?0IFuHIDu`12XH@0FDX< zzUEcc%(t?R@wXH792FLBxbo5W_mZlfXI5OTe40daEQ@KcX@9KcP+bT^sc}Svjfa6) z@|a|Zo-wkwXKg;W=s>QeMAyl(OBqcHRi{N{tI5V*QUzalb)22V?PY^j0S&X}v~5&H zHEqe>_J;%Gdzf_Zlp8*(dt9kGKD?4`8`Zpeb$Bd?-!H84+GN(T1Cxd0O}!HqwkvC| z=bR+OawHKv`}#Pz4jPWAN{y-o&M6jg<;{)l<8Fb|usH80UWg~@{K7eyn|0rG@uUId z6v5R;^Es6hFE|uk7b4pH&ykGC^d9m0EFF})Zc+Na!o))b@nxvqZibIPtFJ(i*~G;- zi&j+sD&nwPe{k%Ye(P|9ZpZq;mIefy*NZ~L?jn!r!s+CDZ8oC}EG#~+h)OdUGqVds zq=etrdeYC8=~?5^$I;;pL0mF2LK;tBu_Ftu)#tSl5)$vQZ5N7>S!P|=R128A>mxvB zxvOFN%g0t&^^jqy{E{5gnfvP9v#I9Ksq-v*8|_7-zhx`9vJF~jXdU{b*H>O3I?QOU zV^<&;qS4|f&zeX#uYB5hEn?}t@?0&`(q0qQr^~$8^pAO2#-yZB?rJ~nZu*HhhxA*s zROj%7f`_rQ1+X zx0-g8A2n2%sY*=QTGgK2+PITkrP3!~-PP)juiW7Ax611$JZ(wZ+N~qcKhI1eM z?k4W!KjGMbbpRD6@N59)5d>U?FfiB)`CZ$|zwMX#@pOO4kwga-0GSV{%@5QDF+Rw( z13Gq8itN|P%75D}^W$Co8MXgP=>f7f9tfxw@ByME6sWEs>S=zHiul(#RINSc-LC@Q zq%c?&hbZ{YVfgD?LQ>Tb0jLwjz!^(#~rq31)Y8Xy$nmQ30z=n zN7L3-LB0wUU$Cya(HF+HDlSoQDE{)jqGB>sQ!6N?KtWH_*5?J{zkES|iKALHQ7|M} z#DBS6KgGepBMiW4u!#Texj}9k)Tyvq7Dgnv#XR`=aP=c?gjmKj%Dou-cRxC|<=j42&d7j0cl&AzS8Zt7Ib?N_9GL-#P;wu@7(RZ?@zU{e zUpYz!>Imao+*3WHOHhx|*rPFWP7dP7&K6EzKKn@HtYgh_STJF47T;|Z?aZK@_Ga_s zPtknOPPy;tkxmY-kzXd}siY9_Tb`+=DJ2|PF8whAX_J^{e?5;k^j@(nN62mUj`yCW zd;2nb$M}uZ#L47$u~9mh-4rG_SV_=`b@CV!m=_-Heg|<;JJ=e_cyM*9R!D6E#x*-M zm7_TMYS49D`Mra-vHJXg;Jsvd3#Wd6YJ4+;`6AC*x@oL5DC)F+ndZZ=P&gZ??R(XgxlPb$8{2t`9btvlx=WgQOKQ&KNdQKp8p zbiYxF;+++_c#SRm*^S6%aoUDJsrBKjA5U4brXJG47u<1VkF!7VWI!pt+}l@z*_xxY z@(<6s%@2|^P4U>@$mk?*X5DKvfAS3N&SiSVpV6^S*V})f3CGoZYhLc@|{C9G+D5P90_qu8+LI=<7_H(!6(aH*0O2 z*c}VcZaEDmWMNTke*pO~LdSF$^B4Ru&$qf|gD;;xy~leY-BHV0G7(=2pW!KidNuV*Mg#ZFIg~|xnH6?d=@C=2 z#8J<>ypo#cI(cz@P>-4XhQ7S1q^|b$(eF|U^P^X9_ef6CNu^97FRW>K++GoiJrEH; zo62!)j9|}=cL7l*yCai7aamlK$UuzD$D15G;_#}@YGtf(=BpV@RBZXv!>p1Se$BO) zu8~DN_?{1Ml}vu5xf$?T=vhi@`$W4Jhv5D^7N>ThKFP|arDrLM7l#hyDlcojRQ$ZN zg}(n-=*D!NNH6N9{qXM(DjJINjfc<`PUekmbk(ekzv!UK7-Cj z?cck(-EZdA)LuDKbahIm@#sMJTWOygoe$_!ysxiCrx5r&Wssly0+4mXubd7X@0Bm! zX`*tMX{c+RP=}MT)cV_~6cZW6o>6cAhHTip*aVpjkJCHz$)3EVv!|DnOo9##I*_!U z^ZnenK9~GZ-)_twHqS)9{@p>RzDMezbP0ij$6U&;?)T+3LM_Hx&St`9UJHD>u!lFx z2>hAx&%UzhV+uXpzj`pt9C@hZ!92+dk!lc4Ahy_U6HhIU*zN~}+$M-x_z%Q6lHa18 z|30?+iDvf`(0g+?&Ywh~1GFxnRtUPffkOeZuE6a?VLzeZr=WtkyK(-n8X?f&eLo%f z{}!WyC<(MqgJJM(j8Jt&Q27!@O{x4U*uznY!5~J0|Ai~~+hJxn1~AQLQQ+-^ zZVfmVo)q9zZLCe9-J4CT0#OtNDd>R4;#B=k6fj`pXllnm%-^c8An3%$N)-&pz{1%o z3PmyPVge-bK{2qEF(iT03#NmxJnBZM!9VE9;hGlTCPFd_M+XJU4@bQS5R1xy>34v# z{G&bZ5BhZ*-}6tuP5_KaZqu*(r(p0L0rl~l4reNV2(ir4rRjRne$L;PVgE^1*yR(D z)o-F@0|STYDfJiR3LG4AMMj0czM5MJPIVDwF}+DF!mxTGTuOhZy|>}tuok*E$;5et zMR?9!3tfx3Nv14tCi1nWyniNK*2a794`z`}eg|POEo!rf=sH%z@ZiuEhvL>iHd}=Y z-O33A;#thGBKLZl(yM|SSpZI@m3=;`Ey^oJb=y4TNv7A2zx;bpQ5Qmb0(FaPrc%=h81DbH3#&7rxy=tTErj5_=As z*q`{7=#~*B$A^2F`=#rjPV4#}hES^9MBwA^cy&=i;x69mosUeuHp(*8Q(R`&c^3Bu zrwXigUx;b>ej_^FE85sS);&@C@vki9gU`-!2pMTN`-BX$R8zsEQm)Hu?ooU) zn(HPn8D^m|_-gJ&Z*UHgR4_|>e%IRf7rxE5sz+OLnzFB6zND~M#z)4w=`x4lp|P3p zepOq=n3sq1^o}{&Um{PhJbh{A!4QP4E3^B4K21AU4&rgg;=D_csGO6Tdy2?stvMU!w2t%FPiZ3jQ zyd%}@D|HoJP3!Y$s$MK23jIKPGVn{SuTS4%tA`ws+nJ!<3?_2L_(zaIw~UB_C!UKp zCO}kc6F&K{n!c#%doFP5VkN;K*$a67?H=>4aGr=It0N2bn)a(K3p=#yg-XOerM*$0 zIAk55&)mt-B=%h4m7%?6P*v@oi>18Z?RMUVdgz?5yImjH?1ZnEd$_QUN2zxz-HLG3 ztH%j%^ob*Vz0`}Fl25qV&IKW4zdxYE+v{_=_$WIS>5g2&OsQ^zB@43Vsb_0v)RqiI zP3ad224#wqKf&X=cr!`ya&AN-s_bkKL2n*L5H&v|SC%DnC2KP1^n$sGA9k_MH@odj zAHH*E(8MiKlC|q&)1)aC2|BOb$90E>-qf}b>WFwaetXmY^ts8$zG|Nf%uGv1<@2K1 zPc0uMsk9jJ>QXy(F1hur{q-9o`j%$Uk9A$o40qmpdfKdjocamEEHy@w?UP#E!AF@H ziY@A$7DkQ90!`5h`<)r=YuA&yiZW>OvyOcTh_5HCOJ$p&Glg2mrPN~z>@8m+~;#tDVwgIRK&XK83`OoNU-EHeKp{c`0iQw!^Z=E07c`&~3& z1Kgt8R^%BU4fh016+(2@&RdGg z7o3*z)-RVv1xQ4Bi5kh9zQ1`l^ljN(vh85S!RhaHUrfXoZ5BF}+Y95t?7i9bmgscN zQzM0Bb25_oUn6F_U!FI-D^kkLdO{7+oO5Oj&dXdhjMe+xSQ^LWeWI_FE#Bw#7JBQ;qhDc_i$UGk$k|CL8h>Qst%T!4rq?DP=Niviv^H64G2pJ;1 z`zXI_O5I!k_j#Y^?RoB{vfJys&;IVc)@QBt`JnNazfz?BY@?9j!Wpw<~Ezaaot419%Om8SfvkZ@at$J$f<9lQC_1xf&Y zMwi#YKy3g&nxGiq!fSemziJ)+*M@Im+Wa%`c&+LlUEc^wXh2=q8c!}*a6TZk2+Fv2 zbB}*rxw(D8w*lqfdB1ZeoXoB6r6$P_y02RN3s9R=5b5=ukVh9CXr@xpL-4Y5i2V3n0 zlWv2Xw@ixe8Q|N&Uhs-Oz*juWIUkU8DXXuDrtALI@CQ+c&+>pr+q7_)~p$U;kzexX(XM&`sq`u;25S=twWDzvd=LrkBdILbmc1*7H`gj z79*8_DyeINMXYRI3mO52v{Z9l+Cyk|H^TmBC(gb+*G$(;e}W^SUG}re{!F-L9M6@E zng{i9KuGS}1=+9PivdS(-Y!eJLj9*&m6ix(pDQ*!saK5Qb5S`|r;%kF$U zde@im0PY3MJ0YqqUn0D_xgTTA<5iwWH+QibfOz@xhN!SSsW62l`;e4c-;~<#a}Jxr z?)^=z_qRQtPBka%`e_lZv}-s?hBs=;qs06erB=jF38y6_Nk$Hwz|iol!74MGh|LOh z^06_KKG0Q1_%`&+Gl38Imy57?p1>|0QE1|cHjPW@zZ*1KZ>sg=W#F8L#)+gd_EGM> zi6A_dFEu8^&f`}ImmRr0l|v2dIxfZeT-TcD{D{m$$V;U`OZ!M&DPNTr5b|SQ*n8aZr*2l22SMM7higha;;5lTQjh66;fj5~4`+~-(@+F9(3KR1IDX*UVJxvUX;}2e9)l{5e>O9Dy^N7c>>+#ey zgN!2$>*vX9QpEo8d}gLE-?829CN5jaEOkwy^q&sWRmpJ z;rw(G%lbu6+%>V1hn!k%Nneh2FMoP$YNTh52X|qy;EQVCqc!xw_hEQNKo-Zs0~-Na zBoBryC*$p?sKyIH?3gruu@bd(3f#31xj%j+A6`Vdx#Aianbvl22K1-S`(V1jE%6}L z)-1=lB1TGi;8vj(T?>(xh+b99JZ4v!<)4 zo*$|Rc;Z_8Do%ub=5oZ%iHNBBX9~m1&4v@QHtvC%RG+VK;@FuTF$yMm7a&LlZFnc} zye<`Eob#QeFc(f6E>x{u^_;!t{Hqb=xOT6zT8ZlXw?1X0Md{^#j%24i9wV@i@nIWp z{T)bhT1$(n_M?_Q7ajA>E;vvRc4x&v-%dhaO{ zyS8wOi~7vONvye7kJy6GJPIEWe#{2w_xmOiGpmaR@}mQ*)$ zb_5M6+%vy6T=C_bdZ?;-9qMcx1GMTvIx5eb^jUHbbqW<}#d0;?w}X8x=Wl5l-)c`W zG;_%q74s>fBVdMBHMMoz;(C>psPIiP*;@ZV65Y{KgNT;5gYFS9tFK;0MO|X7uHE+e zrylRC!0gNpm2<$*RW_E+k+#|EMDp2lxu_B+{OS3&`i1A# zY2oR~rCN^p^Y=)N-ZmC7^rB1icrL5cT0{6GU zj03J%Mc*FIs`j;&De9c!la0{6-7M@GSBQO8!DICCj1-|gUzr+TS&x#O6Z>V>+8iDf zvs~@N!zZm+g5~i(vp$jAS3VY#5=tB`gCP<3?USY|$p(vO*#gpRs2V<^X{+=7$1gSITN$RjG>2m{x7mjLmtNCXj0dt0y70r(ud&bFd?l9cjQ`Fn<;Zi7 zp`ZvBsIN_*s#RxpYu@6-o%y1-EDf`+`zUY6@i$NU-G)z{1lma2FF_>tB+QYT z&3Dt)3T4cUOuo4+SYr^25v8mr{7QI*ejfYm>(QV`ctqoIPxEk3vL0Cy^h-I~`1)j` zzT>p4QHck+s7I9&p3&&(P&(fRbx!Uh&N3BwHj}Q8rM}8{6Vp(#T``(*mn`I&$vfus zqS3XW&dYcIGw$@s)rEzMhBCG!J?_}Ht1>6sL=#(Bdg2C~dvXp%^POeSP;vd($CQ4s z!KBLbBH05z@0u>b5xzhy9-#qPnJWbr>Ki8X?(=6mU8|d@qknR(t{tpfuGMuy>tEIz zt`qS6j8_ln?0}1X4GdTV8bIXrKY~4QtNr+^?@%|mgV7-$`iH-hXQRJCM>ks`gtdS3 z?}Xw|kj>x&=@Jlz^MJewAi)BO9^h!ez*huhm-ZH3K(J?G3==RxAdo0tAT7dcDrjsX z2!5g9rU*dlg)-XSC9+AT;Lk#FevrXILm!|W2d#eaKY~5bxyJ|JrMm@fH)szLfCxYk zyn+zW-76pn8Vvc2K$|g~&j>WKLbns_{Y_%P9|U{+poR)CB%wu8K!gc{qvfl1X~+Ff zX0L6qzqC(&@av)bhM}OG5;Plv+#Ap~0)2akT_l2d(S{>YfCrHuv~wGqKuqBRf)IoW z1ZgA)69f%1=%TT0(8pTt=kFShF1H1AZNP9K`T_cActIT~RB#u}25qx*eJy%_z5%)XpY+Xs72zj|6br*7y(HZyVFFJB|d!wP;$&twX`Z zwJmhq^0?@_+?|G^PoUi%7nHXHW=n7=gZcva4t1_z>fN^nnm-j(%Y$OttyY7nx51Sg ztp;tcfWrW=e}Zac1b||!U>y0mns(3oV@6l3o@PgT;&y!7<9HZc3> z%zG};gIZ<>6$FCki{70q_2{(-?(g^z)NmUAu|dmcY)YQ|1-*P*5lj4Qh`^MtqBq4< z=PB!mzge5i-5)66u%}y=Q|L?YrB3C^5ri}&x)rb7DvxVyJ-|kC!uoU3RM%HhH@u$S zDi(QBq?g5Y<2!dsti_DfbnYM9k9ptu%Nw~CGtMjQGLex>RtG}wJM9H>#ep>EENnAb z%qR(AQ71nA9txX&-$V6ZFuH&QO>jDbA-mK7=KwQE`FACOWKT zSuGT2CMoZdIqH%HlArxyB@Q{tDnrm+lV5{zP+C1b#xCr7mYPQKV5H25n$)c4$w`a7 z*O*Bjig|SV;67tMXjtqSFw$!DZXcJn!NYg*Sv_u#?;WV1m&$Dp?3UmZ6(3}kuPO0c zV8X8bV#e&NP9+|Ap-CdFNvzF#gn`GR7U>##^~2G$R~c6d*e-cLc2Vyamb>vuU3N;d zLD)q^-B)|+dB5vaay#FV4BK>+6U@HTE4NJaOkJ?kg9U+wR_xx%gs~ zt?R-k-Z#q{Io?W6W+w^>uz6%mPRI_D=Bf6TEn%$~!qcymw&t!-zUDNOKjN5)Aiz~% zxH;WPLBSc4b0f&sdrpVYI>DOnF>jTGWqG3ft0SbZi&+ROKK3p(x%!jPpT9AseBba2 z3vHK!l;*Hm>H_tFw(}$}+^MF^8z8RP;oS9_RjK|j-Yv~KO$E%*ugE=r;u^j3DoDpn zj@In+IaeE!B?_x5$hTvik7z6N#;}VPD#mGQm1}H>W4v>8?5Xi&$o&&n)b{Fsn+*MMRVx0~UEgHt;{`X(i4W%y zCQjuB6m}S4&7V@yvvgkx%GhIHiiL5Ai{a*%qypy5*bK9`37;ITs&w6>Djmb7mJS*R zJe(Bc5!Iz0!Fwm7GUBp?ICan*Q+w=vkPTI2(*es7vnkQ;69`(#TP(g|>K6~&Uh3c{ zJi!7xmdYsB<3Rgd&~lOji$(U0pZOeJ-)pE+7{!NJIaCaf=SMNu<*=n4UzR$ zL;^(q>p%PhkwC0fD58h2m=3>+F1pb#^`=8NB{8>;E!Hm;V^G{xAPv z=i5{z@!kHv6Bn%WME$Sv5*^9{$m5rdmuoRMkPZg?@jw6>YRU^3@Zq5R6NLo5bpiq? zm;l^#YXtaxz5kBkKROtJBsU=L09XNV%+Q$-&_V}9Zg=Ut1agBaq|XJo_+^r;1n_>B3WCP+cl4qJR<0QIN9)OXG? zboCi}Yx9CuU%oX;L4NQF?7rat7I{T%Y6AE(yLkaCDH`eiVKy2c0v|VELj0B4Ypo62 zpsVjU;$PYeKWNyDpaewoQSQ>Mj@}FZ7KQ(QgZ~}F`GDaF012%d z&WG-@2b=ge4FB1xZV1=^6sufcRRBJLyI@W51O1`_%o4UcBKYqiYXoST`D=H`8sP$Z zX~7%5R%D3oq(=+H0Dxro_X{x8K}<||jnEDMfK3tbegSD46wt8&BI@9@+Xh%8Hfe7B z+4}`l>e1wLX#N6F&IiOL(7zJ|G=I=voWfwI8=OKnm~zff#;p zIB)Z@*4)d#vw_!H(m-E3kk$t17uYLkbqYXg3cmg#j|4^2i=hCurU?{=G6HH%ro2FP z2M~?{&IJUo$qq-xM)2+rSNq52M$7MjmTXYW`28>j>N}u!d-q+!4%gk($q*0f`WkNBz`~?x_!YnB7c8q_xD|b-rQ?_W*}XMHXpsYcQ+cy1o4|1A;9SZ z;|EeZ2&A!*5eh842|v&hGBq{XZuDQg^45%Aqvil161q7K7z5l^bPDNLr!#m5p?ti8 zMn+ImQ#c^Wg`1#^QGhfTZX$p(hVHOO{$dL0yV>Zi4LqXnJ$-y4XG|7z%{T&~fw* zSop>bveQs>x@Jdyj_>%wkAw3Mv2c*``A*aXI`n>uivt}tGzT9#;0L( zP3%nTNI1y*{4Nq+uc>pP3+To!JSKq{hI+;xWmmpAnHo31V-c5}+eUG2pTrGwnE(dn z!j@W(uS70vOWMaQc?lmacp7M;E3R?;JiZ^*!w4t8TZ%wS-b5G9> zOW(M7>tI3i$dyiV*6EMSI#;vA!^*zRQX4D^E#0%b?|ys#@yz#Rudn$$ZE`AjTtDX` z>vr?*Ac>Zra| z6tt6FaV`$%%u0M|bL-8^EVfVdUZJ$(TFlS#^AJtp9E`lz&0?O}M-xucJvW}9Gx1U; zYYbrjmM??I`Vz`{#v=T&y;}5m;`0%a47mESWB9S8gXc!SstaJ>@%|<}7upGZZosNB zYa|#RwSuG(iC{7GbsL-0!^0FN%^MK97@a9zihb&oPomNVlg1W=R+5(AJtk|8EFGh) zL{zg*d{oHc_D;Fude5~!SLV(nu0EE&W8TU0D)C0mS>}d;yGQx3iaN1dlfv9}gl=ax zKGK)H`dl18FV^i^){9ZO_cU#RB1P4WbpZ*h2m=mm+mLIY5^p4ta>vrJzB<#o*Q{!< ze|mmhbGP%nosJvaSSM*ow>SgRBC58$pSs_aUqnzgDfq!+~Kd{G5HE=QiG}Z z`+^MP`yRI*KO9@kU1q-g#XYJl`Kkrm`Rklveuh`@@hs|M0_=-bUw0WX*IFOoO=e>} zSyU39Z|@l-?>2GQL+`BQx$v}%bexj%Cn+(wwNtatA9%YH$Bp7DoTLlNw#~|ZfoZF5 zACKF^=MrEb$6^dKDKd2z>D$@e7cz)(oH`;ZvtE=PKS58SN>&Jsx~ElBhe z6N@wUvGi*uI~6GgaRzojY9p8Fs&l%i!olcUZGE9LIzNh{s2c?|r@}f7p=%PcL#+IpMTj)y?`M+)NQ=imD=C)+?P;2{&H! zA0?1@fNi0W9P4qQ-71a!es%E81L`To9A-Fbqx$W)<$G?V!mT;%#r-s!p7os8u)XIE zpW=)_z#X07I&CdE_+K%(ud$q_Flu%5P4?NxuSzT{VGw#I{|hfepyTAF)z9~$aqY?R zXi4y*IX`+sB=y>2t3l_*Cm&kVPcw_32?Av9p3A~W{9-fZlp=ic>GD{1VR(_|1HMdM z;;!Xurc-sDSMT)|zA>Yn?&=G|={$>k)rHOQiNKe+^ENwD<51q~H~24dh*D5D#>qeD zjVlfIwY>lGpp-_pg!Y1;6 zE@SSLzzt91XzdvON%zt0{*3nrsjvv}bSfS8Sdbq7X79BcJfyg{$cU)uK3n?dhHlR$ z?0ar{-5$%c(n6D$kNal z`x+hC+BfUK{ug$;OYoP1y|t(V z_*Q7jMF4iL_3we`0|Z0B?p@;#fE^nHN^E182?_!eG(s7JqBa2(Q1k$rl7OEYx;?Vq zMBw&!)*A%y!0t8XNHn1xh(UP3*WLVK01knwJ5Z};YzpcdO`$M|F#;{H0-gZ@2epse zmwOWf++Q*qK(T^opb!+dz|fd7s3F{av5g@pI1+|L7@;KzBB21U1<*Kb zdv0PA@!TI;jjp!?c`J~Z-~kaT6s@oX>Ks8mEPOXPUQk8`c*lV4Sy_#XJpf9;iAw;EKxK|y^8TK^aUz%Eb;1R6beO%@>qktRR~1+A$C zMWH}e%mm=*0&rt=oCh@qiqqR($+d&#FTIlMb^{a^t%L;P={22I7^q@`?A+viv*-rVLPn%#!&As?Gk{{f@CZj3P6BpAQBquOTR)IE^qhLLwnXrU)P&vBT!x7VxdzP`?wQZqvm@i+ZnxW13pp z=l~9An)2}PSaP+gXml;i{LP_o#2TaS`u^B)C`j}HiScd0Ef~7-mfCSBT5Aj(ep};W zFmxkwyyH+Hv?BeIf3=a(nkt~tVB4$!_4?I^3q-O3^YixI2$pGM z{(Q%0{mD=;>#qCa2YV_MovPc>=xyv*oA$=~a6rojcKr??@2_4!aEU^8*ciYa-|+tZ zzYe5rB0l|lSQUQ71c#vp0baaGmNMtfBYfHaY!)@}T2Xb#IYt!;^*-4RWLUSsy^Y7w7%74opML8H>2uJX1gI{&ekWx|9n}$h_CH`-nsjz3(RPOsT(r?^b7v z6cOVKc85^QoZLyvle)^+IePBhQ^7fW(Lr_pCj#5txHq3j4t3xdi>&Y(IY&$1{p9W{ ze7m<)xk|Hs#X!j);w4)5 zBPE$TsLM{8s+0P^ZDyhRgkP$2)70F~J6?i+$Xh}@E%RybnYaQnh)9iZlTWV)r!ZNPRjVA4=;8=)cR8x-^ZFta@|3 zZEvt89$$0D)MV6f>+2S$BgdV5nZsD29l71!im}H%(iOQXNC!fsNSghhq>XXKc8R4u z|3;CnGur*qvH#4<8Q(Hl&lCk2NM-uWnQ`V!VQv#F(eZkJ{OKSY|J z9#g97`)WqI$KbSApsbvPWJG8gk!=}~?C2z8?#ac~gNcyWIq;!0RDlmU@rx%8gcNFd zGS6qZh-`*2f{wq(Rxgq*jPeg3OgNWwgoJ>>YkxsC$-R#x=i_1`!i7%_og1_sDC1}+&*=t_~J+Hw3MEGc-5Y< z6?*A`^d5n;*-`Y6K`fI%g#F2y%s_un%{PhSh1RSYPUD2GA24`L92&)o zq^gknQyJRMdHLvPdtDk8`SIcib?fWKEpkCNoF-v$62EhEZB2;xo90 zbZN}J8BjsVT%%o(Ypgti=sm`CiHR<8+~F=qMA>!Y1GgQ{-?zM_TCzefn?>T8?^5gp zCqADRnLCuhTZyOM0np6{|&pXr8AtAV;-udpf}z`l^@k!Q$IGE4ixnyzp0i z;fTml65BfipO1WbVRDaH`joWdxJZDJrE;JBlpi7O1@^{})*(yBSvu9U=TSGtBa2&P zZ5-Whg{Wdb&7)3`#AtkDmWugaP?ls~gdgK1fdoDqUhK$IyqkLR{n-yDI}Lll;p|dF&~W$-X_2a~E!>mVCaDXsQ zS*lO%=f#`ycBgsj`{nB+wIXp^hx?Xls6$Upbz71V)a`#XUXc_d*pT$u>_(lONfbQl*XXAm$7-)w?>#puv2>6rokxhJ~e`Xq}1OSQK zwF*8cC~rdd-SL9%9W>c1aD#S5UC`>r+cUdstGga5{2kjsDGMC*x`Coe(1r)97SMdO z{JXB(zwdqpfX23+`k%`qK?nv%Ls%d@fr1nw6io~Q+{ZPgP{dz`DK?Tc{b4E5`d&aQ z9fR6vv_lGX@W5k$hNWNCfIikp<%LrR`~zQ^Z#F>e2c09$f0lSI@`dDyIC9I@5Kb0x((N30vLcre={cdC%@j` zOiy^AQaJU1Yx^+loWG;i`=hu`iD$T8keZ%~VHTJu?RzH`GK9#mE6-$Ao_kmDDSk?L zrhITPI#ElQ;hZ0V5cO9+G*hm{729>D+;fFgN1o~1z^tV&hj`oyBGNYxVXG>L+^@(J zwoU*>qbP5G``yHqY-2ws4+mKcshV+B z`!yzfK_v0A->9l^bnGC?KB7cVG;W;0gN33{@077w4ND-K@AKroeb{~=X-)s29(i0Q zg`{uTMPZhPJ?Y7-);xR9?D9@YLQx56s~6&_Ws+BT>Y*b?gRUj!^e%Zdw~VZWN&DB_ zt1xVsD;w?Ta3*^+*vXUrfwPEf_+3xYfQFP7k5yOiXGM;DmU){#KMkxDsYi6K%&Q`p z@9^Un3P{g9-7j%Is5bblq#aj(tebvxMZbEi@=4jILZMqHd9&q2Q{tHv)%*l*mYnu? zxEQ7qUT4+5a)L>Yk6mriC7dJNt$5yPp9TZz5Q5T%-|)r|+1UY+S#>dz3U4Yk%jV-i zydaVC11CzDfai%1#@km+!LMLlu)VC2TAad<$6eIAt`|{o;QGJqEpAUGl$_HZ6uHmA z(KW7z>1@aZJ7yMoj$BFMQ5w7+p;j|QeH1Y_TmN+2a`BzrtAx^#^hNDfJ#ux?;tSuX z{K-#!urXB6@x*$!px;n|TW=LQ{^;YxJJo#w9Oow9XA#;;Ie)e`Q9K@;!jm@1{Ln{k zh1O@!U9&g!C!fC>b30Mu9j}x(DxiH>Al`Vgqs9GND@xa=IygNgF-||Kp6jEowhmE_ zc%?qP)|cBrGD%~;q*5=(M(~rE`fPiDty-~qHrx3V150hHH8}VW8xBdI-;-crNYGAhzoeg#{_R*o5mbhBR44#rm_PbOz=>0B^<8nSGET{P z3O0cS?Gjk3JteWvek#yg*(*fEOI=JOTvt1L-?}hUvp{>qXD(om&T-s+ z7`Y1T<9jA$T&$Onl}hF#xwD=G$JCDSyJ9Vp5p#>*A60a7EX7dqvcA3m!yMgji`mt~ zaRz(L>#$=2Ec%uVU;PkIzSv>9l4~#bsy22M`gcs8_Z)>K+P~h@%pmXQ_L3^D5*6pJ zbKny}@YCqNAUo%AMWN3=P^x^!TZKb-w=VgQ-ww7OgXKSwONo1^R@fWY#r<|{X)b2W z`;<4k!0GqI4R#oFD_V=*`@9@VUcX#Lq!%q28-5mC94K!fJXUqF@Dj{De_*C-Fo(`P zGv4{(vn1jiMLKCFPPU5;q7LusHI~9Al*El%OJXTQq)Z=~2Yu9%jG4+J&=53z^|6vc zCG)tZ`C~tQ|z&+fBh%1$J)`qCH7crx&JE}?lqbNbjb08DGq$|J&nD&4Qvw|!k@X! zAhdvhMjv#!KRT@rR1QF)ESkUsw95n^|GFS~6LG_ztuLti2Aanp6agH>z=vD+ z?9oNDfcJG*yZoJ^uOUmBes#zqLnZ;gaQ_$T^|+f`L&GBb~Xtx={Dqk%cOju2A2=G z%UkUQvu;apY#j>he$%DS(9$~FB}?KlqA}Xo8|YskleHY_8!G>_=4roPO5mBuOkd@y8v!AqE6Wox zH!N$|Uf|sx9v^f4V$tD0kahN8-8F3LI&A7^#}^$F9xxrPGdH|S6uCcW(1-ni!cBbt zsT&N42BKBLMLRbeXCuinsweWF4Bg0O#pPaNRT4s5@CLMOpor8a^B9vco973P(3mx! zKa_$R%;Tw^(lBCw_e!y6`t3!yRRbe3+xYnV8$R(zKQtdb>&GP;QvO|W(Dw3Y zgmqd85{lWM4(jSQ8R9KZiQmXX+DxV1@Jfu8HAR*XG?bUjyH`9U%!W(q6bIv<@qg~a zk3V(yOx}c{0$G~pO;Sk$*nkj+(PK9m^I`W@$-8^o*20V-k!k#6OnEqj!lC3#o!h{#>4blHefC$#dm+kz?6XyvZ&) z;&{pD8$*#-yq{~xcJoVXRh={^;{2SpOx5|bg}6!; zaZU0kk_IIGa^>!^2v`fD?8l#J+<9m)Q>n|SL=iyib&pDw{PkS@^>Z$H4`zp0YIV3G z>_gIBDZ|)KYaD(#wa`n6A*F=trzsJ`=lg|&`@_xYt`hT?T*!Vwywv+|bIvm&hkNn@ zLXt!s^qdVQ?krQ!%%$Iii5K09q&@nPc&b@BgJqC(Pt-(bAz@9KFqUQWyIv}m0;c%m z#Fb2HKG$xRL&g*Ygbmx9eddidbw*Daw)Yk~NMPlqLSFB^3V9SXJwc5@bx}5j8;Zn< z^fJP(x-yX;fpROF2)4 z>BSZ!nx-!fnt8ewVV2tW@mylv{$v_@IoB?^GkwqWfjqnxY6&dKJ@z$ zX_!#T70Na(KD~bTtxXL)^6{kt%&S^qx`v)SuC63R+z)*@o;G^t-=v5wA9SAi^0B$- z^rKW2ja>^V!x}RGo#`iWn71Za6K-pQus*MF!EgaQwkf!&4 z@O?t zWg^DJW8dY!Y;v)KzaBUzMKJD^G#cWS8DAAzC=zUz|7`WD@xVF8qsjV3Y!m4xIJ3qd z5#Aca$n-0Hp_N5Vc;QUmJf(!P9_6RJy`rx^c+4!0J|@1O67ebc2-Az+;C=o+QJtdu zm}7hcjXSQvc*=+`3FJtO%)nB=QgwuVAxN#9X8W` z8{@l%W5FtWt?JWm%;S&BQLiwZusZM-^U^eX_N9u!w!0k~8P@0e;jVafe2f7dQxbRS zh7X+(zL$tQ>qtI~J5eU0Q@%nKXr>-z6+oHo5MzY+#)P4(uX~e)Olc_{F<>9LT$x{RTQHH+ITX_`1!x4|{4qIENM7a@B33 zV`a5|VWI2j+Wdgy{p1rW*j~LhR-M+*Zx_Dq&AM86l60v(CDm=Z`CQ-iyF8T-m${I^ z5@PP6Roc$9$0E#cG^ClwASpAK?qGNe;6FLfJ2%i+dS`0p=stW5E3Nv$MQkPgJ0+Dh zT5jZwVW%nbK11a>%Vf<2srTHmLI_%XBWqRD!;p{ud~HzL3eNEj`(VnL@J?X}PAEqhzq3AAFbc-|C zN+8X$F02AW7dZc_Q}N$#rHv^1pM50j!YZJ!2@VQ<&?57IfdV`S9MI_fVk`Z7ySIgg zYX{M9g@zkXthM-V(@;=a4ya5(G3d6S2gFO;s=BrgMT<)AkR$<7+P2ipmZ50j9K;TE zgJ9NeQRUX5K;aQ&E4LX8X5D?6(2b;Ew}Hy*tpOgGbwhyny<~68tmw}hEgP_PC^&XE z4E_I7YzQ9Ue=3AqBntmtx@A*L{UbJngHQi=W5Zxo#S8Hew9bkfPU?sD_7^smS&EU# z=6Q$HB<1e~c;1xKR@lpuzPe1*p1^FCbermS^##%1pw~?keu+@{$&R{MPuKC2haByf2(9-Wt|*<*4ZHv)o`15oG0MwoftO* z*if=n&?`MJY%_J9Q+!sB{Sa?7sNaW5os$wA)@>(CP=Qs~4pC|0k9T;tUMn7hYrc3bI z&`msM#;y#{DQrr@<<-lNkf%)17;Y&XTd~Phzy0~vy;>!$5eiImwUoLz0zO_dyF8^* zea&W$>y*3-eb?C^!jH7Y5}m5Y8CDt?(AVx`t)^FwL6P9n>}dUl@&euv32c9ga;e}qk8e$Quz9sM{ty#) ztKfw=P7BLcB`wxlMLgXmh5qie9C=a|gWATfORr`8$}3r6<+9nA2)@fGj=ur&Kl z?%gI_>L>2q+K%7q-l0LX-$0o`%h2~9{2BQ=;s>?rW=whQ5C4wq1_uo|fN&T)B{I?Z`Ue}pH#1Gza!Sx@ON&ejmYEw(YXomJQNFmZjNzRa4xGHDJo|M^0><{)fKiKX68ZM$(WwwER!*HRht`PsHi{L)Ui@K^H(@ND z&cu^qjr881DHBdTSI+#bntnoAk?9)p+5TRy%X%Ia?-6f0NsfH@7J=D93RPw-mtkwt z6Qhdo;Rr?HkYe>LhO53k+{YKY6n!T(n@)s&X>mD&sb`JH*r6;|gGIuQ)E4l}S^)sr`9?Pjn90UVevtHxIuegbIM7w{L6}46f}rOf{XI%1 zEoH)b`76&cBjYsM9#3_*`&fLSxixYi605sjS54C^?0`xOcNfE*W0qM38-Krz=1RqmM)3P&MPrB;?ykMn%u zSPFX#=hSs(OXuhtJ1=og=*jfWvMKeUyDFIkH)qbjOi8p<$R>5^eMYED|boHnAutkQs_HM z1|x)5I<@Sc1A78nN+|4))hXLF@b(i3>Ft{z{W#SmZeaIJPOu|Ql&4H_sG|(yGLEmN zes59$Ra$9(505Xu-m4P6cgf}gy`6{RTX7#5awbNuI&fAHGIFdsu%6tbdqow z48QS&lg*-t@iaxK;;oP_p@~2eoMZ75y`CO;YL7amQ7;Ks#vo+lK6hx1GY$@!#=KiR zRT+CSBe#g3Emtq>0q)snhjWc)jY@F|=M7yh5x&jHb7`IL+T(#i-Zi|K){)9l^hht5 zu3?%6gS4^ckp2lD-xf+{3R*jcY?nxi*8>4(UU@K9-AQpkT${@ll@1Z>$fsosi5ttR z<(cL)nv*-0++)C0bopGNza%NU$EUy>VppjH@@$X0&=81gx?=0Q=U0W6WTlI6U$cyO z%S_u@S?WP0qK?yd+)`ik>qsSb_CsX?*~s9~52Y8Ut0xGF=qt6DD%2@&=(AMe(L1!? zjric=>7BQXK@-c<-xBlnu-{=j=f|tqGOeaKJ6_-$qn)2!;OGN<%LTq(dHO4SX6FkW zTrTUPWQgrGUTd{xzjGl1>a2gjrL|CP(@;%Z25c14cI`Qyjl%x;C0b0lA#Baq}_~t5!`|%0h zh|20tHstX2)qR|CgBA&7D?-aUr7Jt*%JVSG;WLIo{B(lUPx#vyUfSq#cM~>TaJ&Jp z!J|9;<%>%JRlGsh4b%LWc?vQ*4+YZ)5HIH}tw_y@zqH<%JavOuCi%lyvhd2&#SEl> zX_y?zr>pmGWwyR~ekmucMMF#5WKYDgyo)tLbt$)-==XKNDT{`M3^_x1tL?)YIi+2x z4*AK<5nu1t#Qty{dYD@B@_3SHsiR&!B=mGZYGgF{>&}-(hbax=<(Jwm>GT=YeO#Uy zozLjz6>J~rU`Z*`i?<$>jK_L?i9r3$nMRWj*vSuuxE?*Yc+jAB;I7=9ObO;0rGSt{ zcu4)=+>O>SR1CLP<_ncVd7qlhrlZ+&OlN6?)hX;5Q@M)k20zMQeVJx!5M?_FO%9ah z5cq8Lx?+*<1hu3?(3QYch^TeH$LNQ2PIIi`OG@BP)W z);%ctYUj7OF8j={KJkdSa@w5io0PA8=-Y^3!_*1(QGGJgkm@!Gf_}c@Ogd!WTgcNrUYCixw22N2#>Ve)iVnGVTK%xvYpfEl*U^TH4i;hb z%!-;x(!L(4MQ7elvbXHRlS=HD^I1D#qy&0)OgxJgVF)#}6QR#Ed>*ySUq`LbS9eh_ZZN9fsK|#l^;1(ttaAe5ANmg{5*P-K~E}>-s}@ZTK+Czv>LvJzBkQ@mLL2 zsnjnRAMrXpyOBb`CY@&D@;WEzZ7bIBUO61-zPqj&=|1?4IrR4u73K&vBM%X_Kn|sx z=h0BXWM%g}l@MT2JDAL2)P>3Tyhcn>+}67+^lvE(%yddybO)kEXF{FWvj6no-ai5FkHo+U!qQEdJs3#lj3?PSFo#!~yBNCO(?<^Be2;F%W;r;1rFijnmJ%M=S+m!MJSVsTedM6%%Js6?h9b?xS zZdftgMC91!<2vf%^DI-c2h<7e;4ca8z$3l2rRV$Iwb@@1Tw~V$D}cwE`++X21F|*f zKphUa5!Sy!*XykP$@j$8W>934-|}ZzJ};o@;{ys_Fn}zhrPT3vq zwE>C)7~kn(px*~Dl>W4UZH)mNi3FsjrUEDwKQLGTkcR@=5+hSy7|PTb5XJ~@Q#kwn zvHTsg(X{Zu+;y|jT@Pq|@?Y8gpO#y2O;_OW*v$)QVL*ZkRAK^y0iy#S6wR@KhS7k^ z6zt~&G5^u)avQNPNfPhj#(CM|tlnw=C4ZG#4{-fDjgM#me%x?rhXuajl0np~5 zyNQr(3%mZs(5(T`)}cQYAp^32yBQ2>E5SAa88$Ri3}6x3A%NOie7SXMpx*#g$abhm z6x>8Jj*h*y4n_0-Ai!a^ZB{@Tznj&-;GYczXX3Ahf;LFN&%13>VBLna-X8{hn?kvt zwif}IdyVPjFJiwyXmi8L{Rra#5&v&jLKbUjMRetpdfYK6?^I2D&`BB;QGHKfKL1%< zkL`=B0~+-W*fKs$EM%$E)9p*2$E3Z(+0M?KmyTlfB!p3quD-!v9G{%`COBAsfcPLL zaj)LjkykeLWTUh6C}l%?5{nuiXt|~P=kc%FxEIpWFYA7_cltceqV7e)#V?KLG<%{t z_NpZAlOV0S=6)XH$;!A#@i|gzO36*rHp-_Z$<%w2V??^YK0EMbT)I*|y!_;zN3hoL zqNHSAWEfdC1s7*byaMish}*5xK6}JYGZAOmn%ZfKDODVf%h9vCc(GmYS*b9sLX?S+ z+_@)3NlDy8^Q4qx2j>LSUnOfVJyFyXWS6o&oJcX0Y>^rlCFqd$sE2H1HQ(csj&fJs z(-}{Tvy`aUs;wU$T4m7HWWkNGzv3{U$W-~HatI_?7bWER;vK|V*@?v*;}q2@o3KQA z&&T^*B{-hb(XRCgf28Sc*Tr$SeD`l%J&LDwGt#cY-knwE325QD=65L}Hurp~HJAJ9 ziF$)6q*@ertp9Qf!-bo~3zlcSAL6Ob*pxmU!aC>LbUFV8InEWnNAC?eV9i2_1IM|q zbu@AISTrX>ODxs3l;;aCWqVvxBEK+&QCfl2ptohj43%S$ocxMSY@6_n#wNLm$=NpR zEoQ=zPPJ)Lh&#JJOA`!YUO{nM=~LxLpQ@_{$U*6=Y)EQZQVp)wRcdF#%dUo1_hyrb z+L65|_#;7G(<8(Jt1sYLh3$w7(-}Dmb!0sHwipm<+iT6;GK8zV`Zg}_mo%@!u93Na zc_Z&d48gudOrwjlAMC(Jt`Le;w@Wz8H$zj(ko)KfaaMa8y2eTilauxl<*8o8CBmVR zk^6e^$T7!*xcc3%ol`RC>_pPJ$q^**nDJ=;yegxP!pa0XC+iB=a<7N(W{s0HD{c&B zsL()#$tG2tAmGM*Nh$TP=gxzZbsU3s^s%R$+(9s^HQ8&-vd&2=3^ z!tYx^Py5BA=6hk{Ou}U}L=EQZG}dW&3?_|}<>7d*tiPW7fS15_mV9+C>Va!!A#Ink z+M^OOj8l|(2g%7z@vjZgb5BNiUy5@^pj>1ljJk2oCyS)C#Km{Y{d#!*29b3wV$3UJWkwV|k0jeG#lc z;qi&PkR<-oRlfzYZa=mL8-%e1;tQAbt&ZM_>n4|%8A)d>EEQjt=7+H0Sn zGRIArPmMp;e^f$DHT$;YUF2X3&ZM)e*R;fBASabgD@FF1dpTOlO)}E6wr7@vbV#kv zDqG?9cvaGcY1cu!5|)Fk^tl3a@2-*yEvD&|v)d+qluz8#Ue3(gB!yF5NJpBym*-np zl}s*Fm#RM9$2fwwS2!*x*9^PxltaSjy~b>km$2WdUZ;tEl7L47VOYM^#xrJMZOlt{ zE1-vdvB+{F1?BPb)Wt%_;L1Y^&Zq9E>MyW7%=&+*dkd(lw)K5jkq+r@L{ULtv)QF| z2+|=U3eq6mNP~cYfPjRggp`DIqqHC(Al+Tk-SuCf-fM8K=iKY>8{-?}j&U9P@G{>$ znQP7Gt>@8rGCA>q2T#lMaaOv+Gv$6r=U8*_4o3N-0w%H|?g>9Bix_KrJV+ut>8*+2 zQI^F6Jl?S_R{G3G4(A@Th74;V9(Z(=vWHxa$;N9fbqVHj7vGVaMB+@2!t$gm$%i)1 z#7FoinUE1uy1yfl*}1Rl6yi?D^T;1pF40|>ZgIds>KrS5R`mN293hjEx|yQ9H_o*x z(N%4|eblZPJ;gRddu|eym-dgE=lHN;AsFQ4%L_x|nC*7p)5+BgTiLcH1X!i2 zypkT8vPp8rAANU3DeC-eNK~UXXU~q;(PT;l()8 z3nW6*$a9|Y#r{&8Yl12A<#)!q#dh-_e%cYFgEnG%dq(hF){Uo;6x~xXpm{(`8tttz zB7O1NdCv6;DY|vX1W#GjX1eFfup|MoJ;e=6wmPb&;t02qp)M6fV*&06n(%d=*^juG zu?u&$9yr_y-z&{>iKmcg_ET-o1%cnPRb)tacQP&cxFpIuTGv1?bPFed+GX?X$!N+M zTY}+sBB%`YLuayiW;dt!IuLULx@4L7MU!Qa$9%ke`|~91Wks7UdpwV*&f+J=+%;6g zQgf3y`wrZBCd?{teR0gVHf!D70r`coQOa%hvia+QQO3_7RZROm-x=b#vAwkR5chC7 zif^*_CWQ+@G018!D0cpce8FjNA^Dnz9&t=*2fB_#O&jBT5!BYXl|pG+(TlVTB`yN2 zOFBjhutWbrcDGM-x5e%bm>upG4)YpCts1r495^|wVOc$Jwvm2$|3)EaN|f#7QA7xJ zEE2J_XFBOwE92pX16MAWQdGx?*QBiDmdlwqEZe-p_j<0L7H^-Tx$#T9eF8FminoEr zqm!D&|6HFy{Gdc}f*VhK`aL`c0iB)Sp`Tw({~DeHxbc^u;D=R#(gx7s2uN<90vtiV z1O-2tf&t$7)0p`Hs>R>4-OJ*s4GnwPi4eS=Oa)cxa^{Fqx^7PnNNbG z3)L)os%qU~Q1wv@ip|FIDEHINCThP;d_SkRdvj81ew-a&{YzAR|5Kn>sdZUV_pwQuA~N3-C7S2F0V6Tn=H7NYQ7eTNwqYG z(`sggfJO4sI?eJs+niC>06i6EB-5+K8C!B5yXD8$3(pDXbj7O+UfpRAP2I&bEV`j)+*1LkrnzK zQpqzGVQx4F!TL+!h4BqoaRIdxB)z7%zpzpxf4uIIab|15!>cG2#zvlOCR4diGYs*+Gy1(V& z4d;GfKCD{2C^Ed+mXZ5VS=ZwAY?mC$%LV#tb*BApJdZRwJrM>8!r9sLxLw1q!=d>V+>5NpBOzqxv?APp)*bNsYl))92Q?(HH z8s4{LSRHxD5%7;W7Q$gP_wbgC*3y}&xfTXZ=0aZbZH^kC`91sI@v_A%;CQOq>= zo_{)-L1r0uNWWU!Mp zLZ9N@^QTR%_+Cjpq1KB=_b8Yw26NSBp)0X@PwT973o#f!dZvZ=@gr?slP;e5-0)Op zfjCiY%Udon9VVeld*_(}rHX`}C1^aOB+I=nv)uQw){e2DX_SCmm_k69*STbN3AY)^ z8*eNWXB-VELUXRYb-5oE8yG4bI3%KF3(A%Eddn{*b9V%rH0cIgA+bbwx73n4{O%RS zJk@6F$7*J+CDDR?MNezNc$wybZvDnFZyRwwWcD-hY>q~C^?Clnk>}e+`p2UY)g~ogJ46}+3{rV(;~=&z(?xp$`&an7`aFj0 zZaNyo@2`9e5yjU(453VTaP$6WcmT;gmPh-Tt3ql0NS>9FBrRo?{%_v-UJ)wR9Zt?t z`6!WC9MxhfZ(B=jL5z`dM$fO){A#uUmu%yzb8&ipl>Rg1R#+Lbt0h4I27BTRMrIzN z;%;w+wCb5RarRqCmt_tkADkIfJG0#+3Jzph-7(6+yl81#RAF7YO@Sd!Eb?@fJhGT; zK`P|E(1(HZL)6|#>-I&@Cm%dHB+=U-qY_FQS4+ARcY`m?G-rTThgennY+{!4^BQnY zq-n*%!*}OAOierzA~nrI3JzA99!$o>IVVZcAJBcAz*(~jB^~UZbubQ%?D(i>i5Z{-%`%DtpEUl)Xzy zWZ78O57*xKfP_kxILe(ImLy7Aq~8}@&221rqfEtZoA^O;v29Vlmu>iHv-Rk97w6%^ z;z4AL%4F%*lJcZrS>s@=`CR$Xe&7pI=S_>7U3(uCc$6`0#t6>)V)j0ke|B_$JA8Cz z|2;*@X)yK_>7-v^>=#7GOHv;z!-{~;KAqC5O8tABz;zl!p*ozO&s z=>RHWAo+S4w);Wq_*c{8Srib!IQ#1aITZ1OjQy#mKxF_5Fhc*Fbp86(zdHN}5#{$2 z-cY?$oKb@{QIGJ0E(n1x=eRY$piMjEU`Es52Gy|3%O_Bt*fg~ z->5!B%dky#c$EHrp0>RskuAl8cyjYH^5#!YJp21kg@Sf5MS{>pOfnCP3Bux$``~S& zH(f=%0ftqtn`>#)OH(X%3J(slyDL&{W=UDD zL=u0A&8HGV43EBjF0f|OTo!lHV@Xj!6_=kio8xJSD7zRLjKE~cl+$Bn3;(7BQ)|2m z#Gg+*Hup2CWib&O!#xo>!eI1bf7&}tq8AUbxS9BckcM5PuhbAa<83fdPO5^El(e^K z=EK!(-;TIYcd6WbnuwTRMGVFsVQsa*ze|j5T<`oOeqqGNw^nc=h%W zNzUTL?X3wS!Qe~JgOSu_YH0=(#e~mneBO*Opc-Z&^~upk?UwL?TTR5JPo>b#zDj&) z`7AP&ji*enPAAu#Pk29!ln~Y-vDTMQqR<=Mv?V-M!i!j4d((=_NvhHmu4Y}`!~6`i z*650Znb4`X~7 zT;72^S7Ki(HqLF=B&IZKck~ERcMOaT9z2G?_Vd>`DNV&4OFCP0lOv)~D4z5A251}| zhCjW)0C(#2q`;PHd~)M}bjnrIM)}^k1aP~i*Tfgy4jVc^o4YE4dZqZ#vD?`ObS;!DW zq#g3CbtHn2pxht??WR4ViryWh$!Ds3XM8stmr9b1&$|t`M%T6bf&=k1}u3sF= znL6LgV{>gpaNZ{FC7b96;dz3%lcLFx7O3jSMrW9 zxs~tV+9sNqqjF6h85pgcj6JaG%;qR;OvQRQct_!Jmvm~Xl;Us?Rv0mTa__FhgPWgc z;Bgce9gdO^LfXRTYjMuosJAf@w5yO6yKwce3E2~4Qbl-GW}WB2foWht1hLTheXbfv zjA?{MV2Iktb-$rk(oeoapT;K6K@4!GJs`Q}GxJ6%Ry^)4UJ;AV@Ss-7-H+yk2+6t{ zUcqMqNeq$eJ~Kpi8*EbT1Jl}?(&Eyg(N1fe*Btl`ko58L(AMkvE(p<@ic3k|q!q6S zlv71@D)_v7zWkgc>GMKYRmIpRF)r7yyT_1&mfdbZ++$Sk+0Tk{=Pwa0wDG8UK@Q2r zsrSR9r(sR3<$hb@wlyVHB^BnziJrdghNZ$fn}zAw*qs zA&6qMKqqFQV*KJnz6~~Vi77hD$W1us#kg#{Dp*yV60pdJ^gI(4jDDA(6k7Y0*2nP) z*KXtqSd*Db8#;njyo&MNl-)Rzt~KnwJ*$JbL}FpOcmHE}*?THCQA3b!L>g#pQ~&h^ z8EWl}&ZlD@-THm3pL;EIij^kO{J7I2B!YQ zORVDr0aJSO(#8eVOsov1lY}ZyyO>@N__pfQkRUv)9jjP32&c?$is9;mvy7>|B1lm3 zB3Z(sb#d=KhRHN=yB6r)MNgrLGsujCLMiXrmE;90@q!$u2?I0tg>p8+HV6d=i?#^K zKJPvq@J83z_#9vq>~a(tL**Y?A&p(CF=ok2PbOQ>8rUGy9QSd#9Q~lIS`tXUS_SdN zS`bDUmS9+g=Y?Yox|c}wYX`ih?_uSFWIVN4C7G>*3&V>x%gb1LUg^cgPHjqT1CFGkQgT^4li zt3Ka~`6;Hz=xCA3kuLJ{&zU@8I2Xbt7*% zOZuFS)q-lD@q>dY3O1g17SCFG1*b@eFVM#GCcNjcD$MEEf;?3oU)w!X@Ui}ioB+^G zB>+7#U8XI$>_%}ai26P!c6zV!an<+)FntfAPUIi|>)xC1dX)h&ou3nAC;E`T&jkWV z=$Fd%52nYx%Ev5Fr_4(teqq2z{A3D&0euOlVW?je3VyLnyZrr7|*K=U&F;(jB#F z-l?QTYa?WIDVuh$d6ZxptmZB@b4=euD!v#sfmTlC5J5#1ePvNbOyEj5IQ)hHhQPVS z%Sm3V0=gU)WhJ^+&^Lixl+VJ~2ZTYd9!GYknXm6nBD@P#e+;0~~jYoVJgZp`G2h&-hDqLdx z$Ipa{y_4%rqU**4ZVa16fNpXP53AZMx-U=CooA0BOs&c|SKc8T@sT#jP#wC)aKE!t zT+s$E5Dz*+ow1BJWd`+PcCEChFU^p+DOTj4)&mc0k)%9({cQXON3-32pxpC{_@x!` zh}YZwiYD%x_T3!hZ+GsB3S$V35NBR7bkt?OZO`lwmU(9UDqWOZE@69RQul6wB@p_9K+IUbP*_Ya-qDOQA!;)1Ei! z@=vg=e@G=Z_25jjd&?j?bet~e~^rozl2DN?F|QOi>6W(>_$g|Yw+x>p#IIZxO;KK0_=6qyme zqlbC-VT4QMV1ASKySIW4w-!rxFe_;iXEbTK6ot8-;mn@7gNs2U>^L1Z<@W9=X1kxH z{3RbltX+@g?y5{m&1%V(R*{P6`^g)pz4JdETkAs z7jRReL>ug3^+%i3uAb?F$}EQ2znBWZ|Gdi&dK6M!pwV}6hw#ISZ~^|OcCNHnjO`2p zDR@P3>w^GQO2bh%00C7PX_=zPA_h>vY_ z5<=%D?n=5efj+S$jXQP!h@{Ixir&3qY#s`$o|&NH^JqGr(6CE4o(#J5p=B+*gh(0d zgv~?mWwhB?q@q4P@G$1XfP?)5yohi4-U_K%XMx6Rz9)|}#oHk4>%Ab0-=L8leiOAK zM*I2s{Q$bv*P*w<&g3-=hH0tJT^Dlo8NO3RPV-#NBFlt-pzcDzpx!Fy{kKoBhBC89 zUHuwb+QniX9ni;FB^#l>-^0m{{oDhp=*-E~R^hAAAbxwGqb?>ewJ8>z>2QYHnVK-b zdbAL{_D&ys3AMHOrttk498=7|8`D#{*agy^SJ}ge;%*doD}df|Od8=<2XCm5AIWNl zaNh|S&0~Imf{=Qta7+Jzu%qJpYDc6nD(0ey1_jPel_YUds)%U_0sHxbsaq`)i@8-S zlj_}PXv_t}c2S5v)U&YeW_n(QiA*$i#Xr&uKInchqLjWA!dV|-psI@1lk(~YyRY#T z6|~-}DX#oSre1wk&g0ibFhjw+no#OZ?v)oMm%+-DnM*KmO7K-oPCfr87;3ix}t0!plrucJR zIy;-^VpVV~IgQF#i3|?57qW=;8wsB|Zt*2#6MKT&!z`WRlnRl@#_?bGaeo+1kY|aS z7VMtJd%<_z+a0q2`4v)BE#0Jn6G6`2K;X<1JOu_uZ*;nF;n903+!h$QQzLZx?ieedG?{E zlz~lIy0N&LA(0R||Et4f{DMdfO-x-;k7l@g;B5!bFbZFz^Rs@>?bJK2+t`=Rlj}|{ zHxG?u+m)wrySN0po_n;2?xmpc7K?xd*?Oa#@>28oWA>G6(mLF7zNIZQLanEcjA8sz-Q1v1jg?NK5fLB6trSY}anj?q2hqb97BSYXx0e%BGLaYTn@|GGmS3ba&+Mp^w9w~5c^;^!DoZt&rM+)~ zXT%>)ZGT?PY#o~053OG*_scjk8H$NHwA!US8ehlJUEW=v7~5U9F~70n;NzaXq_j>f z8&}?_^tP2nSAyMFiw(C)yuidlcrUM+Y=z$0JR`I^`iS0Bkh}KLAtdX0TPWQgBeuZ) zq-Tb6(ZNQ8x#3$07YUt>yau1PA+*7xPIQ@uu6!4Iy?vD;&)5DLtP<1Lqw93!phi91 z4f?NLKUwt6V;XHHWFHEjy+9@#&~ty1MQw9xuKzCj$k7>{4^MPX!^=O6$NdFfJ^?*H z)y_{?LH-6_Mw|#q0zddy!}&l*_c0njo|ZrE13dZ1m+5lglVdRd6XnvEpZ}Jm{NFlw zzcLqrfKG4Nu~;GmU@$tSTIB@r?qBQ6pLFoTbP(D=YdTzqQxi}E(AEM7=Gl+MDZ!fT zFhFb*@-yMmmv8rH-3C~3H~?Zz5R@IDumw7hk2U;Y|AE5xxUpVK9RlOffi}w|>KY(UPIc%n;*?*`&Y#_HC_v0}tX1)Ky8*r{C@1VM z2Liq{dV}ByxF&=h1mOTUr2vf%P7ol{4c6h*LTGDgvj3vHiuge;_9Pj8@`V1K=<$1^ zp8xZZ>i>uq6#?*P{QJ25E5HJ(3x6}f>bz$J%ybeQW~lP7m&thQH#uGig|EAf7s^NW zWLz6ZN_avi9xQbGl0u+&q_Z=)R6HW@ijrTC@_CF`j^QtDt*63!FOvI<*17TaR;?JW zO}%==SyG(T%&=s8-uDLSJ6`)XLZO+RfNeh_DLS$^x$EtoL#@k?ZzSt2bq|%wE`8=2 zIiJWF9EgZ7zJSF4dQ7SUiNGcf9D#@#Sd-+qHpV%F8wNyMUC9lSu(`KKd!MJHyZvUA zZ!yuSkI3G>G1AbzizYz2>*Q6is zUTut_o#VQ~iS+Q0RY^DYvU;2)Dm{MLlP!NGPXD0Syvy7z@5;y@_%%!Jtvi_oUa~Fm zuI*NeB3diAqNRH+TTgPkkf|<|jek&zslKqXV>YFl!nL{z*tuanH>;~ZR$lUhPrr!F8TqUmMic9LS z>c-G4)r73ITXSu_Q(2#w1g-={=R`--KX=K5I#9lOrKj$a4ry`bd`Y#V-ETms(0~7~ z>a0{M0zHI5O$ap=Kb%2Qk&e7Sf}VVZ`|#)vSit`>`Jy7YYgjVwLwU?>)?u_*)fn?! zh0lPL&dUB9y?SDGjvi*_7*g!utJ0aIjWMetG!eWa(@4f-0S$py9EoG22#EzAVELmP zXOfu?s=05Wbr@VTW|3KB3=gken^_rLX+mGA)V}muRi~mlioZ7pdb9CzHTrJH%P@~= z5`Ra`i*>#{5=lxCk=EjmQOur=PFlv4T*Qudg8Jxm@ugB@%Dko%xcf+|eFD~^>4P&? zA+8X<)&r${QhRj)Jj2r`<^Hn8@#^41ZI3p|vngtQbC-QWms_-iDdNl@ijvtlRnT#C zL>xT>^#<>9;>CH=%;F;FMps-iFhlmMEyf?1D3YZLPgd#_#Z&{&;1batws2gnSkW;i z8Qcn=HYQ2B0nts74H3?Tw=KShwS)7k!4(8?F zI;J0%=`6>q&Wp6$kW41675OHM=du(CqFg^qxyI5-nXNN*Gd&_>0j1QMt*hsCCLPaA zLptc;99PzT{?}yO6;cx7o@Xf6nmBDsECp8}&+Ko!6oD>pseUw(WzEaZ)u+t1zxj+m z^3fxUbH;}kVGoNg66ObALif7sTH!^Hjy))y#sO`=oFB3JYQo2Uz~2HPCF zN~gsCg?v9*4ysE4Q_Kd^`HS%ljJ{2|5>-2+Av@1#yV5?>i+Mjafd@eO9@Ir7+HT zc7^3&Ybh{h6<;KPC)zfB@*ELJzy9=sror>dYCpJ_WrSi&MHI7-+eKFR?4c{uTj z2Idk0b7uI?S(T%60WS7pU`n)n4+kGiK|h9uK)E`32+WbXiLa=JrO0RychxOLE|Wmv zqKpG2FVNm093thStzh%9=o!;h4{Wj?q6(*Qq@vdyu6{m2D0se4Bn0*NBSdglIGV8V zIG;4!EV?tyURA?`UV(wSl~s$O^9qY5JefIi;HHuqGba{&zWl>j`A4;~^z-dZ_@)O` zBGabx6FN9AY@;5Qx&_a(BtNFJ3N1*=+5ks))%(Q{6%pJLG|;VJ@OhPxKHE#1l*p~Z zwrvV|Z+^Wu-Fw#1#{A8YjQrc{h3oYi&DShAqn_D0Z@wZhX7m$8*gId z#r*qhHBATYGWfVP!`QJY0+;*hX~LMi*gBgfZ!3;YNfl}I)uGKJD{Mc2wc_~-UdpYN zGQ9Jak)Go-`{&mJ*2pSiF{TupvE9+Fl%E_~y&ln7o=qdhP+0D~((~Rfr9=G+%SA5# zoIx6wI)NfPs4{Kdq$%A~w)8n^)i>oEg{s@`S=^1K6<&x2tsdkZ%V=ZikF35HUPPXv zFyBH__p50oSm6hj5UE9b z$6CEiLwj(aIeos$_P5-(4zMz`U(UwrC#Ie&U~69uLUGFO5o=(b zSBy&UA65|_HY}(VxqIzVrc;O?-^D97OqR&oR>nkG z%C4G|ZG58tO+} z%5BOM%^h&^GkSX?4#}4TwPB+Hm+290_wG^PD9mrxEkut>6<2cnX1Se6oR+?-no}5K zWWCr8{};3$^mc6bXL8rT6cw6$bO&v4`L`?2v0g2!UbjYdI4xK@QAqv~Q~uJSaROj| z3YJb}V*l%4>HFdWzzh3tcys~}e^71yPaPV+sBt5HFa;*+01-aKDdN^ZkMehoyC*!3 zznC5ipaHYJzjkQ+BINzEDfpP<^AtS;K#}~rQqCX5vp+I8o<8>W=Qv7!5F-56>H!Sy z{arjesbpjlDT;R(ty(FpKOQyPR8|!@%aialvg{I~?zx?Qsuz-9ao-4%l)S>h60DK6 zrlu#~U`x0J7elk>C4gt6!ygaMS+#gsy);sv>#OQo>8iW-7!gRP*(h9av1roN+Y9dn zZ(pB1k4Bel&c;?(&`{Tqyr+^oog%va#xBVtL&n#dhz|bq)^8rDy))XdrXJem@^dgL z>Q6!{yrlFRW%%5T%93!tWinb?kcPHgx;T5FZ<^I`S@oSWTdH@-K#KQbyk#$li~3%c zweaXd+|94w@hZyDE=9hj&enD|{-Sw8>n9fY(PH>oYW(>y~l2BBSbeLw;*F zsHY{KFps(w(N>!5gO)a`rbZ8Mk5?uujvnC zDLO{j>tt?xc!WqNM~qX-RD~xYvs7IU;ExbCXTudykD9wGZ+f9U=MIj*i|RpxYg<8< z6O*s;A--9S^j&Zvj1Gd2Z-{6<4$MQxm@5RX2PrRBr6yK@x6Ux8=2i0MSFJA@gRJ-X zleSPZDBNZy4KIHxIJf5Ai7f_kBQqZ@BoBbT9;t9D$@8$;D+Bw#SWht3*i(X2T{4*6 z4o;{Z@n1l_-KdsccdLK&!$nphtedQPDB>cG6+#AhyREMcH7$GQ4;IzkOlZ}1Z+bS< zMXmA=dNIXssi#gZk2996c&Lw-mGD1#*b}?jsvMkM8G6m%1gBfvS7~gLn7i)QZK=(% zio5_b5|ui2naIO_aFGS&ZVCI~bw&(CzTeI4gsY`aB$i;!tk)J@K}kGE`Twi22^ z8Co^dT|-bp>|38{x-VBwd!jK(hkj=5(&)WSn}hM0hb;dDjW-QnQ7YRk>0)&jw zQSmUkBkYQ7jTPS8C*9&5N~t$^E?=*&L3d=Mkdl)RxvBobwiLNAR@nXM(WE%+8C@CA zTN|*H`dy9Lo>uW|$||->Nw4|ia*O34M$S_FnsR7Q{X!Wi<_gP{J{kvJE<%%h?3vBa zrs{M1NeYJC#o29l8s^5io2=SVN=>vjdV`o%pW$tcS`9FP}qdh#@o4in0vNzYD?eZ6q}c55nGyAE`Mc|+$$ z2w8c0gd&Cua^8SxsF|tL(9EVK!)MFV+%^fzU+R_vLl|%$wbNacrvjwm61|B{igd zL5#Rtq>fr|q&66h`OPk7AU?@g`5`c?f+nD;~nhF&Q>$#%}n>+_D`!6rCx%*Jb8QBvVY-qC4&cpQ3 z4jaW_0-^3JY?>`cBPyP%o&K>lo@Gz>*_orYgSM2yNc}I_a{8`{@}5cS)9%2tVG4b3 zZDG9m@$P^Ydl8c<@dw*i#2=kv8J}nhFZj$wB|Mw^siq}}(?B4EuL5)r5>}$ve=$dU7m}Fpxtk9Tx6gQD z+=IIj+XIWiQMF$|9?}V(?z^QHLQ>K`O=Hzgp8Nq{!8|T-nAi0X_fibC+iCFV6g}Wy z;L*u__!B&Wo=}qfUds6Qxn#)6L>G`t1_(R8y<0DttaZi4}y6i&!r3z40qZU4F3e=bY@&20b|vjbC$-`ob2u@QgG zKJ#CC{T;&oUnK{=$m($*08IrThWO?+&^iQgx%}rG`9I(6@7t&U$Qc1t%vgc9*H^EP zzXSyS#~;Y=Guwa0YoMhI0`wkzbNg6g5BAr5)Boie`99+O-`xIIZi63-eFJqLb`DlJ zFo=3ww}QieQ%L#8hvWy9^^-{XtIqxJXBhzTg`a}#A54KZJRnkr02D<(hr2+ee0=YG zd+YwX>R+1znu*^(jHjAHfPFeOQvP0y`b&KNlfw`|QvwRG`TXoKugW9{4n3le)mI;rB!qB*6djPjE&GI27|DNk0mVIiuBprYgzLo zCq|(fP;*0X49yR!5IjmmgSp5Zc6Z_X9+cuD{&5jiacOdDS z$*>4-289FifpTN&Vk%*EHSX+?7E&=KM*>4TC`UHVeOR_Z-{mKiyq12_6rU{`HzhO~ z?u=?jO-gFGw~jg8XTFxB=4qt3cnwcgBIss>*WUbHxt_W515>2_ zg=k!+>EwgnqG3wky}=nnyYn$??TbqO ztoNQYx3+1Rr&)q!@YBR)i%zM?7n)vlx4Uy-{^NI^@E(+jLX4!`~jO9FfAXIjHxpD+4q1n#y z4C+X04;L5N9ll}VNNIv|Vxfb+C`!w37T-O>J?ELw(`v&Wg#@b09dBQ2w(O!^B%GH= z?Ie)F379SIn0-=t=Og5!Y<5Y&W0!#HO2f7VY*p->CW%#jygfzjmqT^US^Z1gFn!^` z8tt;lN{GJ${%1Avt){mLz6@|WRCXGRt! zkT9v$kqz8(MVD2&1GZT14ZR%^W}hl9nK@H_B^Daw2W>28dPPOzbxFI{YI~eT?eXm( zJ^qX0hIEN<@YX_yFQqs~c{8(n4P2qB7)SPAjv!*DiMyPk8;2|*$*Mi8UV9TkV`%GW zrKP~D$k136JSO1ubRI*aQe3oh6Poyma1;47gi;3l1-xaIX8cmEu}8=7vwpru!6>{B?Qdu=s})9_i(XT?H9>OAv(-Rm{b`Xxt8|bT^KFw*3+g^@ud(}S z?+^3LI_c(M-a=*4d1OQ}ehx3Ucha6BeRe`~xf|_c+~s!1>n!}zy;rx!9U(q;qj$US zQ`%>EvS0<}cu+V|%oGx75DW0(V$z@AH#*L5y4MJR(c()G`bAtD_!$7%ef{KH z#0p$RUqAU4v3?V-LwpmiLwpmiLwpmiLwr>~fg-->DEi+ZB%A|aB>^rBP7Z)lQcLHT!2aZ*{F&VZeRUji%xVY# zD*)%QB7lv70vQSL?>fme!2sF?>2Sc+b<{Z!TAFYjZ9uRbuA!j`hiYqcoU-G8F0}ub zr#jGurL7ItVuymXG&!`u z+U%OYlC+*Yh<|v;fk7TPaBw+*|Azu&G!USv?6;)2FdZ!|2m&CJ1vVS#B-7Re`uO0S z+FCFOTnnnHt@-Ow`97rh-yS>;PGANb7;S=lb(|B*38+*8JN|bZ|K~^L&qetEIx5Ex z=W%x@6a;il0*)U)oRHr{oxnVk4n&hv0}hO4X(JGt2pt3rrmn@Q$q9S{*ZH;U5a2uh zcl`Ii?f5sxPk2LtPFN0rHyH|4Vvo~>V90OUQ#I8!05v}j9UXRd1O%i7fopKWv=9*B zf0~?H0MqWTN9Frq?hiSB{BVNljtN`gfK&tL@w3VKoAd;bdQk^KVA>D}@E}6KS~_5` z4hRl{v+HmGeU}jJU;O@qI;Q{H@Z%#6bR2TPVXPpae$9D&#KFHwVnN_Q3RfEjWER01 zFa!(=1A%qe;p!StO)ZeNy4J66!#^lw`Y*>hj)g%0#d|;o5(*Ux{)5&pdt=Kq+b1zrijkp|O!bDV=6$c+OztKT_(a&P`qPWCS_^UvIy-!>c& zwnYFyw@OaDpa>1_v0z0flG*caR1kLI6wwikI>4=|0|w~b*&#Y$$SHaWCo$-saU1~ysty1i&Hwpl*fZ`9pntD8I1t@+0=iUCt zGfUs=p#6_G=GWam*0??$X7FgWO+&Mf_)y>e0rI!Unoer5@%mwlfT_|+8HaNtE}n`J0KuJvLaD~#+y8QzQR0WxTEji!e| zaZO@bwy!VSRFOm#gH(`l3qc1}lDcicE&SUVCXMA0Msve^**IZQJq2t;I{u}8NDE3d zW^h57o(f|XBZnE#6vo;W^xO>LCZYl>Zi~z1a{3puFTLV|5oDv+OkH6hy39V-VRi-6 z-OAoqPX7>p6jjd6pXLD%PIR)*bX}`H4~5iJe5HB5gGc#vU1iKcJ<)V4X+Bu1mAi$( zu;!YEy$rveJE0!3tu4ETKB6++KiO=HU zJdS(69?4ie(qq|H8h8qpxN1enzYTVgDn(!TLT{a z^FBoPy@uYS*m2l?)H;9t`8Yf?GCRUi|0bCRcu0j)-Cw@^nLwr#u^VO~b;7;1)%PfD z!~73MbUt9EV?AIuwy88Ulr=504rkYX5&!vdeB6hWei`?J=L`}L>)TKwNxH0>Std}s zP+(*tW;E$ra<~)sX3UFmR`^;=TSJkHXTvuEgU>W`NmckR!g}}ppI?YKz%9Le5 zAl7LzrPAMg{!@OGU771t7g`UJM0Z+ph8sr&+I!-MpY6rq8?ijd6GFQDI%Zj_)a}?g zxTHO)+g8D}DCY*}5t8>9Qf9XxWh8zJf@y30Rk9*ILNuvY2b@)JXS$n4)zca+vtFQ* zS*L&#bft1dfyYV?6IZca4`}=X-DKv+sNP7*KMkS1=h@#8jIrst3ORUO?U?$^?cWN(oJYhPlVj7}tAF7vyRB2BV3pie1 z|8%9!tm!Z*KQ0zdxUN9rXRdK8 z)SJ#weoa;w0h5AUva&S4oPcENzIs4!7oVn6#$0FzV*mcQ_e}zp7F+u>M`!OJNeus5 zodMp1-`@#euEC$GGhg48KeQ17pPf`t{@XUfe@|Hezv=q`5V)1T`tIw zKvCd$N*lQ8f9`Am0hyZba)-aJ`q!qws{hfH0|d;q1C2INpjrZywN6?2r>>P>od%Mp z5TJGQXH#J1-TgYf%nTqLciO7o-?FE!`WL3a zs=qY_(krLX?E_!+KOZPy_U3d;V9noIass6B$@XOH$1MQ<*P}v{3aZK{qT?eV<17wT zl4q>;q~7X&w8{T8_+sLVK0)bwth&}T!yoIE-bJXY;+ynFshiBGFAer?fgd9<)t|jX zza?$THbR6?|5nRtbJqO*+qKns|6J8+-Aq=bDSTH3`qwa3n<#Z60=C$*n5Gj=@=HF> zt;Dw?L*8qNp=`D^CfrYX^{lC@aAz;Sx6mR>!dF4VkO1yuX0+A_n@`L-8mjgZj@x>e za3{Kgz>J{s`j*Z}s|@Y)%d3pz=xFPo$a}CD2?C2RNXW!dp%UK`dN@*lnUBkoUt74n zR``_!@`aYCw>q<5xT!r1U&hNeYG1nn3Fi=i@`NRu$2n&YZ)PfL_f2A|u+ER$@hT8r z-S_->RfE@H{_sGeQz=R{#L9l{N=Cn`T4cksp`NJDs>9^&Zi|=5UOHm%G3C2#%<%LQ zd8;V5DD3XOha4etC3IR^8_#M~l#~4l;T#!*r3>J`kE_Eh_H>rwBadM^3ds|~~w{>SOxHO8BY37BheHtxvXf`?DccTm4 z@NK7mpEBE-G9CjMJ?PcCmo=r?GcD{VeA-NAILNd&_p`7Vh4^h;^w}Ax{9ch^Q1o#P z)vDN07oxqMx?F7^rt{EtC_X6lQW~Uhmw!)FF@Lk+)kMz$)F2Y#Yu)-ffu-%-hj$%& zAk)}u7t9Du1;mKjVr(kTF`mnzMYy5_stIejhK*NrWO=FgIrdQN+2h)r>!%fKTJ>by;_}RAE!05XwyEa~*iSD5zK2*!P z#+AW>_MPrX-yLBhjdItDS6fw7VaVzqivd|DtX9 z0IkbH;*g*0IiqGbO6Nfp9vaf1P-?S(zHnSGaSoO6ASEaRg+=C}V!gwCeFA+v2=E=FinODFggB ziLILw&b4TF-%&BxqRHvO7%VQtQRZL{(E^CMb*!iE4+}ZU4Z!t^q&g#}OZ-zjj3Wb2(2l?ISz!8vs>H@!S~N7F z)^CL>=uD?&66I03X|oBN_Tk1jHE#JH!2DI*a&o18-&F?Ot;b;NzwRpgK5qFzLEy^; zf9%uWid(+F8h00V&sAn|p|s=q?0A5B3Zpojz{{7y0b4jBJI9Q0Fi@jLm| zfA^*TIT-YVR>L@aD)=aOWAF?CK2GiIJ}Y(vh4 zia@TXV%`TEH@t%{AiODW3|40`$=3|7*bF}!zQq%+sw$h8%7pv|kDoNC{8At~RaHbR zIx{0mo2&ZOi(;$w_1ev?N{AiJRcjsuqMJ(A`@$9S2)5@V$eu)cN!ge1BiKGo&)CW{ zUSjr9s$dL{4!ISS{N!o>o6NPXeog6Slo|~(fhW*A75O=pNF*BXQ?O7UUnJ>jp>8r` z%ro}yy6c6`kZ%p4`qWWat91UH54y<6__da@H&TtSSi5HyN|(ZS-bBeF9D9ml@?6uc z;0FSX;V5#cGY7swdg0e}_&+=uOy(gU%oN1qhe}jZlaZ)@mWmkG&S$PNmkBg3Olf~| zKfLYWj-FA&)eR|y@Y2qbD3E9~x8ZnT@LlYii!#-s_inAT!DA zZnALP?|+}3xlJ-j-skNzZ)TD(*tz7+8*4o;db!m3AguO1#y#Wd!L8TS8hNtw#*%AaV~C+X1gn4)b;HN0N2kY}M{TOJ-S+F-kO z$(WDYC0TNpuem8GEbMtli&{3N_KfjpJLc&6vo@pN=g;k0XZVltE$?qxH?z-(7A0d# ztvc2F-l1D-*SxznYl85~nf-Y4ni=Ctl`L3v?Dpce32xJm>{xNdvzcuM;Uil?wJNQ> zSKBVcuFI8OPNVXKW*yP4Pl3sY=0;Ynv0$Is=D|Nd=bzD}Oy6z^QC6c53{CviVM&Sn z3-;Dq9lkVDG-@JD-gjad6A!6{pL+$=*_~WQ| z_oY7eyAyWT_1IQ(WSQGzEBwB)vdxYUS+o0(nmS{bd$)vHJ$9`q{=sK*yUjjY%iYJ< zl)9Z1T>8hYutU#})azPz;EP)J39GEz&9-v$-dF$kw~MV_7T7;A{%Yk;ag_%w%Ji;e zR*Mq5L!X?{)#{0-Ty(cW{ySX{A?AF)o-Gw zt;L1k8HX3n{=90%H|>h-Y1U!(E^CY3?I|?kIvaE>f-X;I6m<6o`RFFmu>v}O8qVw z@-F)^s7`@?nOnd9Ubfq*p7&nnarv{Z{m5cjf||wNKeS_F`7AEe<9xCuIq#lBWh^9BZB)e4UOOX0Kd+t(uA$mI)Si@a-s@?z- zcd77Zn@~g49{>?zwcdCFcd1UO?vi&d`8X;(RT4*&a|Z5GGVDS|9r#Oy;ZwbIgS*t0 ztS@OGdJ9h_VP1TD0GSGZshu#(R(Ls-f2tsONzRatfuDu<8jYpZMpj>}(O5PZBCNY8 zyx=EH%0ph4jyZvpH7PlPqn$%?N?@6*M&pnkF+ow5H5&H_hzV*G6d2wmD3|c`=$NRW zfY4mM6Sw#zg{PfnI?>VX`WGFn{zUlOZtNfB&tAEY3x6s?qNwBj>ms}@I+TA&USsXNKME5l`9o%+@Ms0rqyRxe9$~1ae8FD_rsVYh4Z?v z+Ftd-#_=PT#0w)L?Q{8kOd9C)y!y!a8Np>Q?Rjdxt&?N)@*2xqWNlrta7g@>)5j8% zobGkXob5`vbB%}P%bulUSS8mtKk9rlyE3Wt!GxieRyk|NexK`CX7B0G``46jH*#UZ zz~k9&+2=1F9?pDx`&U)iEbfSBCd$a6$_UC!H zcjGFXj(aD+X*y)~nPSUy$4(SUh^yeZqkXA1L7oqvzVx=3p1aq#=~ZgZtGw*{y~P=8 z_Uy1e^Q;V23)JX)*CKD{0UnR7`WEg!ukq;L9WJ}X`F&g9da3cR zhW>@yyLLpYFFRA z?vmZJY3rU{x=o7QH2GL?&|{lzyMlrreA>Js{MfAUv8#GKuhKENOx2lZ$DX;>di=#9 z>sHq7o$F4&eC?k9E??85ZQJpu>s__@KIPFg|Hy4-bGzU5c@aJT(dd1NF_ClaPcJ_C ze!=s&7UlDn`c`|{$DIl5!+$If*%&mt!uQHE2RQFIbD?K~XZvp-Z3-9bGsAIDANOW| z6)h0GeN>}bF5g-^_so%f_nYip^XJXov!B(EB=ZX+|ELujR&{bK%fsPE68d{=+4an; zT&3m_bu}yf|0=z%hM!}bkjVibt^9BJxSMbLT}Ct7eZ$0`-5iCLs(&WT*A(oO^V>AP zdHo~euNHlMcbE2#NH`B&bPqh z2^-p$=y0g|nR4YKGF4q)E^u zSIYCoBlm7!t;>b&zg{{hu>X8*k#|idW}ZE4?J4^=+o~V=G9ct}zWZI<-AmF2e!DT| za%i=SQOz&KcF6zp&9|YIy!}qK?H1O^`$CK97yC^Q-?pVio5=Frf~xe2jh%G0@a}G| zWd>eayynB`=Vfo3)w%Ujb7R({Da(EOlx2A3`HBC`vVDde zY}!6+x6auv{4N>ZYxX(M@o^1~oNV#h?`qWWozo9FO|Nsge?nsQwfQXG=jeFq)PHfBwxNub9w{4js z+UL$NtU$)WbDjrTWyqH}Yw7EEYu6mQav(5AY`zSqbJ|(dPI`Bv+aC_Os~zo;WZ&|+ zu632+LbwVTU!~HvgGaC5wR`(&MfN){YtAS-;?Vb-5AQu#*5T?fs~q{G+9vpvTwA%v zu5Z&8U#NKSsAgSQh_3vgmf0K6snXKnQiJ!F@npY)&51v|cdFL5t z2aGhEHYNJih#4hA=7m++P_D%KnHen4W^V4?uj=K#9wQs)u$k58$+rfxTZRo^)7SUU zZpBL$h|XU7NK6--)!VX~Eh>8bQRgC=j$Y}|aM?7sJ;zS<%GIYvw}G+okC$ydKIDv(*>3KGJB)q#*xMQ;uc$-0XeB_336s)_f&-m$A|K2nB@uCC4XZPGMFld%@ z-|6}1oN94?dc6X%Ws|O6UZ2%}+tOI8oPIC;AGBVXn0cvRzMfuv=AZ9ozAbO#JI}ui zE$KgF!m}BPHFuRAG;P?svH2>;2ik=H%$K!ekEBH}PxRT)bJp5j&F)w5i#OYKdTOC< zqr24ZTry(ZZL8m%ifss+`eVbp27^LgHLw3Q{?~`w!QYm+UzmTZexfd^;9lqQ^C!eT z>tD^n_d(mqC2AL&aIW%Tv(r;no_T&T`}U}__wH_*)pPaV4d)KH`mW?Ji!`uFZ3kl<%H=YEiybR?ewV3rfA2>^-eZuvSV61Woe~*F1}jTanJiYM=x65$(y70{&Qh7 zixzHe`)*S2$o_Tf?cUql`O=(okL@ZQTu|lv^YD{9pN_p>E#XzSk{yz2{mAjD-GL4k z%goFg&uzP8-%R%+LV#F4aK7!2*hf(|{Ra;^Q+Lpo@mY>#@H#oX!snUoHrs7~`FAaC zScTW^tv`e(1Xq34(0@#xtKK1_UVMAHYDcEfi{92#`+7fgae7oBTgD7Chi{!+``eq4 z72`7X%2#sqr19M%ddD^%_UDEoo13nUdEkF&K=CWRux){prWS?R)lG!2Xa>Ez+_?vnK!Gz2XALEeqkU^9B@P+XHhTd4d z>{8>y7q-G|M!sKe;-wBY`Y#blFBPT`3QMfnVFdg0M}V;Iuwh1KKiZON)< z`fp7MkJcNn;V!k)3hPJ-sVDzb;ZnUp7yeRPt&mh2e5y42#~228sZLnEN@rlLAK|Ie zKEA)3_VnDe2KqOc$r8i%p5U5uioGvf2lCvj*w>HQZ;4LIBA9V zybNiC{sLt8!(>j2o$xsm z{!-zoih3x#YDmVcgs!5new!gKOTUttjy*DCqj+SIGi}Pk9_iQZlDRCg+ocm`5182P zy4UzC%oJl61Mhbr;>>WT2)KDQg-Zo>yTZGeh(N~ z_F*4lgd50~3I z+Mk6X3+JPgQMMjK(#e)hUTW{%5+ReFN^Ef3CmqPEAaKeao@ zJ5;N2FD7Bo+mz+kDct8cZy%Z=h-$^YhGezWTV)F zpMH$E)IDHX(u^Y-+a1Mk>`e;Not-^v!5>X5+Sf08G4@8Yj?Hb?hi2Pb)vo#z_ZLl8 z>>QFa2Lk z&X%)U=-Tg=1zK4&KN(UcTY)|I>p$%4wqv%{xoc}j`Oevw|M$!iN2016nL6&L^UUEk ziJupA4|xCNTaG(bvJNcVZ_b=-%U@>ym~YaSCB6kN-pI1fa&7AaL2c?EFmK@Yw949M zZCjOeN}LnaG3M&|c8!bMZ_m_cOqn`m%ierC+IM``N4eImZ`A47wM$oKJ{?njaDyreeAe(qdaDvsMhq}hrQF6)@ohkX5-7fZ#KTWvwWd4f7H!!v;BsuAyv@KV0%L}h!3e_){KU=SMy=+eJI{9K-Ufrhf0a;spZ+7;C zZsxKM)_n@BIbpSO)pwWYR=Qi7%Fo;_AKrTTz0vqW+X`eqcd~8SiVN=P%sO0im~=G% z^%%)tCbl*{B z`MJBzH&k|Szrt*16{{jub8Rhre|dpB6<>6AJNEd~<_4Zi%>6^-+j?L45pi|Iz%mw2 zYeJUXec+xa%cVQn&Mq90_*rwM!H22O`W|?7?C|o(1sC=?Y311az^ey4Uff#HVSM&s zfpM>XW{-PiQ8DITx1b8z`N!9NJF>m@zP)_D)Ufn~@`+DWg9$%#Af<+_xMlag> zI`gb7UE_zmcU-x-(_cs4=J7zq2h=tmmAX{nv-C zXrBAy$GT6~9ZayA|Hq8L%SDI1A00Qo?7+OkJ2vh6*6Ycv^+B_|mPN1eEq!Rg`Za%L zO)PeQNv}!6JQfyRI>{{Z<&jJlpM#pj&pBYX|AJ-g-h)*~*3ag8*==>Dn=iVp%5=ox z?(2vFpJQLt_V21Ywe0BStGXNWGL)mp9Qj+CAFd-2%A%>y=_ z*tD+hr~9kCXS&_Y(c@i0=hJQ-4_hC6a^dBmh1aufe6pg_r;AJaG}<&E=+f=;7V}^I z)pu*TU)_%8d$Z=~?H8}>wQH8;d_U8Y zY`Kn9SmnKYpu@@?-AiPC+2rBIPQJIi{c?OMmDKa*&%-C@798JyUUZp{-plGa6&+UD zzx9U7{>>)r%ym)IqRPqPldrccFzo3UOXtAO@$)wYSFF`-XFk7Af2{6SbG)JSUzP?N zN&n&ex%3}HlqlnLq@Ml@L0vERkzHy${dcfYz0_V<*_7nW`uPAR;mI;Y?y2_p zrk|laPbl+o#X$a2o$x&jA%q)vs$SZ}U#bz-RWO+6VXbLJf^9WIUTP4lUhcy^)y`gc zX8bQoolvRO8CcO&xKuAi z4uFF&|5(VEgc&V*mkWBVmxyyu)(R7NYz@kmUpH3JY{ERz zdW7zZP+qn%OthLZYPDo>U;Wqb1Xigjqt=$l*5IXjIXp+OMwl_=C`@V6=d!dc^8aln zEt~uy7QKbuj;WdS%QpVu@iv79oL#qmah?5{^Vt_`u&mC~%Hwxd@NVK!*`vKn7K;N# zEDxTkyXAM?VT*h{5@Rn+YmwNY^RQP(ojxCVH2=(@U5za}S1;M9e)eHkMix2mcCB!~ zl8)yx9m=wGbeXbQvbe5}o?PrswLkZS&U0@!FFGkh4(E~i^Hj*)sb&_ldl^b3ox4)f zCgBco4U^V>%*0ZqV2M++U?}Oy7<;zx$3sI zJE)s}(QS9Gv7H{a-@7zw(;4qIRj=17{$`JE>rZXtE)6bjZe*4xXIV|op|z~<_-IS| zKdtdoS7mWQ|B%m3s(AePT;)RHn&ZraCk=Y^a_WKbeYwguESj^p_i|0c!p+;gzGItn zb4HzaE%T?EA1~&ak+k*L#6-74A8vN5{pY=5)w*39G^J&L zPvol3%?o+Io_}ZR$jB+n_8mXAE;*wm8^# zL|}kb$g9Jpyl!lEnG@nXBS*XPiz*}?ywl3Ib&+`8oyZy8$IYnPZ`^1LGtVc{zs;+S zT-VaQ^8JDBZg`Cx-mv&D`}g<43VmL_J#J$A__!Jl$A26v_9J%xgruoYtGGYhW>qh& z`sPYsn!BGq-)!UU5|Q2eSo~OW_*(XNAAdVl9&8ow-Lz;@!FOYwIxnu-_V@%lhZjX# z_p4pNyWz{+PkZZ*kEzhVTCKO4+TA$oeaXA*iC~w^htJmj{C?KPq1BR}4e>o&BJZ~W zP21!iU1{mN=7oVV~{6F0a+K0`(yT`3vWJ0|mcdIS!JZ-`1V+H!$*p!#3qH*3H_s`?MF?-Lvk9HI(njR)IE>@4!U4dQwr}F>HAKs@F|OnvZo4Tj>4Qi(xEqSsa|yAE)~9RU?ez6vWI`&VW;0< zhipMT(M1cBSWR`&%Y1|>TaIMPR{lEI_m8>jIW5zNJC5;|?Hwaa7JgZ_dM@9^p^N(V z{@AVmiSXA=rGs?{C$qZ5cW!hpTY;E5-@>g%@6UHE%Z_V} zJuJ%?Tkf&g(tO@cD+~XwOC~PK9Ujwjnd9O@yF4@x5++BKpK-xuOyxDB#_rBvXWZ*p zv(Qb8$6Yx6ApY~&P4hNYJ>O&NvYS1&#w|P@HrDCdqs=${pWQsv`GooV_oELFA8cN4 zq`4+6fBdq0f8|`3@8^K5O-< zvj;mLvpcr%r2CP|rQes{+Sar2l#DjV*Smc=-YKBe&r4r>?fE>_f6d6b2YYJbrwPX2hk%w){W%6z1*t7lR08g8RH(KP9GIig9E>& zTD1?E(Y^Wi)yER!s;>E*Xf?LMMnBhn$A@MQ_1stLqubfoDbo{=MHcxI>EGw^xagXb z&RI2IqB*&1-p7J53$3zG%lGGw+2>a78}sqcYrm6x!d7>Q{r&aGxMKtRzCAi4{8iV; zr58WlSXU~%u>wV*YFAb7g#^Oy2<>? z+ixGAYz=!obpG9Sjm$Pyd{oe8@w%+{%vWA3*`#dA3!^$^FV)5Gs!zV%Z#C^Q`F<>! zZ(|{M@2BN@TzuSM_5BjrmR^grD`9o;>CQ`iP7bXnPw+rOD?bAc-qfr`mR+L zkFM^k^CYO-#iQqTj=gJ^d+oCdkM5RmF4Lmne4Ab+KU}L+K1cD=UyeR0l^CDz@Mfny z=Uhuz?;7iPv{0$x6(Y8EEOKPg&ZHr==lJ|KC+2tj_VvZZnf`Sbohf#2 z<`&DDrN`O$-zXNa@@itGWzHog>{#G4@W$L57G@_7<~VuZ_wl*oD`#$-zGHXMnmMl5 zm=n|go0}n zue%abyn3nmMO=5ExYv7fh3DR-R*W6l{q3&L>w217-MaeFd)C7ax103~9usB$<(AKg z(l>jZ{9XG;@1Hd%Oj!DL>}t#Q2}>dhZPp(66=43ggRljOtgcG%cD;{=54;tFjxvM(?YVG;i&UzaSydhA-*|LzDVK@g1NMd2diK4; z??I~~UbgiawD!b?*kQ3-n;qyqIibzK1U2$F4 z{bWG!oKj2nmst6ILX9V56IzrnboI*efmbdkY+hcu)XbPOiIvW7dVbp7s<2zuEoWOk zN?h@)YNMhhtB<*GZPTs+p$msRo!iQ?)XO{59^MQuy|iI>?}pcFVv#x5R{&8DO4?oX~qg12W_ zGqg;Li9WA;Z$7KtvbWcc-OcVDd!A=)oYnhJ&ElH1-EKbS_+O2i&bwa7KPW!$h>WGX zp4oIP)8Qov)k7Pb9Y5Eyn|5N}%m*ISsXZr8#`));9B5O&XjF9je6i;%NABO#y36JV z(+@T8z9OTa+vC+&94BYJc&ylB`}?-JGW@2KxqJMO(rqm-?VNGu?x|-*J8g3F{UhpZv4LBD7HP7e^@gprk|wU7 z5nO2Vcy)xJ8atlOF_JD-LvE}E7Ym4@e9Q*3wgz34@RJ=O=YssZ~!mix^()j7Q#r;F8 zI(M9uuYQBfRRXqNsOoA~xZ+KR5rs2VJoDJ|%Ajfmn=S5q@YW>DT;03XS~2O7{gPI8 z%MSHuG4oCAk)@+*?+EGlwAIp1fg850%J40t#XG;Kk_WTrJrdK{zww1pnU@65bpAc5 z(uzG@H?4WFFg9vuhAbHhZ98;feD={-R$64yp1HI|Q@Z}#CJi5t$h4}{q2X57`bD*E zX5P&1*}~lwelFLXZ&l%>mrv$ui<<{rTY9DN#~fcGC-v*Nt%$=o^Ek_1cP!37$eDR) z)`s;nw;S?2WbfK#Ukdln-qCweqdq&@`u!L8gU2;?J~L zvBzodi|vz^x~z6`-4|B9Xw0I%W;K%zxIS)`;N757m7F{u}BS?OGL@|)|=JyTFtRu zh9QsM*ZyT$YVwt@lQZ{TI_06``&nbE4t;X1`u^8{Dlv4oD#8S!CCX|Kk8nYUi)W4Xp8Whn;qZOjR|$_Rz0Zavzw)& z);AkeW?v5L=e;J@&tLO|=YTDbrmZ+srpl&^754{Mw3s{kU6)hOSAMQ@X6LuzS#nJ| zZ*jHBsc}|SZf-adJi=%6nWhgv9-h&-Qr^Pun~qhTlW_IfpLGWnndSbyT6C*?o@2UL zW~`GlYE2RI+SMP2<@;KvoK?SNuFpK`mcFsMO1thQmhC+pTCDx^H;JfBwv+O}_VvC#O64y<#3-x%X~_dx1N{!((r+UGa9+%T`;D49VZ# z>w0U8z7;EuZqo2@xoc)Y?(-Zi%!lo}ZQZ3)-I+ffCiGwP$BIqv^@f~I{AG1_UIVL2 zkJo&w@A2#S*RNGSLZc$sY#ERU>&)oP$mB7ejKf@0~aZAF4!pE&L#( z^B$JM4>FR0A0!v|u#|o>B&EHa=9G_aHVBXE5){>*yliIUU!!Ra*BT9l9brjmVGU7_ zpuiZ*_QE?~4#L|h!uwuWcV2iYL>N5BD`3f=tMrWR9MdNvs78yBus>@!*RG8fLTfk& z#)O22)$pw0?cqxPRq7TK6H&89jb6QaS%>wGunr6ltt{lQ!hbJjX%~aNij*W;2kBZTwRyuT)tOlmDR9I|Q_+WyiP9WD&nEzm> zbxi*HgFvpZn(RL!*E66;P_$Q2RA_WSSeJ(3VO>IqAfolimv-4wNxsHT_~wH!lBg3V z71|4`D16&Q;I@E9SQpKXtcIF)W}8ZV`gOv%>43)GJrXKZn4jrkso(R`SSEK_$(dGB z;enn(g4tNsXw|5(Weu;O-Z3&`Wc5Gcq(>`T!C(RdENyEvbhfmuEBq1df%QO1 zw5$;k+%+O9xU*&Z8m$6igvo?qmNgm$^$ZCN@@Ue)pHN8+OR`$BWercu8co8z!iC0` zl_CP7VytbkN-=9J*83{?333(wIrs_hqBbO(Ze$ZcHW6eKLpDKV6Gb+DHag*-uwtKd z$Cd1akqug-VK~|CLN>8v6G+d7kY6|(R#`$lGc*I#Cx2dsQ7?{#q?LLNp+SV=MRq_l zP=jUw4kagW3}^o&yU|jbStZZ_&BnjOk9lP5C8+% zLwci@WTz+DFd1VPcf+w>46?0crA7mgbuuNhrOOnxf!zWk1pBfU>eL(x(F@5?fHZ6JM42U|mYt#^8>dFD`nJN`x5;B# zMySz9S=N~BK>9FFrV$bwl(uK8A59LUJ!2?y2a?Mrtd*=wBWG>2kYNbXNnow8e7T&t zbT+&a9DO!>67qb>2EU*hV70NP=2~3rE3R|H( zE{;SRXALK5y0Wz@Yh*GvjWrOh5^Hc9umP>`3m3t`NEATI8aK+o-sBf9lCeQFC0^a7 z8p8QaEQ>_)MO8LvrKF@28(+068y77fDbBD07FV0fe$bf{0_$ zghdDzqSNd}j^G@yLAv5Ow8jxMz%Mv zh=d-3Bp?&Xg-wEH5GfvJt&`75JQfxvQKp?2mgumJ2umlW9p(T!K?Ae}u}dTk5evjZ zP5=k5!(@S9xCkd?SK=^k4d_T$a5psN1Sh$Xlmo_67-o@r0g=uJP~w3#VT3F+HYP_r z$)*LEB)sHL{@;)ufdj{Gb7=!G$%7nmB^w{IaUmNV^Gmr6cNot>d)(Ds0vYo>3<+Hd zne)YThL{xZ-Pgp;C3cEt;hxq#5=HiLJ7sg zXbpxkuHrd(qNkKgqLCW+WIAiku;~OXRW4}(A*Cz9hyuSvT8%PKMy6Zr#}&WDQZnAvjC%wFuQm`1EDq`{|Q15ca=_KHfX zqhEhCjaaZ(%rv3BkT<+Ws*FzZ{YC|1xgbZs2WT3xvLMHlQ`TQc#sOOd!=cn75JW>9 zLC=>ZATE-wC%%JdNT1n=5*JJ8w-k+_kOdDs9wQ{N#50GIO%T~ckxc;E#E?x0*@Tk~ z8jB(VC^jI4E5&nwhf4y`N8{FF9Ll_5yl^j(4ryVzvE_@nPIYfa6=+(;11B7B2 zjnVxlAzl<2*bpH-0vv$Acqg`wk)lxVNZMJ;jYmVj7NsOfppe;(DhiWnRu8kn=iy}? z3bM|PVsgMZFEbxinxhHRp>e&HhMkU@^C$6cX#FQ=Y<8cCJoIw(QPpp7c^T}W#v zPfz*_$C#}dfCH;bg4T7E5X$pU4Ze1vkl8a8lck=j2w}i5Wk?EEBI02e%lrX=gDEofGK8W*@Cca$ z=kU>Qa2S!G3>890EMYI`1sO02BOW`wyfQ7+@UYnUoiL9~uA20#5lX<|&tzV?Awg#- z?-PaNNg6=UfE*baCr8`^o&)m370Ggw_-I;-QNqOeXu3kHDkhnaR>g$GfnkD}JqS*m zKnBWTLK9$0HaRIU^$xT>@5qJkzbQI$Xd#59C1iCk$L5(v)A)0N{?LCCy(YC)hq=x2%r+dK^L4;#VPZOX~GE*02@ev!BMm%zu;csT2cX4G#@3Q%10Bu(H94)PnUU znBX5FQ~*n*L!p%zC3Ny34PhY&Qyq%_Xoiya=RBn$bO zRAr|>@{tO33g#tQPR}^i-1xtSF2bQoHh2g%Nvo>|%vMDCU{&xQurviwwECl@XtrFQ zLDwKM4OHrq4Nl!$2p+uPlS&6cYekC4xNL*2IAj_!4I$2Calx^tSIQwQqNq0NDddM- z=MkT4RmkjF&Hcl<@CkpYfg6pFNEG61G|3H@`Ql(wy8f3I{HX%~Vg-`LY z0svanQUbtv0J^Y=Dgc@B%K$KvU<4wish0pPbnyT%iGa(Ijn{ zzZcOf7zgZHW+6BNW9rFTN&FQpdGP>5tJWJhrE3$&_z%+bRURCbJekmdU62HtC9lhC zXidvFHJmzQ&X*of88tE`W<5zH72r*>0;G)6!Kr|%u7uKL?@oUq9^e=*LZFZ_8;5c0 zSaK0Yi*PqI#pQ5m{EZ~?pfxg{1EM<;hJbl@ggJC-JkXa7i~!LAzDP6zmVTiW?Ou`XYau|+VzZG{F&p~^rgyaQ74K1zlkeLc{F2GUjIT=wwnc;&p2!Im`#BWd@ zK%1fxUS#KAu3yl49nS$KbS*D*I($qfuYynK)BwG=@@QOwpt_x`Z_=XZU?L#>V27gLgnz8$KPj#vRv!Qf1zUo;UV`sEQpOXpIl(l0YBRr-}#&(Z}L zRs@lgC)qGJl6*oU9a=wBLdRJQoergnPG&o*=uD7KnjngHpafB#8*6PvU#bcbzEVuP zR3S0}!fQYr@O`Rs5-margnTGkE37iC=-inDuqRsb&q1U`I<$7E1WewO*V0K#s?u>t zA7GHHp)>`E*$K&%Xf3TBDgl#^IBV&QCRJdv5$Ck4ogs;t43_W~eHfP61W8;AEi@`I zKt3p~)rihkl>xFrX;lU^A+*BUV0Q+s%@7=N3^5-r6!9Wh3Q@E$C5YSzHd&a%M6=W% z!A>pEbeFFRLujc|ksTXQKXiEOVy`RrMac>&N)MYWi z19cpjC20v#QF>ffLqCUQ8nV=-He8%YGcsDGR7A$5HFN@( zX~dywNQxFHc{~_c<|IVtb;)o**G(H(mdT9CAX=_xqPK*C zMUit*ItCz03P4Qgc>vw8qGDKpfDp*Be;Y+KY}*i3j?!F*2|Wy>cOJgK6NG7r2-* zItRT%D_3&ZhiuRa$HWmh?lA6!_D~7Qz_OOsAC(~UVmFvYFeQZ80U5tU1ps8&v@-?R zREiA{Kr0~QmxxG4v$3RsnGKgQ(Ai{0gro%-DWln7Co(ULsj&)06lukR2CRtX0wPS% z{_hTkYiWVfxYYQ;@Z`@Q>Qz9P)W8FMMTHc6slebcleI`ebl{#SI>~UbmKG*?!kOr} zya;QJE0C>|iVi9Useyt(axR8!jK~Vs1QDVsCvV&Xmm3ti#F5jgB@c@^4!x03I-$*o zP6C*|JYXbB9s)Ub5CIK+1#%>O2y^TNFrf#DTjE4CxeULgLZj789v$K=moLy+Z!%b^ zh_kW`0@uM^0G1b_uO``u)`kXv0*v?tSpqkceNT!^yb||<>>+ks)J-B&S~cZSi>k`M z@}Q-Y=48-;M_-PxAx0Hsg-gsqg18_drp5?XqHw?>rj+<4o|6bpM~jO*YPsNq&m;%ZR#)6p^|&jF^od@iM`l0II|Z&MOORv#VPvL@x8sB1uXbnogjM4WdZR zj&nV9o}j9Dq0ypvmB2u2p{vkj@E#kc$s$jXsUl54K9KB;QrxmM3!tS;C2`3|hjn!F zpeiy9n3#f$Sthg!OaNM(U_Cz(oXB>8gi&OW(t1Ru>gK( zEo=|chV)nyI3sXJWCxQmvrI%Hv4KqFqO~bOmcQ1ZGrVM@A;_}V8kCfoAp=@qOgkuA z=2QYDe^o(8XB4XHv6D2;D}h4zfZ0J1*f8COt%@QsRYPg5QwbUOhJubxCsc)||AvAR zJ}4R}hn}Vyi`Z}Av9JV=^EGsap%EZ54}%mHW&;!9ya?;oiWb?3h!uy?6jvHDGhk)z zDEUT`=uZopJS-L-_~Mj~&N5U2hL|TSQ#B+cJClumy6s91136SqYV))(sYD@{h|$@C zsuXI-gGHt)Q3!^^(wR}{(<|z*!bIUjeOu#95sYXW&no3Bxq=?S> zQ$=EzMkFu`Mlh&$Blp5F_%F#TZaP|#R06^IBs#-S6@<(?DH(Mi&RMrwg}mQGx!Cef?Eh`P-C1$4k4AX>Ux?E*8Iu=&8T3;nC5CVs@ehTpK9C}07~JqWo&0DT zGL!QR4w)DAu29-QvWu_*vu7$Td2dWd3!h3>Ys)1hbSk51cr=6%Q?e36A;X=5k`xwo zv}nnbjp8onW#}|S8M#myum_xBew%r9k!F48gBXSk6&vg#YKWJBd`jZE-} z{a`f|6TAW6WGn+!EMWsrK`xvzei>ml4&&C)e#ixP!#y~!F1eAU`#|fQJO@O#<)~Nk z6+UTb$b&P9fCu`rK`?vP?c6Kn3eJ>mJ+Q#l*y0~NC$Hv9?AP+cC@gmM6kSnVn-(k zsuHY|5^O{n;UPg65Mh%{CN55u%BBLhXi!SH<-Hj@!wZiZGD15kZrBA>2_^xZK};3Z zo^gQL7&xGBJ?vh93Yp?^nW{oJeF+VSb+U7K1mmw{Az(XNEmh))ymMqnrz5KBg8hG& zClCp&8s_39F=$#nRpN=fOJ!#(4l=9qMAoHZvi&dU33LzYqr@GtW1++yd7flvcx_cf zR)k}sYyPit2fh_1=qgnkwF(l6S@Q8|J36saRc&OwwEq@kpe*1Clo*Twg$*U#p*3G6 zwc*CNh0kKADh0Cn@46cVLgw4s5=vPNNREP1Zi((hkz4W<-j2@YR8=M<^RkTozok)# zaSA?&R+AOkBhL@*3@<%v$O(ZnvLx}p#UA7V%<2qBF{Y3=_olyC9gluWTPb=bz#B$lK z;YD_hicyy0f)*&atl!U*NazF*1WC}24wJOwN=x26?QB$w^JjGOsu3=0r3!~GR3CB# zLv}&rR~NFuuddRjz!Of61d>fG*@Th}nud{IF=P`!e}#}=xI*&AydAB5^N85E5dY@5 z9i6tSgvpvbP8Q*#DJhI7(2WzK=13~acC>!Y6UW%i`9L}Y)d*=ar-v>oSjDj~icrdk zlyHum5IYBkq$Qq9FY(a&IL`ql9{$~1JHw0VGFt%;^u;3zz%(%h6Hb6nP$@7FN-HTF z+0lx*67^h?L?^u}Nf6Y_@*_wKvP@QSLLMv$M!{%I*0q$;EM_ao3LptKv>eWp&Qy~>%Wp^eQLyr)|&;)0r=?QQ0OKPOFP^|=qbF*~Ts45&d8__GekY_{T zK~!h53j`n(A=iT(lMKY$(K50Ud7LTI*`KQ9$&3LN6 zEb}s%g@lhtp#cawfr?-&asrCYTn*bryFL<2r1f1T_H)S^ov5h_O_rxgaLmh64$J!6dp6(2xms5nv^^l*&ZC zHf6_;^BG=!){u8}0`pTMqzNX0BpLYN#$nU|iDQNl&|0sOR`FwTbaJPvSdezwNO35e zO`0GW>!PDf&yBJgUNIJ$VOp79CKO!fMc{$Eh^PPv=P8?w4da2`KqO4jm>NRwL>)#V z!m=f_$K}vdsBI1MU>t+8vQ7uiN+S!cAM;Wcb0{b79RNEz(NiX3fVU=(mGviDk|RCI zh7pHd(1l}?cL40@_alu{BTq%`>6}d?)M&`FMb4!*qcbIewx?BEo@Oy!bJDBY+tWFh zN>uA}6RHGxgMCcE%$9MYF9D5XtO*nb*NWWWv`st=U4vwBuYdG7<`C^^ZCHs-yl_P) zs>&vrnBlY$S7CM{Fz8~0To;WpNn#`Rw7jcCx;&Y*rxP+&N!Lm>lx5zK984YFC)FS% ztf!?|CHm#rqdlEssY<_2N zdlOY%gEV>*>LD;h5kOAPlq6Ks3Z)X&oN?2slB!gjV%$&`rfwjU?TU;~1tMdq1JfbS zV(5fNBY0$Xf}D*xAN&%D^duaIVZbJU0ve-aEVv<dyyUHZK2NMtWo%k z4ICMa1l$A9l6fFiY}P>mqp?A&0k+Y8pmWFD0X1ZB)d`n*WBly-p>MVG@E*7VXG1>cMBE z1JFn8LLZ@S&{mncr4Frn-ot-x+@4OiRFxHQrw*+u^(q;M)I3?-0q4Lp9Fy@&DtubL zQ&L@dvDlu@?NnulY_!re;ln#a(46oA2EK{8DlwcwMVNMQW65GG8E#`~m(&x>hcfLA zFH&pB6U&A&O~cJXGmBI}ixVOQq!SP(TEQ*J8ptq;Mye!R`EaK_oh_;=TiI}@X&BLp z*)MRgAwrzRvfd(EXaFCQ4a68)Cgg###DY^{I+fH2Oqt@Qb~GowvISa1l}dGu)&-Sl<-$CjEUHSY22`XB zLz_k`gaE(5Jc>TmYPA|CVJagRxas^)RjOry+cc`15TKwMy3ats@L@V|3NRfs!F`$E zm-IIz-DX;GRFV$Y&7{*jRdMQ4FC9-gPUhBIkrTbh25}L);0N441PHK_dExf70I7tI z>sc6HxR&{HH~`p*-M@oQDjoHdg#Tu|z2Ws~4bd-iWu|GNH-U^Oi{zIDBuK)Lh?r6$ z8nJe2vZIwxCE0Otn9k)?)l69&{ui*rK7k#!h)@+sn8$v>QYCK;*wccj5@IeY(`lfp zh-FdPG{i=25mA5%AHXDQ@Y>VTs1g!+@yni01yw}?T^3Vm(~xl9vn7!PlMWP`?HWW! zt^hwEPlg?rNr&ob2~`O@SG2UJGf!2)>lZCeF=vb>Rfq+RHyq*b=^j-dmcfod8D(vVzn955bj2y@Z(ge^lk z-~yzIO{>3(iY?Ed9O#Tv(=etXiGmYO&U^?8&<-2@hiKIYZ3LZ`=S~iWSL8M7qSKl{ z!6PxC*!3ddVc(J<#hkE%>f*WxIr0q3!SL$3M)9N}jbcJV9ED3&g(5C@prnWz`ARqr zbQY$nA|h}Ku_U$eghkJ2axucCWZ1%i)`k_ymM1$7hS$+GBmt0}hGYj4NG6t$-HL2r zKR5;`i0II_WIL0n6)g}ef|3u$IM7LqrpW@xQWx7f#|Iw)04!!BgfpNjDVqbW2P*>Q zk{CJ@(KMji)GM8GQ1hUQC{amr%xH%MoHAZ{lSrPHg%ugX?PNgN7 zgU*074T@H(TP|b=8w2T z1(SFlP9}5?q!I?1B)kZ{eaHqj!0ZD$25l3?0gHy#!BWJ-%vC3!llV?rzU2`}tet-? z*TL}ez?}C427PM>_aO)9l}Mth6M*ie#R<@&uOdRu3D8-Vs!{?%nG-OAkQ-TnBxJrJ z%?N3MSP>zohjiklX$T=rQCLP0n&vXn4pVI~MsOKgYtCiR37n?El(`HeFqJ$>+QEqf zp}Y(wo`i=cx=#lp+b}ZAagh3yD|_O(7;QIhanEY03d7_X7uf(DHARHDw5Cn62t2N z8zo=nOGG-m5_L0}I;FgS1)_ll8;Af-6dUn2On;NLTPhjRUU+B{6XSa4bf&11V%ZVO zMa_V7fKos`Kq{k&shHS4z3hfodU< zF9dq*!q0#mxV(`>I<%mx2!OL2I>%F0KKgc(3IIq>AUkXTpds~U8*RU(s`5XfCtDT$u8`Y;gP7HBdxviP?ASwCY{;7OcmRm>Lkyfst+ zYJ?4#3;mKQ6!U>NhY*gYXa#HIR10TubIH^^M_Mse#3=Xuj)oW2<#Y#f0!Ep{T#3X$ zGiRS57lbqG6~&xxXjStNTh8-4(pjiV@|5{|SS&MX{1Sn{j{`V^3*UfaOl+_w@gm$1 zl0#FpN=~ulykyTbENfbVRgg75;NWO@AzV(@zz@kVU}O$bbj0kO zAzc?+NlLwrv}(&E6+%kNcf)N3Nn6|E`_uB2+L6vyl}TKr7Fj0v3mL&ik%ov3(oI^b zc?v;n*fH=N(JGl~ zDO!dk8IQ$7z%p zXoZV#f9^G3(S&5cjb&*v<=~<=o!qKwCQuG-s(_j8G=S{! z3mRh30#jHfWd<$p@>(GA81nZx91X99%jtlz!5R&w}o+W-Jr$|PYzLT1k=WOTZ!l3GF* zAXa9Ns>HHD&MZ@pY+AWhpvoFfS6ULuL(~vD@&~s2&-8*; zpcTQ(=MXp=UPIWZp~&VCsDcL`=K7d6aJZpAY&;u21RGr2LlQ#i7iJYP%I6h0(wVrX z3D_xB&Va+%f?Ny(=t6#B!+f1do}Ct%d5p;w0xkunlWt|;IF7PBmC+(536QmZBu1RV zZDa)i*19^u2PYpwenFqbdx9xY4-axqJd7hOLcn7n&cVmwhPVxmVHZ^3{%FFU1Qz24 z;>|hUq8q}{;=XKGya@M)tHP}%7fFho)#1N}h;TjF;@0Mr19Tmx8SMdV>?4zN?BKvX*%a zSd>w;B2Pee7lH@y#GX2KlJ%9G<1}1sCFu}4(Q-PEPf}$N}xDua`a*b_EQMlyR$PZ=Q^h?2pL!L3MGN6J`A8pLXB z_=yv1a-l&!_Toe*>B?9mO6`9pR$56{6sx?`?__v+X3ouO33Fk3_$4CyM`DFXaR^WN;ocy#X{>i&OPIQ{?|FQf;3*#|J5-j;hhLhn1 zn>p#}U>Yf-cy43|-VjCtDI{}MI?M;TFmDI}Kok&;#PdsUonG#VR^NF(NZb=&C~~3` zePuE<#0mWn0t@vdP+9;_iWhMvI{?*CdKgDM$Prhv@gW-*vcWM?Il05QJKDD-O(o?a zCt7Uh;Y!5L#SuCISP85hd5~zTAP{2YB0ChoU{IG{?nQQ33JmoSZ=G&pHe*JKx2&#{;kBNP!qu4o7Z@1PK*M%bFc`~v zWXj1oA3CAdH06ZwL|YVz%izrKqD$5OK<@fIso3Xdx%YOFFXVd@Jru~$vC4nu^yVu zoM9kYr-2y;Bo3td-3_T3(2BYu z8g2mrC&Oz<8};xwVPmSBfVh~&0||~HpktT$f6)POzJdu87m2OdVP&^T3-QWak-xz2 zWO&tSWv<|?$$^8R1S})o66c`#%tb&8L^jA2z}QfhBr)gW1D&9(q|CCYfW8N`5wrmY z2!s+tn#ppKcF%AaO>re;$MgV~GiNWkktAEA#eM}j@^4`{(b>zY@T`eS%OvPbjx-{h zhEVqu|LaP2fDm*_`A^IAiX?KWGo5~H8i^XvlEPafB!XzL7bF@X)`V1wUuxQk&LlRC zSgi@fHX&_5EYyI}4p#`R;Cvs%!4wVc095jxg%d5DE6Rc!oiMz@G-vg&2B?e91bDy$ zVm&EP7%<2N6kx+*fb@Uc|T_YM&BtYbAVIWp5z`3UL@Id zmVlylZ$+_k=`5XAY#Jz8I%@79WPBXoPI%7B4=a42`Z=K_Ss=Q4YN3!R>nCoPC*=nO^EXzWT@Zz51X*NwAz#CcSYJ?AI)cd9c71Igy zhFg(iOj&@Q?Sd_m&sjUsGP$Bv*mAilof(}9K7biwu4;r2|5zUyNSqq2jw?gsk|V>b zSsT@Ic0vVO>O~B-<_z1gYooPsWu&rkMPa72jirrcc<1i9QXxbw(JmFF|5px<$zH%_ zn}SW5BeG;nxJq2kB^4aenZS{MuGZussdsY%A``}`1UDy3*o3RZ@Q?~Vn3A=n(FXpp zn-dV3l(oqh!WOO)d$+0JgDJTi*8$;d++gq~3V_RywZp3;=|!r5V}|Y$L@tB(k0!qm zDsU|lTn};#O>hU|6`qh9)2a&7%8IL@HkpTv&@l3|*!ng;Jy;$Na}g zZ6!$Qn+3{9Wm%+vR18k3Kx#@B$;lLsQCZd7Q16HY8IAujgQTiJ-$qbokSrG!7$iom zR4@qoppv2eM;Ij2Akn^+8N{Wqbnbg97^Ee#R$-6}X#;l%^G2kF-NPZc(zDPO9E0&= zgD@IFn&2#Z(Lq?yM>K6^kX%+uC&#A(q|OA8$kXAuqDkxYMJk%MGEy$@q|@J1fz*_| zQ(2s;GIW+@v7tq94zf?3dPp27ed$4&U$Rn)pig3eNd>>ske|X`K$(FQzo6yNo5nrCbOm?M8Pv3=5hQ=k3bRv8zpqY|DLVnDYndtr@NMpHP@=P4gZP6L*GH_g+VlIR^ z7}0GZ3TmY`j*C6W5&V+P#K94~q!UPG1-r+tcu!o6Mk8H6Htg{FW|gZGz+n7+rLz)sFo=uCJeb}Ctdhyf`c zV<)%(d5aCeZ-yX1O1Ic2Q=pp*+6wEOGu6~dB?%_$s{z+<6R9<7bJ0{Jp;83K(kqE^ zalx3*k5}TnEc!AvL2SeZEQWr9N&`NCJOt7>f8>qQ>7!7eJ+yN0s zCQ4{L9x7e}zIu^6!2@_oSVP}OP!KK`cj=6JCDzCSEw5aJ5|K7fvIE>uPoN8=$FPeN zGKjb#*oLNPWr*QSp}~}7BbK69P1Llc4pP~&Zrxl#VO_{@v7-&0Xs?PN-cII$AvdFF zMVIrs|F%SlEj!0}J9loOPI`Gn!OnLx9OnTtPVhk_2F4Bm^2V8|@;A>@W zGreR)UxDB;NQ{?DpXl^6;=cwMD)-BmfEud9$S%(DgIj;LP^$qqaUeu;;{ARLCb@*%BUXj8EjcNlj^ z`IozV`t+?09*sn*-1st`C9j0VNy>Vt76b|c0RwRj1cF|i5UnfS zL}e_MlZeWR7ZsgCuL@6JR4`8XHT)784s!)U0G9Hfz9zx*Uq&M5x#$dd(?~SgbHQFf zq7hk zX9Ar@J5q4LARC)5%+1=;R}B4wxwmS`HG z-KbhYNGwQ7`sKE?KCei-Jbv5KDd48@T^7lW(9ZE4EP#JP=Qi0r5(VQ-T%u|cTcM?M zMNsnaWlQIFn+8f2$V>ypGPg8=GB$#eJU%M-TDFGQQ3su@j)znMHVO-llA1q=l{qtL zkuzz7+sMX8(OSrYZEUKO4Tnz_zmrX$v|>_~>#mCC{h3APhhx zgR8c*(9J8lgeG~8U`ywL>#I4V36>|)1W_Q3guZ~1KtdRkgV+@27)^OD9%ikR&q=sS z3)nmYn&;^Fg^2{diVjy5gFc5_5$d2Ih)~!75>!h`mn4CU+yhvlG~feN3pYyEJ}E}k zw1Z&e6~sQtOyAr zv3x`$fW>p3WCy?*TxcMUF|mOy;zhV2B!{MGm4?AUTUu%6(M$B1FT&W;N#3gX_4OGR zWfZLluM6P`^c3*K4hVLV^_4W>WG=Rnq|mmszRcs33A(*SWxr(6 ztp#Ba9B@OhDrNi%a&;z0^bdQG!|-Q5L=Zv}Qbi1;(GxX-5F~mKz4!l| z_uccHGWX8R-Cg;7f3Md+d6}JZX6AXnpK_kl=F*U{4p&mU)_$`1^5Lx5u#qQP)0}5Jf}2IuDZCwJ)F;zvbg3l>rmx5EUT!AaVg=0z-|B-|9Hg zOi%#vK?G)Q1#*a@;bR@2q-U)mNsF+p+&fHG6oM603}qvb3IRn(<#v%$v5N+Cb#RjM z^ueZ3T1{;W&#b%v&IuO-bZA83-$A;geazgjFCLSfiRhAb5kN$ohJ8Uq|H9G`ttFpY zzCx>-oeAr9AgtE@6|$pFM%;k4!9ieXh?T<7q`F&5J!zQL5}sDs(TZqi@H`ISiLeML zXdeO!^aHej0*u<#D(v~V0F2n+HiT56Te&-lhF2|dYti1~JLbR$=Rg#|p4S0xR7VgN zI$?a&w)Dn-@t7h?aaDV2f^r{8G<1eZpF|EviiWsh` z3yuY4_GIO7HJ0r`qrKRk&p6p0s&N#l&JJO^U{Cf(8dKE?@pWElj!0{%70rVFAUdzS zOdMYSf(fLaK#k;3>A@0ILI1*bg+uaZ;V~cpM1dnjFHnIyc~QKq6cZ#yG7lR6)Y+g6 z#gu>1(rbkhWY@rnh@>RjfY_J4T42E;A|}qsT}d<&X(5x=MPc#XZ6T9O)&eX9%z@;# z>>5l5GJ!)};PiE51wb;c;ZD#k&5jMFaYtQpN{PJYE+ksKY{e&JH!};RLQaS+00>jT zg=9?_Y)6{Ivy$~X$@FLx(~>Mr(X_(Z8Cib;wWx zO&C`6uBC3YqS+Y~w`{$D0x@8c5G^I~@Lo+z)o8gIty^}6#}hmr1U1Y>7Fv`;JB^R( zVoSkHRf3C_7F!9eP#?$S7VTn4g6JV!j%xx2WRAq5wC|cQBo~d6S|CtqchRC?D+CJJ zsG%V(>;`*W2BnrK~x>RaTnQi!LKSRJIKY_)mmq6N8D5S2z{ zu-R=&c`ps=>fj^|>XUk1w4Bx!o>_xdZVK;(B|}w+UT{Eo0aPVNU>eG`lwHk7T^66? z7V!qEf$T2XBW-1eh!ECFY~EdIDYlmO(ArgJl)IBCw%=($k}uF`tEKE(!ej9TZGV(| zk|=vDyASS-*eFeabI~BFrPx|+O^ZUEQ4T0P_}W^EX62}BAiHefH0Eh3wpP*5f=_2+ zD^*UgVpnC9qroM3?=6`N4SiYy(1NGM7p(o6ixNhI1%OHty6DKDVB^ChqX;xb)z<-~ z^Us@N3jbg#hz=-4EeIxvM0kwS_ap)h!X@;8=vk5h@^=*=QAFerxD7QWw_v=fGkXS# zwq<*L*aqkDE?yLM5lZ1&UslQ|hfHFiksYcl=fYdSf#;79RXF} z#%P%~8{K8`0cgQ1Fqo@v(I9~=n$2;Ep@*IX1hyfJ4HUrXP*w7qa9TNoCJjizY4CtI zYELjTDH^jV568nB%hvBlr*s0kKdeNKA2x zZF3!FpIWX%OG2Gd?3Up*WCw66;1RKaTiO2`rb(m3mZquYSr*^E_UG50{{?Tt$zh11 zx`Ii<*AX{xmFw)kwOJa%*14Bz1>ItK_mC{(VE}#_j^?8CW zTE%KBBI1_P>W0q@iF zBv|x{fdqgFG{6-{;F_^Lju5ADGfWIcrH>c5Xt3FYt9{#q&ON$^gc={z*Q+;L?CA`W z5;hTHC{ZcNzrY}1=@+mO^tO;Yr9%r;6lO1fsuV51*HO3(>JQfF{VP+E(iUXO~wzw=lo*j@5 zv*LuQ`2)`>LWBXKAcjXwI2pJUkC7}kv3|iV!aYT$jJ^r}EV(O;#;SEvq_qQKA>hRL zl!*G__y{*GfK}|6LqXjLJdhNvhjy}erch{f)7Z5RBHpA~)zICv#8m;Hb%h8=gkH=h zFH~ZsSx$elG%TD)#ACc3y7pOw2(oS(6W5tBsh9n{vHCrHL%lpiEA0ID>gBBf9fVoE zYWu-WD`^z~4%F+G&GbK1ujt9_&Ln`h=>4W{8t&HlFe#P&=5eTI^0W|F;p9J8Dvea@ zAe4Gj)2GE(r2PeAMT>EaP%TFTzi=A34<{j|;u4Kbn=oo|Nl)3_7T<*q2-IBTJoZQf zFOlmW1SJ?OHkdVzbEuhoUr#g-1-ohJ+C-Gd_dOwMHt42>wu&JKbZ$g(uHh&qIb6(_ zm$E}&@ML?C7?BnXiVBtA?$4I^wUja*8tXQ3q8X2#UAk%gZV<$njVDE_E4UR*0h}TY zk>-iIX~f$eq!z|3J|gYUL!f)55AK4xP|7vr2wIk`;7W6uU>xB{$o3_>20fHLz71(MM%coShqEUSlP%T{PbJpAzpL_P_ z$v|rkSJ4bNe7mY_lh!T$8muUE2IYeb9Kw{LR#1ruh9Y>ls1Q&N@j#k>>!zs!_FQOD zjh6ogp?PyuOA;5j2{r>fQX|n#GY9PPXwi(;_XdkciDpT{Ba{g|0g&+)jy*ChB+-i9 zV38>yDF8CfE>Q{qw3vf)aB*o(nw!S=?LldQhnDFE3rYz=0YF*1Vl25LF#4QQYRS?B z0eevD#1S_w&kYt7q7Sb;k_0M>fr63{OQc1VGO|D)5z?Et-Vk+0kK5vF%+`@pncEWp znP!6x85D#-QQILlLS3kJEb=`16=-|ysVnf@v=}&8?I~;V0${W@NyK`9f;9p+(xK#W zLBsI&T&UmbaMQ}+V7Z87%CoWLz_!Y627$0-*Z>Ft7udiM#Q3tD0MX#NJzBkYkroUG z3m0ub1FtP+)DfLoL0GZwq{##BwAac=h|~{JyJ=Bzl7Iufjj}c8w$^>SWbr@)?I;1%GEH9ygLA{rA@R}IZ43X$p)^O zhgc#HH$f}_dJ!B!l~z3{WH?W>LbXhb)+r~63{SGj(4ZmU$=Pwhk1d<)Z|xT;-L&X9 zNzjs?bO~r^()5(F5*kf+V7*$xMa!0xgev)27kmfujeb1$lKW+iM_O z2A`>^(<052Q@imzv~=8x4$JH@ac}?`lIYgy%Va}TXhpO@mWHA@#09e&L_&vB-Pog1$`@?tO?VKP@WpS*)@a&+i3w#8$NcRc4=v^n zf)2Cd;+c?;&mVYB5o8CUAcha?;by60CBWT2(*zjk`af#*`=%gsqZNLee zZlgtKS_rNX!=a$xR9GdXhj6?AOeF<0OLGEr5NhJaP-uoutHBij@HdgSA(%j)(2LmP zI3BNNVFx~IW)>uk%CSSgN)6OIXHqIA#%cA9i^pVz6OQ#iQ!33Buve;Pm9*Ym0pLKX zZV;MSJv?5eiZ}qrMHIsuwI+-|_0og^of(sQF-1JVPY;X1YKN4Emdh)2{O9_l!F?Tsk_Ty?YVmDvf8kg0 z&p4*d|8&ZuhX(vj7_|&SpYrIj_^x+ApymuBsa6@YYE8wX-^39vx`FCa%vn#W*|T}wo1MS8H<(H=`Kc38g%vWvO3kRI49M zU0}4fJqWajp9ryB`_T-Ci*2g14cA3Ob|AvOP@C3q4H}?XXdMv%EaF}ei06vR7v(^z zG$+C6*}{;J(`_h4@!$Z7T;F)*Fr(` zfj=s7C|K=9F-8CdzK044z9&tu@z8t&dr(^2nAWcct0_QEs&^q|_)su-Kn6pEt-?%k z4toeUFiym95wuLXD$O~tr$L>t;h|;n!P4Mlt_DB}u|=#+p=lZ(nr&c@Tb-rhp+)h* z;&w7YBOvZrrgX#{Xc=*+3OfgqM5P;eW{QWV6WBvmr)GF)$$PMnoy^Jz2sw_ygNXw& z92z!_>JnVwDm(*wf**JR4g(J$N~1V5hrpg1ZOW~eR?-K{4N?v#lQfb|P(4PZ$TosA z8!t^CaKMc^HN#75>664wvT`zz1_OX8h(nl>a34fU7?fmH!ZN%xRlosyH4F1vd|lqU z@&j-eQ(96h3jr7CW7)GnMqAoLeJ|oFjKY=-{>4EDWT<(Zmsa2>$rRklAwx^g9gqR@ zsLbApJ#0jPiDwt2q|Zx}2OQ9%W_?~-)1M?-JjtOYj@=AY*5MUAAcsN-!5va=@1gGKU4iP&k*Fk@J0 zJO+EH5zQYjF2zcr0Dl}_C7MS3G{R^PRvH$7ssp8vU&AznXF*b2_1z)WE;Q9aCx>P# z>0i9}()xdeAptvtNu^Ys03;$k1j8X@0)^7t9xu&au#ics>1ge~l}s)s7$>kgI}k1e zK6Ret^57McgG1a2-N;Tfjwz0=$YsjwQG|UfIGgW2fMYDq#w!vxa)sqW=s#tagMH{odD7L{lu43&p{75`n`fVgH zjs05~fR=>Ont3bOVGug8YdP4OETvqS<_PHEq{>eJ9Rsh$_x6!9L6?AM)~et*fcmtG z1)hNjg<24LGSz-Gpl^vntNmzcyseUe!X>NA7KJ1yyU!X_XI7*;+eia9UiuYq9h5KS zRr?dYw76YC1CE18d6mvZV1VR+t0cMtA7T{{m@8D=3~h;0JsD1jBrcfm#2Y~gVw)(6 z@Q3nk*@JLF33cL4eW`#nDc(z?|2nM{&m*+om+;b3dWBnL#~{pX<%mks`k`Js%On1v0Q86QNFsOv@fdza6x3wEYw;UcyPvoaj?bvx34WH`*O17ZSLiAdE6<+ul zL^Rt%klse)+LrQZ{zi+2!iVt23xFFRW>!=r&OX;QPnPo1=()~^Gvf`K>k20v1u{GU5HbS- zF90h^38nJZhYmvSJoKT^Y@8OID*&{5PWT{f+-&X1QmRe-PSjZvG#hBvlS#8!cA_O$ zv>sg{hAZwr(=3gZ+iO;<04%;M?=QLv%|hE8XtFemn}w%}||%?1|(79O*fTpEyM$={j(eHDgtVIu0L3i@+7|9Mpeh&0#d;t%ESf zDYbtkjMi)`032w~8@%?obwV}fO2}Ef5-l*)%?SH&1!*c#7!7mle3+E#H7XzND-U6` zh+E<0zgH@6mgpdq+)s^8VHRJD_ZOYqvKH&I19%WB1vnHcQ4Sr|Dym#K7HVK<0egCK zBtJTZD&#O4v^H^~Wm$Ss97YSc6{Q9=bP!|Cvf$AT88URKaf`+oDaB8WGe8~7N=LIz zW44K68=T`eYp3xnl#gLWrS7vZ8k@F9ucjkmv^+dm^e!d?*S{6aMywz#2%Bc?5cn&z zy*Re1EYjsL8fdnMqFFet?+zA? zv(s|j#WQf`dZ#}-9UxNxVYo#nQPMdL0Ndl!+|uF$@cyDT6c2ogTL!>|)2J??dmsb~ z&PO}43)l*DkI1iMg;AJur!X32wg;{`F|GOzRex)(2FdCh<$E+FFGt|xYiqRsiF z*;663kQ~b6#;oWlVCJM{37!yjv?+|%Xa}pKF!+f4O#!p`@HY{WvB$;lN4|(sut8{x z7m)GMxVOD})P9vPTD~1DK4k(ABuAYiDikNP#VX!$D{Tp zgway%VDZ2hxoDhY$ZOUcq5>p`S^xy$0vjO3O}NURCE$WBOxJ_K%Iy>y-L?m=H9Tqk zb+EuAWkcn{1^|xJKqahJQfy-2K*b^gDS8B@NkCyVaBTv~N4r@MnifZBm9{f{O7uXI z4mqK;z*SnJyQWm0LqpXjpjz0`$M(Z${dEv%Lzp7be&J@^ke#W|HqlUWqJCOg#7*}DdxDECJs|71n z**irvLpk`pG>jZZ!`${@?z8vq~#DVa|ID8d0@ zgNQUHYosA;do^fT4J}U&Rt-v46F~MTX0ediq9UhJVSCxN42ISe2P?ah!32<9a~PBg zSf8WX6mHj%;g;)h8dkQ)s3j}3*f>~>O0p6FBO*7%MudlwkeDSCZNQEkb7fw-X#NTn zGPLjBu1A|L86v`H$#Jl7QJa@`5FrEGB)eI}2k08K1k6`u4bw=py@s`)dkCWy$w|WO zB#l7~LC}b2Aa!R<9`Hc3r}gm@BXiTT99p%UB;Za`9jFtS11CLFV~R$e9Vk)DQfTRM zlCZcP7;az$>}Gf@%oT=<6>uV1YsZSjvJKApY+C|OBg+neYv~NFJx&sEj|1RMSQ$8> zuij&aKnk-KfLhCdoP4MpZKn|JM;XFsWpR=KImu1}0t%c-Gt73C42>o`P@>j%LraE} zgxN_N6A-hmM1~ZFg|g9^lPqq+X|UM=H)@6yPD_T9#7#KEW7EonW5S|g%y3qn7Gc}r zH16yGi<&Wo(*ocmVR4c+X>lly!H4ex8gfCzW3&=Q^$NH+6j{_;GG?cmB{lmBxA=m&wO_z)u(642DZ^4d23&M61#3oumd2UWQMFLnvF%1{tEdN6L#E{bJ)((3kb8d7&)8EOIaC=);6tdz@k-cFLc?s^|&wxBgf^jGI{E1MCJvU&$@5Zi*Zi4{i zpcMwO^fyR(HBFAt6-KMuBDLk2;T9jThgXI$>6FGr3_GKdj6(V1UfM*UZ@eG@+XMWP_Z;19!@h8bObcRGt#uiE1cGb z+hQ;?9MJ5!unie@h!49(BMU|b1HpxW7RjF>cmP{?5JrG_0Z_*eKr7q;UW846`(V$I z0j3Op!kyAO%y63jV9BX&7rZ;g8K;Vc{2$^JRC8hliJId>l~9t1nfxYa6bBp`=Cg2^ z*=nsvS~u^EVz=yswiL&b*(TpQg>5Nr4AumvFlaQXz$Ciwv_3q}sW=V8$MbO$9x2BI zn%ZG$yILcZR?a&k))N%50pr1cz&I)tY&F8hoyX4K&932&g65+MhVu0t0=Vv>=7OW=Ybo+ z<#n$zJrETIisB|=MF^U>UAz;1jyt4v+2J%d#KeR&jvwh~U3NIFy$^y8v*O|zsDR+P ze*hke0uOk?O?-wXNbrGU4@7tZU|%I|p2HnZ6H9d9(i*r#+-wb;`k~lxTG+3E=i*jp zGT`+Hx2XS-cJKl)hm_Eslb%l>3eA{lJ-z}!%Nh|Gpfeb=*yA`-NP9y6P%o?(j~3Mo zRL-?6_w&(g5?ej8<<>5p26z6_`PsA-g$54qKhq;k579x$J=i`yY7ySzgY}|C;!lq_ z$ALQ2Bd6ci8$}Bis)Xso3FO3pW`^jPO%BL@zfbSD_y0|Mj^{s998C$)K`0fSrZZYx zuNYlG-%~V&+yP#KkO#LwAmPvvS`c>NJR-Zp9y|?uB3LSSra(}l*&-%Rv?|4v0njS@ zAc#RwQkvXQenS?5>#z;C+D7aErz^9)Shk6i3xGMCj%KGDvrQD+;2eL9b{Y>v`50DI zT8+;Kj2|YqsglHVJIQwTJ_p zMuG0^8p?wX5QD3{JnB&tMT&wAIzey_SC!SVQt}x=^KwjN$O>17mm}yi1#_9h`!7#f1Tkg<5n31c z0U2cBoUkDd9%do&Dn%@sLt~Fet;QoPK9KLvv!Q65Xcv4^WwoAe!nVAZEoo3?P_%YX)b!9h6?#~^vL&mN>!3(?06f(7Xb zN~Zt`CBk5#Vh$3)Nobj$1`)!%L5^Z4ikA7{fIR_P^rDX%1WN$SoBNxsmSLnYYGA+~ zM~V)Z2enCPZLq>wX05H ziJ&hV1dCpoy}~tOt7TZRuvAewBg)}+@SxbS&^$jxcmJ)U zwlYb@F$KszQqU%K zcSX>I4|{l88bMz{2o|1_M%cm=c%W!Gp3t-hd)c*|fIe;ztn6+upP2R9$_^WZY9Ko_ zU_wC?CG1gXl|FsBAXpThpipQACO{!Zz_#F*h!(KHs_glwBmf!ZfLmIYA3>8V>~U*# zJ$>9DSlr&AaKq_@W1)9KphYGCZ^Sv^mK`hb7x_(bLyMC1y@FujDe=zN zP%FX+qOV1DKvNFvm8n(j^fiNE(JEEDEn1kQuR3N%0F0>3lZTsT1lZ%&>T~*hL9n=$ z`rHKBQQT>aAszCCVrhGB%oXZ!u-F|g?nCKs;q zMBNrQq7-5d>^Eh>J00FMQUZ07X#{;OAy};=Cn(K$a7=;b;MSIaaF%3qpg9Qk=AbP> zkDzZbB#DvWQYC8&v|0-u0Skirz!^k47FLDWf!pdZ&D53>eVT^gfDtXRqAxZii4j*& zHe$_4W%eup2N^(b9Y_O^5pWipsV)rFGBt}|9k31;uncz!k^yLO?16IMI!#z`pl2<8 zqwh8(Nza}Xga%aoCF>3NGXVO)zY*cIS+^B#Nec*cS**RpOZ?Qe!Iay&&JC+P+S^`ENU`P_Q;Ic5N1XxfZqtdZJ zMKcl{IG2`QS$yx`ff)vuV_7Qy9I6o`D0nT2@6LU`Ub@!HDC$BA^n3 zKJjwcG3v!-hX|vWMEq;E$I#`06 z;F7H134+O>8Jc8nXpG;1@u*o^Bz+7bN#5mQ>PvCs(OPz(r9XR9a0IbC>adM)RG1s= zu#;q3G&R5heQG)wNneRb5`Dp?gHBDWGQ-@4ZD3lx*&Z^bH_-FpFVKl zUBTs!PASkVNC(asL8S(Q5P1;CrQY{QnnvKjDAbfQlD-3xB%=r}<#fsjTnCPTG!1(Q z!+jYUI80szM)MFH@TDfRkrqFw;J|`{OJ<$&70`)nh?IPaCM`H%M9p_2>3b1LvY_Dd zU8js_K4rs$6BnaN4fX_StJx#zdlA7BgxdV^q{)F3VMazY+kiCb!yu6~??I;k%h&bB z0euNVL5)ZzCjTRqNFcB7&GvW|?P3QC`nn_*>_Azxj%$dH$Yb$L#Eg=36S6z;GX5Ss z3q>(E2;oKgjowI_@Swxyi|Sm)uP-X!FO8%RKPcD*pb#dLlI_Q^Ga|f!2pmE%$RsTS zkE9t07BXp>H+_!5N+yWNiNQdVsBjRA1+S=%P!sX;NLV1bsH&h~X|y7e<{jvg^W_i* zoB9uYM$(59Y-Kky3tNVqpi%&UIlx$OVF1&BFcF+HV?+Tw4ecln9S5SGO4jS7s7%uq zEXmRoO&=|AMwX&-zrMhT;Xt8JpNp{5f+d9xShH3%Ahn8h1WfG`r?S90)PCtm`XYie zz)Bkl*%oX9^1*s>$N>jXxVR5C0<8QNVPaB1kx3M{2qvA;6R>MhrblZv(dQPN(c_UN z)#_1>FaRlF50Kzi*hA5H_Dme|Cm@)JdH@cCjqi5J2WV!6r4MLL9r_%CGt5fcMyOWk z1W`~nRGRl-DV5gx@c(-ba6rSsN|z2{8ysR!X>LTbYhVcG1)9J&HjocSC^rje_JS^? zRC8&*L~HS_h;uVpxF+M+!CP#D_ah=eyI>E7z>E4=WJS%kAlZqee43GW-6A`$$&NzoIpks=!0{TJ~Zd0W%5>7 zJWO6LYBhEsEEjwHnlNo)HKJOFdT>Ee4<3k+g`y}W0-G>N@lH`INbATWX|{odF7*{5 zk+clo7Qb1Sh&s@mKeU_^KtTZ%IH~v@O5r9LGr9&vHsKF0jon-7Pm8OxoZcB+ zkL*MN;EHe~+z=pfflH1+0zfE*XrdI5HAw^bIy5PyXgLP0nYRL_WER0T^HQolpmBU1 zoD?UFA#$~4O{?i`;lb}L>-NN05Y2Q8i-W<+{L^^6rHEQ+pvCRZL{vgUT_#H`+r+`* z5=8{%@C@NoW?=(m7Yd(RzDFz2oe8VBeE?y#HiM8IrVp!!Tfhn6`&AiMzjdvYJkhYa zr7ko#rxo7L;3;k%0A52TEOY_cMHmB$z)c!<8y+b|aDrzHrI$|p%!nmCC4Vq(a{2o< z2`oy~LU49?gBLcHn6?Ih7sbj1jK9KH03I|Tnqwolz*W&+G9(SSoKCb{!_;5YGH&8O zD&tIhN>mE~57r3RLD2PA$0Qx2gU*Yv5M}(uz{saxIK!w6xCFqcIiR&h+cAA79kPQ| zBP>K2LNTUSga&1`%9x2W$na6vpuegZ6`o!NORh-6%$BaGjDi@Tc=UCqM`hR}04M|$ zk^2A&{4AO9xK~-iQ)f#X`1q6tXZTR@A_noSHvq^+%>IxD!V-nP5L@EdRk&+6wkP6E zef1DUe1TO~B=;?Aq)LhrS(=tN2Z7W{o}sxVw2O!-0x0ky_`-Mtq8Ak=9^kt}0#1Xv z*1(m-#Q@G@bP&K@Ob<3H*31=f1LKonaG$bsLcE0`4`| z2$ZbFK)|DF5C}XD5NH;Ns1L6bLB=MDk)YA2rZqGzW1)4&K|u35fELTjz6d@Ucf!kLMAPW(jsLmnIIx( z=l_;6QPCz%nT$*hO2kNEh2p7T8%0D9u`#WbH)nLVsRbLVj}03)@k+v$Z?iR|B=hht z5p6gRa0USPU6Udx4b)n~)y<37D9+%T4NWM)%B5|sAPI1}v+8gq|JJHbNAU)yAkIOVf<V!Ud?aBNM zvIrr0_adO6)0CeP{aMCaxv%J=N-EHD5n4NKC8$UC{3vz+$uvq)0r+K8zTKY&Q(R@- ziF6($m1#p!26? z3m0Fz#!m+@TiHly_|{T6TBM*w(9U2eF+&s83?pzbOzfW(sPHVfdp(+FCFoE(>P;fX&UU+u}i^MN6zE56>x#z3k?HXg4e>0 z|1YKo1P>Z`ZSuIlYnpcio(736!7Bsj#=1M+_;hCW%3#yu0`FM!%p@wa4a}sg)X1dK zXiF}%K`C1O?2HR#Q0j4U;n)x?xNwkSdG*mM|6f`U=oHFm)#KtqvkO%E2nIg&GX`)D zhqxfu3N$EgX%g!ARwylsc4iXF_}1g1K{JWqXb7djb4wbuaj;NY`|OMdkt7u=Kxgi>)Xr$I< z$`(c1vO|pep(e6vnugM318dA`Vj4=zl!L$=Ty`n|1%+9wVVqImC>;$|XHSLFLgXMQ z2rem2848@V)Iw>dgS8cCxhO3}4uXQ<64J*_LEtz^6C^AdQ5RcBhSC~kXN;h72`(#5 zA|nWl2pl4vfMcQK5f_A?A%x(t4kHL5q$AryKGIgSYC~zxg*8iBeoCvRgJ3DR{4|Ly zMKO>Fs(64j)+1wfly|B>Ul#c*p4D)cDcP0@t3_v8B7Qksng|)J^9Fx{g2LU{| z95ac)11pa$AJbnIw!!q7 zXtswcsU6#E&o<%%uEw{-9E{|8LtI+sM(eqQpulnFmO}Q)kUHmq=1=IR;F~L|^#b|- z(mcFItQ_jeBoJ9qZ+6IoF+vqK{7ijz8TnEpwr8Fuiu*+_g+s&~OluHx8>Fm5exu)1 zOfG=e!ct6rLbEb-a%jj~`_xNhD6JAVTL@sIx>Ay|7$%J4DV?D-Wx_%xO>4BW+e#)y zDd;il!vq5{i0;rRC=zXBu0&+HLQ%LAW+08}h0;6-U2=++F4OeLP+E;`E4!Il5d(ah zWq7bBsxcg<(5G`s((@&2qH~VlDOI9@zx>WuoiWCAZS!WiGsYCXqtT0432k%rf+c{0 zQlVZHD8=Bx9K<=T2!96JPogM{1$Kqk@ls$3Z$jASr{maraEo}4GD8~$aI+K!WF~FX zEykplg8TnqJP5-E7AN+U>^qi83}}!_K?B$T(wlIhD1a->$FKqda{z9kHr$7oVNd*k zjPcb-+nIF?y_R^>x^!oDeKlbRkOiL_0XVn^pa2C-Cz_QLs8LREA_^;Agm7Ogl_olfZLyp0?zimh|=ri%mGUg z)llg2|KW4ZzMuhfC=4JXD2Ia!_rRVMw_P-{Zz-&rK)L*XqCB9mN@NQlY(qvfgoU4j zaKtJcMzgEk*#^EOF5os1Bc#A4>RA_!&|6~EVi~PZcV;t6EOUl2hT#!Vl7fuJ*DaB0 z&hG#7-~qJ1MR_dMG`2bz%Cjg*OB?UHRHk_rE$6lp9|pyx6J`&WfOHUt7ZBBM2XY~ZDx68f zpxlXP;nDDwZtxYh!85dwB0Wf|?`T+A=Rs1W$)RYaw=EN9MIsr5HW52{6HC``V(J8f zVi}}pwrR{ZQEY>A{ATSmo`v!-k9sm(-WjUHm7<4Mxm$dW8_EVm50i=O1_D9_np&*Q zli!Y-PIMr_u=zFckrHa>NxaU3q;|bK!9^>>t<(-C%xaHk1fiaQm2%Aqvqwz;tO)+R z0DK#OK^|;cxdyWa+59xh==}L~!5rBio3DNf3SZ;G)gvDpQ zHG_wmagN((0EjpZ<@)M##tPz=qEB06fa$;(P&XscOW7c}_NQpu9vQ}h=JvGu+?k?b z_9?DYcLvhyz?xG?)o=g~iv!;id3bz{8%>(ch5iRwVg6nBp`%7p_{D zm9qB0`mBi1oOpol`jRSXMA{Oq7K&*Bx~(#SRtd$<&_eluqSa1G!Wd|Bkgpl1g&$gg zu7In`xDBEir$i-SZpNN~Jv=Lh9oAzTbE^(jXgs?H)x!vUm3N}8;|anAMEJ(=Kb$6T z#v^N=d!?>@a%58~LhIfYdZ1!pHrqFlI&ad^Sg(alnmy9$bSs$@D}*Tgb zB#0&;UXa_JX#_?IwLIoHM5Des4-|)tPq1j;F>_gbja+C4OqlfqpM!y#+XupUh28Q% z1*&2hH_>dPH3@hr?KIwl^1cU3Mo9y|I&jG-wFV+BQnxYyH^)_IT&z|=sb73;Q4m<<3CN5md@2Al#saYbsUaShDjf?v<@ zlNr)bu?|jBvKE+W0lF15SUJ}cEc$ohxR zWp)}0!bXHY)NAla#57bQ&?|~a^Eg~I7OW%lRi#?eN9)5CjX}B~s#2s)T&2j9YBL~l zY-6rFVTwq25V%XSQfU1GOTbAm{P}n)Sdc=32u^MqF4hSkyVY|;H!TlWSU?a4G_xZ@ zb&hLHHx1}o$fVYoZd#UYB@;yC#02Y0P9`F{X}ngKoE*iN`K#4&Zi^3{3qB#cnOW30 zFm31+#(pld&He6g4ar&?QR;dwxma` zXx+5J+L_IGWJv`~As>{cNEN7CND88w%4lV?l}w7F;+R+>MT0BC@E{m!2u`n0Kvo{~ z^3$SpJg!N;NaM~Hyz04`n^r2@Vt_9yHGBY168eX*N%}dtNH>i&>u4l>Xf|T;ZFJ#6 zie;dgAdCWgoM~Y-@F(a4fPfE&xS*&94}{sHC`t+c5kW<~6E@&;6j_(HBWhlwlTuv) z>ZbL^R=Na!vo2vxK;{oE=LAU$6pEq&(r+X=z=aBzmy#xrxM^J4Lc40>=BBm7R_LH- zE^QR^5OA*P0TBJFfZf4wk7EbISs#m@X)s#nK}t4E$s4T?wq?SsXDbaN{s`msIc{P* z3HE_&!t!C_K3dGJDL0L2TSC)P1B-8-L$v@T_FS^Q0zea%Ei?`cxWJ7QHVXn!3Wlo` zP^>pl)+5Cxb#959mib!gEKG(IY;v1Yp@#;xE%c`Sa+&cf;{4+S^-1^O!ljEj|z~oEzQ%bMXWZPsk2X zPz^wV0aO5u*;9cVkWo%f<7sf$5}8&@(c)QWiUV3+;W$%VLnbz$_={(V8hRX))y2KQ z5|kFaXgRDiP)ZmJ01EnnoKOg$Kr6|EN8_}X@YE&OZd&E)3|_bcYt&Ex8FUuMZbq~a zX`%ExA#NIjwFIE9y>`<|RA&Gpf&zd5fTp3X?14sZd>wI`QPEOWMQGSX5FK%5TZ6$N zx9=CsG`yB67Ne zO?kjQ*n|=h!C|R#!=A=Yb;+szH6+)YQWhWY7JNc>Gqcf*N>n(YGJzdpPt-Ly{J+?r zM>skGi1THfhQDmPOTH$%RM2J>!Iup_(3yEOnFF0`&0fJO4dk7#QqXQ%y#2ral>yc4Y94(TVS+%!6=qnARTsTYaXW7^_3 zhd%Hh5G{v?$`%_~D9ZD8H~{;AFy^E-2Rr1YWWS>^N*$z;b+qo-yLHb_A+9jiSr$^- z_}`^#o43vsv|LhDNZ=L@f_xP+^8zWRDeg8p2+7mbmWGGcSt^pd7)_#z@`AO*?4&L` zfX#qq*d3@=(1^$Y;QlHyp&#W=oKBX-dT3ly=RvYqy+6!D%Q9`5Fk37%3@5E48yI3M zHqdaKd<|z}*_mjzY0NfJY=d*$M77g+1;qS7pM4~={3m?hn-xwFUOThv1L*y9R< zfY7BDO<)Cn0|}Fp-wpyqkcN%$YboRd9vVZ{d60CkC%zt9)oG=B!HJRrb5&WzBN5RA zM_>>a_!AJO^j0_cGk1)7X!ujdDd}5np?YXxs1?d^Sz)ki2nwacD-men)Q``BX&6%n zCn+&p%eFnVl++fU*@3M*_3?Chd1x%s5~G?VduS=CGmI`-nN}DPQ=nsLR;n32G(Kr5 zr<%ZdXkn-`Io(d>M3g|>fSds&4m2xPu^zPw_t08TXA*myN-RPqTwhvRAW~!xjX7F! ztX18#hSQnEN+oV(3*Z>^B05=#9Vp*Wh}LQyT5Rb|Y^DCO5?gcAhD8kS8o8T>GgPkHzeSiXZ&>2bzpu*3whaQ{8j1?3CHK?VeMw^EQ0WDRietgkGOE{gWFw&_C zHF$sy7ZVLK)TTfI2JGO`P@p9|?Wb8hv^3KhJ|sFu`X*91C?*IUiZI=dJq95Au{~RS zd@Rr!pE`NLWAPW%QhezwBrym;hfaR?4eOX3z@X6%HnIeLME5YZ?K6mxQFB*8T!!sm_0P= zr%O)NX}GD6*<CT`?HD@4X%q!oC<1zs&69%4vhadsFEU#7FYXK;z84=kRZY6j$h-nE=3lOv((iuD@R7fl%f+Vx%kfGT2qJ$gcAzGgV zt#EV(#Vbd>`s@Ji=yM@<2oFTX%{>qY;2jWTv??e7BO)SmB|Fj~4Y6A4 zP@UxDp#_Z2uqyo*wmN(~Fm1bOm`b&N3|h_T45reL5m=>CbfBO7)ybDKIW;zTX@Q}Y zOiDz6gCIxi&djDQ+a$0Ja=IRD4;Q#G!oqMGMRBL-U_nvb-rC=T1UN4Zit6B`$;S~a2JS&ewB(Lq+A@>x7N+1I#QpdfQ1~e^EsCkE%)-5_i z;essk^6(^~fZ~7)C<5T&EdfhBYU$w{JnodigZL%v4aj=4N2B6`D*Lg+o@^ry zedQ!Wq;W}0$Z9&_rGj0ghRpJZGE=dN97zvFE zgGFfRAZyP-lHmQejN0=XnsU%1Ff{9cn_F`ILH~`*f19aXjH-ienM?%4_nXIF9tum|ggKS-SrbdUVf%Wsr`1j5G7PD-3ZNu@skKGuQ)<(xgf+jiXwciEtQ?`1DdGxmGgMU(pcUM@}Z{QX@vzF@>_NxzrFX;quw%VCzH89ycy zYM2%nQ<%}m@E`mmA2Z%9BsYd{y~aQG z^NenzVh=Nv=`vKp_SwJEzO!gxvuo~%NStA1*l(x#`=8y%uDgtZc2?eNj8wCJ6!tH| zxE3xwpOwWmW4sCF*}cYn?0$Za-Cr)N*LVi~!@FGh!wg*fU$~Jl%lnXn$;bF-OGIsP8#%eV)2$MkAk zXJvUgx-Zc-A``jg=YiR{hy8^Ni8^DlgE#3fE5pvQe==6K4|_?x#>@D8BMi^x&m#RB z&oy)+>y~#UH4hIrCb&Uk*pthMSZdfB(<#?Klb6ZCIZgCy)C=qyY|N0Km9f;nG0B8QZfB45oiK2*1BP3Un|P-2T=q2+_5>7W1tX0Y@L)rVGz;HkcIPPPgePHu2g z1`owXE-lV-Qp6dlusCU$QwS|Ibos3`LKvcqfDrwc2>w$nmr=Y=zz;_G%gK6B0?dmC!F0@8Uh-R zFa&gYjTeN4x{PgPgzshU5LV+w7~61{F?gN`LWZpDOQadLYY1)La{k?*%D43y6Tjl4 z!8no)kJ-4d31;{TivtuZjkv&lo*A*v%vlES%g31yvGc5q>|63azs_Ty+}41OS-@n4 z_&OmmodzkzMH%Dy(26l3!DVD&ZsV^P zORbnr=uJi`h|F(c7knp-=hK0#Mk?tGm$Lcr;kD+BA@+~V$Jpn9*h3Qq`2U+Uth#2_ zlxU6r$C@){PLRDYkD!Bq#$()p+o>df2BU%9#U7JrDn?nn zGVw1HikA*Iy1L!09nY>ACdD3ybH-m(wu$H1dG^mBTsB%hu+W?vHaA-KJeQ#ueqU0J zHq@B@#3+LUu>O@q3}|N>2<3Mm>=^QLXW{qpd+h#FdE*(p9nS6vEp7CGF*@Z~g=1!Y zhH%UR+0BducAnjY1lBmuV<0a__XV(8E(H6F1Tm3F5+9lMQnaxNnSV}B*9Wlv6gLXcL=EdUv!@d(Xo@k%3Iw6|JA zC@z9wp|Tb7UcPeWGL)**zI(@nPDb=JRvhyqqqZvTb@3x3g7V$`;iZi=yZA4I(LLWa zp?z}%>-Tir2Y>z+_S?wmu~B6j46B-{ z=09t170WYobjJK27AiF9#j*LxdW*2?-yCtPsYj>kS*&1#qn&>EI&-!kcm6f8 zQukM1$XKFi(ZOe$l&QbxxzVGm7DzWeU{dj8;16Or+DrRRa;#y`a;H=r9BycEVH|2!>8v=9A04Xh(3eUTzukD z*XJ{qc;aD`l@$+WANBW`H@0PbHOtx2!}G5>mafM1G>5Z3^?Q@OnSL73`qC2x(%pL| z|HiB%HlC?kE`QG^WAfy^Jikx6`gQj_k#2p=?T!_m&GKB0)}g6i`r-Vh@x!yXzx?O7 zW4~)sebvhK8Jj-)_l$~JBXT~q>C);Ie}B25Pv!jOGgTPU{P~_E*KXMK(#;p@4=u5Vctej|LmqbeA^YysBWLG(Dc!2u_s?(3u&eaILO<`j_t)h&YLw`d5OZPSxY#NKQoVh9)Tp8NtIWus|D{4Nr^>RW;_U}ZcD6`&KPKO!N%Pa2M3-Qm-3m%9AulS@CwJ{;otan98r zT@QXN8$0mtb9amHe30w%qm2c+_iS>hV!CpD^0m6++8uR#)6m;J=H&QyMc+QHZ(e@7 z`=dPjHdeXZyMDEZ<3)G8kS)^_@7}+;A+$@+QXfBP5s~fO_0Ph7d{8+1@c2;$TlJgt z((O%IN9;;H>|ycIO>+F6cF23JE+4+1XLzg2lQ%ppv-O!=qqFa;c&~m`rAarlpUeDW z)-+XicN!D^#E{$lYiIc<&E{W!&wKjDxFeM+r+V_maWScmcf6UVO#VGX$BoFAIdz6I zEf2T9-R-BR2j8nysq%qwg+p>bYWm#WC*Iq<;@Y^vw;MlPJ|um+gJoa%$jHRmLJ z7vHDnudQdkyrjsZU*3OqTjN7tt<3nS{xENj<<0y2-XV1Tt7YG;b#LXNcG;WmIJB~E z%(e%mJ6_x{VOQ_x1}<2!wL-$2Vj5 z9C@-|v#;kI+IH$fp4IO~6n*>Ayo>ig{%2cMlNp5P+sth=^Ip@qY9UQN-dS$#P4|jF+PX(<-cs+2#;y0RySJ%a%rC8%9bLZn>djgE z>R$Y&Z?7VM7J8@CNhLmQ5LT7Jo{Jg)AA+ZC8}`u8>^ns^H4IGnpxy^D12y?^TGHv}AVK1q*7u-tc1924i0OwA0}#JI42@zW##~CBOUov)4KeXtdO| zb8oj^f35iW%{{edA9$|WKTSrz*(>vdRhNg|?d6HhuyxwCu3wjQMU1XIJ@RPQv#s6w}_jLQ5(dRa0 zyglNh)_uhI_{`K}9*ErYB_|@^>?6`5MR*6|@uWczm@u`plJw7|q=Fu-T ze|Y`NFYXLTwRr7>HH${?nRq6By<&eKUYz|<_p9Ug4{R1TtH6rc$5-6?qHCG5>+ZF@ zx@^j#WsO>$xp%7agjWvK{PU;23+gs|ZC8PH%NNuO-PHGmx5|{el(t*tl0Q`18U5G% z9qBi&eIZY(rJd`Iul`(tn3Xm2-rX~O=j|HdxeI?g?EMeY=c*LGWPZAx32%3NuJsQ~ zc5m*IIZeYkbB?{T@y@J`MLt`fHfN!y-^zPyR>xwaa+aUACEW{KHm^E)%Pz-^@a02_CHElK#da=%>j^88xXU0}yMxz-InSZ>wu zr~2(n+yCpIT6I0Tr1|s5kG3tcJoSV<<+|tmZPxJ&lipc7a7e~dxz-)q9arkwk!JVK zoCtk>YV`vTBI|wDBK-N{^Afhb@^InwH6P^)$@s;vcj~xnbl$w7-}(xdQg{C3pNwy1 zn)uh5Id$*+k>kglU3=w!?!k5MqU}YhbWHf5_?oqOcK4W8a^>%DEbTey@`COy>s5Ha zZNR$@>{Pjal;hrSx6yj(*TFUy%WsR@VG<>#zH# z6^r^SYpbVL-#oBtOj!P&_XZZ+|4fE%eXh2fQ@m&E&Qs1+nt8EsRO3IkrEh-e;?b-# zCN*z=X!ngqxt?g%pnzTCVu)4|j&vmM$To@UF@w5dK=(O~H}xz84^TH^f~L(dKE z+91tW_kNCj_TY2d-*(;ly~d!Q-Z@jhVcpHOUJmV^Yw5)W8`5-OcvJ!{0UxT=ny|Pghi}I&kqX2YTN+u{K@Ip)!S!>=<;c?3z9; zCgq(mIQ6b)UK!Ut?)1?YM=bq4_Kguon(w+iuHcIU3!j{w=gGSr+P)TD@w)5o)$7w+ zecyETqe@S9`ZKC%fi-8g-}vnFjz52XXY}?W?+pz7sQkv3c|D6dJxD!e-|a|OkM@W5 z967mg!^$}?y>zJc%r^%tT2MB-r*He!^-G)&y*Tc}_a=?aJ@eAe1KU3@Tl{K=LuDq6 z&QWgByuxQf?v^N@v1#X?`$m>;nxp-Sn~f{q{jT9Bm0n!Fb7qJ2dD8a3>puDF#W^(x zk16m}-Hdm<3uYedu%g^a*Nr(NDtvPAaM_<{PCh*O=^E1yj=23&_VpusFU+uKZSfw} zYfm_LbV#8WzCHfsft4KxJX(1qd!}o-$5q}vqH@Opk^6d0Jva2+XQz)`DAQ}fjdx-O zoTwXH{FZy_&{eG$ZrPl(#M@)`xG!xF`8Yae*PWO;V_v>er*R=ywU6K4dSGmxrma6( zx8|4eZ)W&>U-2`wI@F3C(j#@_InQLx_+g)Qn;esnlj{R?NC+^AW#>UX23Uh97-R2-O zOYPJ5>HN>AE&U^!4=z`HcCCaVEn6Dvfw2ZMX08HQCZ7 z|Eez^?f!Uuy)7~IyVv}E)ahq74EuK2nT8MCy$0<0^4N+`W=^hn_^mE&sxFFeQeoY~ z6^}+w>wRb5q>B#+-rj$2e#73|4$K)i`h}mHEd2I<#?ue}9`xt8?&j^QwkbKb?ZEB* z%AV;k;pYb%ePQn<$3@wLvZt#EPTv)8+izWC(cC9%Z24n&qc7@44_drFQ`x`Q=RYy8UBfT- zHfYep-R+InfBI)|HrcHOK<$LxJ!Z8Z{EvyW&NlpTR)MbU}%XS zb}oB!|IL{#Hg_phxx0JV>B0}v&3`WD=7Z~B^vd-X#2h0>g>_V$k6|6F-3YwWRlZ+XUSoj>iW+|NLr=uYQZqkf+M}tv~FFeXrK_fj_;w@`cw^o!cJyb=AM0Zj-bLIWfe%*4-Y8meS?ynco%Q*&EK`5pbmW7nhq|8X-e5_F_^`BNhb$P} z>Bqmy?XOq0?>ieZR=*gwuubK-YF%ZKHM5C45o;RaXYey*D-eC4gkaeu69 z6mxff?^PAkoUK_Ux_Fm$?JM3GQ1I);1NJN%T5WB=l^;!9efsU0!wyaT@!bZ?ito%f z{??&GAuGz%P9K}`X#OAG$egR^;101Hw+$?@x$B)?vs-rV6WXg-%UMI(p6c#-dhFJf zC8n?Kcw|ZDTt7cu>XQ*uvv(?=Z&&Brk%|qj_Ra8>ecIms{noOfF~yd;w%^EjJ)+|0 zt3Mum?kiWboPVuYQR2N4AKc2dtA6fE3-iUj-{zOsp5J#SeV3e}-JdLXE&Ozbkuk`LB0J?cMTR z-l=1^7mipt@<`MBU!~rBck@?M@2;#g=+zvj3XgbLxzpwcb9(H|TDwcaW_QU;3y&Tf z+BI!xj(Sb@weH)zLB9`wJ<_kt z$|^BCI){6&xd(3EJ7&+K60^_0QE@>0!?PPRj$V4BX49_K|NN`cwjnw4mRhv6cmGA@ z624e^?qIbKa%`(mEMd@=QP(@~uK#75yk)cA`sSmHt`kppsnxsKst2D$od0R@q+55l zHCni2!=*LtoAs^zZLb;g_6!>zF=0uKG`knYPfwNo>zZ-@7T@ zWv}&m^G$EmS(E4K!oPiWe_p{l#S6TUNx(Jv8kUms=6@C z@~}SrdM@wq`l{o9x4raEk@bVScW8G0e#LVM*|WaW^QGqFzj)*Ide={OE;6gZu$_f7 z?R~lC$Dc0wCfm_$b>@CK{qEhUdFNNmi7ZmPPxIBa?_~^oclh|Hp1-*ALWMUow?35N z*7!qL)-ITtr~TySrFND-G$ZG&&v(s9-{$1r-V-}Mm8IF1oQ)+!ylpz+%6zvZlQ zs=%Uor&|2kW&T$Qo?kmY+3%Sb`u6yF>($xex4-{;OTM(9JUQ^hg?3dw$lS4Bi+WEs z8FV`OK#j@oe^T|WrR%@%F>uV3by;f7iI1%@ee`QbyWaHt^YHzgwJTKreBJ4xIn#D^ zjXOGM+WUK-tu^7kXJ*FU?wLo2G#fSO-{m8(fAmhw@;7(&E4}gCmHTsjw0OcVe|@?6 zz*9Sa$+w`};GbGdnehGhwYpZmcj8g1E`=IbOV?&dY{JEF-z{1(bCZ694u9D9`sik3 zuhxuiJh| z_q!ZAo-wlW`p;AMU%9UI=4V>w=zRC^gIf1nwcRxC8`r$_zjxe{dTs4#M;l+uJf)fY zr2_p{^yqjsGIhRZmYtt?Yv$WGZ;t=0THXeumY-QSq2&I7tGxA=RXTKT@ygxpfBbvj zS7*N|_GhlR+$}qO)N0gIIg2d4*P`3QS$F<9`R1(MCEDJ(Fn?6*jb}UNI+E?=M{|eX zO27N**+=UZ9z87NnQFamhfiMhFx~0wJ<|MHWyIUfw_loY=96{jPPg2$ZaJ+f>uaDO3G;#Os zU8i5@lylhbgRfk<^~=NsAANK++t>Sxoo&}~Pp^W@i~gC=Y~jWBhpRMR((UYk(xk#HqiZ9@VGLp_|@S=YL<`@4r};9^tFa%x@@dWM*ZUSc z(Z9jR#Y@y^(&(96En1AdkR{_&gUXKhZS<8rV?H05uE3>ZyEEMVd)t7D%cs71=zP9q zb>AD&{m1uPy*7SlboIHlmJPVs@yz($^Q&}z^~LzIwad2m-r8Sq?6Lvfe*7`obtd%s zp-*c!omlYP)t9s9$@FpWVk_(J|8~auA10Mef3D!j{df9#_Pm+3WwQ+34reIwVecZd zE}f0Px_{b&@(n+jva;W_dm$%h51D=G=D5*sUG3H7P>Z&e6aMU+Y2cdIS#);s?%6*~b!E$-dT}e~e_Lcmw`LVruPwG{z`b`0w7H)D&i>6ae!Xz;@R29e ze!Zsc8znPLyVl~l+HE?-mie)4^w)U{UoGY;mnu!s=8e;SGCS>GliwH|^Xdc7iiHms zPF+=S(#YurHhkQ+Zo_^<_LLo)zv}Ro)#^{(w_@>E7y2&zcEL*xUr1jdy4=lT7v3LP zyJD{UXLHUSf22Y0#@+Y6@>6U`)rfqbE&X?2oi;blzVkw_7vqjs@06uUCvT0BzaG8X zeAFw8SIt=Z>X`18ZlC`!SHg;W^INYg(s^~?tY7}|ThWEB`utp|`n*bW9~@h^p>3`O zce|FmcJWfIrQztr8~}?`%3yd8SnhPt9Y?OrP`)D z-mFC4O9SQ?s=K^JUw84vE5B%!J#A#nl^Z9&+P}2L^b+SsR?WZe{k+?Y4^Fk9Yun#T z9;*a|OD_RL*d5OPd-Wzq;nt$^CBLe(LO7o6fKBjPCaQ zbM5-(_$lv84~EXlf14xc zH(1_l*uL=(;uZ{Eny%s}rNWN4JN8?*>l3#9IQ#YYt99?U^!KJ&Hq==7&s#0Z=IePm z!`9erUq($^RBZY7b6rM1|3QJQk!63su%h*1*Yh#A9u?p5_3#_jj{cPE?==~(hRkji zdbah`QJlm8qq`Q&QR+ae>EGXeCjFy#TK8J9w|e#ghhG2SjoRP6v8dysi-Y(5^G%=M>i<4z z%heD6j68j~`>3fc_h-+wW6;xOK20~{>{Bblx;EOsZqwF}!v?g;w+|;RxSDl%jpG+fpBSI|Zkrsdt7OQMq4|;0;jg{x4coiox%N38guFcc z(xzh{{e9z;yIW3Fy;gnmn%`2@NZ+kbna_Gm|MatAnYXrheNwaCx7MfnA+FTiX3zW; z)@xqhy0!n@mA-A8UAuObzSw&6%mwu(Zrt54*PmtHeLc3rt(RB7_S=bJwI}R)=3K(R zr)CuVIo+~S9a}bv$TYaeogFn}Y8{WR@ZgOJ8_!2wYghPce7RpwjPCn+!6FT}{xf>$ zQt!%|-_NhzV(r6nolo9PKQ#NU0Xxb^{gvTp&ZgJ*Y?h9J2jf!>GhiJ z&L26ywRNhq7Yp<#`&ISv2O?K5ZxV7P*XdrrX1)4+o)0EY8FV)Di_i7z((KPJH}_@9 z`1bDBZ}tp-)arPqi5Dhzocq*MYj2!CT(MNXF@?su3LR*g{plTPE6&*a#iB~1mrQz^0dG(2uy@KI$G*s1_r&uPzWaDd zflJ%Beb?jNsh-&SmuKyZZ#bvngsdZqAGm%$^Rk`I=FU10cQ@_%+$-+Aysi7ZnjdAX z)*$o9o>w!roHe3!iT39PyfW?PjiZbAM3F;ie0ojV*R@?VjQzhg^Q{huN1}q&jk| zNP`0(eUiWZC$IN7)+b-}`5VfGoWFCsYwvnjnpZ1z>hkO>r^5E6%b&IBtlFP{*m_=r zd@EBge51wDt&@A6?^UVN$v)Y?9^EMG=x_6U^Zw#D$NW)ne4$VFjchf$>8&-l=cZ~~ zyJx0^0dJih|6$rGnNHnKUpczUy`9Sw++oL`N|Pi14}%s*oo)VL$>oc?zNqk3-;1X{ z|NeouMzxYpRD3<|mp;qaWO%L6C)-~B@<^}VpB+kbW@3rE5nbwR{5AK2kyjUP8ddxM zCFB;kWJXX>;1PZB5&@ZQHh{ZQDI<+vc=wtNQKVvwJ_gcf>vs8}A<#k(uXY zRb@s+Mn!zC?{%=arI4GL?6VxVTK|NVw`pTJ`BoRNPN0;-8Tby(HIQ(X8_E8X0!dCt z9e}lLjC1jG&-lex(hNNn)wq}Ifpj@FL(7+(U|D`L6yRZt3n)V9Ei&TU#q&@My-?4S zm#}y-#!p7~I!8SakAfr39qPb+shYY}z>0VGB!ql)kj5DAda3y=b_+{=AISw<=2qnc z_ihwRNcj7<<_U_WFJ4sh1!a&^8`9Pmr`>qsUQ>3I(r*)SQgb^Y$wqhM_}>2ll;C>u z2pwYOIl#Uay}v~vIra*GT&Kv?{U;;ypX&CXX&KIc;O{@GYyL4lWeO`fbrvyk zGIF%AceZo<_Pg(WSp%Ez5hV>Vc_CG5VHp`o#&7HU20b$;LYDuSAtYqyPN+ru-9nW1 zpIRD529|HXW&JMXp%-*AGO>03u0Z;pDQsXbVPaus{=Lh=3`76V$NV!!%lOZrq_csw zg^{4GnYGFHk?#Xa&L%dh-;xSLul}EBu`n}!zlphlqmqd;AqBnJHz9u8BE7YV)AyPe z|L2>2YoiI__jUcBY|uZ>_+OX$uL9_Q=S)u4f2rj+XR>>z?eeu zNZ*fQ;=gKRKsxc<2XYo5FBd>Y7!-H(LCF2khp=~nK(jz_FrmE(<`{Nu#DlQ@+j#m# zL>IeUUgt|fu;RemhV}KHnlhFb? z4BG?s{~OyAPKb#T@C5nlpT`gn`um341xesrGl7KEUP}2$R$-1P*05Cy( zrYdcPO#NGUAmT)~eut$XkAePk$5-?(rR}!%!Sp;g*D0uIS0@jZaN>4|f~Xve3pfSk zcVR)HH(xQF!ty{0M3Rh*3WPv=(Ecw0I$(Y_`WM&0uQng>g;r1q4+8E$S+gbrf%&pX z50JiuC0dpkJz6wnWu_&@mgDcG2Yy&7$w4Ks`n2NI+0vk-&pP zqQHG$(S?YCfU$jkZ`NH|DE|Y!(}J1P>tr8*x&Qf$zda}bOBy3Ul@6@;(Jo&LHUcJK z&aU^XantY5$ComIdi;y(;cHrA3J2od=J+k@=4(~(N!5aSDS$($YwK&HA_648R1o6It_%lscL(yiU+5L1 z8vz0ma8m9Z{PKy;EFcdQE0C`Pbb=iOEDJ^dp%?Q57%DLSFGOz#X3eQm8#(saNm*lOA7S78DO{H@ur*c8=()1*#A)Uj8Bf z3;Hh3vUgio*F0>eK{)O0`R$n(B;ZA`_a*8cs?It2JgRJZ!c){!4OTn2bIL*U!)+DxOtCetB*_ABr6sK+2BnfY#aAoHUy zVB{w`siu#MVe{NU6B;n0I*j;2s5zz5Jwd04p*=-J4z-#|N!@&EySP)8{#sP6cP=f~ zg#;eTt*z^_3PMjM2GjE1Ryb028tsJ+r$ODuBr||aLSo@J`fHfpqx3XtgN$cc39g|vKKxAt^Sio)X5?@Jrf44Wh^;F63)lFf}{k| z=nm6`Nvk7u3@m=3KIz=b@U)?=#v^WfANA$U8A#J;Itzh+!`5eka~{VjSpU6Wf)h2z za69GCN>ApSqSC_$Z8>FJ1!jfdIbOj?EO$GD2isy@8Io2{p_b0NqM)NeB(B<9&CA7q(LKz$bqe11!gWiFDj1LPn%qvdAr2=h+Hug(6J6; zt3Xs*NTS=Q0T?8(%nVtf=C63HhVIYsoGdxt#ekYR;=6mWt_=QcRe7D$=7rZ2dTOzrAY@l zNPAVQnkCknn5s@P6O)pDUWsq917)`g-MUq6Qz>L_46z4V{%LAX8S2LA#nojI#Dx@` zcC2RFF&Q1&UV%k^2+3(P)|hU#!^y=Wn>|}HU{woJ~vJqwCt$3Mj@n+2|)AIR5Cb4xk zlA_wOYd3=ged-T1y{Vq}PQk0C#M=M@s}))H0<&ut??H91OD*;gv#KDU*UEvUum0@t zN-abmVS=1x3PaW8l@c`nh1o+}F>@IUJoC`__PWcdSSsm4N;yIB_$4t~LP_}W$OPYI z$h*Z|R}9wVJk~3GL*ez|O92Esm1Re@hE;d7@sXk&4*up7qw=&i#lSSXyhC3p>2H;~ zeQMkS>?!gqN8&;$XZt`KN=x0d4MSD-qZhiP#58V81S_yMhX8t!pP${O3`2-v*Elih zCsu4+;FdDVF~q0%DBf7DW#=?}NSn_d**q31n@>Ig1i`*}qIhbD2;3 z7UmA+a~C<>z%-hmOu@AXRbj z@FQ!~KuJ$(tV!nV;3n{Xw6w2BqP!R^_de@s(6wWK@P29!gin|J*##_1el>;#g_y)BkPQ94B#jta9gA}pvVW>e{|Mx;qyDLk5HK$q3 zS!A`_$ZIU&=Ja7OR2d{Sqw^JIZ5a8-qb7ri&sHjT+R%%VA7=Osn$zW4+EDp0YsC#6 z@v`CR!R4ftofcdnhqvfl5-uaD#{3})!HZ+;ErhO(tmA+&`T4+U6qO^6V3q@5_HXa% zX`ewz!5YoBI9$7Yffl=p{%kO$x4TE7#nZmFK5M?M3fOQyEccz({=75Qz%?7tX-yMk z?+0Z$@c>1p8NnSc$YRQ&#u{-TA;Gw}Mhi~E@DPgl1l(im8eflf(%af&s#hDew|%*vU4l6HDDhQ+6FS6!%8yk=KY~31#I_4^yljdGqA%QOJzsxt$vGM++ z@wyOlzqx`3CLI5*H}20{ImkLHGz_-N9t&9JY|piF_|uEWmvt+x3#tG45oHv}H^q}4 z`IH|(nkw-k8pX$t>#*!GKSarFGS?gly1N4jql-d{77<2%Qx>`Hb%E)m9Vsym)1*+7 zYV;mZ>`LC7VM3L9Oj&?u^weVYq2;@Ey$iW-vfmtz8gT$js|R|F7=i!FBcSD202T21 zvKkn%-30++v(Ogb$j)C&zXeUHp9d|3;~MD$yzKa%982}*7=k_@F5fv5zjhijFQY*3r zKE8`34{M4Zj%tGsLf3;S9{JzBn?J=x%<8g<2|O+j??;Mye_9VhxtxmKht-;}vKdBD zDc?yJI0P(e<~ySUlJSHX-P%f1(H64a&zjv`2d0`m8PjC6I6%>9#6t?g~ri3?S5RDaF(buMzq6>4~%w%-ODVp zSk73illZPE@$C z!QfiQ|M^)*9zW&b7MDbiXow^O^9X;gvCDrM>-xh?NR(8${drA6yayfnP@tZ7*-8B#QVJ15^1q%1ZN$1qfU}aN3)#HE_!FwE7_7waMYWa+( z&?nvEnlJjCc*>ZeM%qHH1yN2YaZ-bT%4>_pzPJAXdk+jnLdPK+@I`fRVS~F1W z-&A^8zpQ)_?zH~By~0f(A$zMdppn64UBX>P z{@(saeJT@}tODLDffC{N*m*~M{2=`zSlY90)x}5^)0xg^>wsouO398(`%gBlcrd3t zCt!T3Bm&Nt%8>3kk3+y$;gIl`>hs-hH60`ek&$5@d263ci(L$`SMZd8*MXAy{Xa*34| zopag8JrMhMhKw!q-OD9;Rb0Pxd9RWy5y8Z|ktQT*ZsGbT_;DO>x&efX+&nzr@t^^B zm5L&aXV$hQpHIJj*40`y8*PBivyp59OEqM-xa|%4vsuI+RYgK^|E5W8IU?D%_p#6) z%HGzA6&Cbgr;Ox?OEIM$>9@2m&{CnkbbXg1NOIj$tJ$f4?49RNtE#UEoou=e=D+Y) z#Ikwc74=zpCBdajft9-RkRTnGYA+`(q{~B}*Roa$g_o9usTUs-8*|Ww3;L8=s9P`^ z(3}Z~w+|<-xv0YZ+a;|@SpBZ3aTL$ z+qTil6Xp{h1_KFxVku-mo@HU2k1uOn;%}}tZys~--(99VNlLfj9JQ_2+>QUfK09gJ zXJ;2f_C$EIZ(5Aerl|ErnHw^nYY}04!#?+dEKwxl-XK4xe}pBty~9{k{gKJzxtaI0 zbfB2qENu0Y>vwC3({KUKPgy#8<$)BBSV)(m(wQaOL|4~=6mOYfll-yVM4(1Lz++U? zC+^|0*$^cUe0j;S+%gRdCZ7N4)Hxoua6ol;f^cXH`a*k?i1&-!>LS&SsYMx0PWt?q zSJvM*Iey#1Sv>=_q|5LVJZ$C2K2ALzdes%pSvL!~IhzK{p=I3qjTm^PVQ!`p81)Q? zjz$-8Q#NYiU9OMRPoIa@1}b|1u-Wm{Iwae>{s1?}Aaa{@{&I>z(RoL|tt@GtQt&gV zLqec@;#LW2Zu3@7qkOeVW3PAi+7IMcx3LHnE^@Y=qDk{&N(rBICHzF=9X7qRZoJ~b zELZZH{wy910Y4cf&F=7_HWqY3`)ATbOM>&wLDPE=+- z0Szv5JUF`u z_nTV00-6)AfcaDBpGgnWcDBpeVyBhQVi_7;d^&~VD|#uy*oR*DBCb#+<@J#nP>Zl* zHoN*cx7{mD=`kn;CU_au8L3;a{9;#CxFT;v_^VZ2m8MwtUFZw%gh(G{3~h5M`kNBJ*jZ=srNmvnYR(A!>a+Z`n0bgbJVB$rhA29 z%Ut>3e?92R!6h7nK3)e-;2kHj-hXM050vBVw|yfe0k=J(=4!z`@lNjT%&*|>yaO93IIPEI7-PO? z^J%ASyI;eTX{M!o)m0uAf{9It*amZtA5~Fb+p4%QipgoyZp&Ot(bAAq!8j`Oz%x6D zqK`gQejQBiVj}xNzkNz4ZBC4&)(O z7?jewto=GrM;K;u+&pYLNFfE8nu-~tF*!`Kz{ZAltPEL^YE zBdj5d%geZMTOv=@<}Wvy^195bLDP$8V5}?qB0c@3IkrrwQA?$BpoJJWuaht=VdoBs zzA>RPC0MYPE9&aW5l`@Bcbn0;rdz!(4t6iChz<3W<}+^*9Q>krCw>M-hpKW3bxHBXR>~NzT%$zZGdfiRBZ3RAyx5 zU(!=EPlhXJCwJY1%aJ8BW_pT8w^5;?)f8sU`#O;fNi?GrIPDln1$(y1mHhM9^O+JUhtq+g9^tR-{V+hrom2o$Ub51G%rpb1>Tgq7#@;p(?krJDW6qT2yr*_jh z{3IdRS;~;@MZD@Je$gBOJJ1L1<-~|b$>Pub?0eTIKHS@l2_NBem9{h$6sh912ZY35bmyL-Fi>g#T);rt;ea3r~K8FiFNmq9@_gU7ll>^3a)q z>*16-E@aK=R&LG4cY)=tx8jj$8Hyt3nz@B8Ki+wpo?&VR+r1+Fh1B+8vejwF{-OCH zuxo$KbDgT9>;GlEj*G(v_F}zeBl}Qan7`M}yV5Hh(u=mX0Wfvs6|c{9R4aGU0>I=# z`nF_L>Fj<2r1Ry3J)v;ZT}bw84+wR^jdpLOZTUN@<$v5iF`&ln)MI+JPk1@4U>CaK z-ZNXdo`9D=rzH?dp|_hPTnouWEc#^2*&OGX&Dzf#-avLQO50YhWcHwtF;-pJ{Cx6i z>->y* z9EF)cmF41z3~h<5T_b44HH6ol&Bj~8=^KsIhMWebY;p!}<7>hsp*A=tx&S%Q1YYhy z8@g4{TEW3kuK3gDjf14Li>0W6X9>p14(|5?dJ}&-qF9c_l_a`*s@iU#fANR?v}%wS z!t_$^=c8@6Z0Yv#9PG$y1jO7x1M@BiQM*1$`&g5L|xvP1y_%^Q`1B# z1q{KQwo23t+GJV}e$_lu}7KSmp$ zgsc-ri41r;`D0)XVqBJL?RSl{LlhZx2reB}A)iekO-}ulq=etuM(zt}jv*ckeXE+? zew&QJpk&zso0<%^z=z*9F_u#?QkUoTADmDW%a%kTJ;eIcTk)BW88g`Wg0@f}Ai^0s z6kzuxy1hfWRslq6%yOiD; z18Eu7GfJz%Fh?bh!Tix`=NS`z2=D;~ciqc_T}L%hhkjdRGTsjCJrdjr|H4OnPc#`X zyH4I3)dp5@yQ*SB?2&wFAJm)=5Pb_LjM1pS4job!tku(2jXE#)ZY3|R4)l3L(t-Tc z1#{;cVUQ?DXtpnSXgHq&Bzi26N<#?`ipfxZj?K3iY;SUNe-B2a3Z~*NXq*)U`%$bwZYdDV|NA{!d|A+9PAH9`U=s^CuBvMw?P!W{F zwZ3({UQpHq$voS{6vwY{mSJd1YIyM!A-&-MgT;Up;czL9pS5Dfv}u-UE0ADYwLO7e ze_}s=8%qoj7y>&hpq{S4dyA{@8d0mRSi|F~{}jUoS7)qUc^>etGfNbyd59%yvu46a zmbz?P;j{!0T{BLrDTU=f@H`1V^MTP(|E^MnVXGnt6X`s3Q+p=*>E&`0z#Sy_oAd1h zO;I%LQ%r={qPW^>67J!!yb-0=M{#7|$Ofh0%6X!GvuZXND_R=9OrVNSj3b+8JAgrD zw0p^G)EXh8T_j$nhoT}AlV9Gc9D1g}V}}`9?dZ)?U3L#Hs3MSOA(88-CAWE&=pe>M zR?96I(tITh=%zpX1VLDP=Ai!z$dL56$Nvb}|7uzFzX5DPF*PC8e*jxaYxe&?z-IYA@BabV22S66ZQ*2PW8iE~Z)jj-iG0;;LXPH z-}1Yx931Tb;_v^S-(~x!8Rh>HysMQ>)RQk;(1B0IkionqPp=Y_uh2+B4Im&wvGgJ0 zAp|9h1v|6^BrQn5El7%siUdiEW=`CmwvXSY0WQ;kTiumEd+jS-%UwBPF_JU!YJCV6 zupYr8c^=@eU`0T9>5bLgQaBN_dnzLRmq5`<$?-8VWAGqC1MyHMNZ3EY(}ga8OrS84 zP(Z*)NlBsCfJlp?(r_lXf#K~janc@hKC0|SVl(1KC@ ze{KQ2=s^I9{k+ic(GtEjs6&$nf)Z#K=w+B>993c%Jah|(v=3afe8R!A?RpfQ35Xe@diaj7u!Sp+Q5zd>pnqxxI={g6=(;3E=j)g`x_B7>9}Ub^&oiCCBSQMhqu| zaRBV7?mv%30EiMF1jsM^Kd-;!HhZid_~~xHp83A;-V(TRYd{@`X?pb#;ru-e;{DNY z-eX?%+6m1tH_vyBzj)`+?l1=YAPZt442JXk>qaDqddY9nP(wYzykP1Vg7W(ifY883 zCQssaFbyCbf(DR~qEr2bp>AMcpgw3#4}d_qiF^@t9%_-m44&PNFg*Y_M=S0{)wOn` zpU*1~a;f-YE=>t(u%WAd&-pP;@1?gm!V1W*Xg9k*kRjYd21$V!p#=qmv}dn(l;1&# zU+A@Pf?b1n!D$$1VW9vPA3Z&O8mWFk3WEL#u05@TNbo|d2I%Lxu{#EJe=>2zX87Ik z7H;@2_^A;BctZT4&Bzk;PmxAeEGSP}Su}V-$Idk|Ci0(+c0b~!8E3Xhrn{b^cPhe3Og31? z&Au9!f5x__`;V=!0Q-Pe_D5;K3Cr}`zPyuX1<>HP2P{^N&=mpx9#oucOdYVYQ|uhs z*ffsVW#RIloSk2u+P=rW8$9B>nX&+9vV;%wJ48_eUz+T@s>65p^WG!5k?ZVQ!VAAC za!$ha=^uMzzqTz`1#U6>}{ui!mffdllu zRjs5J6pWdZQO+dEzKF$3#jLso1K_a`k3Xhh%j1xFUv$IxNNmB%*(7F@ZV#;Qbz<83 z;mJpejy*6cbHRdj`WP>|I-2gEJVJM%Ko6{jEqtMyqU=p>uiatyt6aB653YF9H>3d+ z4z()*Bd-R3qtUEKQMQzI5<(9b5&7kZL&TL6a=q#IPs&f3@Y*Y1SQ2!@yrd*|o38iQ zJkW=#%tqvwnH41po2*7h?^jB>eaQCb%o=Kn!e)%3MU-7Ky%DX7EQ;YiYj6HukXpl0 z5swl-2ZjpiLw5K%nCdm48NC3^(L9owqIrYE)vWX%x z>|yq^AZ)?eo26IJ1kY>oN#7xQd%g}QusC9|FE4E6_T1P1R19;tu=13wCgjaM_Elw~ zNK{|thInNT@wu?^Nmo|N*PWfp7;w6nJx!ZQEQZ%9)Rhw{29NvE&{?+9k)*pp$(?Bo zHpx$0+-3UugOkh>ujjKwz~XJIl7~DyCTg7nuL`wXq$;loUJ6>U(_vOcIghW6Va~2g zdH(#}4Y-@Sjaf_QaA>Ov`U}kD zw7b?m#qyG->msAc)sD9h4L;ZCVmzxzzT4rXgxOoaYw>N(NOxJp4$9=fH^$h2)x-PQ zIV~f^wMw8}8FWj^>*av?VbANLm6m(B^8`+CS1*4vMK8(l7(F6%(KUfs+N)g9EF`L@ zf<)M*7O3^7AWwr+*PxP6_+mC@xW{k9vV`tIudH8LT@VOt;+sF)Mb+^3FdX=OdgQ8U zw5e2<>_3=3EErQr1s#r@P}trK)Iq^KdRDBB?7w2-nV#I8rgeUIEAWmNFUQwHOD!8w z%)Uw2YTCM6&WCw#7J)YNc)EOyGPIk7HZjUHFU^s1E-tvjZnWdHATH2qJqooNWnieA zD|xjqU4JPyuRu^fKz3$0yg3rljkxo(TmY0ClXLON;~Wf5X7pL8!=e8SS?ouKo#YEH zGY$mZR+f>mF(}&be(~u?D0w@@SVQn?W5(ABZ?qFDpH+YoeITQRodD{yp7`v0$Sua> zpw+A`ler4)s1Y{3ovIi$Xrd}r83e;3S&Qz)AvsXae`2|OBVLQ?Op{&p!NFd8a zek|**q>jI*5J9987eczY*d{|{dahILNFDyg68sP}0`{y5j+Y(r{5s4iTP>{N5*(K#w|Cv5TUfUT zW6C!El=2brMyWbFtRY$9?^o?%2?lc^Ud)BOL-MI!D%HJrlBtJ`IQM|6vEck8c z4sM@)GZlqP^d~iPfvuLc-55)!XLVKep%ULPnD2jkiMjH}a@VWFb;FKprVk8q0yy;} z8h{okDpPzt0Zq!!t!Exa4cBu#rG&T#8|PBxo_>Ge>48p7TD5K*D2UPwcXM|sv-Sku z&Bq3P&iy)eqA!~8%nI!?s)h}MR(3Kb^&(L>VTXQ5@!Q=|49a4gEPsp*hg7w|wdB?3 zA+lI#L*XqJ$&C7UtjLS*)o~^iKHE0M>^Gj%iSgdk1s!woea9w`yTRnGE)d{%RU+H- zi85LpiDYvljhn&lclRAHEOKVMU2R&uL%Ll}DYr~r>D1Lus92)w0Z#-}?uZC$$*z}s$5j@P&w zmoLi>EKd$??=MV8y*o652Mo$H>XD^Nw}@Qhb$akRU!P|Fyh zM0PjQ|D;U~l2iS_Y+BzW{|% z8UO1TUDb);daep2?&_kCLoJxGrt7`Qh-)PN<|M|WQ8Bdw+9KBe-4s-wm(ofnyRb07 zOd(989vdJ;Z<@VUZphyF!f$lfA+W54YN;D)k(;?)o(5R2W#@Mo#j%6~RM5*_!yV14_xrnod zZG^N&fc7;T5nnnU)4=k1=lJ))-yeY?L$aHUdYckSbP_KWFyb=N+{&vN`8+*Rgaf}b;PdQR%jFp4E-CjsE7lF@jX_h73bqI=nZD1(Oz^J@b;;$*~l|W)cEZxXkEm6Uu z;7yPk#W>BGJvVZwY!u9CduUpX=R4?q%zx{Rszq#zh|z_Fgxjg-9a)way}jnR;VTBWyLy; zzWwvO!7G7s^?J-ou(DZj4_mM{X)B)rzFwtjr&0If+_YC7n@^r$p!NqxIKj7k`jgBk z>*18%A>l{|D_oQQa48^uD&AbKT<8gVq4?Xv;&af8c+|U#Oo!(tmOlQ;v=e9IM%vhU zgrnH^1v~J2WcZfVt%)W-DCHU%KYVUflcl^3D`Db^sEw5EJ2X8!E6-e0hLqmF$BKg% zs>40gT))P(gUn*y4!pGBOU<#!K_e_qMXGj`birZ57{=QW;c}q8!grQDgu&Gut5}oL zuM{sS_0N*-+TF{2owx>ck5;-5H@EhKd1`gD-t@m?(|p_Wh$yUZ!Xg8zDe&aL&^8tI z)!;venl;tC#f|}0w_Jz-y<6|w_`9H8kM1IAAPE@jbp@^ev&m%%l!OD%XPctx$lP2( zC3$Fu9zl|KnkWupj5bM*lVHxvx>0^P#~4{wI`t`mc>@cWy?$S$ghcjIYh7}*O0C-( z!>-J zcw$S)B&mLIdZ20u4_{(~^MDlOT5{?c|CSEgMth+=*z91N!9#t9$Q#ZB#MCBU-M)(h#^J<9(^W{K2kspT;}^e6kYY!e1~ap11Iw$xUSX_$4_4)( zQ&|4nZ~-kNv!YVA1mQdp!W_*;ji~jywO&))QexMp5(_xK4rQcu@XyY3)_s?R-Hw}A zD|`Pt?(K5_c)#PBJa=yO&Wo5MKoBR`+wo>?nfKi>3b>&P&&fW9HhX8x2X|HJ(4@I6?3SS?wQhoG2;PMM%XW1qO+rJ(FM}uC*iQ( zdy9c=WV+|{R_FW#jxMR14dD(eVG?KfK+B8>BMdTGifW#Dd!COTpvB!5D4-byO`>5w z@UycteV8QYr^vELd&KHZulLr%I{IS~e&+KE^0W6j(#Ga-OV2;*P#S6lF)Gp>o;S9u z=93NZkHS=t#%M7beF;^f6p%g*-SvU#43suAW^Jw%V;8)tG0i6zvrDE4HklT|17|CT zxDPx=V|6W{Pwql`+VG4l3gWA{@qzo2wE?2FYFcni`#-8IQVdg~es8 z3ORiP-rC|C>`K8Ly1Mnsd@(9L%DWRp(Ir2yEBg>NJm5Pp7h;M$*I*BmtVU#`@Hbd# z%CYbN{<)_;Qt(RV07*Hzh~pRcQCe9l22o%o!!SY6vF zLREdR8MDl=Jo_fBSH~C1Z}BxbzCQO)@AojngbAi8wu`Sa<|5C5zD0w#+k~ge%O=&OzHu_jNaHf;8cf;;htWhq0S}EvO3rYw%1fSjEL{C zWjnj9E4;jXjZ8Szc6d7tG;>)A+H8be2RIMsA&G=Dp6!!!|tTK1?H{?s3;XdE?h4C&5h?Wr)sl=JEumtl{N z1y$Pi)2H#cq0NFbi7L8Jo_Xa`wZvS(WhvDt#CdLWYwC=hTc6oXOd4B7kJR;Bc+~;T zt9~Z4Sw0?+!yj=qRCzG1flz_=s0KC5w(2Qa494-Q|gd$d4q{MDgOMA@vbG=kRP&JG(Z zFoax0^pno2(j#=uUvb6+4AGa?UTQa|et=~TI=4OxT;ZNU*3H7ddUnw)jbQ1WHOYK{ zm>LA5gW}ZQ4NBTh`G9nRi*fblZQ~eD;1DbljIr}ilf>^4#delbVc&xEq_hEK3vWZX zNe5~RtJjN1RKAPHCtOFGYwYnfT3-~uOp9$TtG`1yJLqsB8dR-pEHy$lSJ6PDgF|to zi@q*rliW$3gQF){o9CbtpC|5@@OIZjqISTFJ!1A~%l(E**zC7gDgMXV(2eFV;lB{Z zX_9d)bsCpal5Nhk>yuvc57iU5o#Bx0+J0EcGVVEoxk!khsQndA{2-A_ER>BXS9{KP z7IL4C_jX6d9Y(B9pEV8d(m4<_v>j9u^XP8)N@XXsC>0CE-9m#kpnpq#yTGaGASU>I z17?~(--M|@k4XisWcYAy34#{+oP*|2HcnqU%lN8mxnUNc5AAYKwrCQa zIz#(g#5=2+c}=f+IP#izAK&Mio|rcoFW0DJ-%<%RN18SLzVBr3Mq;#B@QuNFww@vO zu4p0=T&?qS)H5b!eC{mcy%v&$^@W-q)nquU=E)+o#?I5UoRbQ>yB5cl<3bT-SgUe^ z#5AcPz3&h4%Bd$t6$j$X>ma zh8CsBezBu$7d=2k(fM2d6Wx6)+y6d$DkUbPprAx8Yhh#P;v{2d`-@h{&f55!!2X92 zDhu;>2=%)cFWYx(a}M_J#>)RUgUa+BI{mK2H&36BhK>co1 z{NDwS^S?(>4d@LWzunHn+1kX^`Cr?P|3qBrjp$A2E$FT3ZRwrpzn7@~H?8}J=Kfvl z*#5P^!oO=B#ACfoK1D==&(0rx!jE!jW%4l zhH*ApY@Dq(*tlf5T5Mds-`}6s%yR3m+x@0_`Ij-RRF}NZ$~`To>(M#u3t+n9BeId@ zH>Z~~axwxUa0u-Tj1Kll%*?2zYvEX&fInCp`Vx|&I6&aDX)mlr;j;raf#CC?1<=gl z^bLR+oE#kb5RE_iWiCnvLkl`Y4y7n_=#zf4}q!SoMqb8~vQvuP%+fzkZ*v;&r1 zpV$Pnj(d>4MsQU81^gR1k@PJM;K9NvC@dw%q=Cvv4wisW1hn!B^H~MvhDO)>F$t{< z;F?@O6hVJo;sP&!)dOK}to>I1Q2LEJphrVQ{;hCh_~zEPZaA}BnC4$`Q3(2o&Q109 zj&JV>b@m|XoY?DJUzY)mc)k70tLsAt1R#EwC9Yp64Q@^EI0pAC<bvrpMOCR_FS+ zv2NHa3(8*nZk*ZJodM*nNke+D8eV7y*rbJG)ZLwIM$H`sfO_uQ)wwQT>dVozZ& zFRM5|w~bGnM}AIq4sPIT8LYqQ8h|4fS3u^*WIuZBZLVNK18XxV1M_Ft*5D9;-={`k z#1BX0ab{Bfi`2l}(9G%*m;v~AKjZiY=a&q0-W$JC#_m_n=obbFpy%h!*AI`cuZZ^@ z);B$b+pnGbkDdG>ovP|XcpCshub(!9e-C>!o&fsI44#qA$;%fEdsBVg)60(a%XI*D z?-$|gR{-&$^-C+3P>ZP_xPhVm8)9KdQdVn^W`EM;utu?@cXUms8u#F6W`?crir*yW0tcdC_k>sbIo$@a+O2E># z#?k*dQGU{(CSqd#aJbNLI9@#BY?uvSvf{v$v9S6hq@F5Xwg+jYd3){S4y z7oIcWES@=BYlpGfB&zlIZ+urfESsB&vVwPZ1db2IP;b3VQ~9r+(aL!me)oWi#f>gb z|18VY=h{`hyXlt$p^Ov9a+rzCS|&{A_{cL+@fE$mR?*2p9xt5nBDLrw$4dB9hi?2< z=u6*pk#8G!c~O43t7?OMQ+lZUgd2g_Ky+!`yJ$|*o-Cqc#}sXvRK1~BfQa$yp8)AtLnsilWzNeT0b>HU(&}WKepAFN5?qZ3jE<&MsqQ1U?4FnWP zqbu07Sj=AU6nwnXq zo?lc3U%tIOPbeJgpKG2qjo9vaFn+5i+*pQ7&E&%;d{=zRyb(qn{nM0WI>xmGTpX5G63}tF=9UNCD9f zo>4J0bY~bqdBW0{lt|}Yc&FpXYadGUYsV*3R_y&V(MSmru}XA4ugJbDGd_p;S4tj* zyI{CY94nN9;CHxaSrPu@Es~yqGObY}W>nB?4*DUP=W%XnYmC&Ijwcien-dc41Ad!i zNLVdKY$an3OHbA;I*8{Y%{b?-52jwJ83>{hsI)?s_j3&=ujqAI=P&NLbrMYDvH-oK zw3OxG(e2nS5BRj1sxh{k`VU2@=R&Ga1FcxK2J>cfOB-oRM8(Tm894*o$G7fS44cme zh6S(&%cloZg|6O$-Tx0YK+3!LO1`5Vq~QD&9?NJXkJ>4MTeEgw z`sLJ?KqKbgM6=Whr|}zi>zyDJFZoJ+ju2)?v2=aK?G!CDjckqWrD1PlMrnxax-E+}}FRye5Pe`{S;HRWx$;1h7L; z8JI`5S57b5%)gPvA?z=EUVqPRQo9fEe#W6EsvBmDaDhMU28#5w4+;tUzzIQb>e@aL zr?n}DJLmG&7QtDvkSlS{Y_AoO;BH{;bVj->^| z^k3q2?Zq`t2+)C?Rnn?=_ysP%K6_#~Twq84JB(e-Z;BIyGqA)`_Rn(toJ9UL}4Hosz z?XhDs_dJ?egTL2qD@%fYkW?^g{rMeg2>+R63F#p$e44d+7E|1GcxV}>BSqm$FF9Fb zXrMx>En`xnQtXrnfIZ36DYiQihLj8hsWNY2Jh*Xj{+r6xchkAa0&;5j3>t^?8Rx7{c#UbEFiF30>@8t53ZGX62 z_B8MX_1jSm_{LxOSVxz_%B|NV<;a_R9O5kWS;;Y(WIqt{dzIiOZ?}_pmgFf80U_#* z`CIGpB1TN0O@zRJ~aDMC1q zZn1E;Q$W7Gm;CkHfzmL~x2WL4&+uNZ8;=sTJ-SG&Bk;Ruq021fxes;ZHnOhS!!EWhRPW?nR~yBYi*G3aVTU z5THu^)%eRv?w*wDavu^{4P42uFoItcp|XHkmYCzcpkc%vf19tC1cUn1(3nD2@VOT{ zT>wwg;=#*gN*D*9oBL!y_z=3K@DYmzvZ5DS^zs(~@?rJH3k_c7w*W zA?X;WSXo=4e4$U3QJ3A;svv%;Hg?@Dp!!r>XMvYNi@Dpo-}2t#Dok0?SuQK8h$DcW zUAjyE#OYpFdo$~;bVywAv;7q!@N}b2WX4_rySx9D$fIMFeCZ8zGe6QE=}Xsw0Mls# zzQnD(zJz`#=&jRNq3#MHY|5C(L97*JE+AyFH)I?COL%p&NCYm}Pj6tf`AkMKB^SRE)>*}Cy)7^@2u9?hv87{B zGpnsofAdeV{(-38zEI9Yc0cb=Cb7p?Ws^{NTV@#5nG5X~02IEZMDQx=+X|hPii+dZ z=oeoH$;2!vI8a^=|7iGzzt|zONqw%;U)nMUW*2jIvrGK$OG*J6{Js6D&*QLGK-#Oh zNYyUq&oYb?W9PS~IlN>t`<-$douGMekuaNx51Ymsq9YL2i8NqRU>kVR*p)P>Q((wg zttQ&gmSU=tGcX#dy(CRtYDAOGADWd%Dy{F5Kh3Z!Xl&uxin{OCQ?ROP{AI z#ohg7e!Mta`Xh62>QD1fKKoQjhHmoX`aX0Geo0TW%?E6WIZwTa-^L=x0dKuAw__Kf zWARIH8i~E*it*NIyeR^@y~&?vKKIq(B9PRLFO+h5-s~?#+BO8?96EH(l!nIP9#ii1 zZ}&OO%dTwzl28b>TPNk%BT&N(d&} z`mAe7#6KrTtnE4~{!k)86$J$)EO)>a{??`pWczw4g+5se676$eiH&V&-up8ZN6fmQ zNrFX6unHurO>3txqGq(k*4lyCBiHR7+A048@l^vAt!49MnPSBbzsD(Hk8&lVg`{l235+Oyu~bTvjxe5thq&oEGY0A1r$cF^D2hb=#)1 zPoyW;m#+NSkGM{f;Nl>noW^JQhv4qptjWuwr~~ zVk+~8NH%-eKJ8kuG_$9jeGqSWZ78J8l=+FOIm@vrCHgcV{jfWG=1NjkC4tP=LtZd) z{k+(3u&_fO=OCCTc5M(;B7&pw>nn6d-4{1;;^-!--*ovPZV!CNw8$cEEJuEsj^ zbkOm>hCDWie2?8K_(RCyS@;;W^ib^V&sU+}{%6>GQ{QnR-14MolsW)C%!Ou06o`l& zb&At#$H1(|5}#yMM0*nK$lV2TfnZ}qrP=^UX)E6uH0sq3I5VfQ{R+J76d}8wvz%4X z+qHUANPqtY#(1erp&u(19~o8fjsQWzbx)Rt^zO`f6tka1NfyPO#}hj;bX@wVS_1{2 zO=Zf74$^T_gw&(pNikQC`=r(=ND90>uc@GhC~Gw^ysqxW4lQX` zXpsv|X_y0}D*dc1D{m0BH|`cwt~G3Y3eJqB1I2Vb}?y=4&J4J4!$5gzGVEF13%PSt*A+1xl_9x}j_AAJmv}XSz6mo0wF= z!kXip22^yQzDSR77rYcac!@HtPje1+=ENf@vJ7L95)aAWO09 zDnbS3UK&rNI5glkhGuVm3=lISFPK+wN4wA3X}(+SxbWQOG}K}2#Xv#wC!uNJ=>I9Q zq7&u863EU-n<61EH>5ZNCrhZoMCkIb5i2M(sD!s!y<@7DZl}1l>HDd^qWa zlN;tHr04_uK_9R4T~pHY;Zl*j=#4hPu`4b^k9SpB6JQqMq~VZB<%+@RHFzI>Hef^R zSw3mx_W~#bK3ZY(63%%V< z+l;VFxEYZ>fnNy0{nOu@C5dH3SG_vXnRaXxY4T!ajx}7zOxqqw>Y&57SDFT@48G^? zS$r+nxa(cT6%P67TF8mJ5UB4D6t)-DE?09)gm^r8(-(@}Hf^D7Pn_awzLCLc-V|`A zh-k#27jlLFOzBD6N54$lXPD}@;nR?&&4(p}X|A@$`oVRM5k-#PwF!!W=LQV`i(|I< zZg3i(p0=Vrp<;p)!$rutk-lOh1^XtH&pjnCGWsGC{f&VWj~Ix|WCK zJ`r$7r%Zm#63a0PMaU}Q?JmjGRqIHA)y-d|4>Nb6`YC1akSUHbAR?zA2?fl0Wql5n z#`seZgJ*84SmF(@d)*epo&Ld@A@9RMmsX=9qm@WO#`3A;6m-QJ33wcsyE%?d93NQN zxG<%9q91-%rG^?hJvnzzHM4BOIQ}<-neQ8 znpVwbILsKoB2-OEv7I|k&Y4fQcu{vii9)~>oPTQI@iQWqu1q7UY&9Uy-@>GHMqZ{= zMf^#zj7~a%Rk4i^n=FGxu@o*&#%9Yw8VMMV|6xGZ%>wheAOdJ5&(G&sSs*^a$sk2K(5eYiDK7!)G9ud5JOE^ ziT!SFOm4ftt>NYN=Ua6;9Us)hVLmDGjMy4I6S(+_imd$7gVZ5LwUKMmCOa;AC{4bY zBr3NWkDBJ6H3j{{$rIZ@E!P(y_2I>@cq20b2`EROtw}=ouR|{&g&x?^^d9klsz0IR zoH3K<(Z$`5U)*z-8N$+4Sf_neh+x!|wX??u4O6G+T~<2x>S$IQK!X?Me~xs{tRtec z`_jsYptS!O3V_8FN&9%igXl-NP zTg_|E9%ZKCor7h0cO$^KxuXpBIL3-&l!uH4brUm3ZP=n!(Y*eiZ`9o_7a#kbB?%Gf z+s6#sdBp-ToW6fyqQ~%SH5GWNN!uL zce~#K3a7C~!o#dr(`JKPtT{QW_;on~<%D%*OrU0k zcco6%_JiD|h#^K^bPSn8Cp#4?^eFs?^?Xn@FA-i&EW+|7Wc=6o>Y6Z1NP#s(D&pG~ zoC@X&p^|+K43=me&6Orzd+<9>=8yF^%pc0D&zCOo2~Z5#v~;xKzbF~H@=!2H=9OzY zOig7-^GPf9>vW4p7=n^yQJ%{sbB_va9c#p1vA6g8JGQB&gY!k=2AV-3@oPO+5^Ms|!nJ+^iEpw?gXfL&;@5g)O3&)hDni=tR^+gY z4#u%MZu{`tbFeTS%86H&>(2X_?NZMM-nd4aZ_}t~y?tAL2}IvuO$=ZESPy;M={3A& z*2IyhZ*u>n8kn(Ubwd&<*MsRWJD^tm0qo4N#VHxuq+uP;dRs4_IWi736y_qr92SAL zpn3`Q+KQsZoBlK(b$gqzxA#*>IPm>)sv8`z2%Q_(q@C4tdN=^}aAa|q^T-~0*W+8A zaWgsi7Fo944qf@k&kX`yim~)d2vtG*tSWHGf>M-_6^wo0&3+4nW?!B1N(ooPbNRjf zQ}^nf^k-g<)iq|#iPJh+p#1v} z0*=}8C9pIA@WK;Laa&wnvP2FvU#K{zHpe4=X8zfRzL$Tt!PR!|d4d0Z(#ZcO%C$No znaZV$(oI?_(wn>lOh}9dwJ|hK?)L9WqtPGUibU0=!m*fc%I}q*Mj2oZN=a4qMB~z5 zv`BNT!eU4YG?Gq>lk5@C3(xzGEVhjHN!>mVv*Rgl$t8FU0sLf`diy2bB4~Nmj(?;l zN<5BytsNeiD5w4u5EORmn;*Ig>YxRI+N$F5=Tt9w0=|AOmuQx?F*7ptM~e}u@uEtl zf~q%wG6@@B-`%O^UNcBR#bSHpa+L$M0IrgZOP@#~RQ>4Yqt1PtiV(eoty|lm z=Iq8%M<;0Rp)zV}Ksf$r>+Jb4;um1N70@%Zz7WNM);BMo=MgF`Ehna?tDY#2eUmlO#u!ivn~rm$?4Mv269s(oQ$d9D7E zK)N2tBr{^PvS7u^pn{F3#NZ>M&jh>0j=qX#$MSN)fZCN_mnAo}h!x4OBt58vlB362 z5?wJS-5exLSX+AqmJa`C<=q|`4+Jg6Wbt}R!95in$@Oj0+H%PRl}bx}Oe$KFKdpO$ga<2uD-U1CBG*YjqAekCV|_ z9tN#frA-q*P{t^F=Gus}#pN9HdNgbNw`{k=tRXgOy2pjc`QNYm)Tkr2iV{*GJ@jlR zsubP^lc1-GMw1u1-CLyhqbLjx0EUJ$!U z(~6zGer+rNkdx0)$0-hwH22m?&cWylOTr>G3NCgiE)0E7o}e3kX7Nk3$gm{~Ivu*B z3E*q&;G6=t6qEa|n)b*Cl(XB56$|?8V%(RlQtaXAv=wf9VYHC_Q1I6=A%)585H*Zt zKc$b)vvbalB=|_`h7#K_ox-{D@Oy_^NQzzk^w7FuI9#ie*Jr>iYZ^qoeh|>h&lhM2 zuhO9Ka_ENJp*FdV$MaHzEMwg_<;tlsQlXGE((XbZkp;2lAWH~~eSSAlH@lO1_bN8o zRR(2{Q~|v4>VEZ3C0QmV7>Mm`-f3hoBsu^%V3SS7MfMh5-QRF;T;zv_g34N^YYcv; zfv?`f9tDNZ0J>c0Y7Sk-tO`?H@?5A|R6!M@`y;4Ou4Nn~ukOaa zne8XAP~%e8r(tSQCI#Hb-8 zA>j&}8RS%dwQ=u3h?0FIghn7Q~cUpW<1A=OwT_*9xiLLmXyTImT2zZfM3P7M>5m`ZPn12z~+l!A^mJuHILgEuUpV;s_&y0?!$T3Ex`Tat07Hel6{&rVfK7e_)6QNX(r_Mv2n!-+07L;F zggg8Yr;jy1s8O2pGPZhI(DB=GR6DSrcaD8=1Ovw*R1Si8#wRNH*m8HA{8a078_SbX z&Kmwgl{Hb7l}x=!NY$;aK)Tiob(q(DU}8g4_xWU~8a278{OJy`S%(##+X#(OCPNK5 z9%CBzV;;|f5#zOtW9wZefm7yZmh5SH&l^}YkZg6)hYAENW-E-MLt1Tt*JIem%5Y5R zw3WkXCW5ga8omkx-@?Wf^zwFoB73GAA$dVF z>g@i4UysqZD?bjUz8`7ot&{jwg=4XH$9hn%-Ph@K!@lLJr8`rwc%n|y6m{WvIaZop zW5&0@T1OZG`ZGRG3nnWq;xQ^nv52n@A^#JXG=8nP`YD_eg!Uf;5DT}=$lsD8nZ`Pn$GlnT!%I#oO;)1Z4c+&S%$CR7t3US!uU#*T!j z^ym*A4JFwX9(jg7TtMjDCFf80{WLf~#P+B7CQ;`98C{nFdQ(5)q&L2hwc zv%Yb9U-^2mwDNgEu5}Y0Bl(~Nm5_xkt6aF1w9>jaqAWZt$45ktc zWoI@mbr^a+M3(u62`$@9GH7IVr-An%0BTYv+FW6p9f(bev{gf<@(Ff>(;Mk8GPA_z zEE3*NHztBmgy|h{3>uZnM0_n$)5J)mEPl0lY`LuH3nWoxy1Nt%bHu22FWAj^Q97iI z-$%&HLMrQI4pT>W|_X_S57tGsWT zv>|AaI{^`aa=#3Q3d~xchP-j&ezAO=H<=6m#<(xQ_eepLcpB%a>GTYrgy-!Z%GXi# zabOT{6tLLwV{$J1vLR8rw||70_hyQ^Dq;S&vy-rYb^V15eO0E)8Y*k+HN_m#j(W(M z4D%tEwQxWO$2YBda;yMTmun`0gBv2E;H^Y@i_5;b5c578xzWs>b{CnraAU^7QiUR% zAnt->UdUf)tu5dpr5m0Cc6evmL7;f=eNedLDN}K2XCc@?`>rOT(^lD&dXVb^lK94r z0QB{5oczDyg3K-of@N-<>0Rily~hpYS4ak*n+=%YxoS_}W0C}&emF$ILJ4Vnpe*q9 zrZUkP;OgfucJGWtE08J$N5p^!1phQZCCyo08gj?j$v19eQ0GjPJl0ZZe<)CzaDv0N$SS|n0ceTAN&qf?~tfImswUfJZgYGQc7#~Ehx z?5kg%!Oeug6(Haqi_L;~2u$=h)oh}HSf8r(nl)Dt)eJtmEp`_7RR+yDc}L5=|8#x> zFJ7{j@W<3WHrslmHevR&fsE0P9#kP?54NBt?8Ka6K~%R&9fruj}ur1 zj~1LehG=@N-k``|0=J?H2US|`^qL8k4t6OdZn_aF!P`oKUzaYi{1z!9_~S2a7ou0e9NPHe*ZQ2h%i*W$2(cS_TW*i~mVz|X zebxV!K}*t@-hwltXb?g3VS?3yH&oR4A35_ zV5=w)8w>n}ZB^0L8K4@aPl+##l^C`rSvrCNcdrjy(nixgCKCwI@a{#LL5$u04gO$W znQciMu>#e&o0xWvezmq0EBNDd=TwNC{6){w4J$dWpbFe)8h6PP(^@-#7w$Hqz16+* z;ikD?F5cjAgR?WLvvPPSVClMAxaGb|Xc0GAScTl-{FVNAuU>_tI=1Sf-~#+BUt zYn1wKLEo_hUkMHb-U)AT6_=2xLMN-!D;d%z{z>;nR-$sD3$48afhhQ3**;*|seCgj zvuLQ1GNiMSqf+jk9x#pgd=2ir(Hq`_;v0_MTxzk%GVZoh3v;60AhWb*7DqQ zGfXelRzhP0#{rddqI5jZBKnkvA*Yx?!0Mt@B9K#@^$Duw;rU41m$~h-OCudC%XxV) zB=4Dq#)>G63h&)3XxPC>qr36s$#wPUB$oCgA^k1H>0ah18;n?hrqjV@YpAt7Z7Hrm zJ3Voy^Gc_z)ZP8)JC8lj^y#s)ehEi8Cr9WzCG{vT_55}58Kqo`6NT<0RR3l(%CGy6 zDQ^G1%6R8cB^BRS`dIjTX9-#zuV#2qP)Fmh8o&5*kHN$HsYsnOiBQT2NOp33S**S% zUi?6YSJP5G(2_9CkF?>$sD}D&J6h-Y6cEh2v&qt=8}LT#xPtlCGep zW&%98{b@8v!P6EM#~9Z{U>`3WZ_g1K0^%u@_;IBZk{-e>nwV)9)&+KY7K-wp-C!*+ zQr1{)F%*CZNn&;=%TPDEbp%$nm_uZEN4Fo{no(^B@uYYs3$&S4s)cR>QF~@DN2AM^ zLR(LA?xYMv0EcU#7}3!Wi6v5XB?GqTG#{DsY0v62b;$skFpKSutF|usQnNLSdc=w^ zOU0H_5X)ADsM!mXLvz%O*87gn_HLwZJP$Sb==;M63-x)Ag?UG?B2&+|A*2)ViAJ<%Q2qL;Z9XQQs}IO(Wbab=Dv7Sj;{ z0f(iyxD^p7$dl#Q#0P=Zb#r|x23LA;tR!l(`qHDvw_6Erx~ldxo;C>LS9gN=o&KW) z`k9w6=<^feiZ56#udSkELPvCKd5&Z|1PrTeMc?D>(NfGAIp8`4##Gp_eF9oi)P#W>i3r1bMp8y_i1rray;5lcz(Q< z<&rAA2xI>{D9o5{W$i5(!W?hS=gPbe^8x_y_nRwcr3`>_W?OER0@+9g*9YqZNcu^8 ze9G~z+#uNqqbXf$#M$G!-S9p#u7rpM^G$1)wzfJLNuhtcr+%4}7G@ER7ZJLuZ<%|B z^Nvqi=MN;(#zUpL;}D7Ezi6E6HDTN$648`%@z&e#m4y zBplv(=z-0gOy>t@^mb;;$||{klABqKIowy1Pj$EA+$;o8=66)FgRXeAnz(8y!4kAH z!a3_4Qp?n!iB*IGJd!WzPC4WGIW)V_Z1-95q%@c8%%>&w<+7yUAr);;zc22C zDsTRH0U&eO8~ujTuCa{s6`yx-4fn9t`03)bnE9VySA0CJy6^}zJ<2^zw7F-GQmnZB zKYv^OEKPG-jOQucFw7^gTSsh8R8YHn`0|R9GEJY9>LiTx7^1N>_50*o)lPEr*=2@z zULPZtSyIo@Fr zK!7_A`u0v5V{s>uTyJ5WDR(m%#KU<4G`7`wb@4Q@Nd43!RL>^KH3J7SPt-!%=W8~y zR2%5)BkZ;k@RGQ$smU>pG-Flqm9gt{XUXlUr(1W=(886i<>!S(6jO;elu3glG-y#+3Kxq|8l9FMdJEB^jo?{vU`d1#Q)SZ z&(hYG2@{ox+`x%`VvKoiL8>HHKg%_13H4rt$0l4QM#SO(w{8(hnLavJ@4jm0a|^Vv zR`jfj$+%8?$tz+Fay4hmdRcG!xl&a9b6IgZJb`opDP zF&yXc^rEXp*CI}g)~nYs<9CvWW?l``O-W_>$M)_7NaN{5dv2ydH@_KX6Q~ma@45jy zhMT&~n}F)rYb+oElCsQ<(zv5Q#xc!-bz9hlr0RFxS}moII+Q@JM4ttnOIanH11o4* z5ss!(Z5Z9lERrrWOqj4$W(36@Cgq(330oT6SIIR6=YCHg*SBGW0J}~=r=N6J9+0S@9#F)BWVS=AeRP{!&tAST$Q1~Z?{JkS0X5XPSOW|5-8+1`~Of^}f1g3T4u70NSp@{^B@P9JajHnS}Na}XwoK(pw*h2tol9kXA7r4#+o=_Nbn74$qnb|VF*&$vglwT>J9zOx02UjtqK0p^Ka&!?8yM~OkM0R<*0$y5^flk&?_1vR}@g%b+pS*uB+y#2Yhr@xj^ zJ~FpFFX{4eq{QuD^)?FQjcoQrcmvueq2E)j$mSjo4aMpPgo}6@j_qt0CE9DJL5_q+ zjBp`oBpA#uSRJmuo}cL_cG5usIX-$@;bVnt3>I4ZDD-hYk_j#3OoaH_2o0G`sA>Ra z%UOo8HRv~ZSD8*Czkr@-$FI%{9j*0>Iq}DH@oPM2Ir(`>#Ulf0{ka0x5~G5|z&xAm zFTwYKyqomG>q@&&+H~1=G`T;IGly_H&_d}_y)}%-!C@(0yOw{>x&ef*2r3dmK8ih zG9?makygsLM%zDedqcQ1mXet)+LxY$5&fDYo8fS4s+UKvzAJ=Z<7**R^eV(UMzin- z_QGACEHO6!iY1l%eo}Ij5Z7`^Rrx3B2d|%IJbTGtVW2!c-W#MPcg3Q;X7dEx@qXre z`KBtci(JKH7gNXtarQP?ul?Nw#EX810z`?*jh?M ztU*buZ;y_J3XX)dl7tApGsCTCz1m$`33;f_$O;c!5RHn@H;CXPPheyLkV+`Mdfq8FYTJfZ^i4O}c0`Kc1Bm zy#{Vml?w$Qam@L3qiEiY*>l`Bo?D`Tc5cId7wqh$6|0@vXUxzI$-Yb$JCo~k4T?%k zTIFG`s+<7Kba<7PjN;~uk@U$Nx?GLx@L_zcP6mGlxqItv$)}L>Ku2d*2?x>)$H{vZ zlkiR4F76=DOEURuh1WA$slMFR6sCrX`u&RnE{Zxgjh>sSbqI-PUA8-m{!?OzJGm1f z9IC@mG+GXMuZ5{SSmQ#>g6vyyH0G5`esy}gK=`jE%9hDdGU|tBl#$HlM1YF9IY1V>pqQl03iVa>cZ@l z_cJ@=b{>C8Da!d(?5S(5u`-u8-|!D)+yJTp@)uW=PogNAZWYQR&)VK8rU zhO%F=3lmSLzx4~4SKSgu`cyfADI(zV(T5r&JdN1~l0COzIEK3iss=78*wTYGII8$O z@<`YtGIr<=JJ@~%{Kb}rqy^VQdi~DuzUU@UeF>&k=_@VDB~4j@U;Q%jqvF+q`u1Jr zcb=GPQ|5AHanP0vETyNQ1fJ5k>}sqV{x5ZqiFBwRe}ee7So9}B3(uXPBy}X5VlX@U zRVo_{Iwpr8IRRwG0pv(d1&Q|G781FW{qg$Y3JmQUR(xTHeM5JYn&Nn$NSFE}ze}>m zX1x2787~2c8TW3!&!fKTCKN6oTkqG7k z0y^b)mOAP%I2lQ|jIP?n8?XwVPpw5y+C92$VHnU?S&1~FtQ2p%~);Z-k*22=zpT=sMX zo*?$Sq;CD3NqT}KRcHRw97?)OCY)s$JoQk5!bH}_7Rb)nDhLvY&eqY0fKPhEEJvHb zRucj1k;g@^-B@r*B+C5No<%p3CH#QhU+C~EC>PS;I}5qH)vQAbKcl* zfNx@H0rdgnKCpfwQ8yuL<O9=r7enLesLr0v{@9&-e3>Wx)4{s;|E47~+OG@J=nH`;p$S{0gC z&xbLd>0YWIjZi18fN!`Xf(_6+ASSYK8zH$??eTWz0WOH0eE{X63OpjyJOb?l9>iKVL4vlYrXW=QR4VDn`Z? zdJ~GZD?>&I9l*^NtL-2KB>PVNxs&LmmC2Ec8n@od_lj59?|Wi1na>x{PNAwZA4>5W zutFgr)nPNzNB;s5$}yuiGm(ChxtrLOEUg0h5uC_8@MqhiE3pnpnkyym0PBCn>4?9hTb@ZdBnNnP8$NG{t0jwaXC;~?RqN8Agw zP^+q8YC;jws)Tl!`}&Z4mg*aRQY%THEf~AO%0bgbQ-h=l+fjinZ|=>0iW$g3ow#Zb zGE#V>Af4%KnJJI0ut4ITN&s)*GjpO)G!#$TCDh`ca;!m(jpd0erGl<0)6b*Y!A)Fc z_3R}K4z7}e8mWR`Z@W)l=$GyoYT7`5>_%V&2SDRlex(JFm6J)nxG~0LK+#>*P~$f) zMxPrQzi9A$XWbS-^^-7snNrDtMhz?FbFX=rs;DttFeRy`dkJ-g!xOPwfRiFYY#z@I)*F7}+^7PKDL-97?t8$|3SPWN zH@~2U>F(YHfG2%+$KI_d+7E6YR80>r*T&7?d7LJ$W}!FGWmi zpn#i;^YjJXpc5bJEV+)Q!T#o?E31X^XMOWq0_mmd)vRM8+cJHmF-Ne~_|le&d5$H^ zKLs1rn&2EdC0ptsvzwlp;^n5Kmxoy)X{Yz1Dqmr;tZ(;)*~6SL8l`3iH=^_c2X%`1 zvWF3s)wZvW}d z%Q2ulXw2b2n+Uox4yy7=?=b!W({(^?o1s4+q26CmBGDF)Erpid_mvX-SPC>*t(Blw zCtPevhIe-LSET#R$v!ga`>09)2$VaZOBH&;+(vGOPlTEG4o?g|PVK4I2m9gWYWE7P z(Hg>c_0Xc9Blyvw`%%EQESCjQ0e+rnHo2|ruYSE1rqL}({iW!W0hTF!5YmFIV8>g3 ztCPgB=5R9{EGx^lPR4*<9!WQLZYoliL6;SX&^shV+&3_VFRPfPMAN1;BvPe0EWkEq zEyHtSNCm2#I4!FC5maU8cu+^FdIuFm80Q$*d|+CmS*U&9+T7HCQO+)9|1 zPS%H?WIU$s;&k42x&U1b7FUV)sb${znN_o@!dxH&xpkF)ON^kwpMANg32!3WW$nwy z&M-3l#ogVmMNM+qTu*b2T;9P>VW$_*I?>2*0JksKFi$$O!+*p4(YG3WL-yB@CJTbXu5Mz7ay zkCayZ1)B@+-8GbV8zZZxKHnd0vG86uGqMWn3p&q#TFWLmQw46!E4>|LMRW19n#jEM zpJ^$8hpK!!NzUPFe3W}nPK~$*J61>0biz3X=JuX*#}PT@j$|BlA(Bq{v+B!Z(hANN zsA1f;_FK*h%Ne*W4n3lk|Lh>zcIP`y?z+)X9`8RgnL&-o7+TV|XgMqs(GLai0r`~l z&uiirsz=XCf8<1s^=bXli!*A@+z{DRy%yAx>NW31;L*+fzai_Zpy)rH7-}+PD^5s*f)qXdj9288TEf zmJyj(ZR88_`mpa;zujnx8RvdtNPOIb+g%{+Mn%V~<8vUHr~D!ra&4BpS07+VE2nYl z0)qcW(H1#P3ww>qs%p<`^2FlkO5=V#aEaH7uKs?}muwwUeo$w?RtC-D(Y>%|XTSHv@ZTmp-jV zQ$0T{G?djGc-);LV6q9$)A`4${EQ*YbsVjXp9D&+Wk3?feRtJ-!g!R+Zz}Hxw(Jo` z8iyaJz6hC7RkG4M_&8jXuN^?a3XZI`=jW=Q@vlj*Ow(dgB19 zeRMu+_%gwnLVjQh*Uq~3R#7d9jCV~0TZl`eO@dkU8tjT9`tL6^ZXVo8|raYzU@53DlkLL&RRcz)?!q(}^@1KZ=hS}zl@AF`( zK46g@8IzC#*~AsY5sq@tOn(fBf=AxS_zv)!1Vp;@!V_o|?m#`AdfOO9W1|V2Om6mop)F9VPhNK5zh!1oI z%L~z~lk45-p4)ux_=*9kt@#c5-p&ckWq8-nkTzgRv<~x*$|eL-0TILzP-Dm`HYfg8 z@*7qS#?iX%9d8&<`5?SkAM3(f~6 z$dMX8^mO76Xslsb@rbH*Vl;ZE6upw)Gzv*|4}$ae|0n$k0`@=30}q$%R^b%WtZ_TW z+GiIiX$8DLveZPU`^EAhQq>{_8<6#5)4&HICqAkEu`5dk)&~z8=xn%Wl~MmN+r@9S zSh^6EA5aQmCXd8Z=yDDsFWCc9|1~O~v1pBapjuLE`0CYxTbFHIy3z`z#7Bg+?$HFn zQULQsj*IQIvK)cS@(@DL@MpX3cdqQGCl35{z>plOQh*!cQ(e| z|86hOG|BN?QaA6>%$!b4Phn6veLm99nH-dX@3^AHu73^I_DKHFu9O0f|0xqR__ySu z_Qkq(P$_Tx1~!-RlKXrEJF2LY%VVhD#roRbo&ZwNoIV*|_S=&_a2LnYTS|9q-lQ&xot%=sOPxryF zugE4Kiy_rA$r-cFQX;4#TorQEbpof_uEb(o{9+E2WfPRl$3oCZAFZ$nB{PqUv(LJ| zwVP5qV@Jh|OIz4`UH@?z#jgS?)sHk>B&#%hkiZ$E42p6-F{H`6?{0ncR76U7>q@F# z|I`mN(G^eh5kBO1PiEhDIbXk#@sS*$XqqQ9MvucOFsQir0!pe6dEgCQFm?!=+XI=P%tsyYU|w_3KqVx*Q*a*TvU4s!7N7j_~9HCsHk8Ieg!NsEEJsY>Ei%3ZDu?L z_yc`cz!!m02lRhYSomI5pyt8I`Z{f#p`@~IQcNC$$k1G*Lqs5MG8$zZh|uig(<#fu zIyo>7RlK0PAvt+ap|d=-_)};Rka=U&cw9>)w-%_ieK+ zEYoCcCQchA{xD83CACYyaH^599fKeCE~r7pJk(y2pAV0`#781Io zix%9|H7V{S_yS{n&KGZ`qHwt?oF;`@agkalF!wIOs%7wD2s(S`No3;Mc)#mRUS1qd z{mH*pD>-PbGa+n5U$!k!?9@0%ke`zF$LSO*ni83!J#B{SdEbSNZF4tCAHruK5l4eD zR)-nVs4J^Wc8RnHBygnuoMf}w9(HWZkwl8T9!2(>SBlqW#wEclk>YWt?c7uv?I^AL zhJkA~s(}J5M7W+qpdTJ1ERg&j4RzEVti5A+WYNB_8>eI2Nyn(zwr$(CZQHi3j%}x- z4m-BZo3++H`>uWNKKD8IKIc=7QDfE|qvotHHRk_)e<+T1^;txeO&BH9@K{BVAdu_7 z`b?RI6JGCWsuQXfcJN6ftkd!;U%RB);v$oF69NKQq~4ZfMCXy%kMxy3Zh?y7Nvymk zIQ@Wi#MT0TX#14jSLJLW*W$HODcdHdAXJViOm!s8ldM84kbL|MH=P-pW^M>B)%_Aa zmmkK1aE|9EUc+5{hew@-Fg>FKf@g!Pxdl$T#hMAJU^y;Vkx;-MU#K% z9!*13oT>2B@xjG`yeNW5(#;u(LMN0abBVoE zfrgb^I`M`)W7`-F#(=*87zBE6$@KgxOmth>q`||*lf!h)W#vxs(J_x4x|pM^lIuqK zoG4NIfI2*yZiV5=^po^Mtq)z;4qHfqyZx^UAvbu5Ln<93sDcMs#WG4&C{2+hp%ykKLHj^k$6n>Trb;0jFIzBBtaCBeDNh3N)(GF`37knu+s1Z zWyum9VeT4#E@&QpRh_a6EEujDDDniMLKbGg)bN|w?{p^65rqf%Z!2oJB{L_*N~ILM z>76B5vVC1L*{37k6U{9eTo`dByMKwY{4AoGkOMh}kftA~5>Eg-plt4iISo#sD7V0{ zef+f_)L2BiWH6*hvDU0679YF|0Dvb$Ye5NXYt&0Fp9$H+QMtIza&hrlP%&EmL~aF zNXl+ymKr3(C#HIIZ!?=@FfNA#fiF0za->U*V}9|B?D@qOw6!D9`Ew;apyz4&m%c7? zFx32AP+p<<%%u1qQ>2}XoO54(+Ik}R3YLqw5O|?pL~&A&9jf2BHzPkQ*;{XcxyrRF~z;`QyHPHT?P7TE=LYP z#AYZzZ9Rd#=ye+BYGP0-5?9l6HPsCPNI$uBP@GaJ5jP+Bng>aXsv*$7nmVBb|M<8e zt`ED|oW#&s$Mj8BFG9TOWQ``K(k`BDPPgd%Y1@1{ANrIp->N|uCC3IU4awy?R4PX} zX?eWj&%<=iu*J9)!l(z+4s+iZjFY8YYzqJ!LKtWfT{F<9=I3^`0i!hDCk*kF=a%Aj zK&kA-*I#C}e8JFoKna_SYqiw7^)Xm=_9AVB6$)$YpFMAo>q{yG6I>Ydc8Qg9K`mBb z^u_>nbwcxKPIUjbCNjqV4<<4hA!!jI;eR)gDVmtM{P!j@2F7m_850{JD+@g#JHvl5 zk+J+&6WMnR&;M;AWB%^_w?e;1Mc!&fF`Zs4e7;!H>Z5C8}QgaE<-5r8N_3?L4W z07wC(0WtttfE+*`pa4(=C;^lKDgaf08bBSO0nh{(01RxtqlKLQ5iw-oWMpCCY+-F| z^4T&aUd}fdJXgeZ`!&#L?KOpsbqK5erbdHSu7rhbd|j^FdV zkb`3T^UMivZ;c@z?_5LOMSQ5aeQJ>J^s3a^Sy`PtKG|gT7JWtqWfXzVEu_PzCY&&p z73`VWqA*#hzxG0i4E$Mz=o`C6=uAku@O#Y;c=Tfn->u6ic>h`O9sOl<0znUgJ-9IW zhuyanO#b~!x%BNR;@vL(d7JpUS7hretNp8T-p_03Yvt*^Zmv)^HMQ|oH4pSU4jlA( zgB~8pqECpN| zyxaHbEL{yD1qtE!SG~5AZH3#sN-nC-HDBbnI8I~h=+CojjL`i280x;D)Px)?{>iDn z&zDuqbl_~7Y~3%Rp56e=FOSrDw`MjcaC0B@>Aq*TldqePyZb#oec@_2o9NF>6jCuC ztD@QYSHPg2tq{4_o1Dm(??oQz*U`%r5hRFCKxq9W3~T5H@FC?%MJ!ADTF~BrX7^^a zeo#Q`@WTYSM~@1t4lgB@r-iJYOl#Q6xAi^fNKTQucz2YGsmD1Cgyf!~8IK4kQpx|!a zpWB_mZEKrXwKML4`k`Py!V4|%s3i5dB>7*#>|F0<d@h$@dl--D7N|LWR|T-r-~$BKTw4cd}$*dPS;>?pz; z5tfB3K913=dd8h>&Eb9kJV_$&5yr(ia}ZY(Z6cEq$n2OZv%b^Fqcs@tG2M-i zVs0|0gY&d7js<=Q4_SN;P)Joq&O18OVJpq)@2U$8<3O~ma4IXWfVs?gGJQ}OI_HoO zQTOQ~FskY*4{BSto_O=&;m)AxMi|bpCU;*Qpa~OPVLv2JOHAd)BZYD!l#WVZzUX(| zBLhiX2zh5t0Q zm6Qjp)Zif6SZeXQ zs9N+^fxRAJxJgh$#vE@PM28h!2pRtBdn+G1EU*3@uJm^l#wY1Gbv?c5Ni~1N`ufgX z@uwolEbAvH6hYbs*`o06>M_Px^^?(=hHRtHHbo86!LKr`{a*>^Is{(AMfzRUat> z8)Sb4N1-R@d;}EB5?q?hiUv)W_L&8n&xSu|WehdcM2ZlbRu8Ag92N!He{eHjZw#s1 z8;Ay`zB~)V_OEdY-`I}jD%0gXa6uP$oMakj5*Z{$Nk|M@V-Gf39q9|pd5*M}W*rc> z@a!`nF}=l4XZ1v*>ONaL#WV7^+@o_`cbxw=#GiT8%-)kn*a=ymfsonQnsQa2tZ~~$ z9I~*cDr!NKL!L<+!0;x2PMk{?o{~9<*OLEf+iFq&m+H+YDm`cU8YN)$VHas=QuFk*zcD$txlH zNZfhw^jr+^;VyaEJ-LMuTQv1vpd^^s!=K5+cJWI#RT5fKcPQo|kci~IZ7ieIs{&8< zS9FlF$Hx&qZ+b17;+i7;i&^nZ0}=b*YW+@iSq1sro`nyET<+sa5hcY=rHoLfc#e+K zg?5jIesJo9QFHVL#nHQ~Y6OX~swEpqPa-|v&y`gcg{P8~RIH+s6ZK1k+*6P;_wgD! zya@0u9m+7eIdqQ%wAxRljAb{#&o}Mm z)X;+Lo^$Iix`qXNdLNiAhUE=tfYR-*2<32+RA~VNQ}5ayugjJ}#F{2*DY-8fXm(#M z%Fv)4Ube+oQ!9wG*9Ov3CaqC_i369y(Ue1~J(NKCFypt+;_Wi5fe{3cj%a4|fY?;|UZJYBG%L8m5sb)d2(b>2^s zViRtYM`-`1`}LSa#|vuw8a&#K)Y?TFX4H@UTJ}un#k17Q?`lFO@CF|3nNyTE0nDLA zYqrWS^TsjSJ>HJ$&2NRbL!o3FetmVHYe|2zId(SaP!1QkTRUf>?<}kSG>BdA>U1)^ zu?6?~LAndN0F@Wzma^<;uFN@;8>A5qCESd^h9CNlArjfNVjam1c-&iR zbAMAwua8*39YwKGFpFe(twMC3K!%Qq)-fcWS1pNgT(#J?jZ-%>t#|Zx5E?BLIR}Yj zmHkt7X|D)jw~sC#Z_(t94HAyWo<6VnSEWq00*fLtX|JhmeQ>eWftXdSoD$&gHBh9Z z7;cLM33z-GV&}G0&I1mTd;@uk4z=H3nydkn?1RE zpKZ{ZznlxzrVd->$&q^70b_VZCj`|}Vtp~DtBE`ce#@Ba67?09U`7|M*#WuDp!Kib zbaU0C)9~4)$7Zw+v6*PWz~=`~%gno#`|j)5$N~ePwD#8avJt@nU2iOGqSV+y?{72L zR~Bc#@9Gena_FcThNrR=C;=_*NTv4i=fZk*%{_odA+TL%MOuL9@t4A~VauAVxboumXYuS|Mm7gc~4n4@WXxRXAfi%;1*R$sS? zjj1Vc;!A3*= zy(2};`iY&M_-D!A?7C;XWgmiURtE8xj@7H|MA+{`Hc3@M31vCv*U*CH12E8qow#mC z97T42`uQ7&bYRG(nj4+!yo5+vj+$j|Z4l*c zf>K0o6kKAtY|Bly>eR$A?k~x`HgGEl!>8L5aZQHyk}}A3deUy_N@FTedc1TdjLF15ygfdUaBw*G&z^8w5q5}ePiCypBnp|T(7VkA z;c=%thDEJa?LL?_$}PA}Ppz4eb!Ig?KeyA(L+3R|upZH^f7H@3#a}KJJWh)?R<9-z zc8O-A+L$x*O;hZ(G&N@iC@0=#_u!;4yZ#70y+(Fa<@fk2MIl4Tq&eyzfH40fnhdW* zz0k`dfKDhzSQdM>jW89g&F98x1pg2zwgj;W;7cHqs^u2IBEMM97r3Uei*q3PSHm<@ zWL4~Ii1<}HI5Znfx&mx;JWzXR*`@--`qk8yVWHZ+zgvOvfb50KJjrWp9lVWQ6akGc zRjgd*j=$F>nu}zm0dGKmAac(GG0~Bzs=Fmf4j3 z2CBmEBiV#{;24D2l0^|jPu8W=;tiL7)QwOG@&B=6?pLve2AT1SClY|9VHtTp`Qe8U zEBM*|vlRRjp2pgKBAcS~a!UD%^TsZs<+r`CLE|=SA~+dv?*d!dB=?@30cB^e9g(>- zY(W;?Q>Lb!ZZ0=F(s0~n!BzfG!ZWo*PL>siZB|I(1%raI`kOe%zpM}8as5= zkZWz*mpNbq2X9sz18TMqn97q#=jG}5bnx5tM}We~<@Dihg$9maEKwo~7>qdc;?wyk zA)EJHyM>;fY)99Re*%lUAfMMB75_GR1*d_WLu+N^azbjQU||F7G32&&~?ecj5XW0%!Jp`B4CN7+j+B_PGd=;wfdgx7h4#( z5Hphb2MQn*b$a;ddCQvJb~sb~gK?M8^(wGL|5|hq&1gd`?``8QaoCS{y5W9_f~f&F zAxFv{nHtQ;Z~SnWt5ax_lxnAKf|`ZoU4?6)2bJ1DoSVFsTFz2c{&b67TvyRD@P;^X z+B%*8P{hQo3YhR(hr3d_ zW2|Z&dO6E^l~IN3IoxsqtMh=ALHg&^D<OMKF2xN_$pvkWtYcIR?kvz_?N4%vLSM7eaG5`-6@nW7^4c zQyHjwad0y#skOn(X*N1isA@*`c43(iyD~1qtO7o2^>gpl-KnGb($jqZPFPFDszIyo z;j7*t;?I|1eAZqF$1L>LZdUD_@ z%2fB9xhDLIM2?c|Cy&=zk@kmccDLoQ8`aGk2}+N15dIqvjv?YUAMy6Yt_z(?KPe5O z*Wd1Xft8ytDpZSpIy<}1yCA7jNSjo}vfMn1=&9|2Vbx;3*7Phs>}kSZ4|HJH6M{*F z;W!9^6RX$_ZwvLccp$i>h}!(8exMms7#aPM3C;xrR-cDX%h3$LXd zEBtlS*?~)6U8*jYzu{{Nrd<`A_b7^@;kOx(1mKF(TjjYUwB2A>yZhh5jX^!)HkNbG zHIeh23nGU$h7j1#&Og?S;TQ8i?9P9Co4R|(Ox0C|;qe<=+dJ)YyjO>c2HfC1r;kZO zj+Jp)L}pa`va0^7??XjsfGeC}%FNF*>Z)bLvLvIrM5Gi@^Fg@QRc&S*Un-odW&azk zx`|q~2Kg2Wg1y%M9WlDl`YW`Wb8kHrwGEnY{(&~-BnX}VF&CP)To2woO zVFXoKV=Tp!?5r_LW>o_hH*(Y1saH1UDrT_uN0aq-{#d&>^`CwMN*CiuqD}RuqF9+< zWUFiOBnFntVuM>YN#()PJ0o)oU*i4mYzWc{chE2DOwe5UaJrH&dmr8UctvIQq4|R{^i_?80mWh=5N)eys*eqt;`9_w zd-8bumr<(esdHUD`k@i|-5ejcp2^n}29z~P;4iVfh@de-BS%Ld#^b47n(=meu9;Q0 zQOAe!6{-rWC4rx*UkV|}0kDhtlEnnU=RmMAOmh-1bPU<5t)mZ|7{#K&16XbeH2yRp z5WY(`vJJ)#365asg}|AuW{YgOp}&TE#e3j>vRJ+yt=1t2qf;qyqh<^S5s&HGRVkK> zM;r9`!+Uo0xvkN>wZ(a9{ub{LV5~Fbkq-ypS7ch^M-0|0OjZI4XJaGnFin^)v3Jv? z=U_P{!MBg3Ff0Wg)`4=5)e;Q2m@a{^13e*>o2Dfq-h!37>u!P@EUQz%$UgO8^zfy| zKV)xDH3%^~6oMLQ{ZPJ55cFR+F)X;q}R-ymJq(&vg zrGMM*&hz{_I4O9O8J|{ga|FLLKOe}zq_?7jUY@>b-J3h~#Ee5Mfke6VqjaW1be|o= z95#reL#1U%|2XJc(v1FTIqNz1^igqd1r5k$nfcZ=J|0jt?ht@w=r|TU8$8rN*wI!f zZjC2l@M$ZK!lm|;HR5?SOey{^XJ@nQ7h~i-Qe}AFSob;0MVd(|4*tV;Si|PXj$7i( zDLmc5&xi6z&G6A`ExC|H0Y0*>Tk^(sAh+pVf6wv+AG15?=jjOpe{HKFdd8`Mr%s5W ziUQ`(zmxHaz{Spx$DIaFK!rhjeNfFW+0fF6(O=o1Yt7Ypa`q!mgRTz~pUMtx| z%}T{xhLM5w^9rRymqxa#?=e?b@1(;Tbl|cEYLQzX@u9LWASRhr$^MlHTL^(ZD-Ccv z+|#oz|RRu#!nbCRC}Gn z=&qs*ItpY5aec8BI*DF)9P{W0|Lob$SB zOl1KX#{Bkr=TnOhk0kal3*I%KwZe2U99Omw7qvn$V!qqEa}% zVf}5v8E?}=(qgAOK{bdfzcRRo<6{be&3ppGV;;PyGle)1xg<`amyb+>jMPL$rsqVVWTA;4mC+#pXodxUFd;^LVEuy1*1L zab*<_ay?5cl1H04s6$~h7)>YlR~FCH>OPTOcsuY_kW9^Ke8-1aUKZskTFh}TbiY40MSGlvN?8!zBq}SpBx78|AZO}=ZR?u!N-XD# zmT!n$w4uxKG`W1uNvI-51#JM_3Yo@RXE#0}HXXq&G#PnwHP|dXeAV0PNq)^>G^E%O z5s|u3nVP&|dO zhp5-z`V=kV5%6K8 zD{JXPd37$U8MeNMO;lsLk$#cJ%`!gvCAAtr8l4JVp7#uLMY1P>@yBb7>0s<+{i*WV2C2WT8bj-IeG*>)Vc;){?Q z74#)NGF$|%)?71p{$<-qDCW4&E*cw$_(Zh%>NB!yLjeP!aZyXRRkF2X_nXuNppSgAZJYqW7Ia}G1x5aV+mEW zGaa_~`vw;R^HokVt^2r`&wjxtk`=uhez9#Qc7A+!sDoCCU!}ET&ONR}l%j$v*uXr#D2gQvC3z zV*N?R-|u?1Q-3qQRsOEsfxJ;=)--*5?va$}&%J~mN}h_{x|Lrb3OUY06>fk~rkExL ztIzAby@kod33n6AlLkt(?(D)W24k{6T0MTWe50rpG)gg~MtZJ>=Frjal7eBWo0VV< z4+}O@dOj^50x7}}&u?BlIkEnG?Kr88HYeBTxo}J}?%pyp$~oF9I0>F~1pHwaPQZb9 zW9xln(%nFA_whAFkX~u}s^Mn-JPg8M%2%3Htn4i0x(&h?=)1?x@>_1Us zSNo^Ise8(c>~63_Gnj%Q!c%2&2_+$+>b7FNdt zP4hKF|J@7Jp)k5n&%4EpYqu1pUqdi^H1a%g|B^!5D+Xh6SIzg@_L72J*>q=us++kf zDmYI+r_sML35dzbsLZD|Zq!h;Zj`mYEf387T&}EDQK(+j`yvCs5|ldZ4{vv0>Yjuz zGd2G~G!}6zn_3xB4sWF2paw*Q!5%z2zpB2?i?y^wPCS=;PNQ(y8 z9`L1yox3wn|7o>`!_(W}#`Ku?Tb5%L;Z8lt6Lcmb7@$9P>ggBTkrU)XE`q5Oc(_j> zaX6J-J~-!rf9{E^xDx!b^u%MFd2el*;X@CHSXrEuzy6^3m6*(uD7%)C?RQtE8edQ{ zc>Clli6FpHaK+lCaKF{`%I z^9Y(S?s(rLr*wLd@v+S?U%%4d4jIr5t}5^dX_ju#3tRskY~NO`|DJZk}M*{0@)(o`8V-09cua(C0bg!jNuiJIxz3W!hO4IYyHVPzg^K!38HN{?>ah=~goTGRsmDkqC+lg1^<4W0Z{0ue<1b@~V2mV8 zJ(#hem_(b1F~p0Z1p_tRxV_}6Q0>Vb6~AVyH+dZ|cML91B$ZdD@d-BJD2#w*q!bh4 z3cIMr%9sE#Q2MVqpj72c96k997}e7p;A_XkyhJ7w{$w;%b)s)|#gNOd7wa3&IW4R6 z;rt1J{JbUHX+9HRt;vMhkDInbVI-}Yd-Y%7?nKAc2>NK+*d2nK!THH$JsI*igGD|| z-i^lQaG}cX(CT|bKDBDp1awq zNB3j%r8bDBkC}06Jq32qiSRfZE z5nRYCTUjei{>-R>GDLfM&P?F|O8atW9i+tMCeS^6ujU|3CsC&FY(>JNL z$KLQ;H@Ave=vo1Us8=gdHE|L>Jx>wR;pyDi4_isD(@t|Mslp4%L+b>F^i%~b2FJ;i zTtrBz9f!Bfa&PI6OKL=Dg%%3{gI zXYY|B_mms|XDzQ-xaU~?Fr?Kv$jNf6-CTm)aQaPW!5HZs{{>EMd8rnLEXPzL?8~@gNsDTrpVst&cOj55ob}DOA{VqHl@d6b?t`;%Jnu;^| zEh%MWzqrs0_=%~;af^;P@X@C&Oc&fns@X5zS9n>*e2Z9Z59=V|cz! zFiHOyrP6VZM%Vr$Ab5Ho_X_!A^-}ug^M(C^F4Obyc9&KJ4XSkDl+Ll)%f+I@9;Wb3 z9m}HRp>5lE?_AJFov`$?^vD*4D?=i%5aIFtQ@KMtcs)U?*_uFv`XIma6Yi9Z(1(ok zWec9?5}ARg5T-zB3t)SYTV0kEEl4Vn^AoA=v#b;?N|4GNFs*c4Wj%ShiA=z0o zzX35-j{E{n?O0x7R)?kF2d2fkPgX1IjT9NPjz4np;VShsO>B~A8Yn}%M90L6@!^|> ziEd@E3{-Ls)+$<#jf%6t)w09S$7%7sO&|=qhgC|0F*^F zz#R5L7EVHiGTB$Q=jYa@4$|eYfAWv*hiBh6bl1cTYw0!HAYZ{f5^<*8%qrxJdhAd8h!4zQrlr|1_sL^aXvgC4WGg)Itsz8!1QJqp>lEAUVZg#E_AJX=M zQAreMhFjQC1)pu|5uxa1;vf7ex&;pRHZ4V|x+2$-6F#bGFq&TWl(yEt&BY7%lMKSW z%*Aj@2?yEW^Y#2#s3>a}Q;u+2dhB>im@`Xn92=h#EDr`ANAd7L17E|;SlqY6(aq1yDUIDFxI6|A}qDu0n){{ z*0e=NUUk27GSFs74457abswsG!Deq-ge1<6G(G&qU9EfdsnE3TI-)@NL({9h4#mk! zkjAO5?k;XR`cle|1WQBhD*?VrrbvR(`=mLW-8wlnmC@}Mkc%AS`X|1XL7IDb+Wi_a zvNIYzX)=wLTiT6Be?NU-gPVbMj-ci%2HLQ?QY277= zfpViyGqgyMVOnV=4GB`)Jm)~v9&NoO`w<(}E-AqwsFtsp_e376Q`XlsQRE;eftwN3 z6Ei-NICLPjltrL}C$@0P7PQggtUnNX0ikP@@x-1yoQepk z?3jsJct)Tzn@8by;UBu0I551i;L%%BHmvm#f1A-wn&!yqezPFF;&357^o}jS6-!fp zc3rMy#SuPymMwNu1Qy41ri^?-hO-IrRGUPk=I6sx2)EUw!{xX&yrf9SmudZ5b1vH1 zcepp64UxPMa%B#=iI&viv?~1+_VQ2bgaMlFkA!#}mUn4amSAbi z%quU%!g{aC$1;&PXpW;r|M6g$54!rPlzn!4TbudCft9d?!RfX6(wpH35_&?brG*l< zXMyw3utHEZ$(yj^^I7EpW_PlvBBpjnq5LmqOvXXks~F|?drLO~G5XvMgBBf765^-; zE>`lRlx%)Z_;Ro!AQP-aOSeH2e=E}O3L&>pZmv$HW7 z@@;r_n6OerRsw!O#h*0<@O>Su$Bb}odqU|I}1(c0+Nn^6w(Pa z^u{s>=n4?N77sw0Bgs*eWRZ9~U1v|m+10DiAnA&SEcuy{`qB^^vbI>zUb z$WSrt-fcdPFJ*57x-fQLSkP#pNU8v`?$+TORInVlpB$GCt%ZfJ3j=V7{_q=+{N9c67mwRas#czfY>;cU71^RnZ$P`Py%QkvoJw^MCpMm%&R<C+z=SZG2Z zjJ9pDH4>@;-B%Jt?c-AAc1+*Mp3Z^MLboT*x3tw|ib=0E0Y7fax0=ZAlk#x!W*s-{ z@>oufC;F|Put$zu6dyHLeXP`=vYAsmPFD>&8iiMSa@rD}SAl2gSA!h13C*%dUfcq! zT!Bn12zlDmKeYj>f|$Toj9G*!6ygIJb4TNaRKo zplfkbH^lCQ?-Cw8PneaO9@>1PUf6u!AjHVK|kLxboPVETV zsJ;O6PF;Q2N7_l+J|AEI?ntJ=aWQf+`_+_7t6rJ|Az9RCCXqAbjd&^<`leZ*fi~0)Udts~} zlgH_i+bq^^DC-ON28HPV^Gs9?+*1a7s!L*p{A|yKsfjJn;1J^rmD{?ovKt!7OY?C( zp4H$--D}$jH~kU&S^u#SjpX;HUWJ=64$_8k_4A^Lirew z_l#ZZ#!9SrtBbbK4Qx_opTwkSI!@K0_x#q(2rax~fyF&?!PubLR1EA$8e9k2M@QdW zZ@ngr?+DH0#xv4pjeAKIjq-{w!*h;La!qusR<5w)^VUy z78!ll&6s(P|0YSA!%L-F`dz3Xou;l|d?O5!$t5hcT?uJk0K(NaVIoodilWxOH@bfA zrfo59BcClnZMN~D3DTT8f4|ukD!QVS&x}2()VXQru{L8@898W5s&_4kpipU6qO^Fp z9(YUc7+#S*(Q;$}#{la1K{xTc{2|UW7qKg-tZl^fuUJa9Z`_6T5*s=%6PY^6P3(`A z71Kr^`2oC4y}Do+4;%8GE}je%xDETHmNueb+KQx@`pu$Cy{wq>bs^s_elJhEzbt~l zE@YHUm5TamC#qPu%Kk3A&8!YXa4g9J8{szjjteWM$F z6${#%e|knbOaYK|;V1K+I&d8(Fwo=7XrRJzy7D*VD{W&@)FXB|H$(C-q zWoNCOhLLERI3HWP9?MM6!;2ukY6&|Ty&JX;2g26K2U8xL zk0;`C-{bG^w1}wS@=JHpqRwuY+N3VJ6Uw7c;m%%2kgT1|?o`~h3%euGz#TN$Z*;Y0 zax}_KohUgeuau(?P|4dGvqx2Tu{MS_B% z)tojA?1PQWUUPH+pBwkF0>EL@y8w@EUH`#tiJh(RayTxxn#Kb*ChSee)=-KQA=PMcViMXTFZOKxkRe%ljnX@~u&~l%G*l`+6gWY( z^X29OxG1t?LlZp^n~k%xrve+@QtdPG>K;NUdb_$}qa1e_&SF(E`$f3QWxs*{s^br{ zB~$3mj3|9oo%jI}7!WD5>zp7%?e{^;`$@w9sGXLc&@Pg#s;I1gWSJ!BnKfbk{r9o+ zi@7p^)Id{$K16rVKP6drNo-Akre90sRb3CmA6&}6524S zPXdYKN#DsaBLNE=wDyckce0H8t3+;>+^warf2Jj;{#1 zM<{uTU}adqYR~M-C;b>@K=l4Be{-6ZmZzVB%6o5|N|2C%M1~RE`I`eo?CwBYjz6u3 zRSwOjuzN?9TlBc0N{H<^`75p4&80hR+2i<&o&zbXC-#mtL0aTMwH~JcK>3QQRKxtH zyO1MZIsy5Y|A;Amz#I_@+m_MpGsWp=@Ox6@LfW`={-w z11|4djPkx&a%!)4kxo9^4I{_8?IuH$ zt%-Lc+L`G5?x_RnTWM;+b2Q+V=?hcJL})4o5AtE_2Ng6zdXQ(5iQH+DtgyrCMFkEW zxb;<{a%$aF?lh3`uVV-Y1^E_%sSQ=5rndtY05gHt9$H2*OP&6BiYgH^nK88rqvMf? zy0`9e-C*66)8cx)zqFkbUJa)gzwI{X_s@!>hm)NSKl3?Ecx7bv%4^G^gN@6pbdQxw z*+usnHrVl;mjU7@L_%`1XsC&clwjeY>#3IrD{6*ch*$`wN9f=)_Uba=iIMFu+fzfP z$_LW~isn&I8<)t+x=RR5g~>t4fiaOMGbwdYNmbaPSeRIH+}RxPR_tEWhXz42-N6P| zGZLB%&(dAwsasrxB*oR_jA6-19k6skQzE+ml?sTREBKuEeWDw;&sb#8N;tphy_ zUkD!9p7H-JvB>;yl$o-Jy$K;e)XvuV9|)nNg}t+#<2R4^-Iq16`5sZ$P*f5T{10ML z$-q{cR?yDc_HK2pI?&SQ-D5DEtNt z=^0tSuk(Kc7&3eh{qF*XZYIjwHjQ+$*NEDSU}1zYol^F0Wfu7fBiL}4m&k!X+qLF) z==y^o-Cz(m{@(gH9ZhLC&DJ>`jc!@>J}C2)*wwUHR4B8k57FXI^)tfDW5UFz=m6!z zHn6$5%+m)^HHx#ZYK-+yodW@Y*f?oxikVhnAsqZAGy3~Lfbi@nJ){<=^Yz zSo-vVrm$h_gI5E96R9da9VZ%rAT&&9{b?|vU4114mzFl*K|m-3`Sr_T(vkE}c3|t@ zMuCAG{WbYNC8t9kLZmjp^&#vXz=8+?ruqnot2cmSo<5{=YXk=ej~57jZ6MVdQ~6aX zIDL4aAE?GhmN#lZ07t2?UQkD8SNHjPzkb~@Wjt+tIzqE>64>iq6&1-t0*8U>X??#J z*MP1*AjnA!85qd;Gd;?WnC`i*e0ruIzrle(z4|_HP2eHa`L%H`rnvRId*eAcg+Ad2 zm%u?Z2xMreU7+mR{6y{l8MXGm46Gj<%QK{j5IJU=h<{R%a5? z@WyU-QNX-zY`_Bwn?6`*9Ob1Tf#N?19AVT({S0mOk9uK-u2-h$d%z4#{{pq#fvNk` z&aWlo=>zD1VZS=*nm&&*-wDib{EGX(5*|OlSe~}M?zib~zOH;e-7K-xxVTK1-qL`d zM-zd&tg$fsiFfLFK%cGV`T4)%A~JY}X72pxU)@CTuK7SdqRzG({N$sAYIHdVK=$=b zzQQnfi9v6Gw8{nb39bA~GB%|eK2xm(X!~Yd5h?NZAy}NDXce1#h&@^ryIZ1uS_$62MU?;PbhU9PI`JH$1#m0o|-&GfgkF9L>Yv<06c&B$p(v;pT9J0?pCnX2(hr7qu-OI}O@wRz! z0U=~mZ>T7!DMX8VM$;GaJ9vSrThxjkMpxa_>P<4iUPuE>Ap z-HHR_oW^|0u{s?c(oQtpGulAcqNC~;5L^oRa;2$jiBIn8t?cUJ$}4a%c=P3>TkJV| zIe(enm$^+e7_j6&20F1s|h2 z7d^&y;7^5hXmRST0ORRwR)IPu35`_(;(}0Ezoj~_@4P^06O|O9piY3}gM6qR1IF@x zo0Gahx|w!_Syay8DAQ;4!xqO=j}2^<+1h?3-aW{Ikvl#deftmoSdkwgkrPkty z^-DIxF~@q@Q>hBlVaGRnRj0 zF5T9}j@J;<(zg6`JdH{)|JUvg=9PgX9Fph&@a+aOnYI}PAHN2tVVxD!gwW?8v z=9WrPr1SgL^e~)Ru#UkX;>zrqRhZI8tk5c;!-wMI}$Xk|EHV7ucxQG@FbM zKb!y@1}5inO~61~ned9|S0HuKrZu=YtQA-`lo$oE_6KFDn4+QXA$_poxetZ2@cKXm z&5)nH)!s229vR_|__BqUc8KV*6E!opAGii%8^(v5QV@UW603Am*j@OOiSu|juitC@$(e#Jx8jTC-Zk{GBZTt zX|^OJTeLoQ3g|J0l4d!GCkllmYsnIYRQC1frI$yM%&@GSO|2-*!Wv8&=aQ^nA{nW3 zkN}dneo_4(-Qi%prSTeQ6LWPHSpEK0&cORZ2zcqY!_lE6pa3g`HdjUl$b^u<+VvZ2 zbJ!F1g@{sIS~GIZ8L1ktjjX0|CR~j<(8j^s#(H1$oiy?BnN7h9hg#;o=!;k4cb~HI z%y~)~441?D7PZUFa zp$M;#o{0JOhPD}6{dd)fAUuwW%L7L6cwRvdMygI6b?{`xJ9Qxfzk*!*gFp^XK&?P@ zO2cxKF~oMa(VWN#_{_F#*);C+jzwkBXK*+xVZuDRfXOR6lxcz?|J8*SHR~WhYr`+87?dRml`&M`}a zve$n7+c7W-q@q!~2`+rYU-e@RBc7v)@sQLn36_RC$H=9l7gu8NG|(;Od zZBezGOZ@1b3htRf+>0;qzb~aF1GJ=G>7vRc76OBZ$WU@3`7?*e;cC*Nsy#sT+T zCYcK)!>c#ID&cc#_GATYkV7igN|QBt!HAYIRDu9&YRJ$wCAZFx7kGkPa z<*Z+4=|h^y-*DDE_9c~-OAVP+>A3b^hBQwslE0}n%)c(lb3*G|eKT*#TNGfA_nqb{^tsZHo0!6zOi4{gd(O@R9&xaggmgf_<`Ba3CY0&~V&wL& zCY9vQBYhO7Hb8!%5+eqh;}LwwI8m|;u`H205fu`-1W4!XI;;n*&Fu+J*lx4Q*UO_p zid4!cefeUc%C)(hl!Y&wKyFh%w;tAplFwxrQmnYpxlcI+Viqkv?f9;tjo#4~3#mSR zy;%Gd*&`RsE@gZ0lQ}8b!jnMc^-~w9S&ceo`|p*q^J2#ypgCp?wZUsUGj<=%e;;!~ zjy(U>1lX{?6=xK9`^lSazg}L;rq}4JtOmNyH;*6tze8&p#L7%OZ}$d_{_#iH-1LW} zC#uAVqyKrec*2-)iQTf%+gjLl9`|#QZ0gX=y{tP-!I%eahVG!9aZ5-#Mn7igMG6y| z9AMzpTB68b+(lSgg)%Sh)D-1q@&tFCy0ZsbWz8S_N19eQCedABhM{! zmYnpMpE7mPB5Wf;kMCc}yZ4&(fyKCJx-^g<*mW%lF_u#Dx1K$$v`&^PnMmvRkhSC| zm-0k&d%)cpYR`H1vbyHMMK{=t4p;c5Zxp!W#8#16(@Os?(>U~>xI%9hQ z3Z5HsWMfT*!II<6KclchgTFhtph;^HU#DhQ#KGfg{nf*E8XG)Xt{Q)(!pu_1GcXE( zIgWJ?fSdc(4*M_>)~sOiEpIaha_-j9AiE_c8`DY;vAotaC98cR%8k}EE|r|dX!!=u z@#EM_Df5LyoK`JHCy^Brwd_zm-`U?i4R9x81#{;QP3NDyq$9>fS$d@pi8bG66uCes zONWSXqIiKg9*w-Sp@j#WYl8@BrNXr3!}PBZp72gsDjnnVRiOSf%dbU7F*|gEi)_tP;eHe zIH8)?`S7~q^zMAoz`R;)>9WBJ3%5)Mwo5gYTSr+Jau1eD_I!l<0I})}^`i1TAxr-| z*I~+tmudx}UTE&sFWz}?$T$c@gfZND^=F5O7DB^c6UWVch*CA806mr;fLK1Iz}~6Y z;Ni{A<6ZAb<+O-4_>l+q=mF~8F5X2DaG+Yor2dMVcqe8l}53eDA?1CIVj@(y^bp`b-h-Q~J5zilOG# zPWqDK19d)HJxpC~0x7YPT2+C@_GCrFEgPsdlU>X@cjk)RI=!ARE0Js%hOMn9tb}hG zg@S5lQdxK6Ps3ufZM@2oT=L1)Z3|08t;5`9ev#T{-d-Kj@gJqXu7%$81|zYhm3l6Q zG)kWd@*T$}mr5tT*Q0qgxtUz~sA&A^^aD6>^IlxK(-e124}YFNoxXa3R($S;p7bfu z6(IDD&E9um&nncqp@HT_aeqb2FMl(YW!RS{pLK4hTKZ9odNUVMRIS$)HUq5{>d;o- zl}NOv1;vQs`fjM)qsEW~KBwnUHL%OxUPHW_-9%32u=q|kQcTCRKrmx=JBMHf$3bIw zdqP@6;c+<_DTF+F=98dG#bpOWS(`0YLm-=3&cumYnR@hm1>kn380$qGw3r2F{BUrb z)FwEU)Sd6YDafOziP7=Y0UL5V+;Oi^R}xUF0QEwjB+Ynjq)V{4e3pXVXzIbsc7J@4 z#X57>_z0x8q_mQz{%g2GJb)Q;^)$ofN_4g}0=x9V|140RM8mWHRFc6NIEg+FDe8!k zp=+<{1p9>8m@|_(S4QF4=h2E*g}$xl!^Q3t1a8v0~j|l1rQR>ZfQe@hZ ztdhEOcaY$Y(OGK^HBGx!NR!3Ao%-J)#*Z~r*KdLhy4BdL|I z!>l^gl4k!goRD#dp?FdRk}!f;zEiLIxL!2;XAbH^g$pS{i1>R&x+*lkt$abd;yX<& zTW!yD0Z=;7R*D0x0Ng%;L#t!2mdy+Yf}%l#t!u*|{r$gnuK z;imAxQN$xR2oO7y(5kn$Zb`=SN4xgtK0Pd}xVg2=DJjn_>#iB`m`B5k-ab5aLO z#$s=LwE)qqKkXy*1=l(RNp5+z5jc;}E2KQdNY z`2PIt%ek}ZDM#Q!jp^@2lQmZ2IF2xZP)WWyS=@KhzbnhIdy6<+^hF*gIU-+tP@E;h zvZMeOwk*EqMq1v&hA3Hc*D})I2(3HTxUb)KyrPYNfh=rZT{eOL7Qj7GxamSH7@PHi zhfQr440<%iDkK&F<6Z{q+HMOmtqfukaS@(sSPxtsJ+~1>Rb%tTb%}}Mas^P{Y?jEd$loN3eYpmeHf1;QNs*mgXnf?mD9n> z<$L@?vV=?j*>Kds$bsT!Xg~;{9oy?|i~p`y>*0?xBJJW(r*F%Tzmi588o12A%5cmkN?nWEHf z-Ze;p*xukJ8IdyZXGP%39G=)msovWIk;F-DG}#MNBp5DorHjwIv3N)CWk7+6*2cAKcH$kShz|WaoHKx&4NU=c$71C)eX~$>+K&` zW{1QC^@mOq(7((l%|2Jc=l7d(e6P7kW#m>~WVH+PcgYQ}8~D+&eX45EOk;ZHS|OXI zB}?Dvx>cKr-ua}@K?si*{Q(<9w^4T|@{G6M0nmh#we+=tn$M6DwM8o1Z8NV7A?rdrQ#S&-j88Fa@xM2`sZ0>dKrwheu{pJMAJ zDu3PVM1aIViER(O*|EthuyQ`)2O^?+cZOu@ zVOycDPY6FkiXdf6KZC%-)EBfx~LO$}=^Ef_;A5s6RM^)$7F-5W2B-^e<#4B@p7z zckcVTaIbK|y#?|Yc!ybF1Vl%dOi|NGX&nq-dY;167&APnKLHwq4!6@vLUZsUO5|6lh9duzfURL! z@L1YJ&iRArhxPl*8qk2XWQO-P(moDO6p0=yyHdOcaDf0Eq~9Uet#Yew_AYc8%lL4T zi3_Lf#ocxU@E9*!SWpft`a2V%83!zwa;+>y13EGlt$(4CmKW}2jAo ziUc@@td|w)ZQAtJXItd>O+g`9@0bH^Q7frzir}%r2?_l~zg)1r%iOjgZxL_6VpVwK zBvr86Xh#s>x_UYgCA5k*ua(1$F`vxnqm*P#LF&NBBcySi0!un@K=Y6BtPk9${wyquq>A=KM$=*s3k`!NfRXM?ms#f4gY^y^4haz0pVvpYKHl$>Ex+a2wCR2$dLqaQr0fXoZx%ZwPjV8A z@`1jK&>Esj>ImZX)8mDMs)bQ9>t>njAqb3^ZK3X!S0`{s;p}Hn&G9Q1L^}qsD_ONr zo&0~Fc+UeC^5#9b+dPBxVKbaf_vY%}%-aou8N_6uiq9Cay^PqLyMk7IJJm`#SDP$7 z_6{l8Z`Bvv+`^xJA-Z8*u|3ulP>cK;NNbi2;z5*POZYIPI{WxnC~Aw5qma-ECe$?G z$SkCZlN%J~Nejd+TH6qXbOpy0Vr@<#_Cn6qxF~DUZSFFGLcET>neYYwknB z?c{(>BwOX_Ct0|S8ha(vQIxn8y?UdqO+|ncwCMzUp(2+{o{&BRxM1|PH+D7MMA<$|o%GiB& z#_Rm916aBX-yL`cZf#4Fe$Fbc%l19x^8cRVN6C?YAi0dk#S939h!r@*qr@Bnvu`kQ z;*Fa=Cd4jNMhF>W#>3YGt9v)%fh%j9n;o4sS1EYXejf&L!=O6++;xt1q)FIc*TvbCENcD%mEOB=Q)Qqj~9HvU^dr2TyU!Ig1&ZmJFC^Ey#iZ^Ln5I4Z=OhV8N5$g z&{o2=8#?kGxn*V!Jv_c+I9m2x9qJQ*?zCy2i4gsj?ez#g-z>M&N~|(mh~GD(S{d`t zIBUMckOuJV>pnW14bH>YNc3_Eog#iJ=YmBYuc=GuFExJLo7NZJP2wjytIZ*i#Vcf8KGbm zfq$QQzcxLK{163pFRx%;?aGlxOSRrWiAWx{cg`v&c z{AZlN4&W7-zrZUpmxa7A79K$Vf=4{r&YT_zA)H0Q4~GBR23B?%ugLloFhFT0qiebE zodHoZ9Pu{liqU)XnJ50%UF|;YpEkF{dQR@X)X}(A>LTgoJ-r<+%w()qb&jvz#VB({ zCp!aqYhzHxqF|ULA!;+y;2@#u3@=@Bm>I+8Z-X0q)hZNBd@ z+(U3nQX$~)H-Rhmx5`+KAfZ!$Zo9Q7N$8Cu`gQnQe&1X-*4{q>i&+y;g67N4D8u~ z9ysP^su+7K$5}Yu2VIX77kHLR)nL8gFt0w)qH;G-cH3@0mLPbhWWk$Q`Okb7_;ZFd zWz}kzXqU`dH7VLAfzi>|q`^8Ec?0{rYo^+R3^Tn&d*4y9q8=W@B&yY}Vnv$S%E(of zh6#Mm%V#NX&CQ`Vu`!j4J;l_%p#n2+Z27H;C^oAf)7a0VFypa^=o5sDs(6$Wy8xSV!0 zRwc^s2>F&Y$++6YsOsf<*`&we=idbgFsu=nkj$womM+6WVd%whm7T?mkJUio;0PGF zUnE`Y7R9O`rdbMI%^tZtOE2E@UP)W^fe36|!oE+BlzgT=AHdXPv|~Ou*{Rqy2d*s1 z#!}duGjIf(sRyMitgUNAxBXkuc{4R6Q${HZ|FYEcYKAk#`_|xAL7-|tC`fNS8PYSu z=KFM&`}Ah+>QOq&GI94g2JZ^LQ1O@GOw8K9(os%jQS7;XT1c3IH(s2t55$_nUY_l> zTNUZs@7YOswDMx?#9%}$68Wn|h}kwz;w|?O%ZT#LyPP0<`DP8=GQ+i~dm} zF`ywC#BxxLT70<4p(C->M&!}FI4?t${IJoHf%FnLK-A~g{gI>c5``D6QrWnbyHGye zIvb!GHW{#!>C}0auZiS-;&hHYnH_ysesD-F^J++}HR44FBCe44;@P}cZcl!tiBwdy2p~@AKp#ncAeLGF<{8!O4oP2FJkjX6uRv z$o(L?6qW3jrR7G~l7%Gdgqv=Wv{Ey^ShC-{$6QpmQ+Zxn;pgA;4)wIaV{pYm|K|hx zf~e)XZgu*Q$+GmXoB=*fO(pyx9jy{(B~X9I{|WC^^<nF9!gVI24lwVw5x5dNV|67~1w zk*xe}4|%WQnwfiZebM^wCKGqAY?HiDIp?Az(L6QnK#Jj;UTPoYABGz)w$#4dCI51CAImwu^$!8m6A%D=z*@*Kxr#Z9a{$h9mMHLu&*f$Vp~CSc)~K zE?u+Daq3J~ogW49?YryMVHtK%Vdgc`1S|7PDHlo5 zTPu2dH?=ku_`_$OtNarigbN=3v@2TZfCouTgV-!$N%zX85kH`V-e2asj2sU4g5>ci z#@^8yvW*GSQCctd;3a#jQh~5CW`57|oB0&$cc9aKz1IyQtBcMrQnN+=n+9udRB{bE z@k*50xLkWXV>q7aOw+r``JuHWf6z43K1_va^wq#=hKKlwEKnm`} zuJs6OfZev`t6QJK7wH%qDRtL%`3lu60bZ>=d4qJy_1YVz+hHrWOc9qbhFxAJ z#B|tjz>3r(Fk6m${t_@?*M7;njYqbM4AKLhrE#cj7tcOrrnkDVB1j-gr#Ayt>3$X4b`?wvj(a>JWji8Ay-=keKMAF=ATIn!$#zKM2tNx`Iu?|; zG)_k-O10?Lmna{I?daFJHw`LOtY_`BF4a zG{Lhmw|0z-@kGH6fcci*-MO*$L?+Fhp0^R^#&I+=yEtYX3f{n`U3Wf;_6G~xA>F&n z)#Zfp<5Xsps$RPArATPh*?%GN2hwkCM(^CI?c;!3G;>c1I~*Rf+SBQMg$*VX-gk-$ z^72eJbw@sk4p}U#j3Ut5wTW z{;=(unJz*W-m3^)AoS}|@^*>gOiZyJ_(JnA&Sg|XU6^^%ZT9|BEY@+`B+o8wb;q9i z?x}4<%eYExI~`a)3>Wn~zb0WTw!;OTvN*qk>bVy=yJ9-?uClD$lPe({jKE3o@`|5N zh`Mh|n4g?GQvR)8zJ?Xr21(SCWLgb9fUsRlJ1CRe%TrZ#E+(}$1Z^HuBIKvyga`>X zF;qfl+JIvHR=_Rm-PJ}rm@|xgn?e(U6?KDHt#NDOYC{tx+r0%TNgOIM5V(fMh)4cD zEK>SaX^?CD1|f_2x9<*5K_Rzw34M850;Ys|57fOn0gD(-Nho&V3%~kIC8}N*n?Sq8t&; zhF=03zIg=92n;Q%Z((@?zl|2g3(JgG9rtZQ$a>PfaI-N6q@@NuJSp(! z*cLkr2jGzyLiI`Y=Du))s7Ebr&yIvI*yqB>^3tQFhaNa`sVLE>RdN~jKJ$hr5l#V@ z2JcR(^EuY%RjPG%TlWy>2b!??sIqHrmy%TRjNHm9I@&~!Xz)6hK~iL)-P%^T&74%^ zK`vDwg#AZVg#z8R#Txw?FI*&M^`WoqU!OLi>hErM5$?uIC8Ow|%ibGGeEcms0KU)6 ztrQiff^9p>wQ8R!2Np=cX|?Qw9CA7mZqAJi;}Bn=@++%hu-lDnC6wgtZU>3x3om9F z--tUx<5rc6Nb~ZR$ z3`UKeQhKqFuZJ)j=lqdiXrZh4?If-Sy)_;y_Ui~IDyfK&^Hx_RAu^`y8!ekK^DMTum|cH&jaUAo?gvH6p{A>M1X6) z9CZTxWLOJ>-@gVWiFF!E7)2!X%jM_lwAo=?#>Uj!iAovn8~TgtP6;qF?nGU!&1K{0 z;5KlX7k8~7-(&OXrBP@_tD<%6yzO3@)d(p#V0`*pRj`t3u3A-^MVg{ZXL*$LM3OJ9 zU86C!kt(bWUhh)MZqZM_;e)+me5d8u&kA=Z(`bo8RpNwl?Vou>*|Y9`+>qKUwEGG~ zq;ilJ45=Y&>ZwI5@v?B8ul8(G=%J-n&en|jb%HaN+(wqk8dr1$Y&C{Wx-D;@p#hWF z?@iqE)e<1v5@R$$2u$odEO zCaWm94;M!UCvXp#XrVp_n&Jf`>(j+-1bhmDAI(Jox<9w;$}vITbt?5{(jWZN*cqfP z&UDJ7H=(U#`*SnmM{o;BqTimY*9l55s@*a(YO#4@SB@-NG_`)eypF2ao3uXxYmeej zA3U-MR-ZD!HQwNibddIa3*^j>A{fIEo{4;b!6a66PSvIlQJKiql z@tI#@{a?EJH`nri47L2PM$3PA>HkHjS^vka{%=A}&&2e9n`s6-HfHAk2G##F(ZbHi z!1$kl8t?Z;n_K;cYkuXu)o;K?$k@=<$QXi$2g1?mw`gMx;kNGlE2y(1*QpVR-0U#K z53YZIF#H(S7-m=ketP}j*VibU+--uhc>UUelDiJmo9XR4lddZtg%!^}=k@E&D&32R z78#TKDKW=*=%QhijGT~}f8>Uj`!}bA7oZA;s{S^(0QLlInvg9nYP8ho&HB#O1`#0{ z9a~(0#WOg1A2nNT`i+~&;eletWlQ@-%lc)0IZuzg$qI^={gH#VxY#{~Rsw7f$kWsO zM@f8Sws-s|pv|I#o!#G>KLrC1Rg|?1_@D3}v!{$TUr}HQ4i0yI>uPH)f2Vbfj>o7d zsUMNmx3@R{W1Sx(KLtEgO-r!2W9NMs08WlCP#QAdC#i81hz2+6@yI9M4-;7X zN59ukR4Zf1`p-@xNmf!7>#*uZOfjAFABr?v@Sw5w1CXBP*Dr$9{%fSrC`*42EqfDy5g>6~Dv5YB*a@1MOxKU(>9jeykF z)LQ<>co!>%udOetXhu)5%?;_4i_3^+|3(HzMqrFSEvGMh4eKRZ5*!}#f zEG#0{_Z^~s)IWNwh={OswHX>89R8-WzqaWn-=D|lW7f0*81-On31!E$;ujll_o;u&~uBf!sf(P*B;6Wx8 zyNg2h<8`j*J$G8z8^9iCgHPXZt+UeF+_cLT^wnun*>zI{Jr@sYwXb-Erz=<#vJfbT z+>YPY;nxq%%Xi^CeKQJ-?E9u;-68d#zGB5Bh}_rkw2`UO9sdQ!+rxKy3;ATr;2Tp) zXgPwNu45b_?_E5Tbde!qXg|qL$k2gtb_{-+WBhhw0O@SjG_|#c88&Fqw(;s%_j~_7 zGeL!4GhEG8V2JZG5fU!nkMFe~&_+)MMhgm;ikt0?X7Ox19e2Dlrn><9&!g^6YdVc= zOZP{X(WIi`(W5xRa}QcyXRZfgqC;_yn58}M72S;tHQFyd}!`-)V27ET)fta?-&?zt*anCTWyc*iGd%lS{PsFJOPd|jsK`P5?Z zA1?v0;7&Lg?ksfiUhEb^mvw_*3;S=PCCIgV)8?~t(+8x0qr>c2CIQWYsrjExXyE5@t=OCK7pzy z*1^8b7Q#CK!gANnF|%Eo26>DR;^aXCYjF%qHbplVpYGV`--RA zL+;30d9J@^M$ij^T7v*)!b`w&uChu+`$qRR&}Us@AVi*RYg}(rHl2p-$gWCFs>jw} zYWPT_mZ6{oajWd1DOy@viP@6YGfEruiZX+Y?CBEL);hxuXJ?=RpmL5NPeXt8?2{`) z#gO6bcYpqO<}#8e!?vW7IbPDIrmk3uRHfld@!v_*e2ZYzY0a+)?*5Go{+S z!<1#lhujLW#8jhDx^y6w-YqE29k#DG#za*qc3u=d)xk9%(R$|(5na7A&*CsiRV%<@ z@*eYg`m@pa^*E_C<5?iRR!K+V5q>+xX&W!*haP5`hA3}+;j#p+FF~=pWByGm4J<~e zD?awe*|!5SgXKl{CkJ#?W^p%xHz)&5WCYL;aryk0X9#0MbaUFX1og=hTIlJ3zxMD! z*pjPds-pGi{TPmh^oeOr-&cjs-v@ZbbZ*PaWz9^IyaDaw6ZCo6rC_$)JB;vO{6H2g zV}U_(CUeM}&DPVlV{f87OSa=;H~eenEQhPUvJZu3OwPu!ZZn$8c~Uz{U&I^|!cB;Y z=cGX>z!~NXJ!$Pnl*w<`fR%EMRYYkCV}C+sb! zb}XF?T5`w5G+Hg}@baIfXhe!-gJ$5^Li}bTZ^M@v_Y->9WEC5I2ds~Wvtz*5&o=n6 zmE?zwUWUH9D)%Dy&=KREWW`wG({e}^A~7!;G_FhqH2v1W6N|J&r*ObuZYgh}&#CdK zvq_h*`-AM^W!_sq>uGX5JDdd=Lut{_xVaP=F`-bCOfa1Ze&z`hf-a)F ziytYoa(ihcz-mdWP4`CiLhbs-o{@1#=0UjFt^#FFNfVR@lWkJ3T(i~ zG?&ZbvlE;B45a!Ry3@M1lwNWzpZtw8qq0aJYFrp_BuzTj+A6z%r!A4Y*&l9Qz(%q( zM)9uJY2WF>)|G~cPTlfoo016S3vYu3=^Jemq*e8X4kt+~%a<>QHz^r0I(z!{uk~^G zZOu4m*oh^0l9BO_~eoj&_bBa_l z8v&sRnV(P4Uz{~U|3sw8#g5VB?2wpM+)gNz zh`(z9_ds?oUNhu5dJ3U<6FgaI=n&QC6CoC!2oYk%w63#^go!%$F}5yq*!-B_UTr5- z%(fScNdQvrjFGf+lUE;YK?-Y$z zDGZO+l@)f)VfL0S4R;P~_`|fEz(@?Y2rwGBmXFj1CTIq(GRK;IUu9Z?TuCpS`iIw_ zHCj{=A!G{SyC5nId6MozE69WDGV2T=hrie zr45b&6q&;XM6p`iA1nek(TzE|#)1uo!(=O)- z1v~vz)N2Q_Z&}Nj})4~+iIjtr)V%19A4Gg&tP$46LL6qF5GB@w;K8P_=wPT7-qC+klyZWx*6i#qy@sdBt-B!gF%4L9VG2V4Sw$=*e? zbmRi3QjpcduspR*q$frW*7UmVsmQU+7U8quf|dOR4Mi)wk}SN3$AtbO{KTUk`nmG0 z`L%{v*Ug0C*mg=fk+K8oIg#`h=rms;i?Jt)tZ6-{E?@*SQq7qPJ6@{R0}Nmy@0g=T zf)K7M{*qjFvXH1mNo^9(gTc}CKTYjFjMK`*8tj`ZAe|OnVYANox?+}L8^O+H>)WEC zR_*iVHLM%%DY+Um`<6zy*`?lIkz=${^fTV)2>OIMc_v(KR_9a7J{_#)%N7SB=twSR zE}0#rS^yt3IL08WSJ~|#c^8-(3it#|Til&Ho~8fzxjekb57#u^90Bv6)E=b>tOe;? z$$$%yUI#H^!!5=ys3!M-0=00dptD*8Bn^7dpYw)9>^A@Qs5;vu>z*@h>sQ>zxcokX zPtcbZw;!>Nzu5pB=mBQ=+sB$2_yt#8ug{;T;P!-QOd6Vaf%j=kR4c1+z$Y8kXP~aa zFCv{Poy@Af@3Bax78hfaXwAAS48T;+TA!F<4F&k+Q?PiXV2@rn#d!#j@vX zMf$$^+(_45E?5)n>m}D6)RI~u zb-D3;(k4lw?E7q@8IiSr3FJ1f&@0aYI;%>jW#A#ughYq4Zpp}da7yfeh2JYz>rZ3J z?~UbnA`o?gouF5*aWJKr=(7M~RdDP`J33`bcxiapLS^fllRcAojT$mC{mylTfO?f) zRz16dAu$UZM_3z|KrpR49|pg;ao)$t_wgq>j#VcY(kytgyc&u_mT6jIM_={uh33u) zOL)@chnKUu_N~D0%7ZKb+qgO5WzUr41)075k@W5TXyXdl~OB6#q%cWAC*q7 zV1+h~3j9Q6`n5w7M!Y>hkQJDz6TV_V2VAOQ(VhxyCB0?GxbUkJQe#@$JA5=iZirZr zt{j}x8zCwt+{Hqx_ukXw!+aKR(v$A!@aGhY8B^?j5A(XicI=Yd9&x9e1!vs~zVQ06 zQ7+GwkDG^Ir}HK%1jtkN_I0e#cW)P^Rr%wIfm{eiwq$sN@GF1(aBeLk;U*~|lCZZ_ zUU%2CT_UqYc~jpcW!edReH`j;0?9MiZV|jr8XL50Xe75s9vVRJ!q>it&{hv`Zt)oE zTGlBOXG+#^z0iy6Y~DvN3i+(<h!Z=m;=BZV`kdNqAYPiS{PC}zF-w(%%!I}jb z)EUE1_7OiQ2-QLC=y#*lt-V4H@!;{AZD_X-;qdfAt$!5S)e&~sV=a(NN zbO!7L4|qJ%uJkS`>G5q2?cIidUvq9#@7=Zi2j0cD#sY+MCxO36IPT69J4_)X(5vO@ zS}{$|xqm-I(n#u}$v7` zG8K&0CDS7v+Zyu1W7=rD_6mVSZ-nK{?4TjDu5E1LoEDP!3_SdjPb2AsO7Im|^kQt+ zITm;-xNqX7M9}i_LQFLRsm`Re?j|xl{SUm~i;8dit-s8vVqIbGWwv!G%qWZ8<5sdj z((rr1z8`v2uEZqOAoO=IA@z|#T6Gk+e>8#1bOorN&loU7V4&@s z|D7003C|b$6&Oa)WA{e4;Coa{SeK%^M@?tH(01e?cIS5_n6Q^l%o(j(sWQiG4*IzlN&kj5xP3Y^CGgh~3Ww5^@7#T>+qgRue zjb7t0(|2#>m~5YCt(saZZ99uWAJLuU%(*j<=Glvg$nwFUn8vD5qnrw<(+cFhGa(<= zN7@TJ)FkiIHYRVK*96x*tLC} zUg=q}uz|*RHwavZ)?RJ8D>Tu{tGL#p%mH(TIeV#XlSc{WBiB=Bfbn9~D%U#6TWZZ% zq?cyFz6i5rvK3PaRt^0MYZ^&*y;@Typ)YAE!2H5jxNH}w0%Qry<;wu zk7e%U`!C?#7zzRBcDbCmHVnPwBnzhMam2a9wfn36r>$um5%)N^$+A{+&0NX(o%;_R z+Q|&OvXK`qX=^=R>k}Dh44!e6p4)q)t`Wzk=3G0iawUFym0+=4hhy!kCQ$auBTUnV zgS{NORQCbsw%s$Ovbb~U9&vsz)z;`0;k-feSnRG17ez@bpPcT$=C2$Zj!s zM4YxdyY2Tu{5C2KwAC)XAMqoomcc=w{j&%VD|?K9@at;6JBmSJ^B|d~_DfcC&M?9WEVogqLy$PMgZv5A_jbF0hAc*^iXH67- zRrK_wAmL$dFk6&qCZdYkH>GaD7i)qIZ^C48-%^HmBU4E3RD-etmIT%ELJ~4P{JQ3Q zF1})45*H=$WAhw`I?>n}r=Hw@Lh^pZ`d zFCayoeU+VCE?I?7d40{XoMbVW5cyFF#)jJtND^NEkHa_Id!*n|K2w_W9xXSOHwF1bIg~(MvL*N4O%Vo$^9WG^ZR4nLr9{n zGa{i&LPR*i!J65l%=~n2vH&c?;Mzt0=;%+l1^(%els*Df=9@%ZHSGhOEM1-=CuY5- z+_cIy->(|Zlh;K;L8G1@o^NnUiF>m4AQyo%ro>v3 z%-v|A6A!PX*HtVdT`?yhD?raW4Uv{s?Har`8Sr5j3+5phOztuPSTIdD+KbY1(HPU^ z&~-^7nkT9d`%oYZlpn5pjBx7mr)lA|k+_mR!zFd{%V=$MC<;b_f`709E^{;TmhA~q zQyy+nzriZLdd+1eLBr`YvoWVnZ@d9w(TG?0qUxeLbj4<7zpzXG8+qP}n zwr$(CZQHhO+s4yRyL;Y!_RM@cC+ExjtCd=*A5}@EaOGYnj~}<|SIRHufcHTvO-fF^ z4M6ycOy?s~G$7O%Zr=o!IT^Xl%jEQ*eH(rA6zguSc26UFMJYZP^UO?&oVKa6XC6%-_FD~E zi_?MoXc@xNyU=dMkw#)^W;f7pOgjzhUKgYGdO9@xC_4T?Y377oH)pjlAc-bSjuhj^ z-b{S6eT=02)tWE*#$e5Ru`6xmrB*$Rc9OZrmn(qxt#br&rR`T6D%qy=JOI z+u@DzQ~mAsvGw@(@jt`omRy0eY@y!QSS;psvIkjcD=r^B#A8Ur-?d!WhO)HgU}zt% zT6}%8K1|SVoT`&5eD2B=7CszHc1iQr@kqKy*>&DmTJn!wxyl4{th=LM^@Q=V$Jy5< ze&N>ZVETTF{#YfuP2j`6is(3Ra#W!h&J#lxJC^u2sA=TTU;pl)ee^8XhqO+b*&xK;)oWa9B3YaryXdonfJu?J$O_!fIiVti~ zw~ookoi`tqd>}EOBu&ZE9RiV+Lagu7$>IkVH=gVJf7_F*R2;W2`rfm9xP@- zOZ$r+iLgLrqX%)-hVTVE{lI z8!ipbXzZ^`{S~S*70v+ZDozq$&Ml8CptuH5p|iVnTRJfP8fnTv%~O3=kKgu`%>DeC z7}99r(_r3nB zq}`6gY=v&k8i4v>^mo2s3_@ZR;h$scl`FB@V)5;=8Uk=N5=hFu8{j>R`}%-}r%*&| z7iym)v#WL|wD?}4Ar4FfeJ)G-`I z@4@)_W{igrQ-%4d#ohp8z~0LIh?MA0(WT7#>OlQ6X|#H>NJ?UKjG|Eer-te2+9L^D zjW5FbFS7sERg_Z+$X@BwU63DH-j6gzIhl}%(S1G6|B1*W_E`?L`t+J0ky8BADFK4} z?Tx?Zm;jK{izf{-fSkL6FUPoyD{>(9O(4?4ADx`UvI(BH&a$W}FY10}2Vi;< zuMWOwHs>PbMXq+dRfrlw6At;OF#`Fps8R{rZ}WllJh+Le)fugPJPu< z1r+_I-N%((sS9sE2hU3iUC?p_5h+Cpy=drZk(QEB(!`~K(>KmGc7or8q^U7%`GtT~ zI)FPE&+KcUpo9N+$x2C6{w?f0`L1K`a}pg5=*hA~7VWfKH9rhwFzu(V^6W+?a76Yp zLJtMLmjY#=?S0%asi!zBK6*8c+ARfz3o2P!sS=T@JvlOrCxePj;tzXgko&Bjy)@|h zCbwL=UIeBt*&7ws6w(IP z1yr@qTZCpWwMOxixV}okb{B)^S3t1}cqh8c1TZX2WMLf)Pb0Eq-ETuuttey zb)bP8MnWJcb zpl}1m@$9BJr7?J$YHebSUzFw=hand~DvK|fVDN?wbb-jZIEic6(z#}^yiC94*$EwM zw{##wPgS3q73KKmZ6H^r z{x1Mm=Q%r_b^oTzvN1XnZeM*Yfd7Rzy8A>W#h{W#tGdp5NLnv{FVeMc)7x_xphegA za0^S9&{=g~m%`4y$uZIN$lkn_zqSI`Z>_J${Tl=#y?e5#bp`4zskh^PvW?-qZ(1B< zTb(IPPCrGQqp{imQX>`b_`>J|lOL9BI-w#(i^!YD4z>=3f*hq_Qw@ypPLQMm{sF-v3)r@uGP!;FmHxw@r%FtJ?}R8>Jo-~?@PNR9)t{)8zibwl z8LVzc=3z04w5#O#r$9jCgj3Dh zUwZi$b|IZvvzoP!Ud0O41MXBB2=_?)3shWwTpl*rP^^5g zb5xU#7mb%S!Y^C!TP2Ej`c3`NU6@nVGM8eMlZUEhwtT6>vmp-CkiNA&g(6ZN@349v zg~;$NTyIw>z8J4BTG~T@9W+yvrbY4^9{Xb61~_Xsp1vI()5_Etp~tXZk@^My`6xG_ z`R>%H)gTlPU6iqgMt@G%i&Z79-a_|>$IH?N8>22kgX%rW-e|(x3U89M*yHQl5xs3hh|!?K}D0lGt9* zQgT7Tvw;2mVV;WgjNO#NHSl9^iiy~lR$umfK(?6x7+jR2lO*VVkQL#cMB$b9`wG7{ z0M4HEC@CS)Oeln^l$AHpt_X^B_-8W(B9=RME!baQJfXjxpINruf9Nyi-cr z0lPFPQH#+NfhvH?faQpcb8nRxi-{-#9neG;30<_=IwXiP1F|=>R zzrda4-~TfwH|uER6;2%xz2w82%3=ZU#`Mpu|2YsUNiRh&P5*C8CQtvL znoOPkfAVC;_70x^u7R4+o6`SVmf5>H{g*AX@N}>+wfk?x%-+uQU(C$V$<*$@ilYCu z_3tD6Uwh{0YVTrdVr27Q6aLTO-*f(J^zY68>VMi8I$O~H8u`#jze@=~&m6P$G6Z!9*{|VI?+1T0sE!FKtf2`=qocF)kOF|n?Jr&&n%UVLfR(DLD;5{m$8XY? zF%ZMkTYgr5o*bH48^BaQeVu?cw>Ne{?ejju?|K}S0Kvc}4g^DM0~nA93JPoK2`Rt| z(o+=xWC88M;sRE|g^`J^AxuIm1K1W9pd~<=TO7c(KR&>iTN@eLZ_3%o8+%#;@&Lkt z2|P>N+clUAJJ{B5aS`|iFs?04PR>ssFij4CSzK7#oWJ*h4R}KXYnvP6JNSTpXXS1` zz$W+BcWc9Y^(VH>;@srsgwo$;Hz{xxZD&5B;k47WVeHkM#E4{+i$PFlcjf0@li69B6C(oUysTXKc+4 zp~fHPY9%(Zw*WLVziHVW8^7|o)C-ULuth)SW)?p|3@nY!t$zVB0Avp{PHuC4%Rm%< z_Zw&4_Qjw4fk%I7L4Mm8K7ZqPeorp^yGMTg@1Om{(A*o_(%4(xxdDFn7z6sH#}?2Y z+~)yI0Doz)(6fKjqYGn0TgQLXm;JS>fq!GwHs-cY_+6Fe!u+*~OsxN=qnU}F`9ss@ zjL_}`l0~7-jhz7~3t#ttf28ZIu7O-w*cw8AO<(tGK^Yhrn*IFKvT=qsrr!34F!*hU zvao*2fB02;p?{Eh%EH3BigNi4KmDK=9_<%C_!=Jmp>O+DjLONK{HOYfET*wBhkGzO zFf<5i@HRH~^m_LVIx;(W^XxD2UN1oN>zc;w;@08-Zt+{2oq4Li`Wt`VFW2>pC^oaU zF?W*RsOZSn!url_`78YpzqK*C_((ta+x6vN{gZ$0Kg)w~0ml-it=Yo-gJ+YOmU*WW zoy*NaSoPhV4(dZO(${h*t{CXwsvEDb^~UC2I_ND6VSJ1-Z=O9qRQWyL$8tL;L7&E| zDi8I*ls^z2Q&tb)8r&3|d`FNVS&zc_EyKHYZKdZ1EfgKBd23)UB&k_{f*a*fb(~E+ zvx*$cpJ^S)5B6Tnn^f;;<%?rRE=3HXWqWvuX}a{dOuRa9@vvYp4{Nf|$EPcYLo@0w zY_or&oRmL@2Nw4VJC>hNUzhWjpsJYeuhtSZQ4A!R>@B8KnJRXRU#85riz-$OH#F;N zygQrIq*Y+o;t*RrX^|8i>v*#(5*z|8Ffeoo0qi3t$!S7;)nl(bqwE}lVR3l8!*b5d zjZAC8(!Sqno053OOObd^00V+>%4A3LXuQ``V-xu=B~%6TlWDsDIr|hx1d!YAYi__3 zM7Wc_fQ6X{oj!OB0k&W*nzhQq&l)fioby$@-cBugRn{ye)%bzwlTPc^I!q#CFewo zfUGn7i{Y!i!D+yqh5{N~dD*FMNm(w!Ec0JOsg-4}{>es44Vog-bKKf*h(8bxhtoGi z7%CAiWAv^WdAl|$4k3gyQ9S%DMmJw{8&|W32lj zYCyRMHBANSp(pIU&>Fq5Z!FiB^_aSn@o9lu1^16>&hbZNMIQUc7}TpJe_&nAa8;7= z3-s_mgVr!Qp$c-3n{(y3We^SgxBtpK)X!|=_1p3WUHDmz?vb;2nvbsAWI9O=e8$_W zGex4oLamtR?TBP9hh6w*{f%MREdRl3o}}os_fW9v+UzhfRT%WfvMz7&HfFAS8q0?! z(_{@`vJ!ACc0N{{|BU60-Mov-Nod}|H^PuN7RYc?{5wm#h?FlM(6;tyi5jod&?>HB zntC}xIo`KYpM4@2vMv0(O&kFg^UG#KRgrrurdCj9A{z0x9sYLR>tVDczP@*#gV#$# zTa=6s5(dm&xu?sja^^t4@@#HNxfI;(;qeE#gm-P-w znZS0@iO0muY}O^?kM9|*?IQw#ctU`52@d(M?%F^;Zse# zRwXv$pirT=TKdG?AU6l?16Z>RCn-5+YYp)TI79gC?rDKf@%KKU(Nc0qV5Syzvd)N# zt3}o4YaJJutMxhoTB_K+HXXP4d+rhs{OmFbOG|JGKbS? zv#>897yUgMK$_I-bxY zt4%4&By-Ohg)XJ*ntXC zjl&07y)3&fU?6unzOUYiuaed|18Z*x+9n!yAQ-~v^9=pQSdb?@hBB=yNyoRAccx-_wJ8`2Y`;WITm%nMh$)``!o?!psQd`6p?{fwII_w@=VQ z0uY#1rvH&3y9OXWEM-CQRDMcYSik7yQnI4rU}X#;Q>kXbbUdRdy< zq-;7W-LU;*u32}$?J(=$d21+2fraJdH^6KWQmn{&s(3d6Z6ljt0~p4_SrHT-ky2)U z$~pXP&f-wx;(QEwJ5dOc^%eLGOgsj%vS7=LU(L?M7LFp@^(XlZP<5$uhtIBrA+yJc z21^JeF*ZVt|9ly~wp7k<$Kp4-tjMRO?`goh$3~&Sub=0SxKX2*gR0Lz5hIgqOtq() zjcID63OeN2gHXPsM*gA$(qp4RDdr(2iMIr>e}Fg4bc$*h*c*r z7sqVK-AhmikA&}$9uayuvCjdaldJp0bR*47Z4h@_?#JS(YHdnlN=H!`)124`on~R# zI#?pxl|ztGw6dY@KJeXX#z&n=RN4F-Ihjwwlg8wrWMB=?>fDfFJUl{opmawCw`(mw zq<89*qSy~e>e<_W<(SJxNU5pEIb(qHb;K_tUWSpJPy41wcD1^g&=!H0i^6F5y`bz zzXK~pjBb;0iC}+Ks~iY?X4@Z%S~6Lr3}YG+T8Z^mAD_)oqkM4-EOv#3uy%FnEo)wF z#o8=#-HnsZ9fp(V{X!5@lJ7e6V{quaev$Xu6z4y!)J~NXyt*tC=zleo46l0}Qn|m`k~(A6HJo=C^f37*_C%-dhQT*!?BkOg_@dc9 z$gb*Cz8394wjNZ3Bd6kpwxfVu?~7G3829(MGujnEJVz{G^uo4*?%_SMIK`TYIvA}vCIpBd?r5knj+NFI$RV9W88j99XrkN_(1y@1JZ!;j zK+(5#A9>H29fMBW_zgWkd?YJ8-_r_GG_h5)l@R;^|AXqt%p833BbcmesZ;~7K-ALN zYfU`oXxBt*_EC}JIj!cI>)VVNHT@6*ppU$xs@S9DMl?u~86%;)Blne(u5dkaGXG9z zEMSog|LO_)Mr{-Y6Uh<1$&xLFacds4zoS4gOzXerpky5Z>bXXD_^-k-1cUDxZ8@b?sJXF7W-S`Zf) zw(pxrvWc9vas$w^Nqmu)dL*21zcgxiI%KX-hGVmm`a$C7tdaOt(&0jx8bVG!l|Q^L zh1n=x`SC8;lTe3Iy5iKqXq~2cn-~!O8N)pvjDRby=hu>0$f7%E1kH5Tsk}s|%GWO- zE&GPt?)YI;t+tZdg7rwUdD>?gn%OKJK9?RgVV-H$SF=U$n^=q7&x&#mMq|uEQY&?& zB6_Zq^kK2z8K#{i^b<6TNYfo3z-7|#f>uL@A|nweOCZ*GSkhK1vyx4XCD_rwk zr&mHYG+Br%%4d;U-h)!HS_y5BYB?SA4&sq0976$-bnk;EVhSR0V+}Q>tOz)Hp|lZQDL~eLzs>7MZrOqVdex1S7<# zdp%x81fMOOYhD!BvRKc~v5-}C=5|@ChhiNEtXi6m{cUg3#N1-OyV#yrGt3zsoG#aV zp>Z!Ym>e`nJ|b0GIe{)D&N+B+JAvjp-vlQNmIxUsTu#8*$53+))fEBDK=jX@w-&-a zI=2rQeLFR$kVFGDFS~80Flq#dRY#eUj!lVbN=o#e_F!6lQCQaI1cDIvQ-{0dc8H)< zi~o-h$2;6}sL*$M!|GpMssl2Yod?n&eZf2KdObRYeWspbYm88AQ5}CKcw-EtM5H@n zmP776de6~PIvjE8(*c-~_8>$#rooTRLQaem#0g()ig5iVVwF7^%_n>}iCVZ{*}CWr zu^8YJaQ>zqcfX@I-_WJ70LwOZXxkY-;KYUW-8~9e+U(^GL)pv2eeGjMv z9vJPQ>mw1NWpA3jb3~Bciud#NZ^h(rQ>Oo ze>5ss62S8{@}pXeNV*)iAZ7k(3x=|d#1&vR>;OTh)UHR6f*0)=jWs(h8s<-^l-T$0 z=n`|#0rh*N5mM*itI8(pe!~_c_p7rZ6RryFDIe1Fk_0nM%RSm-dLSq9W__6UizD#)k4{2lbw88Eo}lw6)d*^{s^ZuH{B+Wq z4ext_cjxYD8;MQyrhSsHTxz{)8_}m>)3*|&c!2(aoiP3pvFr~|b}U++pzhiBqCcsj zJ6-%%&k7LZpUE9?=d_2xvc?7BXwCIh09JIIX;F~^~vA%I3hyLmYK zhAYyNX?xQ-8!CcbU%-(td6@bG(1&TV>_Oem6ElkO+%gcJDnP6MHGza$zi=xPl zHt>NlfF$(k^`O89q~ljb@Aj{W$pMuv;kR-%dAL$yNoufVuV}9Gwz=6qUwY?cjw?D& zwkw!SDkhrqOKrh>n$6Ih=5JAB>F;#4g89RyP5C^Ku=>vIQ|E6}a}lQ6Ooznj=P|Sp zPYbdyFY_s1tB zP+^gSu)#VP0gi^Q^6;P4#9aIo43Xng2cMHtSs0F6}KmY%`5 zn6FA9zwNv=X(flmW^0uC`v`YKujFr`V`8oR^F1qWB2f5`td2e0b(#l+N|w`b4a7zP7vyY>7A8jqSEEpW9qEyxBL~e38#+LLmJ>n6i)Ftu_MI z0jG)3OTr=9bE=73r7dpY)*ntnH0QtG1#m?Q}FPNZY@9we#n^S0#q=8{a(>H z_g%*goG3t-0dTc-PV+=+6P$&g!)Eu-rP}k0iswL^b3Q&#R+53?<^4O>CGC}!Z~g4E zuvgs~i_VCqpblTMu9F}>!I1g!0{$SZQirjTf=hj_vf&oH7X$s#fe&GRy0usE_L4za zvnvoMH$E15bbL(a8~pqy>*fYXpAPTp>+?~MMBkjeI&P*~{L+)CdBHW6NhcD=F{mnp z0MOh#AB8mQiY)+8(Sif$C-`#-sHPMJGBu>SSEPL-N;ZtREgV z(_(AR4$!T_8NZxIzWBR!IT=%TdR)V0_AoaljUK!Rv_g3jv(mmfv1H#(WivOvibUU9 z=ybRlRt-uT514}^+ORo-oh%u7X($O4G(3RAZt9%r=08qAlVc{B`g=r2E+qC7BE&5q z^)mevxqu-rhq(6T`r2xU;ig^yq zNiR~cgqe8CY@<~SRmuXUhRp_zQINm~1wN{{yO=T7)@(q7NYLbDg+oc&ZB?6H7F4Ym zmzCD13z&t6CIPPFrZ zfenui{4|8CWj|dwF=lRP1lgJL2w?r^`F~yn|91W&uvc(re;}if<`TV*#S`l8RHhQ} z&5KzoOkl&lG`f-L!cKC{*EbJOq6oofA{_^pT@po>DCJdhw5R7S$ELH7Z{SB~8cyRy zn(qR2IEqX);iX7JvcWNADMrAJ_J^%Qk^|`_B92GgFQ zPDJkcvTULfmV1kC`{(wU#|y}5!vOA38}U@JFxYiT@-w@Bj2OYaT5)Dnma~Lkez)F8 zghTib1#hs5b{is~=WY33L2^G<#`R#nu(X+?0^?r+CrAw$wJ^&L;|7<`HT-yY}mLb6JEhqFb%8ZEGn{xUPk!brJPLK*GC zv%Z`?y{e2WPb#g(4^yz_5Y;75>HOU&TDPk=@CY}jnLB)A`zY`I2#%w)W|~_#gM1Dx zruSHXYkf07oFkVL*!`ft_+U%DAPEcx7};1Qf60a_8UX$E=0S~2T{Xvp9S?k;hcjTb z`n#9jQgF%Dt>|om+1@r+gbo$ztI&{B5#j!nx{qqYe`)@+R&0~cZ_UQ)QQbX#%PtI5ej9Q|N@<`V@K&>W zi(<)4TH`kLb4gWI5Szrda9vap+?w3p&#ilPHz)c9g! z4+h;cLz;Bg1PD5kKS2o%!snS+tn1bw*dDF!fnyoC8T-1f9;Hrd5n`wviUBe3k_M_leEZ?lP_eA3AuXeB9rpoW9 z=E5_NX~w5m>I*<(_f@xBX^Gh05HdtGCFe|ylBQGPS*4-2t_FI3i%sU~LzXr^DOD1g zMl)3QiLLWL6Q$>zT^J=7Z$uPE)_J+cu`HLsCg1DWvxCG4`R+oTZ_ zJ(Ueowry1{Xj8-AEtQ9!&j_cqLL4&dP0!}Io&o|;S}bd0Q8C$7L4}*|C;5H#WZpaE zsr59lqtb<+XZIy1Xf+Gl0hBqfqD!3k5i?tX6 zQ+&NxqfOBhnR0n#+wZla7|RcT1G_T$m9WfN9Ra7rGA>I6aX0{0B53U~M-Q3YW?Ko#Jj2tNdBBgC-d{I8jE%WJ?-9 zCyW*CJHN|7k+UZ4H?Zg8|IGLa3Z4YF9>c~IcW7tz_QDU>CiYY)xg!mug1noG)Ua`T zL`Cu&^}gcVpIRB#N6Utg`N+HZiVu%)y~lnhd}OkXnz5rnw#!BYy$2z}GYzid_TRHh^20F@cP1ll4CQ_ZQjbi~_*uxbk^PfD zR>=_Pz?priNxza!LfUHlMZQ~_Cdemy+%O>VwduTC@^QtBiVpHXmpfnm`93d)_*-W_ zQ%T?2+IuGaR2CU*4B+dQH?D{0e)cuw>3C^K5Bo;MZ*sqI38c6dn@1=g@`M=WuA*HA zbC2nXGt7yQcCKc8%`>Hf(3TXsU{}$Jg&2Ux#Y!1V)}#S>2pq}1#;eM!@%@iCEWhAZ z%Zu z^JohTKG%ivdz;1sST)G0#`2z!54#>F&JRKnT>a6$k**9{mU(~7=|}(duSrL zThB?^_cXs?XHsW$p{I4r-aM$gLnWfI7~umiQ2T{H7xXsIN0o1MYv+A4NnSq*9bliB zFsg0vsun4>M>P~=ZG!Q?AP?v0CFv*APqbDKb-DI|78>!J(vkL4xpGmwr0Mqj)keRk zfx^RS49$B*TN_AeOi972bcC=xjOx%_)O91u z-SJy81*`4NG%~anIG-+L?AeZbfk(ta@rexD=4Z1Ufa*@Tg0RDLHR%9Gg^BkhU%0sv zZ3489U?I>jHFo?z1;kGCmBfbh;vv%v2Xx>*ywNEH+@!!2JtKYFI8G5)N2(-DEu@!Q#9RqmYbbJTd-k@cce zo7qSoi|ut#ZEjCa#yfwJR{7*y)wI>+?k{oa@RTp@OGzbVMy@Vk_ga>*Xks-NZDoGp z25axGg%e-n0JRv^{mw99<5(*b%v8NC>m>`;S})}F%EudBl^|3Qzxfu2`}b$R0uU(x zSNLWi1NFXw_|L`5_Z}zEN1ScGGJ1w1Y=Tb|SQZ=?gn5<*oCsL%18Op4)1jt@HpdLZ zkRLu_viN#i)$ARFnZt!$E@)xsB^&8SP4ll;X;m@z0C3?B&Xm^o&>c>0$ph&eIbLBq z)NWt6AIs3dNw^yBGeCl>9rnLA?9;vbe&YR;;+ZTTTS!?b;_VI+12EmT&L}aB0rM^5 z^yU(3ay>5V$|%7K5K}$w)fL|yUPBlED9xVS2y{PbX3!58Od454r)J^xB%RO-ypT{z zA{O_&^GJTgi+V1~{TTFox-Qd&6_Wth=cVUuC4wZ2wW@UE@buA<)SC6Ce%(B`Z5VYI zD}IFv3%s35Lux*q^Hg&VNx1-nU<|IG_oE4ri1rfbwQu|c^~EUX5Zaww@k^0$<%Kz& z6-)%LTsQrUYY?SPI?t0SE?qhk01&5KJRZdkBqlc24C=N}AfM<+cM(Rz(c!W;(u#c` z|9NZb{;PmZ`=@;N0~9hvhj|+IB@#p{9|i^rfSE`-fu7{^+gu~wunk|R(KiuX{30%= z|DeugLrEo0QF3eNT?fT#@NRf%{45Qa-UIBs*DC>C!e3H*&D^wT%u4NFSZ_EqVdmcb zg7+(Od@jd-HK+T9##|-#b5SC#3?2u^bc~eqZ2AwhLXO|N=iPxny5;qK2Pak*(Ghg_ z6l&C#AzNEfWrFB7Z_~w|hf)F|}C5jQ_XUr?18>hjQcU?Kd)qk0RBxB1N!Jb9y2)oxslcM76vH9~2J zh5e2r+9SIU8Em+biMkCR5}rbj!}>Js?$KlnuZmf8aJS}B?kQ=rL!+*?5|QiWr3#EO zy%Y6xWkLv@G+{1RT=tub!1X>fqPEtV=`#o#kzD|(MX4narwCE(@B64COb^?#d&?s(=tp*r z@a0&Zw?AWVQ>0+Aypz#jvE~lGSGRn+7a1;A(57r$?bKH#lBKpNZMwF#hBh`I%`Xuh zhZxKH)dcu(1(;eAB&f0dZ?*N2XQ0^CVYsHGQa#w%X<3!FxQQ4CN$-osLu+6NDjs6r z0E4M-$i;SdBc~UMIv4MpQ!nbX0;N#8n*;5G_Jb!y@bfHSV-Wi5G}N5n0o(=s)Bp4V3DsdYdD-(Axy3em?3S!vi(LC=8>Hy_oF>FUP`a z&}a-Jb4Tx35SQiAZ8!p}Aj!Qi6;BxM<0+Bn;m})d+o_P!Z?5Z{U8#dHlM@Bq-0?*~D@mGU-g>t8Upt{KmvXI#IN{8{$y zpOD1iY)+acta<27slOZW87EleAt8gsCWA(B1slaRIg$}Bl(Vk%e_CP)kY*R_PF?22 z2uR{0npIx7D#(&C4E5vH{f4y{sa~b|rTJ=xZ;F!D}bEYhXR!az94n*lRc~m6sZuau97Cbv)ndxaJ-ngoCTlDj?XMw&G+v1 z<9F;;1TW7bBx6KiMVgl=T;iouUk&aQwJWXIPS%Bx$F}q|1{q%JJnnaa&uWm<#n8>; z@8POw(`)LvtB`l(hU8$i6o5*({CSgOq(_&>+X%D&|34FFAVXD}J*e99Px)y;m! zy5^A0Zd)GwdZSH7=*HvhJ;$;If@bBtGXZc9w4d#<2&HO??gXW$P{o~H@X*4sL(FM-J#V_Q1y@!a1^(h?L-G zV1hxtX+@e2_G=5roRoZG#t@Sd_Fd9ytPTBBjtcIgB>k)~I3f?0Hcdl`GN$G_VQk7~ z82Rf8@cAY#FpoKAScvv*7wEzt_A=wuX5lkl5RNlwNn)&lmQJ>Z3L*Wmr?&L-j5FO2 z9i<%J5ygJK=;h2$VP4avGqAPLSeh!@#caC#&1v`ZbJCVF?tr%7nTiZ1IZyd&-E+*R zsSl>I_2|?2RMT%XY6<$8ZfG?gGU;TybiA8#;R{H!wLL0Q!Zi>si#dX!KBl4^clOM= zZp03OL}Kcf7f&OGTuYS3zFWYp#KthE8keFiO^ClA6NE5_tq8;UXNJ`KvG!f$(3F;| zvC1Y$3^rI5%h~t+2Pu9eBn;Olu?%$^h(4x%W@-qqZ7~D1fum>J9EC|RRy`i>tVN0e zh&$y~mjBobTY8{xSB|9GK#6*{goN<^6Bod6juYVgK^q1Z?U ze|?DsV+sH2j3ArYfRv3Z?}MRPc&@Uhx2{9<7lmgYk8VtdvTUNJ{l?jd)7jzX@#$ub zy-E&85n&KIYUsKnJ38wCHnZOzYOVN=@sfiVgZYOtOL^qn539u~Z8G<9tLdh~NxDhI zPbnudSl`|ISj&;Q+L>Q$H7pk}7YUFd%W&MUfStVBz42}{@{!$N`?Q;naR^)e9QWk)lM|k$$Peq^ERAK6^>0 z1J1Go#aT6)OeQrQE2baHrspK@007yzCQCCTUQ^nGRF~!SU-W;awmwiMsYmz5dQu* zg$4Y#QxgM{@fmnaSdDcz%pnypFAcRO0+g=byT_DwfPlm2SJ=tKb;zy;DH}!Js~1U) zm!2I9lfUQ??}eZChp6m;Xn%vJZYr-g6Awng^GkdZ&t+eMmO;uo#EhgU_}2(Kbr6Y_ z_u^R2jK<7vsz~UIhQ=Q$MHrQ}a8Z;TOD6{HTr(&|;@6=s)NE#EQ-T*GwLJCKkj^c>Z36qTNkiiHkE!jup-;~A3ViQN-cd>Dq?7;L8NTs~Nj8l8aI zo8LVFx$2YozDxp@;FD#LT&ucRCU2>qaq0quX_K~;Og4sT#upr^J9>+LN$DhA_NBL?DSVI0O||N5aC*KUfU7H?4c@|iIFxqN9z8)k}1|4<{eXtbQyxkdESqwgHuEEPBlLXvM zA9yJ!_Q(n?7AL2AbP*336Ivl_`93(nHW5l7w0+|T$em=VKpkw_c}dadShz?t75;ghpY*X!o1!I&PK^(oe}!$# z*y+UlhWDRDBF4a7z<(5N;3hJ8n%xsdfx{v!@xPGKh1e|<>%STTM_N9Zy-uJi0a0HJ zu}zsRMt+iNxx}eC^)&W-W+?V_I5)}&P77uDGBQ*aZU5cVe5T^*$>WuU$D8H%PS<|W zQkH3ll(l$Y%=;4)L82BJ1TCK=dY;N~a{P{s&tS3#&AXOrWfT9u2)oB1(b)z;!)@Dr z+O}=mwr$(CZCj_^r)}G|ZDY^(&d$!v?#}MNBvq+ORZ>and6Mg9YfkxqTZa{J0y`=T zkSEZQBn(nkvwc2PD;Qnpfs%8#{8{2Q4xOIoX)!DEPkJ%}?gNm};C+30uY1@4bdfE( z2o4>fMp7hqOINeyDUyj1PB`0VJ#C`c<|l}f3_nlX3o}xK_X^~yM+NMY=$SjBCd4tY zPOJLzAkrHdgvxBYM}PqwT^y9G=BooLt+SJDSI0qPWVGi(*|DVYu5CO)9fl`-2}Db! zpUu_lXdcCR+}*x$$ShTFENa}bbGH)Zju=GAD_je?4D}Pt;7joI@V-k<<{BO%d=lY| z281w3BGlv6=psr`B4I8Oh9`R7soKtASye?9}R?G93=Beh3&_6qzp99o$3 z>_no}v<>YE13ZM>**#l998GZ6HEavDb9JwClfyQQc7ZMwN(vUBY~o|Hp1 zVCJz{T4HUahEbWD8sB=2B#E^MedJRX%_|Z_zoB=QPsC5=gW%x$jhJ|xb{M}NHU7d% zdd|ui2gN4aWjh0xKVWs1^wFA!b}wsnH4ol3k%MfcwVclNnzc12r&Uka(?8d5;t@tiUqf6><~HoPV{YGxDk53?4N8k;;w)yCQfq`Nd&6vxIx+< zC%y&~rTBYvT=NR~kK0vU0xd;_rF)iCR0F=}6WvY@i4cI$Qt)?p|4yxSUv+7}aH0ApsqC1PNb38f-3xA|~6jZVbK84bX84uT-lADOI*_Jl+T~B<- z;Rs>jM7^EGQ7`;ke}MO+2fK~yQ*pXcLp1Rh-M*=;f?32;5sQ9x_H;ZA7L_=PcP+`nHmJr z@wQc@Mu>JYFtYL|$koZ1?5OH8mtOWO9A851N4j^ZsLj(N5N?pE&U(`j?JHC`{qC86 z=C_OjLZ8!|k3oC{eoHbe%(A^hr@gDoDlXqnz+|ip$Ks2jk0L;309}cF(th$ z*}$tiH?%CmLS#7ojZrX1pkOUx0O|fIw5q0hMP)IS@zwF-nR=&JgVPNgkRi~Awv;TW zo|8+YNXS)zYqkEG_=GM-I0_KRT|uzgdbBuei6+qc>2K)}$|K0p{X-fhb9F0B_VnvW zB=;oT*;=))>yi(PW>RLEuyG85&1@IP;i*-QHXQsB#~d53Q}H(8uvIaGM+Cp!!}BPm zi_kr3Pf!%#We5oXHlNZRFf>uH`a zHb1oFXR08R)h_5%l@NTgBB~hXS8Z+BrRbC-It=B0tEx~2kVcmQ%nSgg}s>**y^gvMoQne#J&kK zBlh8p(xI>)_CNt(Q4JjA@r_r&t@(j9Qns16M(@zzD&Z7nQmE41Qhsqy^`wEbM=FF( zKwcEe>)^!jGdkE1!8ZxZn6jFQwKM}lN}i4K&&+RJ#3y>k7;SiwamQJIJ_9E(Ry;8h zR*Z_;+H(g^E3uf8zbS(7&u%dfoY+?=P#)6#y+NmsQ|3A!9}W$^|K00ThQ9+94MX$=cAcBLp|D;}zv=7KiL#qyDxsL)QQ>*@4T+fgv({88P(6u>?=KwbdvZ(Y zaUpg<`KYocWf5qfibf*5r`JrDCOfDb3w}V2UJgTClBOgo5u+xiTF*QT+_QEhtBJN%N}J*N0O&CXh&c%zjOsC0$%+^HR~BOWdJV z7kpT_^HSd!7j2{NbXqnF2|RE)9K#t01O9SR*#~)d$@(37zEC&-&&oKFxN2V_)$rnU zFac!r)T#_8^QtI&@%g~S!lPh4XbhA*V+|iw^hc0HVxp-!zCh_LTBh1!WDE@=I6ok( zr7qvL51VAa8d>Zc$7_~I9V5muUjpP<(roguxhxlKt40V&VhlTKkmh=#{lfTs9T z#s;OG!O^NUPzQJP^P~v#N6Fp!r0d85h()xrdW(PC43)?(n+>P(xUUVG`r)cx(V(u9 zn#FQu1N1tc!AY}g#_xDcw;dL`3tVsBVj9`89#Ct*21YUFAzUM_yLceYvnzWJZkb{) zyWq0FgXRY)zidQevt?)}6WkxxV$JsbSQTSQ%vsQ6CM~7XI-+OEBaZ@y!l78_d}h#k zr=(z?5ncr*kt~*99$5*6jKs+b*D?uJ3a>;IUdUqxk~a{h!Cz|-!&F<5%Ng(5C1ovK z4tv1$f2jSPOuMYz?_zAdosv-G&>?iv1`l z$ohK&6>GP0Q}^kZC0ohYzjHOCLHX1w9KY3Qg6su{J(*^SF}hsBv2vn3Yn>c#b%jol zT@2A$KDJR;-N)b!-<7LF@!hBL;W6AyM!TC1%t1WD!|1Agew5>qgD?cLq!z-;W`bvQ z6BXGpv~Ho05!KH|;kU#Q#I)x5G4^D>7k~6FH!_<*644SlSo;uIxN#Va-BgagV$H7G z&U+MrzLll^5z&T`+~xcAL<312B@{Jt&Gt|aTPVJaRa^InKWXP=ag>Qz?Zh|XsSH26 z!#xvyBvxZUZUett`dLsV9zexqrj|Msj@`p-p%~&sP$`9Pl%#XhrgRIh)^|5_vb&~G z99HJu4b)Xbn-uPHZEl*gK81<#ps0GfMV5_D@yqkNP{>Mp+!?M*rGBJIEHq68e!y~c z?ZPi!-c33nfor!jtedAKle(4=5WtVoXCfd)UwTfbr5b84uVkwQ+r%ZgP*LZ_)k3*< zGBGwo1&DsU1TQLPbUL3g*eQmE(uM~tTMqFzR)f2x=#8&o*NVF#+;ZM$uyV=qw^nAB zbTPCeug2c%hT^=IPEn7=5*|uk>BX2&SP3ow(NYOGkw)x$ZvX&T`#>4c*)duyWK8j? z1T8u;-i^!@JsazHeDHypxlqn>7S$3c-PU&Xp~5iy&0eqK1>(Xml}|RK<}!NjcL>5t z*PR*g22LN_=MR|&Ka|;TMuwGbDx36REvpObohGAOA}%VecO~;Z zQE~UB78I-so4%D+uJWx>=)J2OSJ8m2cq9CmE}d}dkuIdMOy!WFfWpfW62`{8{VUTf zCFh@VDKXkD->%?n*0%a7DAtQ&JbbT5Cq&|62!&js8EO5C1>7Lsmmw zT}}Rf!X1isHnMgc(ljzA#uopL-NDNKHv`4Y@GrZA9-o7i{y#EMtQ>zCiT|)W{#pGG zyMvzNzp*HgR+^vU4<{`+vF~|3W>2eoWefE=u{Qr~%kk~GscwF5o?i2N*5y0yJk}|)R9@maudw1xE{>#( zCM@MQ@+Y31&PYg!2uTy}n_pfXlE5^t0ys=CN(N8Yl;px%i~k&A-zBFQ!UhzbPUYuv zATk4>iva6Q7RJ^W>>n2GA0HS17LyqN4u~UR{q2L#0Jxr^`Mr>dyr4&mNQOj&MCRomakNA4 z-vQbCjTas;h`VcUb$0mp!WL129Py_pA?IO-%N{IIeLZ8fE&W@mSFZ!}Ru6QI3+Tsl z^?T?$kpd_uJ4YIu<|;?x+f)T5?b9u18clTi=g_s`6FLcC>f19DNJjhH;!JNn%@v7ywC0ip&d(D6Z$vPvRPv=64kgI++yL!%wAuz#yW&?V-6Pu)X8E z)I-`w-o{%Uuf%uSb}T4F-?IMMCCG0Eqd+5*kF15kH#=J=DK7S7zhja0 zX&CYT@q4?Dil{F?{aSz#KOKZAJ|>}$RtO@c{G1r@2#Q{qSPj6_Pc{ z1}kj~@y{ieRML-JaY9W=%*YSzbB~u5z_(5l`RwpxkI~ODdOg!m6&w*2IPyo&RH|%Z z>NS9ph?E&JG!he0RuB3MT}NgPMep43Jlw6?uHV+x4nA7yPiTdvdZzluR}sia4}pKq znV;Qmo#_u{o`$HPu9j5Fw_l^h&r$WO8)3Ez&m|!7j~ywjNB)@~?sowaDrkF>J43@4 zO-Mq{6&55sJQ*bX30vMzUiHtu!B<^lXF_9930DLhG%_?a)!5F>&+F%k#7)nf_-Y1# z%nv33su>a2LXXl*UZ|fwGP>AEkKvCM;-}3g-}FzWA3!gTK2U2r2=g9vi{zBJQ*GZg zW+uYQ*@Xcp9#s90PMv1@jUMRbvlQ&k9tE3s9h`w>`u>;olR9_f4|{w87xr0SL&3Ey z*ykA0EkW7Ul-O3Wa6XHH6cX z@P*uU=1rWyo%!E)*F;71u(g1BfIw9xdso>M`};?69(qo0=2aiyH7|d;JRESTF|**S zEN9Wo(@TR>cRjm%$hY(Hqt{3LeRwusFn0~N8(`v8s22(-pP6Ovzy}%g^2^}QZ7kf9 z)?Xys@0R!k6;&^ssfkp%f^#5IiIHC~DcZD3Oymz$w3SeMn@LV;kN% z*^>4UbA%0Xzq8l9)}^q797E`!Ap20ZE$ z93tM}_WU>89tm+~Xv=&uDImT-Ls;->^yV#?)p;l3XK}hcZHU3#PLmp0;5~P!y>fBpPMxCzpdazOw)0ZKc8mYnnTyL&IPOZjdH2oG_U<_$kc}{=BrG2Zb*EEHI!XLP!{`(2?q?3bt~+ z5B&Xx8Zhx1lpoG>=ZjC}Fg8)qu70g1g|@mXdZS8!b_VkKP-LkXhF91*fwGGhv0&>t zEA-oy--bEx818riJ?qNo(Mgqk)l9=e^K3a-R?0(@L9hn3kT5248syxBK#jFVNQ_dv zOUf?x(N_%Kz;^iuE4j^sw)~xNZzJTE&UlA21krv*C<3TSK?mQXFf8ek=X`}?(=IU$ z!OiCl&+gASXZj<=o7p%x-9Te`H4gWgu%4KS{z=ab_u6y!#8ura%mQsh%l!A4@@{0? zEXTJD3eN8lbFNA(f4oAUoCf+s$A5Biq+nW9i{62 zV+5udJWtz5xZzeH`T$qoF9a3YA#QP_G~S|PrIr;9$W>ZQC`Y=C&TiMKtc6{`Tdrxx zc7yU%AF%{FCTCObM;`|>ebcvSDI99|7rEuB@FlE*57I8PJEbq^_T+0a_RIX3~!C`Ckw3k*i6%&N?4;E*~!XgcVDz9~6(islQ(%?Co z5VGs)DJvq*s{u{Qh%Ysq(D{u_;dK3Jyk$1 z!sJDK=k60A-X;0^+2iL2XpP(%4Z~&7J}*OShcojoWfXyn)#4ej{P7XvE`3bA0PBYI z_9OsYuNLFze7#^D<^9lCyrZWP3RsZ0in4Qvot6XJ*v2_@LEL}^?+16annSxc*npgl zRM0ie|MS$`TtR-)n<)Y>AxxI#X8Q-`%P2L*$Q+Gy8)SqTq#aHmoLU#Ir;qbn4v3@$ z(30*d1xcHHzZSy)jZV4|pIapfBO@z49Mc#m{ke{1vVD?!Zcj0XCxPTcN7@k9N9&IljMeAppo!p zTo2A^`ibI?S##k`$eMW`AGo4fdW~rAYtvGFhYl@$zPm9&#BwTJ7*eA;gs!iYO-~0d zC*V~GZevc)L}$CluO_j4Jk|a@>}qms@CxL)Z1>*9vf{W$JGy@6*PMDbNI^ z0%qoyFe%kjO{)&vLhBNHmzux+YHUIRTh~Q=FPWJamO(XW%yQP<_F=EbvS2So4hj*!G$>pH;~Bl6NXb2+@Ut)G03O3RKbMNWJbduTEi#IO$6SY}vyN_`F9 z_dD-|R-J*CUkSpZ3dYe+IatO@-YvwJZUJ$G7Q1u!%kofQ&6BsxX#*bSWNCU87ojFE z;xYY6k`7LR{)Oz)fuFa@)p>wA*WV~_I+=;4A(BVz>mlBQ2Jde(XSE;9?(d`}OX6GX zXxb%na?zgt$>8G`H8pe!lt)hyz~C)h#QhGLFrWb7bTQ03LPgTlk-NyLi$cZM5-5oF zODRgs$yX7Ud8&1aQUjutRG~&q-py_?{)u?M+>Y|UAe1a0W}R|7Egcs(PYh%lqWy7~ zpgy1srgII<*ktMRxY;R=5Mf3w+=XnvgzY{oXbQd^$+P;%uo9EuW3Pv+kh@h(;K zGV`-qV$U265W=JpQC9mS^o5}hnuxsM%|;(*R^X@~S#2-NSv0L(RugKv_n z0baUGwU(MO8x#tb^Wue?g^gh~HsAoj4gPojn}~C5)t0( zY`r~dO4{;i2ukc*b0z=Sc?5!6@mavpPj@okKy-A{t{~+Cu$Fl^2?raE!FJF_#Yhyh z^#;XLl04C1VKgA7lAHH*hjz+##cRsb>-Fu(JTk)`ThAH0UiiAx#S7iAmjK$G4wBqL z5&2N}+je`Zjy#%uvyQpzcd~$ufufzoYT5jhbM3qEpy0>cofD#*%i1?Y_PQ*O(|q49 zLIu!@jp`ew5^X1Qu_;+DIS5tA=q|20_4sBO(^*d&TPeeniDD{oRO;5Ly$8{4IHR-L zE+esu{W6Pvi@Z&*PAWi5xG5dP`bPiw$oZRrmL_PTScavX;p+LS9X?K7+JdZfM{)Vf zIml0ny;2{#AYMwYbn6JtO()qKqcLE!5D25B*Q&r&SF1NfFN(GbO#?NSYY6gAr4Fax zRa7N_jJ|JnS#rLn${!aMeC7)!KoCEf0bV&~ocK9oG+9i%d){vE{gl(VV3j_uAVT!O;CO6Jg8F>ee+VqbvZGp{8FpK>`|RDOARh>!(2N( z^lVh3Sm?1m9;aS#Sq7ju`wTbBU}VuigNWJIzsa4Yj644R(BGaKBZ(2EV$o22rc`k& zJtCoUI`iPYrlsFLkFJv&l-d?f@Bcnt@jZ<2OF$i{UrQlVB2wgv)DH*(Qn^0F-7R>R zx7#Z8_~HvHzK72_^{E?Lo*NsOntvmUanP59;4A@{d!OsI;9so;PHt2@&!nIg?s$*< zDYsMK%$(;-jt!EhYZz;_oWD1b%(!J5;M1~q5}B+{F)G)Ss)Rz6%_{0-5vPg}pdBu9 z*m`~iMKoQVFjuiqxH%NNvmh-Sg#MhxcD$EaxlF`)3&QjlTO1)CZPn!>g(Ql+8@3|| zt%a5z7U=lzvD`!F6?@(v-{Ya-XPx#luN`gXMQ>|_+^&(5B`KMale4>Ow~aWigGN_) zC20(s^yb=c)Ofa(m)61tl`dBSmXrFHlP#(;tE<=4VjOJTrIbJwI894VrdBQDG^Eot zT(W{#O5N_%dw=-1v|>%OOWn6An9xVS;5v-)CMVONmlBDM}=SJNKvGycGvI1Jnjm%Tsm`jcAHdH~*?Gm4a1B${$Im>2OIWz$M>p<;6paTaO0Va&x^=T(Ig4~yO*})3bm@kg9oPF`tP>zIKtozw z__zzcWm}R@24l`C3W`#*NH^LZ11to?07nq%EmAZZws)|99@(#2v~f<0ODcKiL3dy0 z>YVWZSoEz?7eTU&!41j+C^%h<)sB^`Pi`~7Y54Ldxh(z-fHr*L4Rr=F(8s23bA*nE ze80I%iu^)9OCO)i#N%O4XWZJN7VI@m32Ky7h4Ox`_i2MSP>F!uO3pdsflj%&!Zr)P zl9lYktG96&+M^cBvB1TXB*MCY`DLg)Xr%TJ+ZHJuOfC{t&SI%s`X4xQ2%w2FmY|~@Yv0L`q(+K+aUvVQxje@uE zT4VPT3P@(YC%QIO+6tw{I=56wQ0YdmRh`BX!<_3kRtOlrlLm>SZ%olL3a#+{Or`}T zt5|WWIt!SiK?n%9R;`S8`r7A6;N>8eeY7opH&HN1{giH z-Y_Smx0K2!{K;>doBHm(tlOjCiDTyMC-5n{9lAhkeGFSs{j48z!C$9Vwx;NUn?mA_ z?oiO~iC=bGXpfovbjsOKWfBh~p4%8P&m&x4*YLk8!*!>D7C*T4;#MSVa8w|S4bonC=1jU{-SpEJ9b}w6PD1X2uKo7- zJu}|YzMh4mlyxB^BcG(l=)jDb_L#x&vcOAp=fyQ0&uJ#nj3hAeePw_qvEEn@JiUay z+NtOSdN*5%8=_4PLna8#s2DycSEehV_}w*T>}xniw>6|FP$*W)RKhv?rn%N8-8>_kab49^7iz%kEg9JZaIBOU6vx#itu0(_d*Wat=F<7NGBE@Jmi1jQ zN2@xT11~TDK$dY;Ql}*`AWH-X>;QKfzkx@9?rerWAPKO`Rc$I-Lgyje#D(i+cbQAn zd;;3NycYHJ+|5l7*I*A7xQGxdcEO#y%;l(#SM+?5K(wKq&D&9E+f}0u<$EZ6Ic{Sbo%S{gGZl+@YLXl|)AmrNq?`DNMi8D{ z_IM}2!M8x3WATJ-YnRY$)YrVLDfZd`4CsAEVM)fDH}z8od3^T*`+4%kM1zWAzI-Bd zIj0tN1&XY=!tgn*iTe7Lp*8?W^tXsiAY6-4aVN+bN?zs6EhlfaVo$C)PhWc@j*_-( zyA(L&Y*m!RoWCRY-$zN9NdsnV2CA90<6PkKEoLZ2bC0!8Hv4-#|P34@vu#%mLciS zfGbi<9BZ}#I?%iZ9f5XUD2qYWI!M>iwW zcwF@=pPoHP<7XHwEDEZLOv$O_n8oo48yEG_>c5dSz}CP^(K@SVv1zKGINk3f^d*#} z*yd~Uu%(*&?gG(da6in?J@Tusck4i}AljeXF{Ye3nQ7IkdJaf%VmoJ)`)ND%WYVjQ z&Xeef>x~Z}D?ob8izUpYEIE%M9OLWPldxIS|77x=Y#0%=<lt#L*)OOdbfPLLi}u6O_U*QA?1s}shj|N;#ccl*p^QE~_%9*fG#wubVo~TeEH`BLG(-%X26gY$ zuDCfVZCt+ImJzHGX@>bm!M|VKypMv*uiGyZIm&B}*@Id_$ojtJlwFUM(DG`UYz==L z+20*6w3Fe zl|_JA*}?v-F&yOZ__HOhrX~5ONbPg+{wB z|5=8%($LJD*yv9&b>63}>`={;+#2Pk5IaYxhMGy%6qmSHn##UahrH%h5I5r(+qhGe zB-na|MImb`{rm~|z?MJ7$PD{q&gp9Lb+`UH;mV;a=n^A+tN9#Q;{rM^g>LTnXu&)b zKKqn+GX&8*UIouC*}EO1bntR^6n|bAlM(kzbS+oj%an&D%&bl3{!55n)rxH`0A{P! zG_NKz@qT%A0pXjf9va(*go+8(Bjv`=7N7S`H({}zh>`JTJZ2a$^yuPky%ve6#HzXi znHg^@19VQMzKN;-@IeNjra_F=Jk5S!haYCsW?_ATC?6bzoh>$>GR7H1_JNXJMU*9^ z<-KA4Q$`6l$F2hzmzi-c`DYbSQ;l2cY(VNfPkwcxL)Q}%ZB|xR$yeKPwDm}$uaMIf z1hJwmQgIPY)MY>k^d(!EI&Y+P%s2oHDtGmf%i|k6YgopvOSm!Sd-4Nx;J_|SA*1X_ zM2;3nUB0%RI|zHXF1wvR7u%uFm2r(8X168)(!(`IE3-^=FWI;m;ZRv@b=nCZVbQ((bsRZ`SA-l!=@yRb6xiLw|oQbPw$@(6#jSx2P*0Wo6v`GX; z?t7=bnF{(M*hPImKh4r)+R9;o3%Panq8>WV!+AIRVPVx{sK+j@Y*-Z8s1DR)~t*nH+`HzZ8kzpk!_IRG2tV8l``y(f-DPc!T z$%Fu4XUCtXl{glro+d^-pFw&>?mTLN)j2btj1f+oQtj=^>()I96-+1g8V%E6K6r|m z6(ggXkdQCka{9mnrS8}A>tUyPO}|fU$>L|+TFJZfOO8HvmU%eUt1sARg(XR1Av4}0 z;qJquku1itGTaI`L3wmi7Pxp%wzF-2EYk? z=)rgDUDLVw@m_sF+PVBrH2Ac{L#Wf?yqYiudbHsBlR7||GMpje|Ea1zWhgeH2-o}k zn0?{&eLC2lq5_@x^M+;n=djFnaxG53XKV6lEM4JG@(Z-k9r{r}6`L3AA`l3JcQ|Wm zlrOYmwowV3q#g!VMFmm8M)IS!7-`60((Pz1PDg2F zhFAMOMZc2ca9sG(dqK0w^oY1BIA7#ria`&)rLeb3Q~S(d2?O}5unLdOn9;01_4s#0 zJcMv8DBUcqAc<$csaRJcdplvvUg*Ojb&R;F)DTP@4;uOb(cVw*s*e@2dhlI9p>9AF zWPgTDliD0zA=`9)CtQR;{o3o9$?9B{p#mPVE>ehNXE^l0RY8Jm(V|%Cmefl0j!=Zq zP6+zq^eYjE0fa&yu;vOGbI?{q7cJ6ymYU)X!yhN~G%WHu>z)zIsC7#cvjxO_5S2b$Vf$<(dLEBOM z?;A4}eXd~}( z?lwqqDv-(&E7kV16$O;0w%tBGMDnC`J40w;lcvkRa^DflZqnxCQqR+P%Ob*dPku4Q zT93QdRP|ZUD)Gg-kn|2ajVZGmNU{~h?{+@McB8NJa)+tuV64ig_^Ez{CuHeqRmBkQ z!|zPqlFfW{C!cU>wf+6V>|`9_Lj-)mz9^s=PqRazBX<%hFU0ext}|n)M*aRf?LtmS zX=*4k{P%mRjS@S2{w0O-BJOAE;9NZ0G-cpIh|MN;@Z}8(>OcF;1h{w^sn1w zdB|`*W7uF;+EfPiwDix`1t#YuKUzJi-lw#+jgGrT8sz8Wxl3{8+ds7Q|$JN^NKrVWS7fds?0UB4p}+nFm9Od zueEx2uX4r*;>IL>ac;|U;xw*5=Sb+-+Dha%ygL`mZvu>6PWzhDc3C7Fnt|f-6)o+! zJnq;|;}A?SzfjaA{f_W68&{YJ#L{GyC7v&2y0u9%!D!>Nxu1V&p)NvQonz{4dh4=5 z-kg+_JRicj;hr#+G|vcMHxSY_5vF&Uqr#F5pE_F(-8t>KlP(Hn2^$&3^(0dd{jzZcsIL-2>3p!Vvi#sI=Ve1f$|*Eil!@c zK2?1f#z2A3g+z0Q_p?HWgQ>7Ppu)AvD0(tZ++(Q6h?|AzCNW0d#_V#xX=6ul)U;`D z#$g$weJ=#Yhkc*Uvlscy46mD2`iq@F5zU-R0KcR-k_CqQ$SEG=gz z+a&H$1rW<&_{h5h=k~!~a^^PAB6)YV@x;fcixj7#i`;nlV;m?qM~IPKD$TUG(&wZj zPiQwq@P_l$5v)=p@hnIfC6u~1yL%iNCFU}aK4+`bJyx!{f|ht?yE;lo@X}9qfcgB& zndJ$>?U2Zw>LXj}X@NhCPMWk)&$)KzRE4@p)L2ZdZDU-H+wqwu-8aptcz1KvLaxLQ zG~zQnwknz$d#G+R(qd=3NEJII?Dk6WhZ-W;8AHs0hH7$MafeK6kwsWd;Y)LlPbiRQ zFH!U_pin-Kv{B^`YVt~L<)JppC#r*6zQD}(ylY=5-Bx>_Fw1W3*=YDPU0OtxUnMCf zKKgW?DV^V3xats``pX-iYyhC=QdkpW-?3g&{(4MJE%a)|81ku-dvXC11|}!&>3)8aacQ7Y|YXI3OhD7@PqdWG#I{u=2!l( z4Ch%Z=5&iVx_6>WdbpUcD2$w~s~@4$7oR9OJ?);It}D!DSJKNFvRJ?L{IKXzr=o&5 zwANC{s19Yj0vpv^1;RzkN^WD|PKYhpLiY`5M$vh9;jrsmUZZx{1)T)hhIj*2KD!R99Y%4;PM*)zURD(yc~QMG>@( zbkqn!{;f)mhn7Ux;5s2o!*zkLGdw!77g=YwfGN~etqGFt!qddq;$~4D79CqTYLhbb zbnByYk@d~nz%!Fg8)mNtx!0CM)OU53Gh<$tU78q4%rrM5maA(aQwp9mQF2e(Tt~&P znkaAmir7X&)IF44$Pt@=kynM*AJb3&-%(e zK{b^deqhh0!+Lh6Y98g?JgV} zedO0|2zHG@vL&-k=CoWAx;7bEL3zyZSM5fZsT3@Eh!|%5Wd&v1X1{T$)V;z>0s&Yg z*s}Yz!Q+u2RN9Od?E8z0(+#Mj-!V#OCn>J%`Zp(njm`S>5K3An8ipv*v=#zi=fa_b zLv4Eaq0m1<^+jI;y{Rs|LlS;J1rW6m)mA*LR@0F|F=1AJ+(D%f>{`z_DO>n^oaWBI zV0-rIDFl z#mj!#^~eX|MG{Yw^*9#l-h#GQC9AU7sq#IcAFq?kKwPgb{ldRuWL7k@7D6P!QC@v| zWh(({p7CK8%mpon34 zmOVgu<|IV&F~seHNHCtgi0+64UCfxg?Jeu%_65txTp_sG?th$AkVvfhWM%Aq+tyeUc*ZPo=k1)eiW6C5>6%*Hou2StN{?S@-5QJ0Bn z2VumoJAl*KPJ&rV(^#@~z%B4&*IUD+X^VYcxbPuGEc4Ahln4qOwSDHRvU_36iEK0Q`Yiy%Fv9LAi zhG>F`XOG8~bU*Tl*+cEZ%2p)TdLT<$*zcT%ZCplJST$2%9_W5sOs&)vU+28jI~ zmRTDHya$HZ&k;$TU2d~UvtdrIz&91Y0ZQ~#Qzo19 z(;!FE)-^;KtVETdMRISXb03AHZOBxQ+smz5Oz~vO5R{KZ;PozR=-xPi-#~r;HRfZ# zu^C~W9J>c2Np#0|fVteX)1cVMQ<(g9$dN<(2?Px9L=1<;{}`C`Puc|j7H|3y>~^O& z&?A5JH^k&n2c^OC_(Lpkqo}ueEz&azKj>b7AmLq^?ApOPs|rL88NQ=M4rjz;FWEzd z!T$8QD|{o7!Z_{2WIG5Nu-CnDLjy4Brdwcg4IzNWhhj>LS)BxMcg+rq#fKZbF#Mho z6`Ic%0Jb1?193ib`OpO!emd}yW$+fwbdPzy^9nCLE&5tvy-K1L4R`$;z8SuRgnftb zSVX45Zq%PWcczSh>URsUOkJ*g94`Ze(mE*YU_qP~fUa#`y4Yo4gjxOUsde=sZrx*i zq*W16QwlX18Wl#^7^Vu;4UL$KgL`U@oeXs+H8-M8^|}igf9NxqP}OJXHEuCfg5S-2 zZ=H;^=V-v2YgyF3y<$=*+-g+SLqpW_qWPm+9XIJPRAdvo0Ok6Ev3`LZ!=y#YGp~Oz zyvSTO4=XijOXFg@Fa;792%UXyy=7fd?CSHJPUho@CzrJc*(F+JC*#p1s}XR__e=9ly11w*@&^ zNw&sfo$ku58u^Jm$E;*u>iIDvi2uI3`3&yW3YBm$ZAM8D0$a)khbcl_ydEoDU z>XPmftcz2moen&sx)x{k2B%pid22S67R4Et`O6EN3|gMX+pGxx`l>g~qzVBU(iiaKJ)7Yh{pN_BL^YLoC@9Ma&*$m^EG)$l-%eC{vZQzWImwXbqEW>yX z&~sa*C9B>@v=h-Z1$dg(ZGJe(GONOA7DNJU9xnVtPo$u-{I=_qUL4xM)h05CF`%I@ z34eu}aW}%Vz?2raJG)GIxE*Stpp7Ztve55Q0VO!#lwYHMQ9?gdkUlpRoHI?Lha6W! zIx#P;9cfWy3!hg~F>|B415Gi)B_^+%v{lg(Z|32bL};xcuCf^s2JL| zs=hvkBg2L!$uUgEcN^?7iUXYlM7N}2JXon8QztzBEWU4H%g1y1v3qz~E`8M|6X-kAU@h}^q%{g3 zi%X8F>H4ni0T}Qsykyn1qKqn9mQrMxc2fgD? z8o5*Y1tI(owGld^u*R#at@Pu(O?60PSrKw>?m<*&e~=SwH?2MVy@Mg~mEMbnlec*+ zI6jGl!s4~Mpcf~zr}BNH%_^$+*WtMm@t5pu&@m`EX$1*wEP{&onh=OA+dcmh_NntaO9qc;5gtAiog7f@+2?zGs?XetPaXN%< z;btmRiHZRMF7_0w7!`v7oPbQtK5ZimJ9&6L3PVNBA_(AT~GC-B+8I=8Z(>aK=Cw?^q07^kGY}I(sKrJ+~43@ z*tOIb_u*m}wFKV{agy9a%nkzEGW>~a-XfWuYHncOA&L~aUJ2w6 zl&I0ck}r5`H3LSjIC7q$ypAWz@pm!wK`w6Tp|?LKp~NVHHiDKPF6538#I|&Rg#d{S z+;;)r_v-qFPEaQ8_edlU)+GnPyYTd(Nx*c{(Yki!(*(as6b(%a65?W_{~#!3vfr8g z9&Wahca#|-nfbVW1+n2sjp4ELD@USXCBmk0xMXBpj_58&GjXjJAAbLO64q6Mo#ZBe zjQTUI?|aqc;IFJ@H<*ByjO7c}4<*K@HI-8h@y5lH5Ep}%*6 z^10R~oH}xB)A0U=CG2vI`9B!D#~{&yXg%0-#H!uW^b~u`shdwfK2a6jh|bOjJeCTHAAdjA0?Fs zAF6%Jbwv}k`mhrkkHZt5HH65EC8XQgM@nWc(V(2RO_lze$QR%PHL(0+_>vs%+cnbxtl?73D?xRA6y{? zn-Vf}2$KS@Tsw&R5lQ>~W{EO8RAgJB;yC;GTT5Y}!cHfn&$f{zQ)p$jf%OC!gt6?C zlBxef!l{y)#rN%iz6={lNj|%!v@kK>b4zZA=vQt!JAFA`ois(K3+!^Dcr@l@ z2}NHc>Da6n(I)N@Y)lj;nuqW3w9v8Gen-qmo|GmpE8XUUy%{dVH3b;|ADA4 zdN&Vy97Wm#5`%Z|MQ?x2AFh&OHF{ zGGAQKvVb6&MfLTVmG03lU+aVe>w`!y*>N34IVRR5ZmmK14uchI0*4_~(b3{2zFVUy zjq>^_$`wpbKjdQ5Fo%?nH*AAs1_S=^*`wTDs_xwqMR}=38_NsEX!D&jw6GLuq-4uo z()r4nTNu!~zTpB)*Qu>$5|9@xd2~O1GQWXNX+U+5L15RmkAv4KIWxPQfHm3Mr@PeX zv;*{#LX_72rlg-vRQdUABx?aO&vqPAS_wLAq?q17kIx;`<<*w8V;783K~ zJjI^DB{9js$SLuV+GCO5Qgo{PyZ5Krb`e5y%uUVQS}X%ZpN)1fmu zt}Dh7pV552_oc-I{yq$FB(cs#b4cEpOuB*WW1a@A5 z&l#B!$~oQav(fed@1(`r;c*1y%o4P%Zo}buDF(8ZTrxr6c%lcGOtWl4-VfAYy}7l{ENO`Sz9dRk<`) zxox2~iJ(@{9*VYYqezrlmj7Nej5(%~w@w1>GRtMB*fYrX184fs6Wb&q+NaI2vw!51 zsY_{h!dv2>Iqo;o{0h4IiBo#PIbxJ6%)SY6Jqa?Uhsm&|S^gBx%7LgI0`Sh7oOswq zanm07X#^I1oRE8G^{X6`I|CxYTXg@koS_cIvuXlX{~qRJX|YUM*V<)Ibu=u>G817$ zcJJ;)&Y{`H^R-AJ9SAG~;!9h2tN&Bq@Ko-Ka<|g%4M-EG?KD+#dH6}(`P~_$L*ZH6 zY!^hq7oTrk=XXAtfbN!QUVq|UP9swc6G_Y;@+0{$DFN5jHb0~jx1N~#XY@rVipiPZ zZxP}H0K-St(!`stWhzvj+uPe-8)P4UyfKY}WV3-=R4$im$Q)iA;jL;tqBz`xw`;`t8dF5w>&#s|R$6BG9J4nwNSKISYIB zL7;d0RQxoxBtQhSbI!yP0x-LmgcWa5Vi}kvO&3TnfS_9X+tpTvOm1JsZhyap(HcX~ zv=kxdn?x(4?A|uy3^{Hb5TR4Ts_ay{v-l&HPO3e^UyF|SNST8Y`L!k|5bjxOjjFRX zzw7Ip8O)4e`V_mj4QtqraGJaq7C1iU@92lj!8eU}Ed4P`|!8ryp@ za#d!|SWJC3-U1P%Y6sayauiA{dHPFwVRt{9ee!pCfWVA`++8#3#5W#(ohPxn zq2CP!enCjB4Dv6Y!-I62U9ZC_(%#;ceF;;LMb2*2hUf{8w1y|YYB)#6+JprUEP-`0 zi@K7ghUoG@lCUpo{fh3LW9XsHLn0nwF}I{#Mw@iqui}Z%5`<9#Cp~09+P}R2y4E2GXcl${e1VtF{Uc99N<{w^M?K&xrGD zuQ>g?^5NX_4COhp04&_Y0H|qubqJA*H2dgV=A6OP2@hn$TaeBgXd8O>mz79MjoY(U z-#+M0e$Yg+M*`>D{9o1npTflp)Ig+O&T|#m(1Vsw%AHg_5<$8rqT+E^2#~wKDbXzk z`fw-bV`CT6sJ{h`pt%sq5HzS_`PErK!sj=x0F|I7gY&hnvg?%)Q8JTwUpjFs;+87b z$X{U?q{v{PV0=`}v^TnvD&F#zH1W~pAp2+&F2N1YOhC*Xa7Io#l#wiowd8|IG5zul zq~^x*6x>OdiP9Mn!SYfS$$@rHx8)uSv!nJv3n6ZbZ&kPWw}J!$kj=i;ev8{+OuR~j zF5aO*5i>ce7z+TKQ@u*G`%f6wBkKwhOQ4Pt)YSQyGax!=kG=up*6s~Fvti(!%HIpF z6Bm0a3xjJQw((m8^6^dc+kVhZ;uJrrYKzV5`lIRzKZhm~$h}NOMf>7}2UagV&D}c; ztB~Hxdo4e?V&r{>3aB;~tw8;J4^0lbN0Y)VY%!V*O}Y*k4uWmmC$pNPr3Umb4`+s` zw{z*3Y2C4_;<>fZ$G{{>1nGq6gy}@+MCtxtl)dKvaP~%Y|39JsPj>!4;JgLhe<|i2=p5;s=$!sP7XN<- z@g|OT6#rh6ovpK|g|!Jj2h0Dk@BbTz|L5EB?F=m;xw-!X!2jz|z{>RRng4^sGt#p$ zGX2N!KXZ6S1{OBP|3?mA-D>1qs?$b-t$Y>fGuCRe>3XxqO4({dhO$|`)~ev3*pO70 z`+B`tHum26>zs$TioU9w4&`*s!itel2$>UK(Ze9WwKE$M8PqJb#+l~ZAWD{ zMI*&I;c6qMeWM4BLm!_lKb9AmR({TuUihz-7z#f8p5Y(h zbd3#wKZKBxn*W+Z0!Tu5u=oSd!=4!%1IatHv^FsR8Q07NxXunx24M0c^PlO113>cP zQkwFUbSnLgA~}Ml2W000kfHwV{KF&d5Bpng{s)$yOiRk_wC@){%FMqbDIsTS7q@*4 zi27RET3hB6ROnw_Ugd;!1#O*@C{2vLHTRfqf;Hd;|pnX z{h@(B^++`JIT!l(6!f9`(}u)_$E&`7VLK=$5fK*w@^DrZ#`{RDYSkq|nUr zV=#EAksf!`NooCzva~h^7{8dP6kSbU07ysuret(*euPdzoj=rq$bU01D*GhRGd4EY z-2tToOzWW^UTFP<0Lg!E)k}YGiQfAH4t`Msf3?oO{fJ)s9H08M4}Moazw`=5bZo4T zVyu5*`}^FW_ihsz8iBsHnE*8U`JzTg$IpLHjf|}<4&VJAKI&F5`~Av3{Q#0289p{q z3Ai5nmgxU7{b-q=Q`?^ZVOD8&W@rFN$5{WmUhg}ybS>p4!;<3K)3g0uRt3O8B0BV2 z_@De;2ynAcg`aEjS93tG^CyKbD8Bt}cOw6NmwL?)gYpLl7)?bktgquc&hqF==J#|T z58c4P3(lVn2Z@W!KQ<%j{Pz1Z3m%QB>h_oPsLwzB=aJg%=-)d1nSa+}BUX7=H>@l&>k*Ah{cw5XT(+XC>T`i*z$H^T#e_J=t@N2`@> zAEH%qZqmMDXc{XQc^Tu(2oMj}f!s=&h8DWK;r3Y$Zuhv7*}D#=z%KLT$NE*9)9H;p zxs(_4dZ@nOOa??3W$!&v{u!;%I>F6x9{XE=o=#|-eKq{0S2ub4y>w=_+_#yn;-7y1 zfQ{hWo;U#Z`4&duKTt*Y7yUT%KQl{Qfe+gW*#ds(sXe>O<~~0Hm@ffAUwbUuTyc{idWwrcLc&PsmHP*ESYa(d_HB(zx(W@%b(c$m81Pv`V2`LA-HO zT51&LlwjC=A&cZJ$v@gNOm#I6tSIIrB6AE)O!Y+Gg$A?Yi3P|&=QB*!*XduIJK`Bg zME{mW(*rc`#f`UIe$sp9IE{23@=o1zW{~dNCc4G(zyJYhsTQo5E5a+#O`%dZ0(tRp z=vQpZq!0F!)liv%s}tadC}taTEn4cF(#DBz=r#;15Vu`tMQ{|8I!@Sr-zqt4d%19a zEskpV=2wTO&*s22Po&rmda=e{NxbR+rbr9ek4u?*HIiB2{pHtYuEnvmEL@J@T-dG| zp1FaSowb2Z8E${>VhaoDUKxy_7v76q8)}^_DRD9@}bwKH*4ldsa*)R z0Jw9u6f-zaXW>Q@j$98M!Kk}=pX!yf5sc^#sQ3&bQR}ojY2y-3NaDcme{1hBp&2d%HxK*`G z9j&<=cY2g>+3`)DQO&Fen@qG2ejIV#%$FP#_@GpcarxX@CxNdQAZ)7?6HE zUj6QES=QwxrJO%j>kG%#ZP;jF$6E7HH>|%Af%4cHwMl<`)mrGk(<4X0B4F~prg=dN zU%yuq+6#=GxIE6C3_aV~Z^eoDf$iF^8`pwa)=jo6Lln>+zmi%_TRAQsdy_DGjS8Fk zSxSy@R$BDKGBsHKdh*n=#5b3E3M!E;eY3t`tiN~Pub>mt*?jUsIrOsDmt$7|VNWd- zMO}L;OR%kmYf#9@djuluF@*sQ7oT|X$^bT`koltJ_&XN{Nk_Ka32aGSXHiL6m2+UN z=ha#fYS6 z#$KgB-Q;4;Y|Sch?rSBA?T?WeXRfCLb`BS$= zjAGFh@BT$I_(fV0OtK0Qni{khTTZ#}Nkdt%XQd+j7om^0ilK#U-Gen7Eek-m&|Vey z*^Mq3KqX^$Ogkd1s)!V4r_K)@N}nrZ?`T>}sSkdkm>;PhJlnrX zD%rjoYG0b2n>U5jRv^H(1=f z?v#9g71bFcdi+vrD(*Kna0#+j6S_&VUm~iLLOw%tFE*+Y!G< z219H|WBz%D5=A-J1>BqmIeh$+A1oaMJ32@-)ZpIf8$2y(kOIOWZ|h`3P;xP*Rdelv ze1Wr4DF^ZhB`pdYBl8&7|A`A(VOt`cPeq$S((0iXtYE(wK8ZMMak|Wpj8nRu{i|9% zO7aK8>rvif%nh2gY>umtsx;Y|(6cHtuUNuHG*u6qZ>{ai%1sE8am|uFRAoEZzOrdC zz~Vf0q)u@l09{`p9pLCrso!T@Et z((sutQ3D=*207s-7=@?VU9I(ppbP^?R2dR4VlE~@I5$8CY1bfdoW$LjSY9>)C78*3 zNIb@##nS^H&))%9*2!Zau1qvqucm(^KCU5u2*JM3M=Q}v2-11RtPf!X)g=#$yg!H& zEi7A%#yT|UG@=iN-B9%(Z`jbOsq1=L-oaYqRV1dwQ@KAuhqldq3QzCJnWqo&;(_m~ zm;+c`Ad=juST83Oeetlg0}_eU!H3U#*80!dZ(C~t$Wf;~hi^81BraK^0s6Xiu4bIZ2j?StdvIDd73mb^ORg9sj)R)XqHd)!^ zM}h7N;cjBO5|+uw>NFDzXOnIYWN!WlaX^QErAXri1NYPr)&^gb8LUS+_U zmgb`dAML&)Bw0(hHBUs1LIo7285%c@CN;OWci;}<|K8Y1)286gh&uRWP&0{;F zs}`gl`JF+Lfqr6xK}$51t{S?y%&>O6S?@&=Y~5BSUHGjT&Tu7mu<2nL zPbFDzapR>p|I!`^Yyp(bfha>Ah9NLJfA+tEw3wYYvSm>~03m#gKpVA1W)>vS%(fz- z(#3*aN-sUu@O+ixP_jmwh;4^*7PWABYW#TxDs^;HCUDs52fLBfQ+-MDL&Fdqk@`uN zNSaDMa`-JMg2z=klrdFo6KB1WayuAh0bKmR@F}EpG8PWX=t3o>jg&-VGfy$!s+)dt z6y6MxekYR%bU9TkcuR1;50yn5sQXjq?jm3Uw&R{g;~Z6qFdoBBQ&SQ9?IFIJWm25+ zk?K(;h~UOn%=B4x^g3{Z0vXG+>B!6nDLeP_gLDI)$?OUb$mx@lCIJWht6Nxl)24)C zL^lm$E`WuA7f0F(j`U$wq;6G2vjUNHirW{l@E1(NihXczev13VQoUeRa|oo1xRqE% zuzN0hmD00JEL4G_)Jq#Mc7=M9Be?o)bk1bnv0_8Gc*cxHK*x$Kf~BR6lJtt-kN{pV zeK9TIUt`a@^M(nP9pYheNA^bm(u2p`P3@P1djB+Khn-tK2Jt5kNfRM7Bxvob`tjWz zN16ph)z|)E4xb?ZnFWt~qvFMUXVKBOad>njfsV^lrJSTA+d&?3w#3Fad6c>IBoZd(q#lb(VrTn#~$D-=!ndG@lHAj++C^ z>TbIe>)m}Z*!LM4`c}$}6sGBLaieL97O+3mQkvCq0~G6S=xNCYLO)n3qx8uGn&fQV)1kJ}hJy#vQv~ z2A6`}9J;Gt-u6i=bUctI(OPKy@LWfe+kUF<0F-C9=C2G#Bace_{-y>8AvVRGURkWb zxWJzbqSD|}DA3+=_s?lqJeTfWHV$UWtmBw)S90g!#5#`VUH&0tC~{nVzK(*5T+DVm zz4=#OJL-xnu}gEJQLh zzKPqF+7Lli`emDtmDuSOBc|dx5+F5{`c;vAm=s)p+gjoq5jLq!K`jjRgbCZAE5syH zUC=10OqQINeM1e`_q>tnuO?DMV-_c*j-A+Spb!Sk4a>*XRYLTi^*75HrrEhYnyAK_ z%y$&ld@%^IfbmlCst$X6Vf!q*oMP?>9F=TB^izsAb63Y^WM;0;%S<91e7;W!nZcN! z9p3%j1ays}N;IHXZe>MxX32Is-IwEX#g%Sy%ulLm9f^mwJX;-eI@d(mfzz6bN_Oe1 zEZOIVaJYKl#GI@3EC%W1fd$H-;S5S{wPj8FYf{&!Q%B>5kY^$TLG_={m$#+yxrvb^ z%=al@jmLTl6apUt#%(RaKUV3B#cPG9NS;Op=j-KrW7PS=RNL;xkok^(tqU;YUY7;i zkfQ7328tI5HX70(NEDT7xuhlTxff|M?(P9DyFQ1E#wEss zTy|`Nt7k}5;Ag&SjL&DXt-=4c9$PRTRI4h1CE)~@3iO!XlIjNetYvl*H4InEJwKIk zgKJoBIeI4fV2!mw@q%FNRhQ9XHm|XV{veQ`8hZKftv0#BZ=Z_vSP}|9irnQQdLBDK zXY&H$8gm8Zwu<(De!%lryh7GXw52ElgbVu4aKHQi*62`xNa2V>lN$2QMu|et`22KL z1o+GNHeHVQaj>YO2#&TjCD`vKV`kN?O65(tCh}T68rOwhz&ain&kitp`yL^$`42P5 zC88W!w!|jrhg6qLFkN0CjqwRQwUap&Wy#OE>S!ii)oBFNvmdDgdmF`<%^(Gr_YNmS+T^M|VD8h&Jq0&|2F zL)9!A7CuuEE%J?EplM~UA!(MsMWZFMC;0uXhF8@?J>Lrn%gli6=>8_f>@(=9KDi<- zG-P9$S_?=0{z1>siHB8ae;d%GNJZ<9vwyF;LZd6LY)bAEG$~k_c6(tfrOCOE?Cx<4 z5Om#ES$++-er_VFvvnc5FCC9&vU;|sWG3MqenOy7gX?5vSDuTJ69F@AIRK}}MvkP4 z)ZmD?zaH4!Jj<}w;k6gR$-w|U2_N%%Al<<(>Zj!GMmG8?o%f!~;apLrjZ2h;a}Ww? zrY5$NZbdyal!w<2Q*DUA)U*MP<0X#!5ovhR1pJqPHbk-Z-nQ!X?7)2fqN7PDS7^FW zK*p>i2=$P!V?@~9nEd9+F&Z@&e_r-dB$i?sfI<~kAZnBNR)k`iWJ}M($o6-X>RKLz z3qN{r5}bU>I?|zVuhtTu)^8!GvAL*Pu+buj5a%fYQg4*|K1vktE_o++LF6ood~c4G zmw@0a7Y8`1SKfER7+I(C@D7rc+i#-63u)oj=O~?ksI&L56#l@cs;s3J!%13A`U)Gmj_F&>Tb=||RHgD&A!5@cp(9DPz1C5vIvnTw*^ z)o8~+OpyTVjCj;(G*w~f=2pgL`=c~x#^)P(1<-f9>LvhxBL8_z5fb$jCyjgYO4nRy#i8csxu zRLNv&CdRKmcWXl<(sJ$3<$)rHM^eg`e5epuR@u=hQN79^FTZLN+IuL$g;4eo(fFCw zg8YutoJ>v>!kMw@9hW+PEW}3L@4w@nno55|NxG_A*Q<9>q&bIV!W+FQW#{m9MCu^S|(CP_S z<^}47w&DFWl}jpvk4%@#|895KVoZ<0r?{N!z|NLFOcV`h4Yi4Svj#Qp4` zT8Gc8E>7NDcW7I~m=!tb*9$}v z3Z#d`tiG-!#7C3i!f3ewnK)>N;%rhU5n8CCJZdkacb;^*7$XGDWI70}v^kC(WK>u; zj<$+XL9eth71D)2*x)@r_6sisQZE*iqU1Hm?!8#jAJ`ZggTr8L)^8 z7W%m@5ca99(WvV97iNq5aWmEZDS`Qu`|b;h^)Mb~U7Tx~@rYQj8S5R}!_}~E3WoPb z^qS7`8A`ZL6+Nt!mUy*3gUgM7+u#6{OBQqNJty?19ul#|h{Zb8~8dJ$P>kUpN8zf=@2#YkMgA#8$-k^-FCu2}t{2&%xx zqI2CrmsDw7Q36*@C(Sw6HKsRxDX%q%FBL1{agnr0Ex;%0qG?t39&W~h*L8-;;!cl_>ONH!{iU2RT2wZx^xo9h%ZC|Ha-=Tb2If-kCgujQTW&U?W zuM&BlZZT}Yqh=8-))UnfI+*geqiGx^GoFmZ*Jv!21B%`RPVVD zlw!)GgU11<_92u;G22hM24(1lZ}$(arNpB)b*^jh0uapw$qI&!!1qKsYuKyA9V=f1 zme(e}#tb+gy17l$ugI!AMM-dRgAWG8w_&_=1PP(40;sqHx(BVkTKNXv93SFlu`>p@ zjcMrUrdcAB9R2#nU61mto?ZvtyNh(64ea``f!;sVF6{&Oxa0>L7Wwb-3VeFX#@_qN zR++9ibZhtTe6nPKw)v8G;cest$JUzJ7(`JBGImqnhZyAR3ta5e@49-fr*s##eh=yy zC1jv}LwkDkERl0h1k{tC@_m9vx0@i4r@}Oxzu?!Yvzk3O81#&ll3e$)_da68hQJWa zderjHA2R(WqiinUW2)O0-Of-d3Jd|)XTTDA0MQD%OiybkOH+s@m#xQ)y;xGhidLtC z2VTdjlnI&Bz z#ZbtN^?gUiif5K}Jt=k}$dh0P=`0Di<7tqtSi`SCADiK&eEd?mW|~30&5n}DeRl%9T&cQCQ!S<6J6PqG(lHB#h8uj zkMxXENChcXA~$eX6w2dOF%h<}_eSN7X?JtWW^C2;J$*s^vcnz}sOoI6^Eio;vf>i2 z3$%qDfB!lZ_|-zdXN&;ey$n0>hfikmjA~-e;}*36)?tz?pZS=)+P(qaEv+%DDO&2e z=K}jON`z-cTD8rvtP9qa6T^jb^bag^!}yAszIlR0$>9)aGP`PdCIc;09}Qmc%fhH88I=1=5`__qz)oU(r&gpB z@Ix{c?2`s%UAntdSX5s@?SA85BDxX|xJGuNi9$L}`5xKb)BH5G>;FxpLXO>a%BNls z<3{D@B2QLHqHT~`T)^lb?ZH1Y(w0@MdmA$b^h3&nYLGh8aj9`xn@_UBxVqyJ#iu@eP|V^ z;9>*l3f|>hUYDSsFaRS2LiO!8Dk; z4xw_<@yc`9?tbmAQbKE|#ZeQ+$<5un(vx5K{LVvtX$CVqV7Z5^|V#UV?{ngaGTrm&1K1&e0(leKv7WxRMio9R)aF zI}-z+=YUdKOu$!@D@zl+_n73asSVE78c7F=mj1%(ylXsiry;oZW=)J4U-Y_1rt5$M z>GFdeA9(K@Z8Puz86!)1Gjv%^vkM;}8^NrP(b{M$+VSt`xdm-69ru96z&ML|LKOI> z)z`E84v}%GTVRv}F??=nLiBm4_U|yX^Cl>lrQF*L(aNL7on8S$rJP#it6bkR=p{@r zP*$B|#XK$zB)BlRG}y?emLcLPU$wzgMFkup=|n<68(cI-6Wl%A2_vSj8`6bytYXJJ z7929P3vLmV;9#WLdp&G%$hbcEcz+Th$(mVo#n1iQsyvrU&l3U-0OhEM5c64#yZPDt z+gv9l)vjweZsVa(*sIU!HPEJ%*eZ$#_>#fG6u2GQ2$x*%aCAC|&1;xT3a@Ui;oP*b z6L@;kkKjAPRaX}4jg-~}dFJAZ%;`e(rZH)8FFi~f3`Jp3n*W-8+s3#P&OYC2^hJvw z&C={v8bROJUe(f8mYAG-!k@tWM5Ij-Qx%p_nbE*Vl+a&GpwnKQ@<;a2T5S;k0llfu z)XBY{zh@&uiG(E_+jN-l4?n`2kkBPH0Z5Qnv{$*>mS|p~a^5qOuKjV-`ZMALacC&NF@T|D<~75A+?kvc2ML^XB;7?D9^}0b_cH_%Q{ys@LY8q+o4})2H=y&V z=Ma15|DtKg93;#Ye<;OrkYR#=^yxx=V&8)wnYp%h4Zfp@HCfY3I^Gz+;>;CV6+m+2 zq+tFTAzi{m{>_hV3IhfG+Z<#7d^+Vs)(*Od*lq~e7FG0uK|BzluTgDL!N?UAUM6!v z4M$trPDelxb3le(PSFAlsF5ZA=oK~EZ;uNU*26U|sTCWD3rk38G+HaMNJ7bpLMvO| z{*wvyW7f)-GkB4XX}xDfzgIhrd3f4TUl^_*mz?`_zGZ`*X|!~@Vb-DrEQwU|;p$~0 zK`H-l`nH*pg)6nHOk8SHN2%kfBoMn+=pjte!Rl`*`&H6%=Wnxu8odRXE_fT!9)Iph z%Z-kUf{l-J-6J?sF@4(u=J&s(9e6>FqBONnwnR&K;82kFu>MZ~eG))o8Ci+~obC}x zzquELJ9e)qBbiBx(VL`yzF$_>pg;d2(Lz96>8gJ!Xh$@&x5tRQRxVeFc`^48=ZZMC zL@AJLBkeTc=LfH|EZMF<6pU%lton~c! z@FPG<3*qYmbK3<{xCw)BVJ$7QZI9yZ_+)iy2pmSK6b@bz-rdGeWNhvygl+0jPmAi( zm2iNEINuqBg|R(CN8Cp^=V?W(tX9LTnfcNB@pHjy9TfMZx1;ii3wO@l%YGG(G#`G{ z{|0|4r76>O;a+U_ZZzPUs?&J-hZ=0ud3ETQ{;G5&jH%5gF}CXav}>64qzz15dD_K< zXGD*w>htE~JztIlsaIDvKn+^|suIaMs|zbCb(?@Rz&_e|m0v$y|3MHuA`hZ!u}*R+ zPDCCQCo0uG_@WWKoS?i{sCV4)ba9tp+?x~N_B@tSdrZD8Ios(1qjI%9zr|SeVy@$S zBdx|pyPgR#0wo~Hfd#r@0~D{#3Rq&aPu?6u|QD15?s zI1;+m%i8-JzqAJ0wTo8jh*es%425-O7=uVlgTm0v%#}1dLNnU3k!A}}#1MC?o_B?x z1oI16#oNeXXvQZJS-6dmCZ);)G(zvL>1Mn_2FVV^h9W>lZV+#1J{ ztdwoX)Qz9LU5~dhxh@pYGiTCJ&O%?plJd~87`rtXAj-{hX$)A{brio&(^hiGvcZ%g z30zQMW<2n3N6Ai)#PulT)5YUtk6t^`7@6vt#4j#{EeNHu3yM~l-iNQB{h1M=8>||4 z38yNGyHTZa#=2w0!8s1NG0UphYH}@Ip>|RTvJ@g`Dxf8XW>2JM{z9Cu*Fd`Y?#kZlpmmvw z_fzQ>kceS{qIQW4Yy8GCudB^55_pjuJjOn@*pboF&SES(3RTDxhcA%xr?U7F_oxSy9;PbnGs~0gjBgT8X z!1ChJGGyF)OE3)9r#Z=HuvXeY$DYGjngM(;kjR5;ju>R-v%2Gf&1zf>QQC8PoL}s` z4gTfcWzGKPo#GA0dD|Qu7rAJA2kFIf#~BV$21c*CqN>3z&PjXEzVOW6)Vp0q){~(Y zw;!$p>_2wNX1)t01UpI7Zj~y;9S_`G_)UWLiURkgRRWC-1Gr}afo{?hWLz7W-BAs( zS!o&nS_f#c{`s0EIPUnU(>HqqL^V)iocn~+N^XWE4%~41Dgh*M)#H@Xp6!-McFs;s zf|PaZXZ3+1yMh`3!^+* zixWcEf!SN{q7OIeok=Of;`heO@4@$I#WJv;kf(f`JWn9*y6iEK%{)}#B}Ho(QN-H1?FGa(HhZ_{}PI&eguwV8jj*d&l}^$#_KY81``rqi~J-QgS00wvK_D zK6u8~^w8mJ`F+)t7kI#;dHQ=(2lbomh1pDY$q)pfO*^QnC!%}C)i%Orfm4_OH? z-n7h9VTmIdqs{`d3-f?7w-;I39rPeC98Sb)*2T!!(?5{Uz7sJcSA=SQ7UT9*^)8MC zmCm7h-c=&NXVv>|EOI{lK2zGA?`*^qu``969slI^qe0l5j$5`+$m05^hFFKqIe1m3 zRiJ$J4_5N3n_&{`$*|M)DA;dZCm$+4NS9nhfa@p*-kwYfZz@k$JVBY}*gz7bufUOw zt5%HV)XSELqPO+J^f60&*C270e8O3cml&J2(Dzl&W|SneqIY9iEOS*8*%K(d6J4mZ zGTSMra7r>CZU=+i?Vuhu3}Hn#qRLc)uIVIaI8*+S5OA%ZBN8boWk3_Ca>Jsoh>~RI z*`zXuL|q>^7!E$d)F$-}gEx62>$!+2roCM845ZRdWrY_^LIiy=;$G`Xjg)cYO-rQ} zvj%MWrJ)u0Yk) z`eh}fopSXxBkf5yyXRmZEe|vN%^Ys&^;*RH!g(9A8%$UeG!P-GvU9{I|JW<*06Yu# zVJf%HK3~e#p2;&qVA?FEh&RatXv(yNrjk;MxRp?|omp-jyU3L{HE0o}swWQ7-da}% zynVfKL5aMQVK~c^knTgGQ#IWhlK6hNTtww&`k1T6NY3Wyaf3@U8wKq zSY={lM!*~z8)ApIG~OU*Y1@G$M<6QM&&nb*Q|1=uNPJ~)CL_HdJM4c6a^eSUUwIL8Guc(ZI(+)WMW zgydP=e)-&eG9Vn4$PU&~p35`9Sc@GSGvB=A5+cMUkRY*se+_sy4c#R@c*=AUBO|{% zP!E{=6^sjg{gJm}m!Uy4RS{~!^K?dvN|ve{q%UPVyzPgYszzAkKQxEiK`DV*bH%Az zaHO9hO>;eCA^ea~7zSqOAh+iQ!? zAV`yo?R`f^A~3yZ9ahr+i`DA+E9I@#3Im(EjiD2xudQv9ai_R<-m&&RIfQHT$_#z_lvld!DN?;HKD*0dfVL-~V#+4CfW6~OxdNrM4# zE@YI(?rS`%LpINNG|?Sw{#qa(GTsOoAthNkL;Y@ZDWS`~5h13mVnZ+$G~+j2?LIh3 zjr3-o(;X(AX#1hic6WVz;06+Tm#O*JWd~89llY-fZ0=6x!&ymiEV1#ks3X25`BQC{ zk{N~$_$t&Nd32qsEk9Ys?>gDC6Xh?ddSL(tEUGRqkgMcz}%(Nj8~bsnKsGIlBG0SsK@`_-Bsu8((HQNQD;18S)$E0iQO zz<}qU6c*1Bz@wpHP(L8w5VYstNLiaUdr2wpb)+CD%U@dp-M=L*$Rh~EupXhb_}Wb9 zLepDX>4hNS;wn%b#9h`QSd)*;poj&Xt+&$c7O$$BBq zzTT9>jBtKVKrMXxJyES<86h-%*jY;wR+aTQgJi!|i5Y_K>=}s@Y>LQ3?a8uBn-m(+ z6@p4Jy4rBUS2R43Q8uEOKgac>dMb$m{1&}h8G<1y$cXo!prD@xh#WhsTLP=(5_ZVb+KH&1laLX8Far6wM_;P~#iq=1BlkUDPDl{gq5!!%cLMEOv-Ixk-E{5~%$6S6 z?|g|*ux?oe6Z;&@(=-SYQi(wx`F$%A)3owGsLFsG7KG5A@{KveShymQajb#faj^HF z;lzV%qTbwTmQzu~y2379^1LCqPF1FOlTAs(Vd}w*{?vaXU{#E{Kd06jy29OM`X+3) z;xA6$d4s1aoU52ZL)U-ni*TLomh^7F^dg~*5+}eH z1~}_O%;Syg28L3cp7Yd<)sUK6i5A!u;G??;blBXTwWRt}wy9GfJOxoPF9xs7iATaA+R!=DtkCKAcoHjFDwfEZ^oVkU4bzE{rMnP2 zulu~j36Y(_Ye*PXaW`>`q3JH;6#$EWB%W;1tqnS!b|5P>S+KlUwFD1vRDk4-b3eW{ zI$^XnBlLs(qTvIb*0xJ@awuBaz92||e^k-%J&)sL%Hj24DAW_^T|FiH{XhT(Q4HzH zlL_s+6%Y(~iMG6&$3QDC__#L95&$v(cUQKvF_B|IXPpm(+jxRBO=Asgt}>PYn7(|P zX^RM#EFWk{)8#J%1_oh_Xt0>oEvpCCNCguW)sk9dXrnX=p<11u`DJ-1fR#J|A6@)* z$P3gCIFvm1EkO|8GPf;ooG>Z9AWFcQml1j>@(qkB+0XxKjym*G!^jSR8LLyMfuk&- zZl)jpaebV+0&5K3*sY=~W_&{HdA~4BNzo~QDee_~bqEsybF4Z>Ym6l=qf;lw_9D5< zBRk=D!1eQuou^M3pc7#(&AgLmsxJy_xrJI`0+VTZ!zFCe`WASAG{JrucK(e$7`0?A z8|AA;tpO4UM%Ma!u_Ypba1EQW#xDfBL5gXELF|h9y=jpf%@Rd6L+PAvH}Ys7J_YHvJHu_$k$ic#Yba8 z*JHSI!;@!>airXI6=+&RBVaEc>l^h$yn+PU6h6)#>f~s#S-k`qZPj#4YQ?paV!23H z)b;Trp(vreZT3dh8*>xQ!)QSLuVh>VU~EG%!L{dj1LXT$loeOaajv76{wCMLDgIx{ z!t)A9ZqtkPM{s#(a2lsu7Z0X5vxw2>Z+&Nrm1GJ>1Kf{Ph~b@4#A?R!#Ywu_8^;e_ zH>?e06)^rW_~k_P)5r!7*tBX|TI{B2>T86^V$sc#B%1aVed3RXhZE1a?Ko}LJ`r2* ziB^18wg1N0JqB44>~8{Y+qP}n*0gQgr)}FkZQItgIny?#ZQI87z4wp1h~52C^~;Y4KC^MCYSrSSgdnRqfPoal zC6kfQJnK4w^dQ8=3AJIOZEhHS{!FOaXHcJC?Kiz&#Ei8+ips}ntF@7v@Uzck$}EDU z)2anNZSm*z6TZN^^#ZtyAfV`{X*Rrg*M;>d_+v>*jUL_-Qz`uZ zu8p2KJN|AHWXFA=@rD8 z!#QVaZJ*UHvj@quT!cDy_@Y2wh?x#EDqGr4HA5*3BCYf9dt^0ZYQLl7$oUZ7o zU*#}|UpQ9kv}F6yH=s(0i@ZhA&5AavgLQeCZa34FTxwh-ZNAk1gBEhiK96Wa=Q4Xz zG2UrD?G!oGb)@QW1Lniif|D180_0wgs>if)?Pr|uHhN4`JMAU(Iy6+B-CVT9x~OW! z9(Oom$5+3GX1_dPXDA67h9+a&i9G%(4G)KDMzBC2j)1O%ySDslmMh zRq+i!2=m40be^*f$gT{CH32noGy_HxA-3b39CXA@Fq0-Ht^uDbq1#f9iJ8#r@4)HJ zs_a<;V5eH1jK4Fm<8SVSc#m`OeuzuSp2mT~*rGpf;XTKT^zbk0(U7E|^yzco@IRVa zDYLI+Sj9;Gib|0cMf4CQa@7CcqLi@+8c6(|^XAtmX%ujnn#^oF8Wf#A%{V+y9gwM*5P{9-(JPA23UWnD{yg3B; zb?1Xio>ts0vC%4hKXH9WoyC?UX zI=;bi_y8fsU#3M?tm$01hCl#;@Y>K^h4fUhxp3~~9mD3^|%_hbH(A!?o7K$(&vBL-57K#OkuXcvD-{-DKmeLHDM zXAAR(bc=2#2*ljsu*CsH_5@-k1BUh?irOZxh>0`sQ_O5I=dEN6LmxCi5|dVpyANve z!;mw!2qErzJ6_WY*Bu`D^QJ;{(dAd@hjcSUY%V}e!wvRfkIW-z_z+_%=tA}8FVC{Q zUk$NW^NvRS6XDWj4RNjOeF}@GG1;xgd3N>O9vEIGa8 zVZi;KH?MgC0sb|!3OUl72X>53MpXKKxneTssw_fv5JFj~%L#VQdZ)1|PCW4z0);PL zgrA4NdTBx1rg~PfCylkTPz?;aDYr(OXB(J)R&Fkxws#D5D9@u)yLwcE8_yuO^lqpg zA*!*2a_jZ_P_L+i!P_q=FI)w=7tm=tNQ9w93xY1Xo#p1B5UrVx`o$@f&{WFlGBF%2 z$IKsQetgw_JwNJRR0c(xS()W(Bxs|3Yv%V1g2# z$p!e2;g645+@2w_5BCt}k*uOsV1t##N{Z~`2?2??^!6?`jgN{#y>leLvBRGw0H%^8 zkw^J^;-s)75{~<~n_jA;j50iE)Eo^OF5GP*wzxP-fuVonnyBI#$_y2K@NEktpN%Jc z@GMiP)uBQMl7s5cTZ<`(xVA6psv^B1-x!-}(RZid%;IE45KgwZ2b6tS)azkGAG-7X#IS7$iem*?$@9gi2*F-7WB-$Gf*Q2Q6$8!R zQAE-P(_clVr6q;XQ+i0XAzM#3m!jtmqS&mWxISk2!fiUp`cuF0RG1f!MojCugFR_&PhE>=(rOJjRBNv}K)b|zcnpa1~DOA`*38hO2hd@9myFi`FR zF}|9UlEebi3cugk?4Lvm80;EjO8+Vl+w8xcBM(?9oqB{6?K6!q-DRs}J)pJZ{mfD2 zO@+2Ga+Ji7JC z(-9^xyrzvnGZK#HXxQ)y;m^ym%=4s*6UQ!uLv53px%J&*I0EJ@y15G?l<6PG?h{`G z8n6lJg4xd(yP)dp{OHd&d)I zmFY7>$62;F>Gp%=jT$_2Sed_z1pN=5DKaSk;dF2PiVI$H6apo=fS?fhN^vo8mVH;L z-rjWB%WSS3gtRskhXCj^h04R82)?n+P&fToXAl-DuqG7XviRQ8Pu!se9u~RD16%X% zEKed}o5AEj^x-p-?~HcSy`HRX@}jz1iRTuF653i^@_s=2otq5p@W^d$GWDEWXV6C} z5zh;PU}Mb6YLF5>bW@M&+9$k0+6*6sci>Dm{f?PdHW>=uUcu@P`*?Rje}2D&xg>t)rAj_= z5nhZFC3^Z}(I*6?8+ns(u8DjhJq`UxM}Q-&%srCU;n)PVA~w-8mN|SRzc~FFk!fA5Jv5| z9PSg*@*MnW@C-^^1a|LJwTRjCB4k*cA2*Cfl_OIBMq}|gFQj-mO{@Y?zM064il_lrunfex`IGzwkJHPyuf(zr&B}rz$c~ zGf2KB^o~=zy2c%prqRQAKcL`W=ng%hE_rD~aS>N?ixp&xgsh7ggC01P zu-25${TK`Ady;aRout71s?n`}{el%n?Ky`QJ=%2%mAMTk%xh;fvcaA@Oyy^IH&JK% zI8bx6rOR2x(KeZ~iJxVgJx(_wh@dM?_j_J}5pAHjFwcBngQ31GuCR_r$v_l-^fc@7 zb`UMWXLeeHM&5q`c{CIh!W+r)CKA0Q(2c}Wh8Je$ihw#Z7!5*dsno@oX=0#@g2F`Z zI?7Z1so?}aTEf;Gu}^OB3yk^qtsKOpg`sjU88GFJo!Bp?NjgN%` zw4EvivNlYncWi)*#O8zFCH0hcDPf_QbEMmTt)fc4{K{Nm3&gE#@eHW(;MWW=D#RIR zU-Vdg09o0FG6=X`mJ1lVJbGZ>?*vtboL%ZR+@mGuo3dr{jzX96FRQ)|=%6ZFLZi+* z*1{}dAQs5;go!cI8dmiLRQ~+Y4vloe6=;6@(o7sWeLvk1fA}TE{9D66^sDL`S7KQ- z?LoFdkqp@l)0wJyCRTdz3JkC>?B|je2WG#sYQu{VTVG9JSWCI5+YCm?U)2R`p_?4B&JB^lB4_IYs&&Fpj&G)j-b%4`gSF8c{9vae+)UtfX9HHwG!<3-tPHr!E7d#}Jz`D}f)Pr58y~8-xe|Fq zc&5#0E>;YIEoTz0ctuoXfdAK7d&v-Tf>hIn`o2_yJ^L(1kkt&7{%Zujo*~xyCKarb z)el@xHp9KfFrX<0f>+&D1?;lhM&23Hm#miS@|arsU!wY%EyGl?hWj~`d$CSI9q@P% zj!G^ls_b!OUKrd-!WpJ`##T#ypI1%VPBHJJtFLe(nO{#^j%FFOa}FWDA#le|%k{Y& zb!>?q)v+#8JJ|(Xy-dAOWd@=Clt*N%MJP!-1rSn$iiX#lD#C^O&yJvt`1;2RC|5)z z*KFmfRrQo2GivaMU-~=;R~A4>w)@1Q`Cn*;a5np~Y=miIkK5e7jALlaIdg|vZ&&FT z!NT{)i3b8Il^bDAp%ANI)ptIKCudp{Gwb&1szP-EKr!eb41;Y+V?V{-f1Z}n*B3Ul zdZDgIy^!VYwVs;kJ+IR&p|fH`K9tYQA64P--uA*ZvhXw5ej4R+yPXgwyMB=kb56su zS_vK+??Ns3`J50e;(xd{JJZ9<=%Jj_UtWVHD>cTG=BhhiZ$&EoKe!e2>)}G8>E+{XqXXBBRg^geG)j_7F;7#W7 z6LQLhU&d*~$#W$=%U7)DvUprYs$Cc*=$+qX@mlQrO&2TIz_Su7T}L{4LYwEslcP7w zqae64Nt+6B&(4pdc%;Bna}LO;1=MCGDiBgPZ_AuXsS*1(XDXb?5mb|jo0XMpAvR`6 zJJ&OBI3Av(Mjb^z5aa5PFKQl&5|_v{WwZ6TS9?;ODsw=%VVL^6+NS=}wH!8ArujT# zlP3mFgbW^T*z{Nx!7Fqm4%L^pV+#f&$46WeiDliT5QU!i=qvRh<#D`2RD3?0-y8!o zFz4ZOkIgxWyNdeBDf}Kv8x32O{X%KKLqH=}0<$?LD2fkX5|T5>maivCF`w}A=Qg62 zSa51S9fa^BKaV~J7{4RT6w&wQdR9n0dF@?}opY2qilDUpTw`x$m%bRlJ1%6|8e^@C zet<|B4}r}8A!Ayay@ZloV8z{f5qQ7-!RCX$0F4HGE*QHJ43SMVR(VEWOwr~Y=(Top zU@Ve-+uC7Vlh^%?EkaLmj@F7R>{dDHbB}HkUT@Zy;`rP3lYB;LGAAVL`ZB?=3mQ(k zy6WB#xzgJ;Fc@+qReAo^OC4WgxM!p>$|cKb7&<2@AJ>!y`Sq!|Y8*&TEPAuWJw$hM zQj2Qdc8^9dv5*3(hpd|r8E~o>X4jEMZJgn-RbVXLoewy1a=8SIk$Rajwj z7y|m3rJ)>_fykGK_l7ruxowdK+duKnhTx zs(m$C;eQv1lCU!NMuTWd@P%@*Kzy{kbPjP)$#T3sPMZ-%O0H+F}RSp8T6G z-bc*rnJm2^BAlhtGz$T2&ZY-XAiz&xPDNT^%%?r_W52dt9eCl~a+==g#}u(u{aJlzq~z1HaWAec;!&#w;}Qq@?; zov}i)&P=F_ZdZ7B(1VdAPLwaL#K24qg##Rcfzgj$>utEy@%d@e~SpBLoR% z3=0NqXXvAJ7}OA~=(=4Fdua!25qq)VZhMP|&`Ku0M~V|!U*bb6Te}7$Pgin72F%>& zC+!w3`CaVa63!|=E2Fvz*LM7va9bdng_{x3b?m(0lO%P+VIa#Nm_E#YGy#B(oXDG$ z4)v^^^-7TyB918WCIbS3_OJK*QlM7``f=!*_nga7crJ}vi=`gjiKxtqrs8@Z&%~#s z@h-=Hj)TYA+n7Oh9k@{qSf&-c|Jc+se@8@Am8wYuTkSwTTbhao?QX)~D9oeQR!z`= z7IotTo8n>1lIZ0e9{JC4$%rDC~m}t*wcnn?9UL4^%XTm%~Sc;`rf))GXL?AS{sKNQR9c) zGErJLcey`w5V-}^3%H;EV}!|(L$YrPyRv8r;e%gO$Bc%ORQ${h?(~%r65WM#$Q8ru zbRORFQxUbkn)LOJm+pSKfPKByC%mnAvP$Z}dEbLC1F%U20ir#s1K>}=vg zF;o)1PHtdXvi!k}e?h5=kG6Sc9_v!Gt`8??ns>V2r5CPTjEMEjjfhF)R_h?ZuJEsS zX>^|*nJpKXpGqew7e%R$*0XUB#69WL!je7v5UO<{$8X#y_=qfJ0aZA8-_*m{fEza4 zk`*{C4)_)CF;~P_%bJd7EEk3kaEcC(425CrV^G6c*_y<8G2a5{k z$$E38g}?-Z?+GUS5V|Y?4)!gTkQhg<&+BZ_WWn=^2`;&uz)uib!1AkTIyU-8`K;PjRgtQ*x3zb&qJ}h`-$# zLqRrDBE#kC=iRRap6*O9_?nKg-%O?OSqU7~<=}R!@#Dc!KL}qJ)RLlJF zy!vPkcOF1`M4jG!elh)-aXm`C&z-Z=Ws5hbnoR!2s;lyg3i)U2lM{UgGvDD4zT5H> zKBJz{GBe(Ao@;HJx(fgG#>^VAE$rOf5Afk^cdez`4iwv8LQMj;ZeX@LyJ)gF!ars$ zbg1LE`x1x$@*%)WGY&8J+Il$!r9PVGcXeN8a8}=zz~0mUMRvR_04pFO5BU0>mp;`S z|NE(_HAsb9J9ysHkQCg$f%#WsM$Ifzy2-BT7EFTV>;^flzIBo-m89oWZm+DOMgNB! z*`)Dm201}&+zq*0wHIQ3stIK`jz>$>1+**>5{(M|U(>he$-h6E=+7QF-*r{m5M1Sn zHVr<9@*)FM`*XkJ2z@C#XuC{GF1B7tM2-V&z*sa-i3H)zpB zNB8QZHCf-p(#$0aDalz+ePr0Lr)4_=6Z_ax!EBUimJ`vHpt$?`8#uG0NoPiprI-Pc zz`hufAG-1}bVYt5j?+jx!nkw+%JVuvl5M2&>Tcyjn%@wd5rI{iL|U)iFu2Xx^U6OO z>o5k^Nvpt(3ymC*$j2x&cHYW!O?VF0(oZY2QQ%1z(E#Ot;6KE0VGj_^;#VPaxW%eL zmiYK?!e6R>@X89nd2-SmfLALM?+!EARyEE=cEb^LSMxm0X6Xgxo7l^JJNK z%n|4HpKg_(M%^RMP*6^6C(7c%YB6#vJkas32}G?vF5QzFZ{~8X_zCJ8aNiXeTeyQ~ zV_Mv~bcv$Rl(8DHqiFhcf2 z6wtreXBH=qhc`lZI*Qx%h+33d`!=Z8fW8L&t-sU1(+5wK3`)5R@zn`gFLgEb(|=tt zsj73CR#|YT^qV8K}0psAx#d*Wd3;CgRI|uAt z(9)0u+z|-J_4=EgF~Vi`x^2ygJ)yFeeKuS80_{jgSWVgWUV>eh_{$ihQaZv9KQ8%9 zA8G$+ktpP6Cc~ScPNFE);4bDYYd>K}izD$0ZS3BP+${NQ0)RC)I{GbNqBxeQ{6?CF zZbhw_6(7X}Jk~Ph2+5ajSiXeRj5-^%$w=FG0?}7{2dLtOC4XTY6hkMsEv0yH8hKOR zf2oN0S|dBmkXxGD8&1@@e(J@PO&_|%tlZYv!;LAUWxz+ojnf2|&r`v9 zq3`-}rH&Nb(-7=MEJ#xVfm=08_>^RO_lkp8qF73FTYSWAWD7{7H2;d^(4>SPZ@@$O zLm{eW5-<)0d8Ph5SGqs{P~u(q`R1&L!N1FWy~A-AAl@xppg=vlhYq4|WmS3hcn6)z zxZ+d;TeG6-fBzuui@;m+85Ugj_(onN--i9_IyoM6h9ZI-nA|cv z5`uItnsS>dt^K0`M~!4t?N~n~ZiFbo_kZMM+5Sy0{eN+?!V)5iViNxgC#!5`;rf53 zWSKd*i0E0kzBN>)Z&a3><=-t?&i~nxWoQ0(OO}}fhVdUlt(A$ey~Ve?`c0|+OOoYc zhhfx|GPAO`fVs8I7HdOw4S}%w7IfIsfA+|153HT>h*3yZ(<0uC}&jE{rCOCJwd^ z_TNW4JEQNTqvyXan=+a@*xDL7Gnz5}bGVFV_NGPvOGYy%S0h`-e=}>p8~@4HT6#KK zn%Og2Fz~!X9{W8T z6Ek}k#_zM0gX#B9d{+RY6Qh%>8NkKrdz4PD4lZV<#TW|NmOL?Is@k25l60Yx0ulYxrxe7cMlH%dK|2_`LXQ zC*Os_SDwXGcKg)ZR9ePe!D(gpW%p&vQqNy~jgQKaNOZO1P`Qhk$)O9|oZ}4a^k2ZY zLbxUtl4iWPp1cfNUS>^%Q_AuZO98!DxOm*{5y2UWs54XQ3nQa3xcNrrFi7mI!1eV& z>FvLMZI1wxxIJDX^bumn1JSUX!CPxHz)TLS!&sa(m=3 zZDGA-d8(a7s{tdmRQEqYaaTEwb-jsb? z*kjShFh_S4KPc2kQ7ToFR-$ za~p^A*SD#g(G7ZSV8z7?V(0&E0pS7!*+eLTP`YFu7w2#F>HG!Y`O>1?_OIF;+1yHr$J-P9AThJChcq;QZQEE_`4nWrWDWPn zM_Lr*7yaObCRO3pv2hQm$l%5+>dKklN&u?-;!VN+d=vY0NO|8hl+}te(>WL zligQ~){TP(S4GEK7VxJ989etlz}xa1E~B|T1-~slJuwBIZ?R+e>W%%SFUgR4wfQAz z^d&y@o@c{NN(q^dD-<3X7#WFu{q!NI|J6SC#>i&eO5s-eb)OiOoLFhM*_qSjmjlyFh>z=z zW0<@6y_mw6BgI1-xpWh1#a+6u(M^#xV>j%35pDMAa=r2F$i5T1iv#h1Bn;GVM8E0n z>s!n^@>pi*_HK!lt36L4^8tm;;uQ7qW0%=`Z%a*i;d>N|V&h4!H0MtCv3aJscl+4^ z(jJ+TE{O!_x?8IA>II+kH+WKFLGMv3V=Dg+-puk+{nECAW%U@A&u4nzN<$ae@dwSu zAz6D(SClaHMV<(}Nm7BQhmif7bz#kR?cdpnU(NP40Tsmq&g7>{d)=EsqH{;_EE1Sr z0Kc>G8O11xE~oSyyLsi|t|(Pd|Hp&aqhs94{w*?y2v2 z+CU%SXBBlbMaqFY{p1Lkb5m51{?qHZpnufpK`Iy*slV(S+J+|Sfs#btA?hr_vMT#h zluIWvyOCcqY4jCC`caTkgxA>o1=Q{?g9TYY4Kdgz5U{z=8g5;hMR7EA+ejm+pW-#D+UH9lebt}Z^tj}H;WGx!& zLs(h}M{5auc4!L~Zy!6nDRHeMa{;eF>hRfDfBrh+rzK}lCL%{2Gg^&Ldi+JS!?+_o zI~075yVC7{A4P7`>0#4_G}u%yT+-Rg*do%j0LU^c)B{-bs~D`V&+*fR2OXXZiYW)$;rD+vRhwu=Tlv~Ur~bXAq<@EWB#_$oQFKb5y0p^*ex zM8sSMbHe15Jje%!Myc+WaC&!A96b>SYA(A^w(bN+XTJ=vlWOiQ;#CacQ>7>UwS%c3 z%&u2Re#JAWaCdV*NS&7-K2ar&z&27-E6YnmQ^K=v5&Fzd?*D6nq@$6n*Wg*zah=>S zqR;<405YmPjZ3VwC02E73X+Ga#a;A7`NqTZR!)-FJ$q7cx`faMCAY^7cSw(!4cEoq ziDe|U;BAQdHp{T@Lk@3e4HQA_0L(AWMNt4dvHz-*j&$Ou4h!p*W#_wcYRt8IW&dZ5 zyixqC%u29Dox%#ts>};&)Oyf190MaZWdmJ8XZh(tSw%t?FqD_cfbLVUa6}g2QlNrZ z>)^1PpINui<72<9hJ6@Fv5aw&Njq8ZEsd7RfFY(Ju7^ycU{mS&7<>|U70ZKzofD&- z>p1PM6M}osz*a3i-5UwsZ;|&==Ul1cKbMGn;q82OK2V+w?32|L&{AXvQm_dKu_u+| zAp?co$)eeC@&h)xEObi~$@bK9{PfJ`4Dak#3A4tk9MswJYtM*>TVBF)H49Cz%lmxz zF4K8)og>E>Z_5gqvOnl{bRr7A$jT!+3QJ}GtToiXCmngUXFNB|E2c_?10k~HT!nFl z%RF|QNpXf$`ci?nFU;_* z$d51Cje^Qtz65K*Cc{&tU--_Gd49&7W*9nZe+HZssx9tm%LVK7_U=w2M)-G?Nlvzgb1`=-V&WG{pC;DcR_NeC%$bRk3LcNb|$ zD2-vp4x~s{&2j%E^otaECM&~FB={g?fCHo7(^It#sN!KA>tBkVWN8!m{T|k55e!3? zSHiyrXqSHDcPNgPHC*+{uLT(%pM!|J*W~FGV_ay~?$hX9Nn@S@p(Qrv0)8b2anj$B zs74(KY>~WcruKkje$l>>VrvAU2j^~-RK(`0O8*H*D7MZZ5+rRbE{kPm+nF*&;DgNV zgEHUr4#owBJ1tzM0TCU0CbI+RT%0XNQrIwmZ*L05G;-c(jmb3)dAjlx$z}YVhjNY? z#gOZ3Hfm*Ew4Q`MUK$Q9RUNWv_6PDeQ;cHzl zYE)1rne}s$N~Q%xgx&U;sC?cUHuEq<#Du?B)2%5yLDi-0Y=oHm3Bu7tD18b-6-^P# zhmgxE^dLJjd!7;`_)o7V(RbQR4s>!m|ALq?F{|}}%u6R3wof|!{Z)c*!!WycBIxV- zrXxAhOxJBvG*%$giTfykET&u-spfMOcYX(sZhnQOPG($*NQk&C0&UOxBTDcg%cfE^ z61VU!VLv8hlQe9^`c})@LGf z#HgfTk`KDribOG{n)E)vi$tcJGUj^r)NbtY)!0^Sbo_O)OAc}9{swgvOFNwJv=WyO zon1KQj#nL@?BlpiIyZkH{V?eR{z*&KNQJ*ez8*mYWS*`2mp#b9R-!mgM^~QlMXyKZ zW!xUKKk59PiXtBrbhXUFuixW`y0t{iSqB!CXlw$^$3gWl$;HnB7$)p(H#si2cH6@5 z3^JjiO7y?tQ7&W2s2lGkPA0M_B2rM{C5g3D278KXHhYSUVl+mp!qiKg5U*#`yu$Gpxm4FIu0ase3nE335(f zWP*2Dn+RK~*&C*6(Y${qYb%AK2~rnaPSlAOJ1cn2HZ|M-P=9Oap;xmgD;jSb zsXMEdD}NO6G3R&<9unb?uX64@%qO56o)?(FYl6K0XrtsET{zn99^F6?x;+yMBUAC5 z|H2zFPrKcAN9<*-2qi(?CD5#YN#WTHGVBk?Jtr!@S=MtD#iB6T|i%5 zb)!0bhkAF}x=N1NoZrKg=R_O*KAnRPu-yNE1}E^Uvyp=@#AP3TxG+bH0(rok#0AXnf!!D{~rp^?US zZxR)nm!{!LIbIE)Z*~{I-Yx_Lt&83V_PeGyNA=Jm`@IbAY^Hh~ z3&gWt>zy3)BqSark}0tNv!E!f8B3x#ZPZ7GZQq@c_R@OZnVNL~%}ZY;13(q3`MeB1 zK(D_-)IbPu)CX_7{FzGe;i)JyuxtL5sMzoX`#u>GJaFyo=O{pu0TG?SiS~_qkt+4k zkZR3uXj3pWX1Vz)@H!KRvkd#<gs$7cin z>x360lhJ*O1@Ce*^Jv5SB5aCI`r|i9I(}9?hvd9dL*k;cv@x%kx&YpTOlSz94o^P# z=qS2dqhOamSd_r((qWD_SG1px#ImnonTiB2w_Hk*iXsR)1SIKCIi=HgKLOZbSie7j zMI);{rmOwaE+)^?6oEHVP92PlO5H4Gpuh?dcKcPNwn7IzApr~0gLAXUV0;A10dZ?j ziJ_hzMvxV#LG=$Do^47)O)Zb?!05q!)cOm-)8bNoZc-kV=xlvfQ!1bJ^w|gA48z3- zg-*`D`3_X=Lf7X%`;|MLi9FTR}0amZ7}z=c0u! zJ34@7*vT1MV#WDO9XP4Uy8Gcd9o4^!a;SJ<)f-?xQG2(w&z(C`Yp4J~TM*@zMPS~9d=d^uph!O0a7IgVZo@y} zzE>%CJqREzW{b`FZOp+Ku|>!7hY?rHi`S*c2kCk?QkJ4+9?Der<4A9TC}rf1n5+Lf zu%-GsdDdr7iy0?DM@;+KA8m7^q_MK;KXO%1Sa{I0-{xx_=u$(LNa zbXm`q?r)}hW7=5Xo%v*7;oN64C{PfAZ4B#cx&<(?KNwPfQ9?so**qpkvJ+@*L{@Ls z(t7?z%*-za6ZhzbN&5v!0;{R`uA;X=i09`&c$ut{l^LaTaz27V(`DBfKAj$*1A?&# zYg5NZL4K?^^+aG6{%pa|1N;#~XC>ea)a$)ob8Ck7JaTXraJ<{nclmefWFYP^j~%!a ztu!WS;1G~NEo>TF=8)MlpA;@bz5~3UK;|<8T_pLP6)Xfkuw_GVyrdTTz;2TT(^k(? zIJcGCuOJUl5vLOLhY)S~UbraO_?!0@d?S~9l%o^`PrPnUINMRu?3a}Fd2aL!z&i16 zs9r1B#N-lKh)K=f)OB#VLHPZ`#lZ4s<$cWS`&*MkBFuT3&}9DJ5R=ddsM(0fB5ljJ z$RWkXx1IXfytv_>)rbRd3%NUaHO=2vs$A7^OY2o1q6jEDg$uu}h%PIPUdqG)WSbSI zQ3TFG1to)>Vzpn0!o$ZhPHdQZDSWfS4|9P8gT)EJ{rdAy<7z81t4Eq)sLrZ0yE`Ns zIn=#}fh+r9XizAE(o-3PmnkJFF+IW*+C?I-;-DZHif|2QNTjl>=ELZG!f)GjS~nj8 z`!t7t$5c;ZA6ht52K_fNQ>)T`2wMV?ku$$)Z4^m^_S%7oGoa0ey~`O&vzMFJ>@yLIW&md~=ukAlk`yhChy5y^xOIG{q$G81fYfN;?dhGD#0BmWmR^nroZl0z?ia z$NbH-aBUqva_mBTe}#$IGu_`S8|RmRWFL+@fPB<`?L4>RKr)1nq-M~F@1fFT{6t50axeLIf7IDW| zRL>E(9~LsAwnB7?%e8I30YrLab%z`QdHF~!9@@toR_uy&DNR3(V*{k9<`{^t^rdO; zB&BR{yZ)lC9WpZ|)h3*8U9bqFC+}r25sZ33R>#>z{s(__B99WhoGcA|dbiuzd zc_H1k&Lc&o9cN)Z)>1Z<*ZS5zU01{3O}#rDa^78@keIbyK^pv#lA)37iuDnGR@QIi z%<5@lG-oelh^gW>yBd=?D+h4M&L;)xN?7fY9eJQEtvF0R=v-#3-)?T=hH^k1pd7yk{u~TC6(5f!%ba-s9nWQeXKE$Wo8OzX5vapiH$9Pf`o z{9{>ASKqZ=wII2A$I+ac0m3yv0(D$7Ge30jN!01-|b~E<`(&6EfSSl%o zI(>idg^x{4s}f>4?8UOHstJeBai_F-^_nlJWc%BjTKIYt%n&X0-Fh9mhS_D+oz8^! zRJ*_jQGoB1{#FXptlt@uwA=FIPr5%R`@neI#*#^BW?Jl$LQDkA*7xgt$kIRj7GVd* zlrsN^cWsSGy$;-=s8d&-3yO^!TxJcJ>@HHClAJL&-?b>y4fb*#HW-$r{j`}aRsw9{%`lE>nF4T{axW8 z1QI&jb5F+4Y8Z+Dv!m$No=Z~RI&Z-Yxrv|MU;)XeAU}jtchbDYK4?IUH^7OW`zY8e z8md51By%Xa(ixQA{o-w#TJ5WIfsI24RorDWqH}r3eMP3=9&syGT^;^r9Bb`_kd4Nb z{^njP`~$Jf%&yM0RidB(81N$&M437&J>v+;_TJ7rX>j+y3hz^bEYE$jt^AbeZBM92 zXG*z;)sU=-pJGBm|0=Qvaii;ZE=A0M`3xK96mdu1Hjd>E!{u}HDNO8o?kE)>UpljB zg5Kw5W^$4=otBuV!{muv(oY{9y1;(h0D`Y#xVnMfvria9ezLPNrq&-iRA!IG97@(SPlZm+i{>BFmQ;= zt)-27@r2H1%56KmGYDcIMl_wuwU55sdaqVu3RyE8q6(+!beu|1Km?PDSp7SRX~jT4 z5W3^ao^RKVLc+d-Nq<1|X;-4}1kgJ}W~}+gZVp<}tbNlOjCw1^J~b}}?B^4%Sy5hK zdI_;6!RJc zij+W&rsAg$@c`v$RejcUu#9(%2`j{|BgvKU(sh?`cT%J^T)N+u>M(Ec{^wT3!2hpjd7 znE6Se7$%I$>w6R3`dQa{q_Z@FqsOTq<9C1`x(zQ>J=1viGN(NRB<@fkpN19%3Jkpd zhC#_SDC*=}O#!<%!IyI18}2CtZ*K!6X7W!GHE>wdp@n@RQYnNjf7&j#&xIa*G-#0z z>Mbg5N~ccb-*m;BD_Yg?~o zUi=^Iy=7FK+t&R_aDoPRcXyZI?(Xgu+#yJS;Ox8PkQId;!^-+OQW zyFc_8olhyK8bHq)XsbbmzNI;P~X;CAo#IiXSS(mH-eqL<5aJxAQqW9Moa{7qr zjZwXk(4k?~p1t!`#;WbMkU+R&zK)|Wb|hHR*wgBe0YY&5+~7qix#PVVQ+c<9pnjTA zir*+`ZRc)D+7ylltUqvMI_MAfg?zT~r+EG5u3&wo{WJZ+a}}gO&bRlx>tE936l$dI zC8VGZNV*7fOO{;(G0u5!fsaw8A>;w7)?(%F-en zr#Vlm_1)aCa-Xd7QQ|du7|?O%O6k$rLg12n&L3<`A1!c-U}3XaFd)z<=;%a8jKide ziF^P3)jg8UID_yfU&9Wuvv&xdVJeveNX>bJa?pnJ$7LFV)5y8nq7+jD3E^3EIM=6U zp9aPyXVh^!_RWJn_FQ&;(dZykHWGGw`s7#3-1fdw zs@dPi$x|=7r|)L;*wT|!*L}_-ra$T9sHso-ac<|6diO($6s~zc!F`Q}7B26h-n$EZ zPP#qAC;zdJB(GY~n@1UpwL$$MVTjq3*<`UKS6U8%6^Z!tNo6#SrrhZ=G&RQ*!dSK1 z&WZPm49(O_ozRP3)z_w}rpOH^31&MQ#5%Z+h(l}|QS0%}Dk>(z$Qo)j(C}#9stXHt zxHQ8!(?n3vpqJ?OPF!pyP?ETBbKTm(hq~|$s*Y-9Vh%!QIByhUs%m}gB>w_>$U72a z;Xw2li7I?KV(VP9&H5US%Ybv~<32D>mJeRyhWE2?HukjS;boR!<&zMi`P;4uNoQBL zRZ=tc5%41XE;T%sxai&qNS&L2afMau&nJB`9fHsriH;ej?c1W;^pQ?D*L`F?@{D6g zwgt58qo=)gGXc?GQ-Ye#wO3IPX1$+L6ixhuY;xWSYZCUSZJfreLFXoVJ!!WR6!4hA zM*wl-a=DP)0>L!3f01Cs9G{X49N^C2G03JSROps{b}>h!9?E$IZm38kQJBU1Shvg! zjxI!hqZgD>Ymn0a}kZ1W)&qC@U-j!liK^?SOg{( zNmqOw8F;1U#PL97jb?PL)K93(;*LU0U*(1o8&oK(hvghKZj&;Hy^n%QSe1e|Sr!>W_q$2G3CUY_T z)@ovJ!`i>EXuNigq4D;a>5b;`=NbZshl5D1GP?24YI>vqz^&@*-?ZB52A6~M0kkK=3O;M)x?kATo7*%7&GvEj(AEY z4*?-22N=II65 z;M2*;Z`tzMqN>zZ2i~dQ?ob2j) zY%9TXkjCPq^w+1oHztko5iSt!q1v6zN6##1Yf-`z7&cC(N4u;ld*fFjjInXO51Fvq zP=`}`ghZTsxt$G8wL+)fcY!j%e z<>>=eK=rtn`R}W9sZ zvt|UnU!To6Op7v}+@~ktxS3%!p?WXtP~OheOtrgD#O*DwEH|=JN#A6$K+31g_bU0Z zqs_&lvo6tMU88En?da7FBF^4(!(_pc2Pp>gOdX5ea?fEnd#JS6jMpYvz4UYij67310t)#gxyjXHs z@16Y|+H&B4i@zB>B+s#qqvG|EWztf0-aV~mYZ*d%kkMOrnDGxf%Z@DS>90R>X^El#5F27){|3}+ieUXr0bCFsHlM%{U;AxtniX(hbFezUkp}5L^pzvi zmO)Z(mDm9_Q5{mJ3QEF{-h&ecWVRs(1gUVWr5LEo)guK}*6fAjuL~XD^#! zaejB*pV4Qn0EeA<^Km57ZyPSbgF}YSKK_KfKLg!gx}^s;SaT1WfsPa1bFJeZ7$ovT z`yTajpa|8}3GO~5)qo@&tB-W;C$SrRbHCGgs`ek4M^h~dG4qio8mC;O zI6{`$+P7vj#${5?ke|={>&ib*#1-ZA2H?_|%UNba3(crxrXw^>k7I-ARMS=@wE&`@H0 zW8@c8!^lBNL>`k-*Ty0m@@@7YB6^p99kD+2Qxw*6fOZg<&`gSMi}qfGdv84O z%ggXJ4Sx!i*0l+(D}W}Alab?6K6TuOT-Hl_qcou^c)Ow#uz9wxCI zYnDFrY$QFt6!nd8YbTwB{#6o$4Yqbdc9?R??~L&JFLqGy9!bp-j#Uj&Eb)U)#dwE2`rmbg5&YvNW72=N41i1*&g-CzBub!2?!#!(K!T z%J^B4S9a06VCFRhH4!XE#Q1S z5crt(cZ%0zgR8s}r6M#j+21@f1|B7E5D{L}>F!f=kC}dG)6TQ;Cg56_W?H)-42}&a ze1ah$Wa*L8BQ`<`4Pfzz+bBB+w@}LD!B&-t?6E=>ch6qsf z&G{gp>hiyjZhg@;+^rVdyUSHm_aT!}E40$rNr8*4Pk=j`CwC``uM#UO>RoS8M+yg0 zq!G0bkQGN-HT7IH?}-lP*epon*oOXIOn!Dmj(M9?*HrYm_mlLFuyW5vq+OlGM|(M) zOA@2TB9mQ^Tr?MIS;gZ_LqwH+Nyy83(YML*@>5OaZ98?V)%@^oW&2ac(V_`=keTnG zpwCQ{^988#T;S7mbE~afTg@1(i7(j;+i&|WiIg~5>9ShzuA{ak`M9D1mx4=lr?&2N zn8zRzfH5v*uZiaqB@Tn>nQrdV)?(8oO47Nj3~$g7Ix8V)RZ7R5ih(BL^*YUkVk9Ja z4}wRebissKsr* zMtaQoSd3!z6hAftJ3rZ8rFRlo&pz7Pa6DBd$(>_*^Uj~4M#=|nzeL1ig6d7KMx`R~ z1NSP`T;VHVvzlWTKNQhLqnR%Y0e3BknComU4NqQe58ey|rwdH(O|X`!3u-}O*n|Oz z4C8s^yG)6jW_S6j85YyNc%g#hwd58}X&*M#KDfny`(RazMcS-%I1mnMIg?vQdsMNm zV>`$KsE*rslM4U)r?@X7wtpQ(cl$#BhzunxM5%vt6Nv z+c6Xk{lZ%3+CUGne7G|TG~m&r8~bR%T`~csRx9O$Rsn4CF$)b^K!G#6IjH49#mvNY zMZip^M;3 z4o`)73SJgFUZTc7nUy)hi3LEBHl?vcm>Nwl!fzW!eU2Phx>mjQQx2aMEpTHqjsMP~ z>w8Q504I=&BD5d`w$aA8*Pa}*v=4%ZQ*Jg@9AH# z&q>vX>=24@U~QRx3o`BN#a!%9tUW(WQpH-iDxUQaKaB<}N#cP`CP4C`y7P^Js7!b% zlvLhjHq=Cs>POiG`=RJ9Aa4Kd&AT^brW=WKwS`^0vIzR1&OyWIh5UjE5xQ(w}%K7p! zu?<7KCr6(dM@GSW1_kY)W&WOPW4o2Sx*5(@4Fcl24T^c^ew$#Z#hI?%PeNNMCTpog z96UOreYTx8FkxslF2Yza*08+RFZ2)x-9 z96Uh*K2yGU0uD7r*DpN87xMEcn)CjWdcH%vh|gZW=QA?3Vj6Zf&)@xs|y7r>n z&OO*$8;9C3a;0Nwu8kt^I{G~%>yazld~vRX1YGbM)-uOjhy(=F3to@R8{;f?zX!NV zY^d`+f$TJYRf#jP3CwYXAT5q-Q@TE$_2p_$m+PP={&@!@CV0_Q7Vz@>v!;YN-?Q&) zYlCbyvEiqqQfltS*d%xo44pNCRS`_6;kBZeK9_~C=_Iq~8+qr^4SK4@eQ zV;XG~9S&H@JNz0tm&jn4ri@vKVvSKqFdkXdn|VFRQA-zw+j#Lsl~JS8jPitZQCqYmEan&^Kao$bc zmvfk@50mB)L93r=zKTQzU&8IC5+2T%hdwg}q^ICCC%(#k%MqsQwyB#sfk?^Uw1>t= z{FD*RnjLBaILSCO?f-0;9^xwLp_QIj)>3URyoNaR;S=a0-kQ}8gw|K@+qdMTSa@sX zX^nTUv#3R^Ax30-f+-$-d3V%KI<}(HOe-TI={i4yaY}pk~{= z0fH)H@8I;FhjDqUC_c7*Xchd3+P}ZU*{p@to%CAzP(uq5wGsm!3WBLEBqWYA{q*{+ zy|=l~42=dobKaYLd8MN}Ie57e;H#i3g`*U|Q0<o}61V5)c0y>KCZj=7_UfD4 zLVasi#%i)^smzKR<5M&-!lq}8O%86S6DgGT<;V(w)XDQ$8i)7Q42Q@RO1%Pvc?$B4z`4Ox8aKYVZqYRjl*e(4sj8>D_dS0VL*y-a$|{>a!ni~ z6YZ3{CTy4cZK#NS4xJ++9?yG?Sd5rmi}PD_)wrC6i7$O`n^LLsQMmrj=jsO z=5^;74;QqA{Wc9*&S5JS#~d)m-`zCV=8@IGV^-7FIb|i1*KBul^;RjVN(VnxazhV$ zP|C=hMNyQdTIs2qpr@=tkA4MeABx+}FOF{z#s7TBRO`GRS!s_-=d7lhi5BW1$jm1B zx=avQW=`e)BtH2@Dm&ChZ-*mdSz#G=U(%T;Oy5p*lGoNxZI`;uTsQ+FnH$?)_$JN+i*Iw&|h6(d0m!dQz{xiu}rfTR0Dt1WAVHxwM8 zA9mzoJvXdd1*AUQUcmp+*ehmPST*WT?{?_P*7e}$5@ zsn+kGe23OJXm{?^h8djLItQ&>}oiqz9#c0_CzZp=FyKX;U zyRKO@9d&j`_OM^zF6M}ASMqxO-ZeOoqDO=}`bO1>7;VDVj)AsB@bhFSWQ=h#C!)R6 z>liaSLN7V$1?Djr!y3~DSKWYrk<-pTHxe1K~lwz&Uz%Wr)yZKf?&Yzz_Kqo9p$}nW>6eU9?>nsTn+z^d z;p{nPZ~x-(fCaiWW_Nwl`TJdUqW6D}2#Wxqbz3>A+`*vI*Fu91KOSOwRKQWym=KbdiE%KfkB#=!^II-WIhf zG{BKJhd^lXMg6rCJ)g0qL5nLdf(3a!MA7-EF8fP2v1_T#qNP<}-S;-n^n*-} z$;Ov|{0unM&&o?@>eUc#_~H1-{?#Q=ge=Oiy73;A-S@CFG$0&l$rP_{iQG!XS7xCh zmo0tFJ$*g_#&WnS13t35fIG-AzsKLSn(8W4`pVzhFduq!>k@=jq02abK26)ev9ex} zSs}vmiNx;E&92ot1)Otr_+dxAuJ2ZgfFnJvjy+)A zqNfpyDpOGRZQt!pmjZJI%qxyjK6H1U2kjih#nK#BhY!BhxKtcbnV(i@NSUs z3+C&;AqHVAdYC2t0Mb|}3DGa>Ah3%ZZvk0GR3N1EjJ?If3~R<7Fcc7?8pDqgiWQZk zW{E`~=^Gx^hW;2JMyQHH{Sl`+G2G1H(bQGb%+0UF&bbp36`Yt`_NGB#XwCS`cd6tF zNv2MJDlwuYuvG)z&)^~nkGNOn%~v4)uNP4-Nk#X8%_83KB3{KQ@ncVx;*rpezb!Zb zONrzQ?J3I?u)sM)oQr`}t}#K%t;b|2=7}zl03ViDk`=;~_O^<@*KSga zNk7ilrC1SYCRheCh{9~rlZ5nSNVS?m-GznPT+yhYBlY}ioWt@JHQ5%d3S^hL)mn0n zUp+ZL4-CB!gpskQKfHr)YXI{i_2+a%nk30=r%itT`Ot6_dZ~}k$FjESH3G@3Dop|W z77IK>(khi0g--VH8DWwX3>s1%Ko+4HgXc8-jD*;xw8wFL3mf`CRKB0^{WT#yx?T1n z2xao*5R$Ime>}15$;ul%!(WKm7vQdmp)`x1@&em@273Zopf#S5Vj~~3LYtFxrn}Mi z*TIvgzy*E}4?S2Dc;*#|T&p)1Ti$ubJ8Sdas5*kMm>7LAl#-sp@e9fb)7*^htnu?} z;1nAzf(+aA3@zMA{eafO#h&2fl!Z*&JTT#KK|@ELfwn04sOm<)$r2V=?I9=F_RnBY zLA}St0V$;GM4D|VYN^n8jFmSc#mul+s$VsmJMZ7xcvvw@oL2{ZoawNB#V?&4GmIF& zUK9m9-l*Tn+OO2QJTH1m&l6f;;0|G;_%7)1GpqWHj#+!EoT13dFkPSa-YnnTKG=k;5KXZ`XP5#Pc66-$2)en zVBA^W{491}o{qJ~`;Dd`j;|ju5!@Xj7(Uz-;&iAVjgUmX8`8eZ(n^UTR@DVcV{baG z-VMaePJLk2ai^cEk|-+GK~~S#+Clm9DHc)zAFb7Dbt`M6cS^g6!?dP?6t7_usf#AU zd-B@FE?D3eQLTcI$Tkm$SWs_U+v>KS!O1Q=im=AWqnwjv8+Hmv=oQFt9+qMtaiSo_ zkV1qMzp`U|$WZ|@T9Zu7^)lA)y%ikB@)hvtaG6fug_~w7?FRQS{h~AIWr7@E^>re_ zX>|pIT#~bm`tmDx{_d4e59Z!k>QwiaaTPC=0PXcvmo3M|=X1IOWCMs$UyHI`8Y+|k z#)d_EdR1p^hTaD4W=5 zQWpQj2SgzEVyz5$)bKO&KymZj^joX|N#Q9y$0}+&;W0Kuo%=fix07B`-HK;XASSS( zyJPRI#EzsR(sf(sqbQhW7BBQkwJ2dcbnNkUr$bs|beN)&IEQZ>?ezv1oS#;CSj$Kt zW8)BY?9=x-smspvF^Pbe6vopk*jnABT2II)leF z;J{+ydACmR0GH^Jkn0sCsN8?xS1iUg`fhPj4PQ@a0ZPwCuGy@6ALP(GU)ZQGsEp$9 zXsEAF?{YVW#H;8@>|UZSNxXC(>Jl;cd-Rqs$;mJPkAREcb_A0k{;ujyf_u&m`~f+_ zHX8D~&1>^M^`UbC-b=tn@9}Vc^s<{>fA4GDRr7k z+Uk%WTVccMcx(m`X2qLpTqlf86LYS5FPOGljq^i>O6|j`bJ``@g7R;?P3wijpRfu0 zaoh%YZ*XhiPktsbQYJRM%rO72}}&W|LDr zyb6ihS7GJD$b?=;$X4_Aw<9PsCWI=gw{zx=(Svztb(U>A=$7%B@TjE9>G`%>Dvs_1 zb^W571u)>jAv=(BF*8QG#JA8soUfF=ww`B<^0&H$>TDi;g$IJQRl@u<02#1K;f}A} zXy=Cq5tQ>Pw~%!Q^5TjAGF}vDFD7*YOSDc;dCOWNvgXii4wc6TOsxv}o1RG#N8L5z z_jm`^<>X}=8N+;=&u1GagQh6+nVm|YDqy>9nytr8f}AEz#-L_e%;qPG3J| z*1aPe=@Te);h9RFmsd1};ekiXp8IS+q55rsCcuyfcQx_tLgSm6Q&#QQ;3I*wADgBj zxW(cFa;8AOiX>1ff78?cI$)r853g$IreOm~GVQu`pP}AF2_89KTnn4@;BhvF96{+L z;6UGHH%&|BJymo9u=v_%gyBRbs|dMz{TP>TE{I2fU%U9!JlN>s=8Ek?*@SIdfvg%G z6UNwcYE9}i+W<{Y3)4^zpc`e$;=XH`8-yk>-A9iNYlwa84Re79E&^6lE6Gq;)!i{F z0Ip+3k_1ztGIce34rbh0=4YDB1xMn?5o6m1ZvPc3h>(+EUm2MB)!3@Ql%B8oEUi?9 zSf$~dnUB-t$1jft?v|aN=j2Lb;x)sbIG4V+B;!JCO% zcV>W}x2g=eU#}pr!DUaCUZs*T7Wqc0b$^7*?rY*kDl|}@76g6$LCT4;iEE11n?WAq zJtK^9BfXIU(r%gh5}w+duWgFVJFIi7_(A1jaNdSG6(+Z{xdSMK-OZar1Ymp@-$!6gTXJ7b|2DbZ`nJo|)!#vP&-*7!Pn71*vF_WMg zz7}nZvMFpyK=bQm)Cusf#`&!MU0=mkfX5GYd##Za`3@_)oCy#4qpo7oqvnGw1-mYA z$x1;;^o4A&C8+tzbg6m7FvjUo(s@jjfWKy~{v<6$lrA|caOSkH4;_!bQWB)!_{T6M)<4D9 z{JStEWi>S=8P$IXQ+i20`kw-oH~@i4Z1jLYC5C?uRAT*Wpwi1jA!CP^Fd%#y1`ejb z1}f1o0D_c$Mk>7oG5sE?#0-dG`rjgz{`WwozsD*4V{+18Ba@8&NJ#omqLD1=tmv!( zsYw4W1?eUI=q32*&v{3`g&H}~IT-&xn`HD7TlCi?Bj%TIBU=ND*WBE%>3%K+VH-nR zBXb*5zz1V)VgkrivN1Gvz}IA?Gcl(#vBGC!qBC|iw9>c!eH_@so(OQ{>ZKR zQ(V(OCPL}I#5b8cSpLj{`WaL8D`@IhNYu{=rJs9UOk;TbbG$>jQo);4k?ZJcZB7LdQ=hKqp8i zL?=uqLMKWmMkh}9?*qDCBDsEFLxBJO{8fK_^~cAF&%r|HWMc%##xk_EH^%2+qtgdO zc^T5ZEGrW_Q#!Lh#c92)nseMIpjqr;nw`!@0mec0J^6H(*^4iDp$I9`T3lvS%Udn3gt0O7<%xb={r762x zZ1}v5co3L%mRBO|Z?jT@d7^;chMZE6LR11}K@G42O(8TvAiwYGRdB_3dN0(hgD<|5jRYtIM6<8TFcd$yXnPQ^H-mir+pYz=udV7Q8BqxiMW|W*YT*} zXG8s#JuASlu(TfyiXGA;?e|bWd`baZ1KURJ*$Ff_v5ru?YY&iIW$UjKTV)f)*}F## zxNo;HKr7%Sg|ir(i>ljnO7pE~#X!7^KVw)@Nxq3+U)lg$uj~IP>qI=AY3HN5i={|M z!r-Cx9ouUYsDO^E$bPqM_12QbTaE zw7ox^N{68^9W&gc{-#Oaa11bc|i!Lj?KxFUW_@Zz4tbnIU z0ZQY~2aK=jRLzYX%^U#FWqtt>@LVNZWg9@+9RU1aaQ?Nvv$6l3R}MhR;m?2n0k6u^ zwu_tytp}==K|p(*EPTWYv@jr_j6gUpL8MPl%OiR=p@NMC>)~&2B5N#Dt)m6Qrr$Mj zabX9#WP0}X@lt{NU1`e^fbqwp1Y-Jr{^ zx4W6)^{riUknn{qFE&NamPW=3c;fV>`SGqlQ9N-SIQP4dn2zqO9WjS7ecUWqyG)4T z)k1?p_VM#$eMBnQsKo1deI+cB{Qhc=?H;F(9rXelg`p$H1EbHo`Si`Go+>%bT{f3? z`)?D`ngVvCblvi5dnex)JI1LR?27FKhB&Gmr zN&N1HWO35=bNjL|!}Gg7&5_A_g4fckA#P6hytwd-v@(llEU1zcss>H-r6KIsK4s?p zni;y~O-_UH(s$$T@?{};6BH_e?-zi{UnzmkA-)Iu2ISiD>f0;Om7G^u85VA#5S8GS z1fO4xO##K%X`lm5eP;XyB*#OTuVI8fMX%NEFIuFamE90WL7RZWNI5cAs80}}+zQr1 z9auB9D0W(zrAGyB0W{W43)IL01R*n0^>%l?a(7Hck_PG9E7wet%cLbH_!N>X{z~=9 z_wLyvDd1C(yq1`9M5Q(PudXnYS*+<*b8S=dyW2A?%E}9t9vjkW1IIM7Bb12Mfar=S zY$&#gRFM+{Y{|D{Z9gk$tUzNBOXj>|j)~Byr!iR9Dr3lBs+8D-+Lj{}J&RVGk}vf$ zN1$VeXtw;K^S%pkuLVvGhp@I3jyR*Nlrn)^=m?5c2dP_{6EL>6ZCBrDF?Dh%0 z3jK^PZ4@SXUx)+iVqP&MH@-F+4>2qj)W8tD<<13V>t>P|G`(;ivibfbhCWbvgt9iI z?(6d<1$|Q~twR4p$Vo*XHHvNvW*SXqB8cn(J2=}{FP!~FNt~(Lr9>&KCR@p~lyj;< z(=v&nb=r1d2vH3;kc;L}6~NKlGG_M1m=n;}%{f)aTkT;^Id8qlwJ_|nsswwD2s>Jv zVFvAf*#2*d&)XPuMR2Qf@sB4?*Bxy0&+S7`H_F!?4fD?{#?SYh_k06~&so*a{v%KD zr1yMr^UnlE&q1X39Sm*>`K`lZ4QVzkPfZ&X&23oAZYdM70w*YK$gV_z3@aJ6-B0he zFGX$FuFiUABc;#y%GLI9|6Y4o{+vGfNBjQ2RUUp3RRNWk%A=_M&z0w;O#G$t01DUd zg@@tgHNRIL7KVSQJU?ImmrjA>@0Ev@mH9ufJpa-uI15x#1t23v{n05Xi02@{J>GEH z9*)`6Aqv^{FL@!5EDpOC6g<1P`mC$9IVFG}h*i$6K63bU~ZYwF09W8kKjXt9)M z;Pp7HCUCI@FM4WPrMfrfezR6=*QI0=dE_(OTG`)b0_9%6o_@@5H1?r?4Dd#@tGGIq zIao~uonNbEr!&2NxGEeKn!zi(_hQVavbx3WZc4ShB9+a~Ls@ubIqzTv2N@EBCNale zlv1RP_gGd&s)Nl*+xu}hZOn?QBHxP2<@h@9;AS{A6jgz?*PupSq`auTtSHK!J)(-D zcaVdZQeM)&)R*lr32jp@u(JAcoKt!rTVBT@gIEeqXoz)1e;}H&%Yl|y5t${JRd1ZP zw=clD3ogFf7xI8eNh$({r8>>hs4%+BOZ8CA!NCyiBTftD^P$H+;37CQ)JHQqqCCFE zvjSLyJz?TqsS!`yg@nEhl*%i15FNKLUgHr(c}&BY!_l43Llp)CDnk{9*FI}9`eeF? zS+c`VSNypWkCzM_EH`PE-@>Ylp5kwq3TA~{#2VkWvF|KZl7w}8^C!YNK=@Gdi1_qv zz+i^0r(j;tFZAo-26`xWND%t`fEj**Q(7Q>iN@ZbkMnmL z8QA`!vHuy55+c&_@`}GqwY05`6petbmC--61g!M`3MR*2$pl0Y|4t_JOGq++;@=$H zOPlaBy!Nkc!V8&yZ4=mEe#~z+@VB=9{{U8*KVU_vU7(VdVp9+&MEW0Kbz5#*5Vxo6 z4drn`Q=Jz-yBHH?V>4FOmZ`_VEi1F;d4aX&pg0|;$GVE9!J27F{cLJe1onAj!-LyDJxt3niQI2+T*}Hdv z1``nZnpb!f9U@;585D~$c_OWyc!Sr5!mv14Qq;VVaW81Rob6RJE-;vs^L8PMjkfTje zLvl()8vZ2L6r==J3eJVVa6;&K*@*U=h0$nQM55uIM=b{ZDXCa3V#9OFS3BPdAvOma znRm&q85!?t(mfaO6Lf?^MmC3bvaO4FbY5XaI(&=o-&I8AMnN%@ih1nCPP$bFo)5X* z2zbrnLUfJD2a9u-Z^7;>@y>_MfJJ3BX?Q~OTbnxQa52Aa0yubo7QZbTeMfggHWF@}dcnC=>H&stMI89P+Q?&^e~Ewb&DSH>Rhw8Pri zoaeJ=TJdmeXfD`m9RZYe7MY7Hc+o1=_Q2vrS+zaWB)MLc)p)h|j_QZ9K4sLN_`o%% zj>!@p*J1LSJ-UlW(a_d^8`G^nD9QIm*w>K|k8sj98HQ@U*V}LEbyRXF-LJj??s;Vo zj1p2!32uAVz&;KX)p~yj;ju8&PpU@UbNK=FKKtIt*roHbh{{@Z+IIaqC#1E-VbNQS z9Dz}dR@gjJDa_q#DYG<5hs{ad`_ZZla$ZG7dOWV)_U6OU^;IhDFJ%R&TYljXqf zp9?V9!p1`>A>o+$jO9G!U{5ZshH)35j~GiN1h@!{7~s(i>h0j9BZS^$I1nUf6^hh? zOYSO23C;B!%3)v!)6)tFZ;Hti4L6E}VD2qA^#ZPzWpjR$T2->{wJHxk5U+1DCcA4y zd}+#cSItkI9*my;CHbr1q5@YdFe_ZaXR4s_f+1H*%AO?R`x*}VixS9cl#8G@_Z@a* zl^eG8vjry4cUkfzHg`#BDO@8g?*`Qvp9gP~C--u+iPLg*;_S*-5&OJ5vZyWr(n^RU z;Y&ft=a3z7rp4|+>f!*Bs9&z$$MD4Y zo@#h`$=(O}wr4G8jiFI%b$!XnvF5h-n`Y>}#`N`hVXbs1_0xqV;C5Y=8LXYUpd|Vd z|3vGb7W#k2s*<{bqOia(Ru%RC7h(OSm4C3x_-9nv0V?~uuCn|R(SM_>fIA5MO;=wk z!rxH+Z^mVvHn{*)FHlwl^RA=%zy%H|Lxk|7?dR$(il=pu-f4USM~I5Q12n-_Db6hl zei?W#O|W&jH}^5A`8@U^adZ6om+Rpsszc)YCR_iKl2(clGEMlKnS^@m)oYjaD$5Xn zt~%8ZH#o5@$(`2Iu8idU)KxlVFDT!LM(_F#vZI4c!Q2+8M`Ga2lC^N)_BJG;U}ysN z?}r3|0?7XUkFOQe%ZBbDJU=k$d7l@Q|y-#k)sPb3u z?p&WARy5w6YjE%hD=xGzqt!3Y(y^+EH6e!%n_$c}KkTHRQv_|Gr^=P4ZDbqN5gWj^ z>KkMrWi#s=@4g8Xml6s-C)g415_(}ZfRs=^U`I=gl)U&&SvF!bGSVAX1|&)lU&swY zB_W{@O!Gb#g(8z&RmJ5moC^P z#qwKRmCe^pXb$vkhrg_#HMrWBFq8p;{B|*}sXzGQAPXE9v8_S;!-+xA{h!VeqjM~4_ z`fFq9Z|eHD>DXV0WduC>oml2y&;57A{uJy#+gA2JkM(} zxZa&LW_~ihSSLLzLzXSK9noGRogWp%($?ORw&QJbZ*(bl+-h7{{n%elaczSzJ5Reh zJ^fCwv!&UUFVQCK&Sz|)P36iI(zWNR=AOrV^~o{+hqyLju9!dG$KY#fS#@T2Xw9lh zdy!3*#l>K!HV>sBIo^yjzYEsg$ARWzDBt&dyIEg@Rk-kOR8TU+dXq{vig-wTs9q76Y>jr$47 zf*1qh^CD-ygkfWDu>gSGeB0xBfD?%Qk+Aif03*M=zZnSXk)oB<+{j!uBRhh=S3t;@ z=p2z0yKrQdiSUyU94s4~Lj#lk@{k6>7h?C9a`29fU&QsPmY=#U7=ts`Av35x@5~j} zibuwG7B^Qm`AjLU??qg}0OE=c5Z8kwKr4(iS3~DKRqi$DdGT`Oi%24=(?u5B_s2|2wMxpPMjs@9EtEv~^wQ;4iNAYNy#6H=sFDA;xf7 z$<4#>*nt^#$$+z%V@7%!;?+73NAyHa@mGLrrIG#RTDh!K4Y7W?*6e3JW0&#Eq6#ft zHb5U-4CsR;XWO^{eXs_t@K(gnJ~%DO+jhVC;clEGdtOCHdS2yt=}2<+B$W+~=95-P zuSrdqmZH}Ss_(E36+_}3Je6`1Hq}6E=NRY)vSIX%_i~+5q6BkWx4FfWu!EDVTf3u( zlwY(ppWFh$`g4v~NI2AD01jmk$eS6_!X#`A3nPx%0XZVg`|`P}?d?8VL@sCLr}>*H z5c@n@>br3r5ec9A4Oy(7iBPez+re1AmeDyFEjD!1WxnpD_%-JW!pO%2b3pN5AuRw;O5FmqZVG z^rOYuhI>+S4|@vh$6!Y9WntOYuLYP^n43@3%t-*#YGU$YTF+{RFaV~NfjKD*U|Q7y zrWO5PO)JuFEx@$0zL?g7pQaTHU|PWerd9Jhz_c>XQt$jQttj3$!hbTYZhIch^A856 zWxZZyST0^l&Gmw)&$ld?t6XZbsk?MyMEdG~C-ny*zcrEn8L2YrYHF%-zerWEwU)8v zkfM<`HZuQ*5fk8?#=p#d{{rjF?W=y*RhC~4_uuL2Pp1E(tA8F^{|@VaqpO`ZKQ@l3 zT7x8xd=0n==1>G)Ly2KK|F&`ba~yo1&gLxP(t;>7O83$UA1!%$EJ#-u^E8Tk()HGG zH6*q>#y;G)huYYL)VHQ-F+IF1x1aug{eYiwSHIN6zqGw*usGF?17@3gex%UEu>Z_Y zb)&&Hi?AEKh<0N+0b3ww{X#3S?*2~FXCT5b(lETPG9 zNi6qps00YBR>&Yu5$4ssp^v@6U0y}x4c$pPQp)V$J;mZ+dW+ZIo!7;F&WAY3syVBK zXv<=sl|Kf;xPXB$A;7khZiBrUL{Le<)hK{^^HW$~I^nYb0>VFN&H6j z-mAXo>ctOTy|~3rx>AN&47qX|dLTPOKG>Y({H|Ej!QrY@l*vn4u&v_&o5qk(+HuZp z>8@KF8UQ^N>M#PU*~NZ{=DA1jvRg1=cxlQ#@~xA+yodol*9&G_zmd#%tup}j0~^yt zOE_=&HWqO%!li)NxigvrmO|eB^sEl2c0OMvS0|^pZNXPHmS&sn z@G|4ekD_{go^ZqQcuH~E#_>=Y3{Cz{D+Ak)neBhatAwz$hPu)}@cKXW!fd}d{2$ya z9V*9a$bMNk2aCIW- zXJuCh7W8EQ*kqFmk$s#Ox2eXaypoZ1k1Y+-kQ8AN;iP!=b1eLSxP^l&^K%Pl#m7;` ztUg{CR%vxcNz9Gs|IIBNZ1?|v3+LAsPL+Uu(ch8% zaqi;31NMKr#pJ&lSGNCV)bvY?|DC}8^tXQz*nc{i#rod~?4L(XtO0Y%$RFPlZdF=O zE0wh6e{+n+d>erM&!Z;w>2`p?QuW4i)$P0p>`r@Vd3i`ZH=Z`r5*GkgPmgA#NH5Lf zCH(RW>niL0wLrfH>09UWCG@N3tay*IR7pt3AI5br&`>wkTY&H5=N)&~-1oL8pl{X% zAmN_2?i80imU&KEbad?YJvmM+Uf7=oBMOaRpo&?^2ex2)}PBJRq#hU zEJPxCR7BK*B^GH>P$Kd2hZT!bFaK1ukk(tQ;xop0p0(#~z3cbB zCkM_szrEkJ=QE!%=NNN7bB;OJ*MIS=U;4BE_<#S)fA%MS`~Upu?^^za$N%&Tf8}5L zBj59{{_@}XAOFRF4(r0-{?Gqwzw>X$F3xxSwSV$k9^dl4zxUh!&Hw6;eaoNtwO_!p z@b9oqoIn4!B^$0^VT>L>s0fB7@N z{G0yFcYgLCe(%p?RrqJW=O4fx&j0<7ecP}6`uF@(U-^%I{Rf`^-#`0@f9|J$?aROI zZ~W4K^ZUQ;JAdC_`Ng0APk!m&|F8d%zv;7o`gi^>|JD!w>M#6vzxjXt9e?>Z{qO(7 zzxa*6@TasF^W)ESKlg|J(O>xsKk;|{(VzV-|Jx7$ zfuHFvpZw+j>{tE<7KQ)E&;Im?Md91ebiWlVP5;iX{ooJAY&ce${;I7seaHXx?|xyM z4gcwH$4b-Rij}56ij^kpxBknIKM?z^|9P_C>MKpZ_Lu(8&$ZI@)xU(5ra$!eBr8pS z{Wt#;fA8(j)ZU6coOCYvwh8f37IWpZAF|j)wR_~Vk+Jpi**?{;LF0>;&mP}EZu8fE z8u?<_E&8IpJoR(z~AC4~9AQ!J9dTZanO^36UHbv*~SbBGiqlt=c-yI!pO!At)XW>|bj zE#=#fW4c%NK{oEk!OMGx{^mj1{)pNj`A>hW9^3IxXE#R%K6U9@;s=7C2|jg%nns`V zA-{Kx7U;RT|E{0UUW*KTS<>;~!@!rB2urX+VsOt|oJQK>#QxBqB5N@NKQ%mbzn?kN zZ~gx0+L%6*=gAqMVNWODSg}8%&-JI+6~#AU{|tQnAiv7N*N$di)z4PXct$N&x)uJ= zGiToy%D~T^)&8v>R)DL`&oc6PA@j2v6ty@rSow4ZdiKOV(InGK=5UVATulx0&?)i z>d>yMmEe&b1aO()G)3RW+t4Ef3~MA6y&KkW#|(UJq&~eBe5ErFYwVi>fgXlGREr2~ z_@}Uc27dmK4p%Tg%j{M~54`lh-R{KK5S4kLqw^&+aw$Z2S@9NYtViX3r2j4xRr~20r+(_*QW5 z)avb{oOv8Ms*NM#**FE%yKx$$=Z#ZTCj+0{_dgDNlG!Iu-G|vH#`bYq!}IY+INvyh zN95ohpFa{DXJovoXP*=Re3l7jcENETd_2KFh0kT+v&Z|71E1;M<06D*j3AG6DAsG? zfQQL-HIu031gomEhLQ0Nr_UIKsvt9dSdhuV zHDjK-E_h-#8cjWQbOcN-qr**I^v=}mQ{P)Z_$cO6FU+39$kcOsG4)f7bNC}7+|<_- z$O*H+j|XQ+K_SuRQ_rTJdX6X4py~`Ux5=1~;|I*!&zadVNhiIL!E_oqtDB}Fd~U*D z?g-NqnkfUHT(y0i*(bUhv>I(YaV9e{p1qr9PPL}F7HZQx*?{y`aPK_o?chRsnnq1C zWyCad0*{Cu1KzYmzsQ&`-drCCzHl-DzUE7EGsVmd>Q>W?`RoJI$AQmk^O?bPMt#B-GnZj-mM{Vl z{_x(JgJ=2vN3++Q`Rt+jQ}E=Z34cVKohgpq&5O*wM2lqLrw`HR5wJJ2pBTLmQAqft zram#G&nHeGq2jz30C#+Ii$tMfuA|Bpb#?G zD#3h~wHesnVLhm3e*Pfl-eEVhpVht2oF!s5O3y!Y%$d&|bFeVz9VRvV5{n9NWuAfK zx8cl7PY=T^HoO|bI6@u%*uJS^`!X~R%?6+Cz-9UJx1+#fP~&B3J%7AXV#;ZM9<7B#hI^7z94 zDPw-}>F>w2xfULm6GI&ac-sHOz_w_8a5=@4J_rAh1)-Szs<$sEhV*5nTw2yRZT)Up z#aycw3l}Xi@YNRv-^$!O&j!A#ldoKRUCwHA#g^sFl_C7$KhD67U46O z0+#bRy8Wr6T*V)itDRzY^HJdVZTJ)Z5EMf?bYcuI z;yX%?g>d{4i_%!+iUnf)5sS6>BNk2zbnk*6#~TZpF&P*Z&dP>ZB=jY=Xkad$K@Z15 zR*8Plitpi$kR0e(+KC>6g&ckp3n;!05!Jw&fR7$|o4cO-IPP3507MA)Pv22Q+aH`Y zVTg!028~wvF2+*($B#p&cmq}lDg2?$0tyVw*|?hcZjOm}X?Yt&-;D0wul~--v`q`S z(tE65eRM2mX9YITz^UjFMwkfInIt+J5yOh_S~B$=LZr0TK7{M>VpXm&PXYkNx!L zKl$aa+J?F3!NJujThEt99L7r`lMmUYhRXP z>csa=Ie6yW1dmxp5DG6%z+=?F7tz!)5zNcXLbb}I?VS)SsHm|qP?;o8o5fTvhp;Eg zb_g99)sAKJ{c48>-I}_=!k;K1Vq!-K_N+Y?G0}NJ1gTe&3lw8Es?bobiasR-d)6)l zdm9Z!QW=CjQL&)?tu1g3Ar@fv4He6D8w-+3A^eFFBIY{5B9^@01Ce`nZ1-l(?Ykir zMB>>Y1l|Kd#xxX3Wi0H83L^3B*x_$LsLWuIlo{Q+Dw76>@u!I9NtvP05R3b#L=vhXKL2xXNSi&();TB(F!XcLRd z(I?NvsUT=@hhRtBGZYU{bMRxHQ`WxzLcIaCGi_6QUTxHwcwkJGz^) zs&?Y&u{e@grgU`1GIb$#YC8HPR^jOKleVMhyJT#oSeXlcu|B_Fmr$o$E3uoTCiDfr zl5Xsz5Tf*Zm8rN^yGVXCMAc?fSpSXH)TG))nX#qws&?(@{zO^rSU-$v$4*ECg3ZD! zhf-5`C8{zL&yK~pq|Bn_WBuk;ZFU5;yjZd2W2sfs_U{`r|Ma4&x+EbWwwW4rAwOC) z<>$36%Z!|yu$gXKROAXZViO7ieweUFB4al5t<^X=6mVltR84#Qr1AWR{Oyuvg<&6mmyX z(<|aiijk2%icwCe<@6F)UYFA8BT+Liq~+F~l3iXG_CK`{m;F;hMGAGa5RWx@CmXf6_9}!f%{GW?Y`tpx*sikuw&+x&lBvAP zZ0`+CV=RYUX%~78TZk)xus$PN^oQ+Zvo2m#Sr-#J1GB@uTlC zm@3xNd9r2n;zC8*TI$Q|QcMhx>P_Qw?p%$bqi^w7{Mcq*rizfwiZZ2lXhN#Cw2Sx? zC4|(MK}eHqU8IEI5Gsh8MV@v-%Se4W1bgHz1Q`>8q%s!vM8$#zcL;{)JqU>$K?JE3 zB2OU^3)E( zLuNBqL}gv1zKoxg*2a(EDIx!=DkK@n;(GQoVa+#OjQ>) zk`pT3pWrDW|Eek^ad62hS*0YTnKKEg!8Sr{Y!TweCLz!2EbAgoW`rbMR2L=z!`d;J zP!whSTCOh<=4G-*yJgZA<>QfPVx&9!LwMR%&C;C{` zLeg{5#|C|D67r(Tx=5iJA?cQ?E&{J&M3}!S^A#h8O;d9ESNf2~S3*d6s0WHZ`Dq1! z{IVD^xN55E@`@4m%E4@#A4!P(!bgXJ5-Dvz|EerglFV!-r{8R*GD(P1E-TYet1@3x zgh4aAtxOq-ZmPMjj3jACBS}5dNZBN3$d76=&}c`+36(KIXauJSN(uQ_6(Jhi%9P&P z2$f}$JZ%<9#pw__xGpLoq`@3QP04;Xln@MqglMs7`MdVl9HR915`v5c5u`Fh*%K9u zBpOI>RfbZT!6GfwD^Zpy(Ph<^z}m_zdlT_xd>T@nOZ#Q)Tx=VS(sR~Iz7I}A_m3TKue|aIL8yAF_UdB9uts{t#_RB~}ADbbwm9U4T=!hCt7YT}uP@UU0 z_*bP>;!ub$@$kG#A_+=D?09S;+H4!tBAKQeisH!e(6(>}>zv@Ep20wqIDl>6^ zi4+;B7z28RA3|tSq6W)T`L>`ue`i5kR}idrox2x3pe$38%*vEdQJGtZjXuMJXWK%}pzSs~ zq;^-?Ve^T9E30piSaa}V;=iG>!!rLZHIjc-5lWm~%48!Hnc?I8EynR7Sblb<)@P#u=jXn_vjibS|`Shv_yE2Vi=fcJH zRV6>kGBc7i$Znam1^IDXE$wHmj6NRuiE3-e+l9zx0K9Q4oqF}YWmU0w`8@7u3vZ|( zSZ(g3rPcO^tIABAT*Bj3CIM+}?K8vJj>d&JXUk{e4(H$+Eidt9tt8!5 z)rH{fg&Dt=`%8RznZ>|fOk8+gPV3t|UABz_QRmlcgJuOyS8b*K^>LOpx`;NP#IM_w zwTsuDVkqV3UzP1AEoS_*+q7F|Hstqp>R((ARY4@dp-la&s+|q_O%^Sm=QfcY#Ob9_ z_E<;^u_M$8esR}K$wFGpAU35(by>1dhKwMBRN5A;G`?Qa%pr<#zJka@07+#K_C&=Z z2>}ugdxny*A&WYz5BFHMAd-k6@v#e$4f}na)rVU&8xWFNW+=(KT?jHJ3zA9=gxREmU|%-~$*MgT(ky}qQYnN#Q9?*+IRtIJ3z2(woz+)d zBo~WCy%dx~(AK*Uxp$LP#-iojanw8FUYXTbwuK}N4Mkm+LiiJ0pNGvMv?pn!uyow`Ol*xKqr)8OSvR*t<_EPC3 zuXgFq8p%V1ba5@sHY<-xZnJVtYNa}c?8IPPC{m%bEeu`ab~Bpbh)#);wzYp%mMJOa zWtIbXS!OnH^U{-44aSXb6-46Nn?%=ywuH!CyTzjA+S(m(7Nu(!5E3G6`Z@_OF1amP zNCz2&Hh?PQtIS}L8s95XmH8T}c|BDUSBvf>S)d-v@rat17xQX0Lv5qpl`U3xE@G;> zut4X>R@nH}scUgJa8(FxZ-q$yY=xAB_$&#jl{P|juMp``=a)#&jskS8OubIp$?L){ zxYb2T5%d?FRHAIWR%yXj0UQUz@znEsl##o%V5zh1Am?gfxs;L^Gx={E3o< z6qQ4$-^Z9-87fbtj4J0-Jb$8sNc_IY*sCEJ1IdDscE^f{12( zLGXzj0$(!3e&HSd&hzpL7WntvyD7{vh}ygHv(3BlH+$DEi`2XEcx=*S5Vd#Xxy`%r zcRsjRETm!FPOtQ$TUHdYsN(+0L>`PmjMO$mn zMb)MQ#WVp$k(D<+8iF-!?C-2`J#5KO;>zlxy`HTu1WyV1S5+a2TWfP?E2JPKaUq+r zmxz!=8VTv6`f?({P~VpSOLjS-(*LWRG~DrNU9%#Tc(_E=9wAL6Nk|R05n^MD5I;5v zHB#_UL55-@B<1j`i!y%0H@Ov*Hkf}^@_Xgnp-k3jw@liC{J5c&LD&-&MB@GubbAoe zFM^0(oI*5G@K)TI16)k{%`)2O*@ej6pBq{kggsHR(5{n1l|3sGh*Ct#N^H$xq_S_-Qj}w@liCCZtA7P1wk;L$PcMhft5;w79Bv9s*h{ zS|LE<${-}9_E<>Hh(#R&{E5;~5?2nvrrU)eV?hL|)KHD=I@FxAF^4EZKxrt+8A6a$ z2GI%u5?2mETko-uoDoDF5&VhLP!d-LAtAL3LB@gz2TNHrQtD7_G7FH9+J#_`BLqoh z5G}u#xN;V`-{U<#nq+!h!*o7I2SEg>1R|cO3`P3`0`sXTVuuB0AUQ;k${_5C0s^zP z_?bh{*1HgycZ*a8VNX;LFYkt{2iz_M8Hb@nDub{mDhS%&SV)2FK}g*QqVn$5pC}4UpWMO*Dgfn-MoH0hiG{BszpwRIPh-i z786 zCB0;ZD$?XC@6K+>!{gD68H<*8OFlWofp<%=kVTayhahG)DOpH98HA+Lo}naI1QBkO zvS_5qp;$&&hdA(V2^K<-R0h%VZtd7O#GZGn%zCi)(Y>-v;?A2IV=q0)OJeTLR{(CS zW~*p$;;+38PW;C%i&u@5Idq`J(;f)fxBQKAtHz%m9p?BDu`s@=2;xwV1Y4B zK{2L+5+Z~ksSKj!+OHfr7>c&uGn9mgAnJkJpD0;K7MYDg)f(WCt0BOynOzoeVz&;sx1~-wHr=;k~(tB6i!~YyiT{vGW0CA{KiTx&&eHIK0R!K zDRE<HXmtSMVa z8xYQ*3=CE38MKN;gQ^fVgB2n{w96oyL7yb&HbV3t5#q;|GoBi$b-;g(@h9yCz3Ng8 zhbPxCB_Zw7IHA&`)gbVdkkIk{BUrwF^Ot zglMs_Cn^X!rn4v`fh?mkqY2Y$`x8}}NnntcdR3c%YHCuHWjL=^%S*PgD@*ROjkQW*#TXZ4JEY6$KzR2gH_6CVmoh;z#;TSM^<| zsxC>`*j83K3t6`FV9^UHgi?O~RavGan%PVfJ=v@(lQotJ>uAtO!9%f0G$>N=)(V0Y z3DIIPFInagx!+fvR&qxWK`MhVn^Y`le}j-D*<&FwB#0oDLiiIUgv6Ia(AK*U zxp$LP24PQB5Q%qdFK8EnjLCweG6;L3f=Il(OtdQk$fErrK~&xyPZSV%lPho|Z()(x z+F`-h@G8vXm9PHOghf12LD2qa3%m+3;C3N0?-r>HqT$_(1ein6)_V{VHeo1{N+JA- zip9&j@tWWFvyki&M3BlL%qAs-WR|gzc-Uo;dG|t68AQXo@$TZNxkJ#_yAWhd79^EH zG`yQ{fp+c9caOg0ec5THXarGtH(ux5FqDLtv5*MaVPB(pY9F>5E;6Z0wFI=PX+Jc6j~w z%TSwwYtPLVofjetlFC@Lyjx1jSsZw`1dAYoR0`2bvcs#?Uo5m2v}Y*o4+*07ZhxX| z3&|&AA*r+rk$X2uWh`3WE&1dSd*01zlSNi$%bm3qWo1e#soJx;aOj*x3)7tVFWTnf z#9tX@n)qki8cQp!ZjrKb^l}^*9MP#*Qbzt&*$`4lUM3AKMjHi{nY(tKN4I!RS_MJl zJ4ET)MYSbF*e(qg{zM5O9b^#N{Mln6AtHz%l^V*Qs2~#8)?Uyq1Q`>8q%sJ5qJp6P zoki)|g`p%w1W~)TKT$y>t}O|)$3j9x5J4(s;ZKwhl10X%Opz;ll!OQ&NGgM9xwdwu z9D=s4Sg6csx2(*TYildY%9Q3&nWbxMLQM#XZh5*`g{OxvzZPrLL$-iw4e1h0UYF9* z1x}}|E_FkhjT!nPh2&+Hj$W3TJ9?chw|G8X+49=lbBNN>1worNs_jpdWl9oRnUX&{ z%`$5yq?TtD)GW#YWV1*-`lMbxE9w-Ffl!uX&oxwQ4bI@N6UuS%Oq zBw0Nrh_*rso8{STv*aARG2djbPOyD!+N_mXH%m=S zH>Ra!crlRfVyo+8lY!f&1lLxKflD>Ltf>LPmq2G}7xh4@)vYdcLAexv%(`SJw4$i0 zF0XmPEO}Mcg@#~5ryk~$#;Q!Vit{UDgl6aTFi8#(5?>P1*wiG{%EX(cz6@MSYa>)= zsm=aX$w2zb3!#>J6{7wUEhI%FBe4lYNV1K+MIW2J#fz%y@{;+pL|0W8g17j!f`Yas zjGt5%%d9p8G_weZ?byS{kBv=!QW4~r?cL3-biG*;&FfOk7G^0H_Le%cZT7E9n@N*- znPrSnnY3A*kQb;`nMue{x7^x6Mq)iBOJpR8IT}gwkw!{y6X z^-KS%WT3qpFQk|N%mo8U5*dkZEJD(9B&3hcUhO+hRb7%8p`$<>A%drb{Hv-EdZH1M z^x6t339)ODP}xG-789X7kmhrfR?^-q-R5;ELq<^-X$;n-Mc2$z(v@y=2v+b#mk9;z zfz_38uuv-!BuRU-L|Ij)>KSd8Hj6VU>nUYs^^^*Gm8k(CqNlWwAlORkXW9p2vuNk7 z={T))zF88^>rzGnH4DMnEEzwmZN)E%1e>mnWwJ7Q4(exw(C8Qhxqb$uG(5o3(|sTPAHmes!YX?6RtYNZen-W)DIdN)Xjr z!R${|5J_;5dfSD_g9DXdYN%Fr-z-IE#+RDeg&>_B$M1D7NrQ7TQ)4M3BlL z%qArZNi$<1S+dK5j0r(f8H7DiLD2pVL0eZ4DzkFu;8~SPgIk$W8(W#$<&P0zQnnI@ zqe;7C9FDY*r)ga_9!)NiN_0ssM`usl&;>_yZZV}+YGvY0I_>0TmabivN%k}vgW@g0I;bg$YHEP|+A+n*>Qq_Ye{0$>+{6G%Y>sg#8)oC+dwZAp#_f@P9LR%XkW zweREArg672i|(YXNJ41(q247`7QHL_&ud{hTdbH`d3uv%m(@j*W2=k6*|{ioQhu#` zyGd%w%Pi*YlgcEaI^QmyDBB^)x0@s^wt5ye(_~A{!j34LNwd*r(t)iB@YKq;nD6LD!%IkV4>ALmQO1+!3U zkT|$R#b$3f{;Xl z2+@s2NP3Ng^s!B-6(h7`WAsTo#tB4R8sD(BLY+W(n$0h?W zssxvGa_}+=lpdRp^@;O;Q7XAr8D-@`Vs2m9c1f zw^Wxy9C)|HjUa08_9se1NlY1pBtq2|Dl?*TTJ2Vr-l)S%US{FW+q^7hN~3ljI87Tx zgVQvvO>>$yWE0QPuYjl+C7v!7w=oi&(5qSf*vi-&rL~NagvD-|xoh*9iqvSWjJ;73 z${~um`(`CoJ9q6mV{ddVQ(8vbG!9X^wk*T8rZCH@Z9T9mGa0F+8DyEVt+fk72+f(* z^wM9^^wGGlg_XSJUFno{k=`v6f9jF6g<8pbqlA(bB6pHiHU{ii+MC%Juyw_NADawX*?MD=tv6~D zNZ=#UG6t=&JIU4?waueK3I>CO0-HplO@t)4NJt-Bgoak~-Y5wLYYvi2ueyZmCxoIt zMIRd^lDv?@*Ed39Ku0BmsMVH!xP5Fhu>MeNT2)^ zu*ff4GZf5=)rE~@b&=?*>LPy8xT(#&wq==8US4JyGKyZU`L+0Q?N0n8 z=+q}@t)|zua`^@ws`9#&5k%m!b=V@~XJ1wPSPLst`fIaUQKnQ7`7w1dh43dz2x&5h zC^W%PLa`}GJQY|lS$Cg*l zCtE(7VGUkXX+o(rtBaIbRTuG#7ESqiZL2a_NiQ>x24x;nuV@qyTehG0iGJ|g!g(`Z zxAOT0t%7@9*dsT7%Cln6uJhdn|El80HnTFNZg$J0EoegOztn`Sti3_P%^}L*P*$7W zk`OHx_C(1-`$G;<`g{pN#)1e^84G)&f=EJuHkbAcCAB1oAeBP+6D5SSo3YT=(=J3F z0w@792z#P}p#2@93;{9}t4$VJnXM3zT(vhyr>WYTPq16+h>?6kqK`hWB?bD#Dc!gn zTtJnCr0R^2B#gj^IvFp%stQS*T(d|OQZkTWk&)OfL`W)+g!GYw@+nO#Yi~%d=Nrnb zy`j!%i?1p|iC0Tx>=Mddu52NxJQ1RIH3_v6_y(yuYauBb)n%wJsEe;kLQ-d5Na5gB z3*`>322%@3^pTK0wh6T&fkYna(ra@`go?WKnqsrJ)O34STLs--+RY)@IX4KE87|dx z!zppo_^K-N<@&vr26oG2ua0U*9ka9TyjVIGgxG0nh~lpU+&*al5AyaP^Y!ErKAQWOLneatfF(taC*OGHizk3m+?Wq&0(}MMShyWZT#56bRLZut$5wLkSgmUmF9IRCIh`D zle9H6c1i+sYXKj*cya; zmpvsRZHswbN}uP&8EKQW^7eXdd|4sdYT6^D%^J3P*+SB0BE-gS5^AOY^%7rRm(u;I zZ&E`3RcRHi0eT^cW7gy6|JO zE-sTw=8|Mq7s;=!E&``_)#-nIEB&vR2JH6Gie(_ zllr^YPsNXs+sc%(kj=_6rF_Vb$}WZQ zCrStjHisx8K?RXd1R5+_nSH%;LhN@XaOSvh)CqAXM5%Pg}gQdL`?9Rat~K_Yr~CmQr@EqTzh zv)gYv7eo~}o5H~>&knYkRT{3`NnTkYiancE3I=%s+}11`A+})r(o>z-i6;GP|yo;MZxV$jdC9TxGJ=>x{n6pC}El{Vy-G^ylgSTwD9qX>naExv$gan-@|#xEQFJCDB-AePorh#l6mdTbfUM8ji-e zx>dO_pJa|&h>ve|p|Vk3__0|RFRH3b5)`DwcI(0}$-2-ksfV=^0z0WQqbmus3&9>r z2(r&0?1_?v)R{w+k)X6F84DsvWe}}6p`9^s<&kCIm@k5cWg`kqr5po{RZL zZ74DpL^NXtVNX;Lw7;>C_}F71og;`Kl|uLvC4^*_L$G)4LXfc_f>Z|4@_VT+hoG%@ zA;_2zB$Ywf6BUcZot2fe2O%{hh#-|h_!A|Bw3V@tj@pIDy_>sc8AQvwwe#Z;wDm4T z?%gDnLA1O($qnqZZPbRqo1L<}pNEsXx-Yxw?79^EHm`y5( z#Ji=bDi$iUc4wa;R%IscEUBb2xB09qX1pej_%WY_CE0MC&uSY$(lbpvIh`BXdiau& z){LgjBB5_^M5jbat>j;ojHHmf%+j?*L1pHyU1jT`R`x^%k+`-ldf2PBGzr_K!NQ*? zA*7Iug>=I%MDE%YTB)HLIe92HaczmCU5MPZNh*VAxwf`*oJHx{MO#RS&`{hNOCkJ; z%20`GYx8H1h0ZJqB1mNrE!UPTG8Wnz+J#_`BLqoh5G~h!W!?dTw%&yxV?orO?rChL zp|tN|EF^*UAS6Tt5u{QU{zM5OS>zDxT@{4N3>I0L7GJ6|*}1*U!kxW3X%R%AqAsVb4D_f%WRD3Q)Hx_ zu*T{YpjyMbmMFX~s3_VvxI^68l6*ii?Ewu}!EI3SK#Ss7o0Ns@KHg8LLl4h|K^( zs}xa1h@Glz5~(dQpwqP&gl!7&Rr<-ni!e}jaLx5u7kThzO&VL>gZ5xu(!)pK*$5x2 zgqGK(3Lg@Bwq%9^!e~iviLWYttc91!3U0>D2}Pwc&9nLwWtoyvR;Fart4wu+sHgUc z!e(3lq|HPl?yjdaZSQ5~F``;Vl?ONk z7K>R0@e_Ua2}ODKiF897ZxA+xTG^UyW_6MB+H9@;@rYM=M=J`*+t0r$%ar``GC5@J zmPuRCgj8{<30)FZ5VV6ss7Fv11LXfc_f>Z`!PgD@EDFa?oL%Zx4O4mdXf}}DCvq=S!gaCEGJr)u!F<2vK?+iEP7K}X71S(ajDH3 zS$Ke;?Y-J^WOZ0UupOw{vNBt)EH!RrN?L8EDB3~lLru*ps9B`q*esH!%|Y0;QrRb@ z%e*e^b6Z`iq&(EO<<(MNUP$TH1tCqbWiv)Y5t5)IA$@Gla9lQ3gcA4HwGkVkDu)g4 ziMNSHS%^fK5t2073K0W#Rtb-d0Ry!d@MDufBPkDniR(*MY~Vyo`9U&_y)sLD)6e#sc}D`%M}1xP|@?_T^wpZqkx zBENi=>3dRDU6L4~3ngT0%*r{Cc%Q&>ynjsi#aBg{*g}b)!Dd*QW)U1HsxtFA0r$-^ z2z#P}cm)P55gtI0VwkYW!k(xgUSR{v5<3toGgze6cH32DzM=udMp34kI)dp*b9FW~ z)_UT3Hnnyb+0^N2qZ8(=4MGxZ)+|ydTT=^-;MLW2_({Y4F)4^jd6}%>Zkbtli#leS z1>WAO_A45oI|WJwp$w4XIH_fRIA!uiqcdu2-MkYAN>2m>|d1t$qFgOJGDg~*)z z#B{`zMI&7g#U@U!iykTnR+}ucGFx6PrRCKwy}D?5&8cM>hB7&%4p_0}mEzcR$VO5g z_$ARm@@wNKaJD=}P|B~7g@?}c8skqAD!XMe!jWHNn6l_RCcKe8~61Amk!|&MQ|t~q>BU*Et*33 z6BUcZ=QV@hvy9Y_Ac9l|(HiHa(u{=!%PvG70!S)@XoUc2F^8b7cOmi+KvEe*D+EZX z8H7aI9)yGq4aM90QV4&dYzyfzhbSUK+1%1Of(TL>g!Rb^B5`zSkzE$K-;-1Z(eit# zE@weo??Fh-kOfJl5dK8TLL$r{q&RjV$XF0TDuZZwx3+g2;=sGL-$V$K${?&yRxA?l z*6ewQ#UcqKh|0U;i3)=D2a84fOS=&4ae}D4d+}tq0%DP%atPXb7b5d+kxC4;cm`hu zLHj$z`@MV7Yny^aR5_c}#}gF<`?^7FDw7hiaJ@ykMG!$MW#Lbh5Ykr;@qX`KBx3|o zd3QWfLD2pVL0j*&MV_B0QW=YecP|qB4)K2PUZiTsqR!8YCrTC)RR*!iz@4^`SP?|! z-HSg_LD2pV@qX`Kq+JM6=jX)}6~xQC7oB(7YYS-?LDb&uPgD?zcS|+xLS)`8QfYHH z?$(3ic}?FJ>5E;6+`CCCgRmz`7E)5y7Mr{*o12!Q0?W!YBUEK3?yT9cs=ZA%W4^a& zlEum9B1Oi@rdHuO*&MPptfiHow@5n~BW)H5eS;%8!ZbikNyNGfB|7`PWHBxgZe??Fg;2%>gvf1)x};@awgyAZi+S2yFGmd4F^_%d;A?GjZG ztTtJsmhsjqtF0{pvrL(gSNfgi?1a$bgWOQ^D%>y}?X|0!-Z}!PRt!io_ZEqxji12j zF_b7NKmV%aCxvAEq=u?8WwU6)tW0y0s?222);-@Uv$UCZNd&<_Ae%{P(Pr9XQ|L-A zv_ti+<5qRy?8^C-Awb}?8P!V4&%Y|mlvuJdC5*&xQJEw}k(HHc=Bdg|#&0Phm08+M zy9k2da4(xlbkSy#c}<(Sw5jUCMsR**G!Qs#M&Xk3^RKEh6Ze-!62GM^Gk1T=uMEPT zC?TYqtlDMrUIjslG+~Q{JyAi>)DBV3+r>g<28*oPEw7ePLYcEfkt|cR{48lg2=z6! zytca7^3ttr`D_CtiYoZcQdC|Swn9}G@vEXi)V3<~6%A$`XJVPhg*Pvvk$QPbomrv*$XaExsztl(;gRNl5LMNm~TJsAHz(=2jx! zEVDQSyWlPaDH5W^!k(yDynKF^klKTgoDoEjN;>-NPgD@E5HL$f?LuTBV5UaPAQ~ZH zmbfxQNl5KNWFa7`oVP_Q`)<}IghLb|U@p3l-aAJ_)j5Q-KT#S=;>sW-r1n@y&Ilq% zrH1k+N(hN7hbSGrU?Djph}!S{i3%d|dnu5L1YO_13%q@7(e>M46 z@DPm%9`ZeHBlcZ7mzZ0bc(Zhup=%dN=(FI2UOPoA^=_7$@-j=;R++R?oq89jrR87m zzePQZ@w>E=#DyAykIQHhX*8O2U~4WhyA-PGk~qC2)@HLhhi&$+%0eWp%t&SGT|sDj zDuXbaR1nEwR0-Oak2+*n9*>_U*SAfg#l7Oix;S>nneIGpT4<_KAr8D- zl7s|=ttzie84@%V zi9u%Kg$`*0wo>dS)!E9FeA;YQlqnHI6V@4bQ!C?clB9BoG6s|o*$Cfa(MqJ7B&i&t zi~%J?Ho`}hGkG<&^6Dn-O*uq4!WR${F*H=2S2y_+WnYt|G6>11y|&N}ks#_A(8{Zu zlDxV}x?>lDU5pSUmG&rCJr#>2B&d(?K}fg=q8i~Rf1-k*{moFC`R_txBm6|6ma=Fi z(@m054w3u)X)2mqvW6^3DuXbalq`~Dx=Dg+mjxLMB1mNr_Cy82zHTg}C@Kh*8SR#q z={(CaC6>I*!kxDe$S9;qj6z_ZJr_|fiSa`!b*EPP+$5Fd=%uF%j_Azk%ov$LDn_s2 zeJaxDu+pb_uqVP#k}EVCMOO;pPm~Z6PgZRSrL7@Kh-`SD8Z25#a+7v(972`mhF`@Z zcWsi&SlAO4i^R3H=d;H`a)pMX21_CQi3%cdZ3(4ah}^Zg3zk9H6D5SilNm}vX%~Ww z$%3RZ2z#P}p#2?!w%&!vUAs<_n_SRT5Q%F`DD6Q=u82jDN?G_5C4|J2vC#3E3PNQD zi>ypDLRBU^x0gxds?0KaNIVciQCGo4tYSVD;UWnp*}B=(c(W}?C5|r*rOHfoZdrU) zGLmvKMp8*Cq+m2^n}Lkv_!}d(DH%zhwbp{__7(QtAaB^pZ{%6(|ZBC7{4y)cp#tLP(Eopo~H? zh+1tjWc%1=U`18nFW(=xl^@38vOh>&s4hGgwAF>pDQmL3vM$+ZIkxV?8zsri5RxC8 zAqbvY)4wVSNlJMktkJ6wbr2sOM@f_*wDtFm5L-70MV%9uO^5#|s;bK?e2ml|-(S^* z;4OYu+p5f06c~$p@G6O?IU5^HqEh@spADvn#Rkjv0Y{fbWnCn}%+``y8$YU?w82^_ zccYFqc_Bp<7{!1NEX^SX5^HQBeQb7rmqZnVSM(UQU$il(6V*olswyOj0uobOAteJo z%T|{Z+z7FCMJV^7Y+Ze9<>if1VrEULuB|TG>6JBueA+_3!&LH<^zt%UqpD02suS@> zN3F`FCt8`(Ra=>b&7^JwksoXuwJS!ONi;U&ju%zdMWW2>QicrGMc~nXsm=U}s?5ao zrMSqibkji|KnN{zs85K#`UFcRzbqYLY~}Bbl55V7{cp4Nwn7*ou}*ax{i~9n^qG~Z zz_D8>m05Zv3nc;yB1oky{D}%8@%u7quYw?BLXcDj(V78h zFUwib)_aDMC=x_8V+!FoEtz;{n=6CMr-IQS zG3MySkiNkYojV^1qrtzb%6z%@un9{J7FC&b$8K)f!6(+_oaT6|RzVkh@P z3b!tMmJ|wYR&=ze7CZ%6WKm z9aFJLqCuIZSF(_3Aq!^Zr7Zl33W5eVLuo&0kA*~wAZnj)rREKiP!5s%{HbD*4e|p? zWi0H8l0}knH|TuRE(73(b!l>F6}``vFLUL&rBKK}? zmSqt3LFs`pw6Lel@Yo|mD)#K;*^kJPv6>wmXUxFMD6LVWV}HeJ`PcMdIgbt zI!UFSt(A;7XoBVtv~^`DR+}u6GNTfOWqQdel-X))0 zlpQ$R0_a<5dcC&Pye>ry*uYsAs+){o!|8j8D=)Kf`m#)^o8T99%w`R}KT(oZ~-Q*CZqZcfsM+6b1QWmX@yI$KY4pBOK36VK^R5|Za zt&F=~>c}Bz>peqBo{&YIao773Wm`xr8H5zYE<`q@_tadep;{Sty*5uA;=rACiij*o zDuZZwx3rT(9C)`RiXf^HzV|08L(%>Qq0OB=LrJ0tqW11q#$7MLDJ-mO$MvLLApqUGHZOa>uww8ugcMG&=j`x9kbNH96Xfp<%y2%`4xR+e3# zWZCt)f?=0M?%gDn8p`*9Di*ZAvpDc>NfffElkR$dqJ)rOG6;#IJrZ|87$Ijd$=#lltQv< zmsxhDCeXGHAv8&+Cg{Z~rc|1dXpwZt7JGUxtEx;gtV>~R1dI8dX34A!$FhuX%kg!X z$@oe}y&!bqZ_IxvQPMK~tFqeCQeI~1>8dBEf|4kzcJ1l@Lk#EQUP9!a-eO@- zR1k@$msxhbAXsg(NG;<}lx0dGS+%8xUe+ccEh9}L%aEmdmxLF4mrjqhR&;wWs;Wy8 z10Z0%BXQ7eCRLqNXd5U$*lTMOFM>(V>%Tl3!gH z+Cp@zV9~X1w(F$1K({Lr_G$>WUqFa`V$sgkPQjwnX&i@82Z_C=7lg_T7Oyh#MB1}| z^zxO*H{Sij-}OUZyM5n3^!erMpMUqe=-BVR>xVyoeC6-%@p%jpq;Ijn6c>zt#@w@+El0SzUr~OJbj_OTbrjr!R>|gmk zMfz&7uVO`4U!DA`?1!s=mHqJSUwOz&tGr>e!soKWW(qZ1R$-V0_H?1mnhb8w$iC87 zZqJxP>npcsOpo=I+cPHR`pV4_)BmczC?TplOl5VgncCHLW5(=VzjkAWEYVkP%+OK# z%8eP>O8Ux;85?>03JiLtPS({0bkw)%4%UU5)dhW&=Rtg0;@-eI7H(vKRQBl(-{s$+5=a|h)#IBl z{exfpB<^H%l@6Z0W%(n-A6VszlU%$Z}eDiYah6(q9uFDzsHuR76(migE<$QbgR)Ib= zP4b)Pd7g%4ny(#h(8F5z>2~c-j|+VCF?S0Z1vqIlfel_D11XGj>=7ft{c<1s?zvuv z2TomH``dK2--KSOjQO&KY3SP( zkJWnJ*sJTa4e+DKWqL0CdFmeB4ZE@{uGpE^``lk1{bjntNAaV);Xb=yVdf44-!8-B zae9s;9$&9x2jFEm-7TiUI(gsy>@to$id+XY>T|r0%j3~q`|gg0JrC>cZa?Zny=*OH zKYHF)ER_Ocy4}#Y&)YIQ?s%cuWxQQ_I3|9S_y5l>(*t{i=gSC-^tbCej>~j@j9q_4 zWuMRW=0Ec4HQMMcc2xVl0jg*~i~YL|xLoJ!u-vEX{OG!I>CvSxkNe})U%P32KD}>+ z7ECO3y4-PB9h&3XT}~Z(^zA;}@7MAAK>xqaPiqSeIa{mag=DvJzM>f)SMvb4&GfiE z@7MMIysVdtTgazN3DV_s>n=UcsSj8D0$rwmUf=+?|;C@c=Iqm z&gb>oU!Rw8Jw5v8_?-IH{W5NGOnm{5i4|bm&d(&kY zaoBDcrwfW%Zo_;Tu06cr(%s+);|(Ji+$|6AZz^_P;S*hVzFaRjL32H?2-NEtRmUAL z*VVckXPd94c|&dQT|YmT%l&-q&ez9qx*&G~+D=*blevIp1)F`00A;pU5w}cbDt>=!S?BoCLo*z+tf#6K|*=oB>hm zvaT3-<^jVz#xD#C9vx$m{g+^6L_!QT*1-3aLbXy3%X zq^2L|FMZ*MKIxZq!WDa?pSJqUZ%D&Lud0BH{$XQWvwxg@2KYmteDP~Pxy=!|TTA2V z3C$3PQ2g7!!Zv+p0d0sB-25MG>NLc5ZvGGErb8@_y#4`UXL{W4_vdu)AD8*`zuh#H4`E-&E>Gz!dfla=Kb^hr3=#e?wH+8_cKDxZNM?i=i@xw zo|uGS&>U^HK1l-WH~8ZD#JpvA;*z-zlcn1gxi1*=?$_yZarc^HCI4lLd3@Z~@q|g- z4O68NVfnOz2ySiYG3&XyDPrL-`OW?OybqWAY=i&lI?tEWaJlu@`HuC;p|=^p6wCgv z-{4aA^Yx1BMXtAb#;E$VIaNPD7mNhg>uT*cNivJiWjsAE?$kGUUjM`hcb}eP|3od% z-R15!aKCOe(;F10=NUuhvf{$h(`9sn#xB9j zI9Cj+9Rf^;DaySY9^KU4=lSuxW7fMqR&VS~7odY&uk#r{f?in>CPyv-v2lRX^iiWu#M%cg%4f!{c(B*XJ1>#B>g?Xn55PEr2#SJ=W=l_gi;M zcg4@qJG*hlNxUcYEgCMJdY`|98SS{E4V)}AG@9~nZgJ?TFddUU906T zhbC0Zy#c9cx|W!yc~IDVTCTUH$LWaWxtbceUYGmzJYtXY`dBQM@NnT~AN&aKB|u9+ zoSjdY)C^CU<$fFPSBRDe#wGhvrbNv^P)_&zika+l!JOjUoiHuBESI&1yP|up*u!yTxP_4Gs^!&Dk- z_i}Mgk!6)2jcB{&KHvunI#qvro_a_w{HR|r63y0$;}~W=;CS;N+VRzZhfqZW?k;i| z@OYk9gfpCDej;E%*4$5sE*Hr7`F??+tlqI~osgX%7;uf$6HV7cUS81+Fc{#b8O$Cb zWUT>*$c#&Wbi!N>QgSvmiDrcUx%Fru$iQhvD;iQvw@S zdV9>6cpKSb*u#$=_XWNCg4KlOhPHzPV_LP~buzc>2uE=XrQ*_%@Q+As7@m-Z4=7A1 z_6c$Aj5+Fv{QALSAtrv9&%7pG_z^_z2)7%r2$}u$a)*R{Kp&#I6GF9(d_2jWv<2#P zeIPq&wTq%K;^D0V*M5E8p-3@eU%dgfnz@A{bYe)3>xil61?v##jy6ZZ^mD#U*UqK+ z6iIr`20XUos{s$8iU!JqVHx?SI2?HD0j@ z;~`Yb`db&rwBvlfoe-T-_61X<^L$5U7v_0z`uS5RzUlSUO`RZpV`*MCFOyFM-3@0p@ULd(f1pEQxK}?Jb@qiy);h`7{u(r}; zwF8J)sKV7JSYffjFFNJk?DH*ld^O-9RNjEuwKmzBkToFOY*`;Sj6wExax9HJ9~f?i z%X!Ay8E&)k-pZY*tu5||u_LqtTJdr{VM*_~VE7o8^>#&n@C8;_t?1%+l)d6sZnR^! zj+YgSfLH=vFOQq)t3F1ibcMvLhhJbl<=G)#Kb8lo_?YGkzQiDl>5t8Iw;jM&^$^|9 z%k2t1g!sRrPUGc)-z-=B-(!wBR_W`Q!klq1tXrQG!V%p2h8Zj(_T1e@G@@58+_vd~ z$98-*;2~7efV=A`W+7V_9j})jbG9oC3CY*v?**d;2D$ZS%NaZ}ocP5P(@iWaj?;C) zI^gwu0%I&Gq0yEZV$FmzmZkFsJf0k0<^i_5V6WkZ6MG0_&fvT;OE=w43 zh6fgxt|uQ7;>h^Ra&IUWg6jDku{E?}`qUwh50j}G({)U_G4*?d5qvqc*^!RhbL&XI z5tS*r+IyB{VA7)rGq!6?@J;Z#%lw4wSn;~Eae7YU4I5I!-94{ZkaBmkcE^?cc&W?vjHN{s z3q4eN!Ev$pH5A&T!vqnt1k7nLQAFi2>w}y=`2t<3q25y4bC1a;geC+gj=f#6Byz{S zqIXKOR70V=Vs4XTyxU%;O!T7|Q8+M}?C|g7_NDct_CIp7f5AM*z z9iexf;C|REIiugrm<+Ac>517Y+%P)yhZ_OjhMjMOi`jRMY*@!qkSYAz1*=S6Y@{5g z@%PZS@MIT*Fy-@!#d8Fp`TUrn6EPZgSCeWy4B3jmZ?N)lpZJgteOTS1L%(M=@hu%1 zb6@l_EE8iH4sRz!^njZ`(ZeTfp5lMaIobWRU!*_|+@T+lntSn0wl zugyY+EWhDx!3pUV{rQPKd2FZS?LrIAz2C6~X0ve1rLd8oM|D4_wiu2vTg4prgmBkA zdn~J97a9XK^fs2kT}vp5OtZ>2bPQAL_a1Y`3Azyrv(G28X?m;`+%0a;5urcas~0Q? zVkzKm6Vmeu6K7Dikqc~LSlyySzi*B84MxXC>lLg0*v=jA zh^2UW5tj2h#60LdY|wazRs!1xv?ZErM)t=U{xKni=6S}pF=odQ@JK?yvY++5EVJWn z9eTZEHN8LCU~;=*Wo|qnGXZk~Wc47;XY$du*mQY|S3`9p4d#XfhT9DrYVhdP9mJ{>FVz0OJ6-%vH ze1&?)>;?O~w;3y{XXKZRo&W=RzCYzS90HE}#D{d~%TaZU4s9dhH!o_9*Z!HOo#wUJdeWmWSs(43R_l zLB-zASWmf~u%-$HJRwU5z3_qPhn$+n`F^*Jr6JDvd{D8E2~+YjmQb)ak9jGC--7uE z7O>%xJ<@rt*vMo1uwu{fWP1lQGB04}Gd4$WBbE@cSceU=i!B)Q{)P`L7K=>SryMa2 z$8yj8cEz8V++pkEj;cT12$7}skrDd1Pkcy+ejHV|=+N(5gMUMW#!*VOq27US%r=-%hJlcP_ zpqHI(!3fDw=No1T*k+p$`|-L~^qogcA0vtRgNnuMXTBqAV|mV3y!in8V~BfC+bO$a zNC~f2y7X(9I$9~k{%wDGVg>Jn_?=0_-$gqPB6pOAgMnBX@7;)j)|xC1b$(=rKJF7qhyLcPTXg96?MYxM_h6+N`3vU@=AiQp z2aB;^KOvqX8{vjUH>_z6le@bT%hSS+-BhnK4$$pNcR*v&^usI7NnJ9)UBPp4Sn zu2#of@%k>xJ zzT4&}vLG)=?OY@Z!F2H5OFuEM!T zi<;5n;)V|@7O8dU#z<#J(if7vu+%spGYfP6>m9M$*VlOWV_NLdqC0+i$3`F$X=dxq zH*9NQg9wsvLDa`8uGh9mtHIR#u-GeB;*qkrApaQw6ex>DT_tW0rtRN7LEv9dSrG)vAY|nZ!pJkpGZ3NH(%YN zL%(ki?=x)0y?;LrA+EzQBkY#r31TxB14OGS?Z5+zq0k@o$)7_8rC%@JJRH^$%Dc z#6KkSJNpMavV;R4c$XkHxE}YbokPHuH`1FC+@PxP8{WL~Lb1#lJ9CA>2I>lXrdXN9 z`Y}W~mg^yB>^G?}a-3_2^A<>_z@ij}eGE?UYOIDLv_Wy(_6bfjc>9#26nW21pU{K$e^!yN(@4Y#+=VU>nUW7+8j zxrDWr?m1j>dhUWuJG{vTt2cJ03U5X&Ee91eQd$4;SPa{hTB`t2Q0Fmv0aU=NLxmR8{ni2UU-Gc zdBNXMBH00l6)f-GkkUnR{}B=tk&K27N~|p*<^6(r4-Q14fZK}X za63Z`q~;R%8||p}!v_5kRMCKY%hwJ(Aq50S=df|sVO0W42oV1doEpJy)E!$~Mz&8A zJouyg^MMuN5t|bOwj+?mit}9kY};>0z4XA8rcwHj%=eZBTR2!)eVnnY)**EkIffH9 zbRRehYNG8ABEP0qa)iU*(UG`it6QQr|&94u;Vo z!2(Cb5C-p;hq+%DmvL=_A#!^Wz`^Nx!cB&FbbKPdBcJVNasd19-s8l0tY*0qT%jj9ZACIL&GcwRkl#2KNpN8{R3?gMyBP z#2<8z8^TG4smX{Af^h&@!I)hmJe)mHw{za#XvbFr9zqojXhTOOmllm{W=-{Q{OAgC zYloGeI5mtZ%;}D8DGY1Kn#PX29rnVInGZ`)GRU>KA;lh>n&?|tm%wT4Wk49l6bGrz z{-bo?)RCST$B?LD>rFOCGzY>uAo6T1B?hY+UcG!)g{7CH!34`#IQezPGCme`kd1c3 z$b)TMtmR;R1PT~Q35W*!K^9{4z|vb2|3*}%=ma))?{$JVz}>nN##fA4H>`IcO8~0+ z4F6fs(Pt!l#z>pbcg&;*LoITnA@*mS{2!6S4HIHRu15yNg7(IFyWYb`x;$&m`<7y# zHj#NC0-bU40Y@u(I}~*qu$Z;j9*=i`e7gIVVzCo~4e<%t{#G^~8%knVO~(W(82 zBf5RjgHhXqslx@!|99+*4@g?Z#Skk_kmH;emQ|1r1^=>J9}o`n3BA?!s91z9C^Eb( z6@CNnMyB8CW*JLR=r^P|n3KZWZOYP^iyOefVl|j z=iqUOsk1}3I24Y2N(@VoCo_E7^>4Z(96j%_SSVVgl;6+~?&$nDL5ky$SS`oF8JxF= z$TOqoYYLuQd@$#;bR`Hk^yLfkN3i3ECEw+au@iC;xgV1aeyDan{X{zNVX+t+v8sY` z4)O&zq2SH|>_I`BzzLC7?^Bg@8R(5h!0wf$2x4ahO8$9=yn?pE*okDq-p<)rZPV1! zHx`RcSu8WawUHP#U2yE;2`gh9!CDi9jvZ8mZG8^j?$C$kIPMckhdwOru)0Nu-doap zt93AV!_L@ee&T%58OcRg>|Y?(@+p?jU_ls@~8=VDf%CK~G_S4qGEQe}u`} z4ZAtBos)Zd&x_Y0d{D87m{2umEDz!yBJ4=6NL|9d2qu3Bde|BFwoEqh-)IC((l9H) z+hg&zs1?UfEd2*=cfo87hZoN7)oJ?3n~TK>Vw_1u=fkQ1Qc)lT;EXu3hwVumaq-#~ zI^YeqJ!49VKK<-2V}FB1a2YjJf^C@L;wR)Xd9gm8d}N2VQx5O%6Ccu{535^rXd7U^ zi3n}G7q-=MyW%Du_&27f7?Exhj?h5upCUpRbN4qG9qDW~ogXf?RDDL$2-d=|nKR-% z2&TRv&(fskH+GR5W7K@=9=dPh6u&>-> zQ^j?L9IP;8gpKdV};8Fuuc-i`o#MqJM?j%NILYP6%MOgbZGPN{SJKy zhuk|H5=F;@a>f1v4##2L;emxGOhur6EkdI&mV@|Vu~`4b-Cqz_IC%O*?kAcMi$Ms1 zSkZz;@yTww+pe~J|00SX+<@4|5{u=2+IjLD6crZL&;}R@d=)X>Xntd{*ulXGJEZ21 zNE*TH9NX5I{UU7+=>k~taYddUXMJO_*yzNb{r!e%Kl~f=9ZF@vU1IPUT-auLgP4+4 z!QyCr=dxlAc$w_p1IwDcAc^FLJU>{~4sT-r$eYaPUF{Bi*m%c%BI(fIe07TsZJlku zLm$Fnk8knIHlA3w!#u&(0PJSA0g`>if|gt7!;SvHbOY&=ewhUht0Sn+cMM~=o@7RT z-^rIG;wIsA%5hj*%vbCx6dWQ$iX28197n?SCCI77!FD?_Vzo`L{fVA;w3>%g4w%GX zZE(dwU7R{cdJ6Ov)D}{b5&B~gPZP%9SS+*%wq$LNv?5~!Ccvu408wn04NQJZ5N7<9 zC_Jn!vQluZOJ|y7#_?K9Iv8vp!)E6=0PpLJk!#lO(1%t&?h{Fe{^qM&bm;f(7GRO} zU}YBhIoJlm$yek{^tehAZ_n&))d*r4x*q#;=ptRQg$JR0SS*q;PS~t_oa`I{R`g9; z%_ppXA)ohwbu=%wQg27=w2*h$Ab`fgAzh?bV&4rXt&ydVYdx`-jnqvmHoXanJ?~(p z88=~Gak3OEqz|mZV^Icb7U!yQMg$j`V6)hbkRIuIYq2;Dh(W|g5gg`uA`ipvTfoxx z4YLuPyY<>uGyX#(V8p{>#sCEYLt?!h+xZg?!{IKz8QnZYVf!@88y)euPoy3C$he2q zEjsl3c2ACX4UhebDZ-vZxY)>P*<81F^d?MEr2?ogJ2|r2K zZ7tUurw)?(_h0(t(@*~X$LIDBnb7lp_~Ea9+5aVUa67^s_qaowMu&JI7Hzt_q2j;z z^z*NM!)hNlpL2xsfBF4i|MKS;{J=Pk`+X#AKrGrJjLZ~>Oe4}%J4jS4USrrpA}|&e zk-m#Gciie`g+asSzrmrf0gi(kQLv|mTXk`%Y>y;2jZUOdl za9suFo+nKDZ@9bzUTJrbVD<$Zp9oYoPdLkL$Hf%}-QD0o)o>{R4s9YQWx*r`BeGp2 zf;}4S_af5*Cx5(ZY3#*su=I-K+qnDeg6#ub$b*X*?Y<7|>thENYfbi>Y)=Hgc_QFI z;o#^I(qrrvW;}?=EzbU9E{Z!${5NS5L2vul*q%kQ0mfhq=~&mpS_sxYY(D%%I`M=l z33_qrNA@FJ5{&%U4t0caF~7$g-EK9-ehBtVq2T;Sc?01`m>!=&0BH?&2`+wwtXI2i z8XMur<90{d$((tU5!H5YKq?wNC2Gcx4$UhI}DTq^@ZVeQ5*TtVt~*6V$%7w1=T_XYH|wYJ^pgWI^^hPagx zOT>7G~?)}F840H`{qpiV8EF7Oewl#K) zJLJ&ef&k=~SSN^=SA1BpXJq~0ei=9iHpY<$jssCR)r9-qa6A%uURX0jv3r5|Ev>b6 zlQ(cYtW437%u$*h$%N#REiw;Z`sBxd_(y*Cr@!Z?ziP7rOmLFkpnr_f9{-pIh}S>< z)Q|ntU;HCqN$Zx56Uifa%~CaP4}JM7kFS4n`G&L0CiO#1D{Lx`-R?pi zSYfFhLwlSlJ=rqR38&C->U23jFr3=uFQ{W0C-58GLWLwREFWN}3FGb!DXo}DU^f}t zt4J%cg?hxP+(;KsP#&-nV%d0DnX-%*+&~JQg7YeN*8sBA(qS4nSYbj`LAofi;z#8F zVB&za1soT&RDRs}V!z2IfB4N6+uE2dV5q~nv6CH4xMBW+G^(d%;o6;Kz)8m-{01ZF z4d>bIc1qmvXLmMC7I2X~hUbHgGM{O!Gc@E9Rd{%x4R&PO5+{~2x-hTkv?J< zH(>h@iHTSphmG-eL(`>5H_aOXmrx<)^4TE{VtW(0baoI4cQ0dO47a}fA|_Vpv)^D= zhD<=q)O=!5_KF+3FtARDofqKNB(-23jUgLL zOoRCmM0$y2w|-*Kg6KhGVE~xX7It$kZUle^wC9THrF+)4)9B?F(Pm8iI{@ZU6`H0K`>>-bsLeL1m`LYgoQm^Iy6GVV3ipsu8=)x zJ8RgG?~rCRS#B=YV9FmoA(4@HiFDc<(u;82h+U}sGTPQ9d>@dIbTM%&pE#63g6)dcgopH6H2eitpSh>aY z3yHZH7qG=?r+zIX9yvJ3E{9WkTa;!+J`mCfZ4!u!qAjNt$-GDv!r2|aF2dRZ>rsVS z7bLl$iEViocL(D3-5aj{!Bt$?62?zGZ+6MnVU5!XHw)nOKKu`_aKMZd4`PuSuNYYn zA(4#=q*J`jcN@C#BgniH`XX{VaAFXtK$u-&z{B<0cx?mjezhO19*CL^cx=a410F&Z z4QS)>t_Rvl1OyeB7R!2A1VU;nPFM`M`V@W@+sz2Bg;~-25mqqXV=;6c(d5XrMw$gq z9X==IK)YXLYQ@Zotaw`w$3zxybAdbJr$856CaZt_N0j22^duiZp(Nw>*_Uf=a`_ zh~3tFMFKWbWpS$^(kO5@x#d?_7hkg+d24m-E8r{xTmTtRXl8rSN{3|@yVny9d9@*M zO>alVaD*RWP{x8ScKM;uk&BCkCpa)tdT?n_u~UDRGGgNDG)E>_S_IP8GiFR;sI;{`5+EgBGqHIZNoDTyls&u9r83BX8r zMsn8!IbJ@?McY?Dnh;p++z|pOMnk*p3>Un?fzF5$i+f;}h-eLncE+Vc2!cp@ z0t-=Cm%f z8_>hjZUbVrw&HqrEYl5$h7+zhn1Sa2#X6(FebgA1j9t5@(-rrq@Q8yS<^<9xrLY zvLsUT(d^ip!O=8anTG9}D_-w$_l$mA^^>>f8;ZsCejRd~@a8ovRADIscd8>n9+{Sy z8{?2n)V2uJZzvWr0BPB9{u?p~PT14)|DYn~~tSJ-3eZ8&R2} ztJ%zcudBTU4gv$}4Rfjsq6d@>#sc^_jve4me{@g_4B2_Iw{-xFELgsW*FcNl5C^6; zIE{#z9us@fi?;>#Hqwc*wu-1GbYvvz;YtsK{u;J?be_8S3^1n}sQ``%DYyREV0 zxY17v0t9S@btR2-)HC9l>sw$DWGjso0Yi(X7Aui+?I}pNB z#!q>;oRfh@e(n6tA@^aM7#Xym^llK}A8upNIXLDGy8Af}t1 zec;oV=%jJotYz<4s03*nn-Vjo~@u4xXZ}Tf?L`X`_Cu3zwJPngn3LUU1%E z6=f&H%y3gq>rBvD->UW!nEH!w204jM1 zkWg+f`{cSocNed36C;EE_;4G8&XqN9(B03eKDM? z^Kh$;jr-(cpLAbK`#=eh3rjU!f+=BWdScyUR(bZtH@Mi<-Pg)toFO!Xw1xvtnGvH}knqt!0APSl`^)V&)FG}L^dDdoBZCHW zcsIoC54SPs_j1mH)86NRgp#YE{~%qwmUGyFV#uhg49Q&tw&8HPV$hvmA$3L9M73Iw zMxskgAx9EnXjwxB8SyW#y<&Nt*Gf39g*Fl$Fn?%>cQOMAlRz$# z-Z+AGAa7i1kMbFE$hTrLTuvk$t(T(Wqv96w{#~*( z5al-;G!BlYRJ(WGABPf?!r+PUJD2)H{PeVe$&pu59u3_8nq^@L_L z;gpQ#YxDh||MbV$oI?8UeuMcX$Y}UprQ1U9~zGG1UUy;M1!KUJL@rq)%gxjW0>z zIGs;X7Jx>Qb*A*8z?lA}LV>%0g}||zFGbW12unvGC&~uz!L>v?LESberDx%~ho-CZ z5B*6_9#dZc64As=QCW6P%FMst-*E%$q48al;gPIOt2gPj;Ah0eK=bh4DlZg5gedi! zuJ4dM-k-$l)j%>vHmxAWmhX)4EWVFm9AGCDJHlr)nIyif_CV1yG0Mm_XIHWb6d zRh;(hs=7q<=oh59VSaS|*ml*iWn@OxLBy8MA|)TU6444kJr{fR;h2W^^`-E2B&k&u zV^$KY%8WAPPvlB?FWH}9YSUHkub?!k^GZog-W$hFj*uKmR0Ul?(fH;3u#53sVJr(? zt5hSuAa5Zp@5XE%vEfS_H|zMH{r0aPe)>m^q};WO6VQ(sChzj0={(e>d-c2yf*zTcU=n=^MLMalmPAQgYDnelB(12%N^~`ih z93mn;#ftTcu%4SaXv{EKbi+0VIF&p!$&}yMxk{`OWH7|Zd;O<1J(4DuD@Q`$#%C)) zxa6l^&S{y!%Y=NM-JH~&H#QTVeKs@U0&_@S5vE>nV3=v#6LlHIW3>#rP_?BnX(lTH zSn7JzhS}L)hZ=gxSsMk=Qmdd|QmZs6-((_00stTeFS{aJm{UrsrgE_&nh^7W6WT9r zf$pWFqTVIY(LDZ+mi~Pda-|x1Up0Hvz2N|t`ysuD76dw?jf9BY>83k_q?69hwcjSt zrVI~kNL@C4{eXs)d4Y)}lHe?Gmm@n}U%Gy_Y>!7z)%I<1sV6G+;6l>l%1zgC8-Z;Jh>LE;a7wnshF8gl(1iSXSEHlo$k;j zuf?>aZe%^uz!j_5ejt%W)~i^O}v>X%7g=|W^ID+%`-=poDGi| zgjvfO;6$lia)_mhrqG#Lo8-YglhU|!sEi2*+eV?}LM#&_6~INEmg8%tCp*S7TdE`h zJBWQjo6_=EA&U3ME7O3(nGji+Y@KVX)1SfND9hk_A8HmZ7BRPQ#17$NAf)hAl$6zK zbKE%Qp&%p#oH({fa}a0*9mQ%P8hKIh8_b1h7)BvN^ncIBOlV{7$c5ltS_jLC%5FX6 zY`fPqh6cH`ZWz8MbR2W~ozH{|C3g>Ug$l?~!_+rTP6e(MJtc9DXU1-cXQGrtgVD@K zY(#nkcu3F7t*nGwDN5MJHg+-c%t@uQxacZ$WD8!QHNKL|+$ubAyO07=(s6gR>^afzpV%z4(X^F3dY;j>v-?9kc~X z9Jk>}dy-HaA58n`Jk*?s9#Un8G;zx@Z2(1TX%Gk6DgdtS)#B@+nxD~^U@OT6*ldBP zCP z+>}*!$qZM5_f2EaxG?>XgDMz0hemRS2x2xk^f8HYwoFo7yLmPI*Z4ZW4r z7weH4B*EH@kp}_Rs-o8md@=Ku;+G*h2wOb&tPYH}*vTHPgx! zyrVJ*%;?(Vs>z2$h$OBY&UWR*h(RpbeG`oPjM=e5u{lMo~UXYxw zaJO2}0K08%rm>#?D$}a43&BxhSsE4li7q%kfy6Bkvl+;=HojeQ{bKJ;WJw^s?wy6y z#Q^)GnGGph5xcBJv+C|)-lx2-{3?aOhz2`yp=_z1*@v}&6V2@mFU^az;c}zP(eitC z&(3k|JF zgK3N)2hN-kEcl$1itVa(7~Tk;OmNG9rfgh_lr%u{8Cp?I7jMC9Im=G+?c_ct$ecu0!y5=%i^%wXDS|YF``7 z%6mtfvDzU{AdUtVCdgnLiK3*`IzF^|N1c7MKEZ;;>)XW0pg%s`#-KAH^9J4hT$%;Y zwvfStu7%r#dXRP%($YZ@c9-Q%gr&NdQ>`~E2EkqBT*6uU^b(* zj4i5mkN=k;PZXzn(zSE-I3yqv)x#IJPY5OJ4|Cx$1MiN$KPkhCzKrCdNih}C=IWZf zJdik0AleKaF_o)iQ%Oi5q^RSmt1AUkt#HTZ=fVI4{&d%vjtwcDV}d*mC!)lwpO0FWvyuzxPw~YG#$MldZ`1TT&)v5*+hR7wg_>83&@8qLlzOD;2D(dMO0^-%$%KL{zz;l-w%p|Ol1f`kpvWmgeaI3& zDq$epuE`DuH-?E<6WW|sW?RZclnq&x_(2l$fU==poG&J>vK5=L34i2i)1^o;O0FWk zJk`(T`4yPWPo5dFz1J45KZCCe*ZoklaI+~U_QLx}H&}fS4w9J4IAF^CJBmWR*BIM9Vb#LFj zdVObXlUvhw6igri_;sKl!W<28NNY;zONRsnorT-XbYoaFgRcwM{ZO-TG0M3e2dja~ z@gS$`EMjN~dM7D06)-`BS*}4hRt+4f2L_|pu@Q{L-V9Mo(o|Y1>gF|}(_NYzq-dOE zAqv?hy)8_QK9w}?K+etZ-~~)?nB*X_A%HB%i!z^QZCU}2!NaKZ2aH_H&|I_1uc%>3 zAjc@>g-P)PjH|UM=V(B8j9n=zPYx03L^p#27T1J+V%AV#?=lnxN$opUG7rN}y|(N6 zv-o-O7JR8$z1h6dqX2@s?hM;wBnjE?V z#G`aik95}IAT^(d?%VYX?-p8AJ4eT+PEC%!2wYo2I~S>}CTJYhW_WyXc_o!7(X-9* zs4t`|6F5(b*P)#=6UDb#3R^M&{YR5ZEH^sIR^0vxCDdLXmSSp>zLXBq%0jB2beJ?e zO)0u4#OaY6p-qyC*V7Wq=J9O-yPs+nFw;M?{S@65nS?hK!(2Z}r0JPS%W11v@03a( zW@|BZA!I>q9;Fa>0&=xVdxWQSBZrZY8gwMqw6+U~<^nf{^|n_-w2F9D=zOvo@^!F{ zB3m=mKBP(wCGB%Q2%#3H!@*gY9<#E+kM+<*%OaDRQyBt3#TXSm#Zg(_Q~=!UHfQKj z(u>oB{U36Fk}&RNqH(|<_ep0sB}B7yUDNrq9;%7BvH_ROX(sndIUKTgtGu*A($DSU>}pKhahs;Z!R126II8yIcU5Nn3wH|nwF4*h%uFi* zD9aaZh~#MfL-&C0s4N{o#_?ERYR@(5V-RD2Gi0!o&w^IVG3UhhpNS~cw6@1CT%t`% z-UDSF0DyB#Ia;(BVV#h9Jp`@X{-$%v-sJh2GX$ZPd&Kqk|M98iK5{Dn5$B<+3)r8> zw*{1yd;e3-0_OM4E+8L3O@T8+>Ma;R+`tf()>3sxAf(|4ZFivTiW>R7GR)o;W2Thw ziP)&=$2p1qwO2N$VgKOOj4%`|ikX!E`R`6NE^KlnTd}riB(I&+tl7na*DC$dw4nGR zUle&@IO|8XQBr3Sorw&J*EW{3;I-2C>QM;dI7ZU>_|%~1*}867@Pi!GGHFvYS@7Cu z2*G;EfXg_F+o;b6yvlzgASy1X*UlSKe?4Cf>5mvpV`?#!IaABQ{r(xO#WsK%Y9AUq zLg~g8rs1)DCz6wKOIt{X^fX{#0NvN>mlUQ9hd=9x&KxZt&BbCaH~pj{F?j?ERhEJ$Dw z1ew#6Uc7ep;##nHeVZ5=ba!&Q!)**Y9>Kgp_bxKLLS7m6T?&J0QfgRxIzYvoXck7V(K=}~1Sk)Za-lXCvpV`X_0`oOZ+s*6jFpX^x6&+5jOz-;z_ew2#ku3?Z)`nr!Ree)h@JglP zI1;)th{J=A!DP#5ca7`8jp+CuFfn4|fSAyi#nxy#{vW)pJ^K#CvtB#vN-y|8-zG)| z{qf;82AyN>szK+{R^}Kj!}j~H|N7Nm|K}Ud+uz5_^z!$hxqtuvzKsBME~v{FydZ@A zaeT(UJpH`~hc^^}+dmpR;oBh>K8&sI(hKj&--h{m{kP38&V6zH7sso#Uz7@keLCvh ziIr7D@vqAjLaqUiBwu3nWezw*8FpHB}F(BJCtD z(PAXfXhk5>f|yE#2cZ=KZx4;lRZhTqj9;_+{x5&~?yG-3{q)o6$NX`UlCD1v;+!74 zZlD=Q{}&I_`S!kMKJ)6U^I1;}uzw>Mdp<9J`E>gA*WZ6(Cl+};r}gJ=KRx~W>Dx~~ zk2OO5#IZqs{&$~#|E=CJnXSytcRw8emA_1oPxVx(Zhmw6^@pFIN9vr0S>w1cs+R#r zx_mSnS6|Z1PJZ_M?EL+=(;)q6jMHCMpwn9N2^C@oHiw`~L!PB@1j+2-_VGCMIR?B_ zHq0|qxs9DwrZcJB0)V-b@FO)TA4g(wLtz6B(T$y1nxLQZ5PXj8UD6?EAUBG>3hDs| zz}qOGC9~zB>7)Y{$+x8Jq3R@0;o#&2L1t0F6+Qs8*Lqxc0BV+Br{wcQFL-4$=NZz> zoO{`B_w2eA!Aa1%7vOtLq`MQ!nU&V~CeW44L{g$Fc+Ll46@Vq#uz-jqZ^ZQcGG`9c z93ORg2ue^%XvZmxN)$XVA6}YNqRIab;9Cz(_p^s|YNCzG9e~7>3wGfiR5Q|(EWK+1AsE68xQW%!%2wF#p52M5z4evW}=mLpmMD?ZEL(Qx8$Kk*+Eb;WHtb}aH^d0S^&3^L1U6`ds=fO(0Mv}d)WWHjBDt%)n~ zE5eda(kTqCECFUtf;D4)Te1sfYTfvP0VOnSKOn+~x);OZ-4H5$aX1hnF8P zzr_8SeqG`}Vla&nWC`btV8Q2XZO}-dKXebv0oH;g>K0mwPwxSGYELvLVSgtD7EQuY ztdYd6gLx;FfFZ9|AjMQ|-fgwU`s}ri%}Q0Mp{oeog^i5EArheiZ{@=~10^VrWRHC*rOLekO($r;F-O=g?nEuQ~pmQ)0q6ToLxd46Ya!hv8 z7QC3--p)v^X)n&#y@3$Z^F|frkiO5l{BL0w(Z;eo=EytK`Ear|HYkgS`Y<`=&y*MmQdH+r6s z1dARuZ?G`1P~p%q0YTUs7&D%MhHYL31XF!XPWX4U&D{U`lpHyblvf3dd1gX$^PQEP zM?{{uxRiAX+JPe>L+Wp%APW1QXJ+^~Z$J2T#=387CNt;7++^w+t(@kr$4rrNfuu?k z5+csn_K6ZQvPo{#c-Hso(C92$Al-4K2M(e#w5BquX~nRbwh72HLL(CkRRVXk-Q!8A zva6V?4!JX;HZRm}Oi>pQufuT$G{Gs+<%&=*ya^8xlq`9I85>3wmX6vAEUg+@oa%^#7{^*LwN-;%f_Y|A`SlFn4+~TlJE)}y_5($^ErI;} zC-we%X6zH@8O45GUWi(W`UGRhjMAjKooSnq#A!V+~*Gou97H%B7Cq?qzcbYTQ zGX=rLrUTU{!3+fsxCuPyKPb=DGxeQoJFY*2uM5}xP_uBeS)u&U6k5d*!78FFMO06* z=Q)RyoJBgFTZCqEA{Y}!BZ`xBb=qxsK(|)zmr%LN?&vbpMR1;S%N(n^ojFIr!km5% zOn^Ird;2<$Ch10FbG;~mOEqwy!*`^BF6)UyqM?h_L2gBH>-0Mi5?U6EQyCtT362I+ zq23eed)4^*Jrft!cd$rxox6Y$!B^HfD^at1+xH=ji%M`8jS4kz(h$V1~@q6ro$a9G!JEnNE@ zZF#gc$t?{HN?Q~Mv9mt(C#*;!G1^u zUt75T48AU0_e0IX&8CzxXogP`UX{`cS}K?>x&$bH^n2j7hJ~Bnabgv8BtT>dM6%pY z#X_0G%!>ZOs|#1obD6B1hd?|j4To07=?6g(dj>nfJ5ijfn?$Ojc}VXeBpmrCF`rvY z1O-xV`#29-&V5d00GRe6IX51kZKuyfc_`7Q1`NY@k&?{aI2C`{%VJ=%FPs9Bgy%rTZ8B6A*Ho^>Dub`r}6XZE%?%O`RdY{*>_$hbt{7lsxFLm-66jqe5LKA z5Ly4GOwgpNn%@f19PPy@!9aq$8)c**J`wa~LUB>^&_t@N9une54pQ0D^)K++!;sjk z1bfo4I1}`ub^f~bq;wBLb}3daW3o1UTGT@1HcTHLeJG4*Wz$;~f{ULoM6@|28vQ@+ zWKcDU+51R+R_&FfU$f{Ki;=jI0p#GIaJyr9Vd8ue|B!U~O@8Lg`YoBqw*~Bes#(Ac zb#?(s?0_)nhYF8p0rwHpO^)|Dbz&YurghL098D{Q94h{}pt3hfFpE^Ba3HQCl?x!B zA3LEnWC4}f+&-Wq97mjY{q7&`dF&9dPT@*=^I68VsCr1doxNPFOup2tbS`f*osg94 zxU1&`2zGz77HN_d3IiV%I*c@YSjVo&t>_KRBx|8rO8ZdwU+&72?jq=x!TqC!Mc4)f zcAp-T9ML*du53U?)1Ak+1w_An|5MEZ=5m-_zE8afgHxudOXw+J? zM-=8Dz&RLYR^H9-vPd(4u(1xi5->DFt%;*2h!n8n-7S){?i%C+?q4Y+58we;S~IPC zxpKuW+{FNHWumlQci^)GR38KGr z5a=}i5SNgKjokbLuT@$Qc83I4zBB`zj-~bI@ofRSpK2EHom_`;2V93J=vRWTsqN9H zGe1DBY7-oC7#M5;U(6 z)WVK*|BK$0ei0s;>ay0Cco1g>C1TS9PR9x9Gh{d^16q0*{T@;TZN7BSdCVP1_r?_s z5Dx5Q-?=M97+619b(q5Tp$yE8EO+Lda1^DjvSut1)snHQ!+J(lvj*Co$F~KnIe70= z%>ris%#W7J$54KvR0%CKffp~-KMn-ezA!-!NLk(lVw}z9OZ2;K7MTu|h$8Y6d}1OlIr(@X z&U~rKX336Q1?X=b5tXei1Xt4Shd5-!+SurYXGt|rO<$KLE}MdZ;uy}JJeL~{?w#{oGt@4i;MXxG z-U-=|gOIG$It=-wJ<6_$C7T7rNK|H1 zHlrmVIK6Y0I`h2-CRxkA3=h6`b^-hI__l!EPc;jeq0a8W2m$c|T^4*JXQJkcJnS{- zzJ?m&2;(N^)Bf0$`5z;~BdsnTzb}ykVHb#jZISz8+CZkJHR4}{goGV-xFb-s4^bG= zQW(+4a+fYVA6_k{*8?GJS+D^`!oHz#DBzK8Y6fo zceCWTyI0k^0g!PY$~eu(N3rt%M1XugBPdQoHDudU1CBL$c=W9 z{5iUiWdM`LEel_(#u&^EivoAzM@pDIa!VrOiP;w$2Sl1~!3C4x9@#58b3up=xnre8 z<)frV5se&Hbzsi0sg{kqZxgo|ba%LoLFay$JJlAXpx?>$Iib6s(-Bfr2S_a3Yoa$BB_>2}6$;oS5lF>wZCu)qpdix% zHWx+F!NToUJkkJ5Ig<*O!|G|sQZ*Owg4eo0WJpeMolbe!7=$V0T2*NX@R`-BO|cRhh77%qo`{uEdi&S$zb zm3Ka2#@9aSzLsbg8njCVmqji>#3lqtQBR~!AEFF;Ai`fa=s&P8zRXd$CW5D8K8$>q{8n zWSk((Q(7>3M^Hy0%L%KX!$DnBV2{~;*A2Qm%YB;|8T7}8+ZgnF*%6wXzAPDSH2u{^k52k6xp=YP9eHcSYqChY-Jznu zrmni|wE)ubiTv)kGBHJ|6tL5EsA|bWY#U^Y*Y={zG+2Rm0)`giU`@#>GGnQ2#X-%G zD?^NtFmKS^S?=4!$e=$y+{U2a%Z@LY9Ytde*B<%0s-&g(OL!KMJsle$$11{Tz#2;? z9h_VP7DCnNMN|#IF_jI)h*W+RBzBcum|)TC;O|b3Gg&DSJ~t{iV57uGAbhBG-Ji9Z zsL~lNpw>6&zE+>ZbXA1da+83=OP@F zUW>FGoILld`(;hDQIW>*>boVvQ9L*IdhIh$;;fY0njb1RPm%F9t zB#2d=NUg}v7=^F(jEXtwEE!||53rxE=CE9<-ykd$$}_>QUB!dYMdstpr| zAp{`_E8mig4TM{>ES#Wcy%6MCkA#(!St3;#q`i2Xf9O6nwwYzgYV>CCb>X@nY8Gy; zFp(dMnGC5;f^myerrf=fDTcUakkMt^I5V~BXnrX0(~&BU_M1T^iTuS#atq3f;xNU~ z{LryKlJBHME?eLP(Pu>=pCdpjSqUUzo4kIW8P`9CXGD>e_QtsWSkFl4z{DG3xUz1bXOJs6OR)m@ zRr+VeAHBYxmdxPm!s*}q{)d`{n=2+{(2_!FITd{?z41sQYMPi63mk8JN#v0hqf!wX<})o!BuY}U+mA|>%w(E z)GS=aIk#{USkd#72T=D^iz4ahERxj64W?h2J8q&zo1w~ziX-@=#GV|Hgy)=H0WLv4 z=}K95!dM%#o?*@6b^7P12Xx@{2(cF+EJg7_U)Fb~cO2{}NZ>8NAnF4Sh^&0t1!U#?>X%vzLVvXzv-125toyNHJ@`tkS0N9*Fj*)B>(3gpc zf|#1k)EA}o2o&VfQtYkwq8UIg<&unE1?Lbh~Y~$yesRsWFFrZu=}ZI0b`%D3ka|a zv5XffoFRiMx_-1xI4=Sac#w>nX*M$i^cx+=dovDM`EN{cLp9I)M+jF<5opXQp+Gpr~oZ(@Z=22sk)h)tAu|^<9)tw&b`q_0Ywa6wOJ< zQemRQnr@D8j)sUNOWsbxIS-A&zx5>tCK3Rz7dAwSg|G*-Fh><}IqDEKyzAPz!}@pU z@ofRSpK2B`20FWdn4(TgK0b$nByQ+#k|B{fl}3dN^iX=EJ&`(dA^}^if%fvmZFHNr`;9uu0-dgmJ(==PVBtmlf z3?{U4C)QpclPzj8tukjw79NcQgD5RXoFz6eN!c~HYQEH5e!isT`28t-U$-%c9Wol7 z5utUIze==^CD(7dx&!<3__l!EPc;iTm)NNv%iBUw^iXvx=f{qv z)rW^<-d6;V9iI4s@M}O9((@qJu9@PAnBjKYDt=Pv1%06z33v}{slP0|pAG;vaCn7| z)%G+*Xq9YCK$6DiK_WsL$@>uHlv9etqHWvSmu}tA@Gz#zqR0&Rf9y&xFmyslt%#!; z-o-;e0A~lkG!2X?AR?zalpFDkJzu^m%0`Ux>H_xX@ofR2fZqR9vw->P><-+ka`5Q< zI6rqy1m+~J0jEpH1EAZuo+9vOGZ7cGXKYP~EEhf4He&_ORMVp}Fx*T{f+U4$kl2{G zOGQBut4njHNE3rBkiyMcz>76s3QeQ>B*d?9(4$ZQ=2!)x*@nH;0=CuD9h2}J@^_dZZ1-4FMAYbpxiw0v<8g(aj&aY{i+D5;Q6c0#48E>o$X=IR3W=kaX; zyPs+n@SQA+g5$HIr|_U!fI2;e?fBk2jf35U`jKfJx45Vs=+NVli1*}Iwd(O(F}t*% zgQQY~jQ%PUZ*Qh!ghUPyyW6cNMC?FajN4|iEFxqN=>XX*ActS#$r-J!v~qiD6D%d> z<8FczsA+9IpVaCCx)C>M>tIo2jU0{QIA}lNVEv|PPzn!QaujEIQ$$Tcl<}ogaH0nB zYI&~cSsC8d1?HQ{_If$t+o}Hj|GXY68)9QDH zC=j~2a;CLXAQL#HdnAwVxm=4eRJ~SR=7e&s4l|IlPAMW1LSg9-11*A}gQN8y|)1M%m|C!>C8N85c>G zc+7^&4_NS89#RB#L`GcLG=()bXz<_~fkw;aa%#;QL4Pe?jo^^_rbH5^)COeSo2|3pwFvVL=V-49)@0#UJ`#C@b&1}FIWz6XUf)-T3 zMv6#Lgi&X9+W36IYi)&yWXUn@kX{tG(-6pJVTvx&%C;$nxds5RY7!#B|bXf(lhs#&~FwIBF|((i2<1d9+0KLuQoF=a5ld z(!N6ec&+V|_D0)vE^|2hn_nx1DeRQ2b+4F9#8-!r3=$#^0%VxAURyrGb%XBCa^EIy zG3f4a8-so)7r10C_4UE3Rq)WUfV_lm8#Ij7_e2c>n#yrn)SD+=!D^lQZYajwV=fQa z0|^!oU7U-cbM2GHNnWr|qP!xp$*qcxe0rY*ggQ!t&k|^PwU5vI9{z^~d(Bky_ zcUm5~R?>+<1W2kqO!eB;O_d^Z+k36xE74wR6Of@CRkNX;f^>_drvo;lZAyBJUYjsZ z!D4$xrWYCn4VWZ=Ged|N@|xGqdX)=ixo;C=gYM2kZ@5!~u447P%h8MTo*d3{H+43L z!sAF6esI^3O4NiRj+4&Dt%-DBnv-cvE$3q*B?5QnPG3ZRD3UKn&QZp9GDE=!~6w8;}X6OO?LMW>+&@5iimAGzU-)$ZN+G)}^maB3S}T7_QiWalofft79D9hT9nQd->vi4g&HS9$uZrxTOBwxVl#fZW$iWSFBZ&eqqqv zUxBd)CQ3z^l1CchktH(uY{m`jbYrz;wID|jfKeC><(j70+>j!Yf= zWBSrU69J)9Pe)!B>Jd0W)0Za=G*AZZX@49n@4`XqPJ)yx?9oa-y2`!+PQ_fgP5?g8 zwXmYn)3H4)y029dqoWp;6qg5(A=B1YMQJ&-ZXP!>Iz|R}_$IvHM#1bpnrP(gn2aH=$=L za!A-br=N``T(y=>GH=0aNiwUx(NW-&3k~V2@X@6u4V^uw7cmpL@MOB9)uKezmynUn zg4)}OI$!oP1!U+#sLp!rg%91!@_^*=lp&ODJ+6R$p}|bj&`BpG8Iw3j02r-7cVCoj~54iv$+D&X2tIx_aEg{oS{TkwJfaxQ#)_znwSe{^ugZA@`(~B07AQ z+mlH~_6|r+%%AO!#&i3mN6uHD`LjHu>V!U;+jAsuli@}@%|7uz-uznYsHEsPz60k} z$W`YMc?CS0J|U5PG};T7qdN&oORj9`>GUE5>J$`WyDP#=;_4?9gC+uX^Jt~|6xE0l z69-7(3ZlTf1?POGORWr0qrH8fz@2KeC|{&N4sjK@gMzs&gC-^~pKD6goY7uCp}W(O zJmmW}af?BBhuawRd-aumT3R9;+}Fvxg^8h24@Rc|BZo;-Q7tJnKeKZY6n5w2fE#b3 zBem$h1sZ|oSCfKQ$$u}`oWS1ACZYg`_{kY}w<^i0b46Syr8tTWyrmlL?FRh}P)TYo zQb{7&+~#+nK$5xiAY|qTgTCGJ)Hoy{WEJ(WZ8*&0qfg^y#agfB)%|kxxhz>w0cz zr{XVT$EyDF?UjBh{@vKpKL5Mwm*Q{Z6Q%w(^h*)C*BoB#-ugGg$X|YR23!v{dnnJ( z|M=GyAI2~?f>q(J)5hHprV}HvlFs5M6ej{)l+a+H;&ek8K&5IUkY^@Q*(yLL;o4af zQHQjLqltzWmCl>N0H7q*hsM@j4}~}jts|f4K2p-4eWBj3!VU7f$&HkDE0blzh}Lox z%!ALQgqBU^o=Ev$`C}zQWu><*e}&P z1YWWmz@CX%ti|}6%}j))FsQBokO2qESW0_pBL&osNcYJ;m%@Yt6B}=dx_+i6xKRQSZ10NsFPX=;1?+yR zS-?#H>;gLJA|FT(2pPky(BqAQ7Ux_x#d_3_9XqG_v5Mo`&QJ&i{&g+sxCST>g)Z|9 zq8hDoR@p3|UDMeBR0$wL+;dnWKy%TTm93*T3tzSq!`5nzyB0aR1R=e_NPq`UAbWUD zTh_>dDTKO4(nwVHZy0U!6BoQzA%L_Id7KjV<`X879k_$o1i-xxfeF%N*=Q9lj$AhG z8UN2Nie9oP2apAXj1yRMTc__;L+Y>Rt067;joraCrWOOgYHBsfyYUse{{BDy9V z9VTgb+snV7{?u|rum9BgVc-4NFMt241~9%U*TYSe6Amk3@{?7BY$f0YWhY=yB|{;y zgbi2h%qctPD1}{|J|pE6$u#ab=bM5ZU1rFK^jBBmbt~nWNoZ*R6!AbZU|8?a9|gQ8 z9wq%j@KzxLL{>dBKC(UI&~+dJl|l_bN|m~{qhEXEH0%nB+;{5Ay`0SPbbOxH{tKJg z&Kl3mcCyKS1?D}^5bOg1$bPpiX=37NMv>g$#^<#kM3})n>>S7AIRQ!~c_q&#S?>&U zbJa6ba|H_nJim`1HFOal)G2=lSH?5MPcg0a%=iNLo$v^i=47v&Hb{U0)HsI>RB{1( zww{?#?QyWqSDgcWMOEFA04fTru^fvD=v8 zrAdLbjV>C(Qg~cts0|Dho$^37%NJ0!Y`$deh-A5CXa}eJB3eobM@2fyL0)I!gr|`& zr92XOH&8Z!j7*8bAAt)0V_@Xv-^@fD%jWTI0lS}S7BJI4v-yl$+1Ax3dO~%hjvU5$ zdMl86mJT@8v`)%@87)skG8#e;V}nmckI!_nb}Tqj(ow#(>g@vRkOokM6vX|Dpdd5| zSB1x}wSdBkB#BjT*MhT1!@xe(U;@_w|CG9xz4$1;13@hZb=*SS9U*$NdlU)r*l2S( zDy2q)$zBJ4dct_B;Z1L>gnZH!8uFnDWZ|SCL8svDqA$u@Dp&n_XZHGLe;(f!u=}ZI z0cTSW`LX)PK~yQ!I>aD!c{Jp?oo!FlCH(R_+b7H&4_U#^Vh|O7K)@6KZ*_}!ltfNe zhd_#>hT2wI2Uz0ib++WSC!kHd0~97RY+a@9Lj@#_L(57#oHBxOjAyaH4M+b=;*0c= zf7`Ut6611}yeZCDMEypyL84tp+NKlRjk=q9Pc61j$ zCVMy0+D;plV0QB6cGC0J8mNEN3^XITHqdRQWX3ZZDL3){Xjp+bIF3iQkaoB(<&kr+ z-GBe>|N2%t;;(-E`S`DY`}OqylUwDUVQisd*^>zCkY)L?W1dh=Yns%iun-J}ctWU*BI{p^ zWmVH2YlfzWz8z?zihHr49|nc^C*hg-R1HBTCPB>JUbJIdFsYb|X8@?~SfNmb9@iXul&*GSVx;SpKx2 z9vTE~Lxw;&nBfg*>Z=RbpU1Za?0%|Qz`1a)W(a^)Vm0lFAWtO)Nep0IN`^XY&=qp9 zDN43K7PBDu7L5gLv6}FgyN>3M={#W!dep7NcmheiQ!~PE`SNPp90C705bSVJ0eM<1uF~ zhPveFfUwVqVW>9CscIfblK{Jq{uG=HddsN}h@ql;bpiYH__l!EPc;iT7tYm>rQ7P7 zkk<({PmY5?E}Af~n&L=`vNf&k8Ku1q6+y`jIr`L_PoQqlePU&bLZ$q!SkX?aE|ckm zC|#kW3_u)+lS5Fm0erummC2XJJ&S61DPzNMEqhzk&p`{QJ-T0WL6l%`@KKKk^w#QrK=0r zpU1ZabV9%Xsb&FV)w4$^RvX$Y;DZtHCc<6^OQUru{Ke_78M@ekQ8Tpz<@NLy=G@~p zg0bC`;+BS&(XMH27mx?SDFDLpUN=-R$n9N)RJsz1_#V>2s96go9Aqt;4JtWG*m92G zJ31j!ch=@FSx%-hbz4JT1Mh?%(sRnAT82s7&=Hi7RI8&1oiB~UqB4~O0J6JW^-NMC ztt)`jlBINflV?eDRGoIplCLgce;(f!u=}ZI0q4TP8aF3YvR~AU=}h=jrQ!?=k7*i7 zN-4zpv8}bD)^QtcwI`i5G@((HJiG1XLtx1z)AD1-*zVy=0g6IbTkyM$3S0nvNx^}h zJBrgl%Cxp?p^TPZj%5BKyGVr%k~bM3L^InzqOTrm59<(>UF~$LB)~YXk*pH7qJ*OG zY|0De)HJ3d#UMOD8s$La)hY#n0X|0()zv_$Engbc5Uws@e;(f!u=}ZI0pkwN?m)0_ z6ADGXCxDcW%ml~vq1VLZNToKdUhIqZX2NB3uAG5M{W8K)sHp+MJW6zM03#7%TBi}8 zloLgM^d(CAf{z^vD`>@5-8w1|93KTU54E?g_9&z})fl=ZQHSTtXT!1JnFtx|< z$k;2sa)`_5Yw$V&Ok0z7U&w@MPLT$&qDHv1loN@=I8&|U<|I?Bz1PJ<0y+J{B2A{& zNXfxgPq(S4ZV5&6rAd3F+UwLnlsD9Nt$EZnp{q2Hq8VW;0Wu8!NMm0KbKZ}Q1g<#K z3L_j;S#Gpny^#0;Y0wBxdWfy-j}T+Jy2SmNeqG`}Vla&n3MLn=NVafQyLt@8T{v1; zG7BUR=)Ov#GHs1%VXpw~wPy=v*4&n_aqawFuy}o&xW%Bm!<`y*Ns)fQpm7{EA(71~ zc`-7dBn0E!M3N3pD^3+`>dk8jS;jpOg7GObZ&CSlxRZQ*O7 z6oM}hAZQc9xsd)6{R=u&TNQEZwPVJ}($|9E3d_wfP+4?bWN+c&#UuO{vK6&tbJc<+ z_h8>9ZZT-$MDGu`G3dBLA24X@Vs30|3TSxLuj%OF4^rhgog@2oD9an8RTobBF&T6J zM_xqvoN98BYtnBwXyLc|iI)*Fhh!6o3-fj01-;NLGvF78Q^9++h}6wQl#pu+YM;b#K;TB( z_~M`_orI@Mq0=6ePq>Am4-q00Xu6@4B#m8MUMmXA8T5rGbl)aM2L18jHU=H5m^bL| z=T=cKebyq&u@|jaN1wS8HOcNCp-?kBV<_-o(EZmso#l+dF~La$WE&w3Df0U<2;ZN$Ey7t2coRKLb(JjETrGwf9N?wTPYqv;CdgKCu+}Z23>^ zU%Wrqn5ue=o0u+~1ZijElxP8WQ3dn%IMdH^4@7QCYfSm+B0lMkmTRO0qK1V_^N64W zg=ObG%Uj4BOGeZrykpSa5APC3 zm3|#dcrIK^nli{a3YiCt^bmkt04WvI2~SNnx2=@Z&6a2VY&2RM52o+M zNd@LU;RfIQ+8rGh$U}Nf(EayHE_%7Dx8N?R&KY^Vw#k4kI7)%V2+QzX0{-Ir!v|ut zMehqss20>v-=$#WK&h$S>}uO!TMF{p)$*SCpV47xkq#-QJ; z7A%=`(S&k>stM)ZXcq3MgQajnB@-RH)^d#RTR0~$s#Iy+-Z@8LZi{{sRem5ea+brj zc>7vrn0Ow-R=h0`e+r=#dsKv@c%qSQOk}L&xOB8Q4)85PEA+;&-WAq0-3@SB!D~P4 zlZz0xd(znq?hn#>a5b3tgd6T`Kt2tGHp{E~WUQIBaI{3f(_KU{Kw31J#SN*Qz!Q?3 zAWmERqz;SgE=PCVOt51Uw-|JHxQ#)-S3Ox^&^n6J5F=Fp@{QpL(k=PJ6bTt`Ng@xE ze)~~+(7~AWIZ_O44Od!*mZJPI^-~y}suDl8fT|^<6$2_*O2BCE;#8$8SE$MzU5vIKkTn=s=E}w@^9cv98`i%X{X6%g>2}f!xjJUBDP9 zacVq@Sq}+eg>g6EC!ndWI`xkT0)dCf9|2YDB<}(=2%y@ouGfB{LHBLq7K82%w=wAV zs!0phlK6JCQK6w}|CTx)7ZoZRPAI{6OawRJ_DN6IB5?}bipIwZBCt*@#Q*F1B|2Vf zdHZXDfx$DB9G;Zk4=Kv>I<$P=JW6gC*OrOg?n6_)2OKe6nVGzBr^oL~$VsnMJK91S zKD4#W1#>G7aUZ4ksjLy$HEmOQ9(N4u*3x#k{cbntNPDT)!jM7J@xD}W#GKObx>+eW z)%Ls27F@Uy`!;clL3fAS81#G9wI!1-S-iq61Q_Q=gD?Q=Xl)Br4?ZfME`z@CN_!v_ z;kVYDaGa@(-$`qLEvP3DP!)PTBkkq2n~SyVzBVg%90>R}j46itjbpQ=7D`frNl5QIJx9 zJ|lhRwU=4*?rV3@T@w2`w{pK!xg~k5S~?n0>eQ8`Ui(gArR#F&7}2qbkwJfaxQ#(4 zoShE}yPwljAwu#5$emCeUiAb`K-Ur+aBroJDw4i9O1rN;!_6J|EgC%>wrJF<^Uzn2 zX|7#YLY*RQ$r*E_0~KKmiiFs0Fj*(nU6qdrqepNTeu^VpmUrQrcVCb@3C1{p|BTbn-84G!itcaHGzvkDV*LcR84ZhO^whXr6n z`WYt=sB;~o1~7#r5@R;G1;7hZQcx{KGhiIG8ne7KE4zh5a_@HyZN-B5Jp1d*5I z;7}j64FuoQNu%)Vz_IO_*L^LQR7o)Ms_N(m4NIv>i(yiJgBBc$WG%`BJEw!ZpdFtm zFv({P9{?8aVJtvibeK}>gWhK%T!))S3(y3H2qF*i%{_!_=I4un1Qqm&gPqdTO~j&y7`biC zb;+Ar((xr*zf~tlQ>O618QM@{(LpjA`RuumB%lYwqW+o865(iNwE~WLN2_W zA-r4=Lmv6|pz$1KtF^5r9qXUkp|!onIFb62tU8436EYQ?P{_l8CCYYCb30JV!Boa* zso^2JA4bc?1)GU~F4-&RrjQtjD#T>gVmCUuUml{_0~jkv<%82_gkZea9ZzjDVMNYl zb;$<}ETANu-*lbFIjnzo9^V$Q`>AFD=W_JJxYNQ$ zFF<8CXCJ3UyOZEVoP>I~rE_hH_00?^^MTpk`FVsrkSnzPQqzIhO$$~#F%OMJ@#{C5sxo;*KUkqt%qP* zl9%UN!%17idz5?24z2Dc2ByjI#?52vA^JUjH;bZCiU_GW;aLiWZiIJ4gD4MO2Rqf{ zIyrUb@ofRSpK2B`TVZYo(yr=k46_%|zixtPpjavZ2%;}xoE)!`bMzmrR%6c#UP}nn z4XPg}u!R5<3ttU@qzIFjoxZEBKQ1h1nwGp4ycZvo9+?Usn4rv~@*XHTvJc>0ZKQF( zvxToEmT}T@9QzMa*)beAx?QeL#Bhh&4K>fHoi7-zrVyz;@&n*IXnuxjr1OdP@h8an zr@^S3!ydH0vwxgDz|<-%`kfLNjf~u#NHi@dkRhrWq9v;n^4fKK?~wR$x&(l)Z8wan|Uj#$m~8$66H&M+@jA4R()h z%@;y&O6y{bjYywpx=?gvO*KXaol|)~Cd%!iwx*Xh`FYuE?hN}%Lvcg|azN7P#M^Q> zKz8Ic*DbC4^ZSc6SlXh&Gh};6?YR40l zhq&FT;2r4$DI0V7vFwTOYM9FVj_#V~Mq}SHUn1$mwIEIy)^@sOs_qjYfIy~xDtB2A zO_riWPCDZwI|`tftmpGS>W9#kirNrC`<=UUfTB6Vr$33~`@nr{(A!^oaMc3F0iDaW@&G_Q zMgH6k7*aKtyml-pwQv%`lY2(#j73+y<{TUwVkpTpo#PU}h+i|>Yv=ER{oS{TTMW88 z+^IpAnC1sg=p-s5OYe@35(L2kVmq*j^4_}s70}H3-n^Fb6;uUSoyIuWw-7p~qk4YC z7}GlV53>COZLN|l1c&V>m{(hWvhdVJs3LvChr2uf5$L(*(}C-+Ql z03VmuU2=qLsmYNE)I+XGE+%velDm9$K;-;9q7~?e5@Gq$xD2x!9*PbL9R)=uQVJ0W z4?|`Z!O(~c<)KMhBM-SfC4!yWXxm~_3NO~`OwUrjf6!5|6yu;K4>_Ktxxpo)eahwl zRb=}WwbVePL+nc&kgI1&4YWItFRQcUQ_VRrn@+BAb2%jZBdi5b`s4z8)-WRy0!kEc z>6xK1%c7SZhs6yfGD>67(am=o_hSi34vaIaLG!p8xH~Q)4)PKr6oAqCvF!|DS_25A5di%^Fgh*{d@SD#sfyXe#L3Py(@Oh9 zhil4$#P>w>ApS}4hDwe$t1eOxwVP?11BJ6jC&Vs7hC_X^@t~#%-yjXtL+!Od*vQ7? zf5Avb1(obSLngvNM>v`q9wIcH;a%N<{ds&_!0xA-1&rCuE+AM7qulYzH*)$CAS%of zn|O$hu9MWCi?hAXVQ z$6JOss6URs4gYu4Uh|HA$57 za~(z3HMVM?{ds&_!0xA-1YvMdPl|OgxijET^S){yZoP$(gR&ki>q4qT7K1R%t$D`wt zoTv(^|IZq#s3^P*zF~U@@>FCS)t$gUNo)a+oTXo6!&y!!>G{%dosCwV%1xR>M+^vD z1%Cw!g4Zk^D$Ibw@Q{0d_6Y6IvxZ}9tA4=n- zFQFeh&RAtL>42ShEUKXNRyS5a`WQo)mxrC7KI0y0ud{Qs2{n-tXK@@Q8-q{bDnN~Z z0IvmfHdDLTC6I{$@D8aZ`G@o@j%&A|fTuvkgBRLd(NX7pKg@x^keKvF1UKOiT|%fg z7c27+6!U;GmPzK^uU_z4oOHOlsJ^%=psUj*!8GbyB*_daU$31HMfz*;Y6O48U>Y-- z&B87F?R4ekE1)CPu!U4W6Jgdc6(OlCM(vtOx@_rdZ9Z&7=eARV8%n_eh+OSo^_~iI zO=)vhESMbmHob6^DL7dCcUi8K(&$`4XymS~*ESZs;I;B6NXw9qMDjH*@OnO*x2n22 z)}w6Bu9`z?$!i~J(>)SL(UMEK7PJ7`lmmlfWETzI$D|6fY;GwQ>ZPGS2pmPE?`#0D zRoFi{{H$b+wtU5FUc-WM_if@9gYFJ@V$efZnYnXs!QdTb+O?9rbcx9Mim_$O(BxFM zk5u;u6KT#X;HHjC8t#RQD{1AZqNZRTK@th5Kt;3~FzLt$xhURlmqo8t{{nP- zPl0uiPDi=0qvZ?JPdeu>5!Kr#!J#WWb?(U3@7xqAN=g3c<;$B690MZ0ypb<7=)O&i z47xuHz2P4RW6Qm z@tX!Zs*$?ZsKo!O#b91L=K06HUb6j8ZYgR?(k%SVbVITmX~?Rp0x%D|ddyK;_*#ym z&{Up4Zp`}~66sF`e<;n#*QiMtDgoCGx+1x3>qL03qY%*D1C)& zCL<<%rkNr~S`ynZ6t|zy2w{jmGzYauq&O%b)6pi53;?doK^;yL82vI6iPF?dw|Qnv zgOY;t9i1y_YXQwMzJcK3=Is{+S>R6+XMn6^qh+VSd~_kfLJ>+Q+?;5vF15VK&Fa9U z!F+H5u;EPEtp|U0mxd5|ZJTmjuuqgMsDhyQD*#F?fm=Lp1Y!tsXrF#YUOT{kzR;li zHgStVcZb^;^n3Z@MYDrnm5idqDG;Rkv-pQrio~JlHw_rfP7_Tpc`e;Y(qT?{6(5I_ z|LoOi&LP^y-pFgma%u}rL=qIZU2+n0z~M}Z3jJEjg2!|xXHtjLxb5T8*Md^s%dRGX zFa;_cq{#_wC~Zq>M%0QyUxa+!la8PXe!RRy2%f4EPH1!&qA|G92-k4jk%hZ?`?-|B zljPj^Fj5lF>S$@2AOS$;5OpK39i&gc(4gI`9hI)bxmhv^VaDx4_3z7ZO*bk085>$8TkR)ZFBo^`On@G4-o2`f^3`Ynd6xs0s2^Vm@V*MLPsD$r;ra_kEa3vLQ_ z8bCe7znY!WtHz@c(m|3uXmV3aR$k&48g$<#ZZYWYa2tbuufDQiyE}dr-Q}i>QX|I% z7ZZ`#GZ9It;K4+0cR9p9ia74;h*_mbqSqAR z6hL6Ql*tk+B$R7DApk9WEmuI>QQg_3zM%ay&q=vmFCKY2Oq7_&7wi)t4h@oktHD`J zCsGhCWqKs)2zsfMgCwB1dHX%A4s^heaI0rE2LM}eF_NcT5PiF7NoSu7@yQn&bl)a! zG3f4a8-sqY-n77=B_fh^w}e~glKNgeGc7fc|H3w|z-7X>SY{&X;HfODa8dP_b5(~@ zY$aMIx2^g$crv#i{9!Y@Iiw(n^=J-ALYc^_s-xTqoPOnG+5+g3Nzg$^%_{wQFs`XW zs2wBa%KL;`^;BoxxF7h!qg2;D`u;*Ug}6N+i82m345$KJaI}+}gfGYjqGfL+6Yq3V z)LaU8NXjQ#hQKGudz8n2T^M%hxVtuSi$QmX+ZgnF^|u9UiQlIvm%<|79sCNtMUV+JqS^+d@m|TnV#-g6bGWJAEqmvBeoI8LeJMSQ;c&c?2r@ zN;leu$jX2V;}g|Uy1U)wARH`~jzWy8BQD16$JR2Kw6;qA^Ya|SekgVoIn zCngPlR43u<;d5l?DFwL=HM}g4G5HMD8A8vh z6>>8huv#cUCYjgTpf~#laJp4AN0mUPC0U2uBf3+Y)U(Y>!rbr4DXLWAzx#7zd> z8*XFJAFLEYpFs>)d*qaqPiM3{JDuW}l7(|AmN%j6E;DGH^*it`0OrS4s7`p%FhNl8 z5XK5|Ljm&kdJRG{T(r7}?cp>!BDlk?KZ34fwqVX@wBw$L3(vfrzCVwM&vrAfBaL+8 z@q;LCQ?DMj-?&Tt($|93LUAXoRPcv<7ZwuwkGh1Y`&dWmL`7!d+=8U(tV8?L;z8qa zY=Q@{TCM?5gG|Ep_G1gj-M5Kb44P)s`@?Mv`h%51g#;85ZichNk(8EVI(j1$OEf`( z)(JgPky<$EqHb>{Un&8yKCHPj^2B<|04d3fWi3hg+wvT!i)o4X}bwea@iyRd0_<#PEfv_67rRb z_6mnYhY$~n9hV14l+5WKh{4+c8BP6rvjKpuqBlCv@K?ZZYWYa2tcp zgnYo|5EZZ7tax$Mx@dLV+(Clf?es`eS*hr4BEYtAEpfC^klcul)U6T#_>YcuFc*75 zLH9%Q|MoB|w>3GJmFyR1+AV<0#gNfPWXO)?@!wCRsTPh_6EV5EP{Pr~Tq^KvV7_4Y z_XnNJa^_LFy?OgN=!GVCS~XDKJrml{YyKqL_D?d;R@imuIQ~Ldn|gb_6MvEvIn7-nxtOXDXYUr zfcq4JT|e}jEzdzpK2KEW0+89=(oE5=JF0=t5LLqo-4aHYj8^7P+a+zm;=#=(Y;Q;YGVbE{7{yoZxc5ebZ@wgL4UAP z2qr_zOA1%`&4~BpmS`k_h>>&Dv#Tb(CBQD2bUXLB2tDuGmia?t!ypH{U{D8sU-tobE+yZ>4nPWScgNrsnc5ExWrS;?$L zBa2ODv@MBr$t1uI?O7ekLQ1wEjdk4b@#G)W2}9xX+S~oE1CXKmYcazg5Q@?+B-fKH zkF@vT@z0ZRmW;b=6So+2cesr~f3Q-B`VFcDjDn6PFmki%v5@!(ZyblGEbj|$Tc_qm zIs!*dD=k3ftz=!Kj94UeQt<{vbvGZS*nq+{R>y|JS(e?Ek)iY}79{&Pz~XNAN^zYc zrn(VPakfA*tMsPHmD_7CIT*{E=wU4LWB~9(w-uMb8=@s@E1yoY0Hg;c@1nG+qTW6U zfL`lJmm@u?ck|MBM5Po5@9*UMU$Pu^O?{!u(YJ|P3>tgr{oytSogMxGAKDqJ9CnIu zg`7N0tvVUCcSeV0_88?wdFb2SM=>EfBV7hDJ_(ky=H+q{8Z&~3Kwb>VliMpGX?0Gr zD>*==JdTn~7{+5HHVU~M%NzH4T;J4h$ens*T;wrVs2lD)fw2XKHu8sNgzl6?fr z(YJ|P47xkq#-Kk~DWv<0M2W0Qf*V3Sm>0w=*-dTowBxQ5dfbM6sfmDFl8(`QL`Rm0 z_o2w`?{+{4R11KZvzA`~W014cpG@jRdvus5Cm@D8)*($1IzYqWG?C<7XnDFmJQ79G z{~)dlX?mtXiw+$ev1A}MeL?glS4k@mZ$SCqAx#BQ?oORlViu*bVoitY%_sC}dyLR? zlvU_0`Y%x<+oazF&MNw_xrLg^YrpV>?%Tvo2HhKOW6&S06zUYojMGYm?t93oVtp(K zTuoh_4nrbrqKLfEL(8rv{wMItHvjNpK`l8)dai;tYpeVe$&pu5AJ8gwZS zf57F?2$X&$IHT|zL!PR41$1=cp-fBmO#{?Di1emMU1m(#CL zpMLoHr~I1<_+k8Se#>7c3t4~t@BjUqzy0+ufBj|n^BA}^{Q30DuRs6i^b2JSUw91l zfB3L!S3lmYK~CALYfumW+n>IE{aIs{!0^YXAErNQ+!Sax{F5J_e*5S2hmRfa@xK}7 zZoKt|zyAJHlHODO$ok87|Mkn?zpC}9S66|MV!>-!hg%FGQ?*MA5gje5hxxym1s$ThdhLV-T(9j-jFK|)sR#k70;pc6BYq(7 zF9@2?BK4Yw6w5+Lk~jKY=|Ym==7AsS#AQ*}CU7*c@fDR}HB*?9Y8?{-D7$ zrj}hXXKH<0gE!Qrm=#HcMY||`+$8mwW=e?B5{o8IYgfIWOm@d&@u!auK0pi2O07@| zNYQH?sC`*pJE1)+n;go{BEu*)?cuBi;MPh}3{R8-39Yqy?d_wL*6OxyX>{lUVx0Y2;K@Qq-|swm8>^gs9h|G+9&IagR;9%2ujiECLt!- zt!%YJ*`SW)T9#>oG{B5uBIC$n*=SEL1J_}Yw3_r0ZIo}+WiHK1QqC_EnXoAqzBYH% zDrb1wjRUz$gfwi<2YdMvOIly2J^zAi%LHnt*&wiT$_91g!gz+(Gd_feOP zyKfV>7<6~IjX`IJf8d11f=v0bWVn#i+}4Vx0UfKjM=Px+eVm`DIqCTeb^}p4@&n{v zg>oxSm*SK5e&nSo#|)M?4OnvX_g@Qjf(@?>mg>-kQW_BOJ+jg-M5L6L3h_o@%;VaHU>SLonJOP?rvCa zCzLktr|8VcBaR1CY^8BE6i5o$c9~nh>Q+h(w*5>dc$K@0Pt* z2%&9}Y@kfH6BKkX!e274u&)MPk<$duz3{cXZQ2OVX!sk2cBnpbT3d}UVX7o=YM+de zV9Q>slbN4O`a8}mR1RY%O(_3JCJ%iWVludZ)}8BG2>g10{8kFZNSuKbE3K>14UKqQ zjk>0W)a!MZqx*rrP26J8-QhL{J)50hHt9;<0%KbLRQmC&WvNw8clz$B;@|O5Ytk>g z((arPN`Q*?3e|hcbAo!nT|OQ-ik2*!TFZ$}&a%-GGbBKdsm_ob� z8-t$B&M%vtGpv#pRH`3@YAGGEW&_aQo0Qoec%s&F>~iF|nzn_#|NiUsSATi>?bGR3 zsmOnmI7>eB>Bstq<8y8L55N2M>8IcR{Fgt~KO4K#_0PWj@#%k`E?ze=*2%xCw|)J$ z|2uCRcFGqXPyGoQR`dGTr;AhW{8fLjp8oEa|4Js&bdEPmHv6O@n%OS480zrBp!|cIp3NucWg-nOr3^igDZwpG45&M*#8bN!s~>8{Cs^z}q(K$sp0kw6Nn88q z!>E-4G|E@vaIR_}40_rxr(8W0(PNBBH6s`p4T-oEPBqjboiwmvYaYgXA`giL)ghIy zBr`dsJm?7M!n??(K#U~dd}##v_ND%hQo90Vba{};B$7tI1$HaB9u?asb5SNUsVNxC zg4c>6NU0Fn<{dB-E{ztzM}5Jl=1A7%QV|%$@3>g%{Mi0lyc$9OAFE_{2Gf|yY`T5f zZ%4!+a*Tiwy*VvJ19M7G3~(gC>gX5y?UT*CXi7H<@w7%&`A;wrk_2`QpOf1t^@&$f zuN`N6myK2z2ffxg5IO+J^aVr<%n!v4z9&gFY|8P;yX>{(e=uIi6u3|Y?(}{YG}GrJ z?RQmpYqaA;#j5CYxBamR?W4CXq%iI%R$lg6@icKf`CIKcA6Gb( z5s~%-9MXrpxkfwAvMzfq4-fhN5Oo>S5E^aKC})Zo1WC2t4ZC{0PXs|2NaKt1@%wMT z`TDD;-%MBrJ^Z2%a9LD!Q)hu9a^y;BdGvv1sEufV5 zs1qegT}o(ptq3u6Af*p#-0cFQ`SAKs7j!{f3oJCO)!<&mf$w|PhM*p5XGkLrm;$s1 z71y)cm?SqnAAknLRJ?_pAsmxtEvy>v*u1WG@)$6D>JrM<{tr(?Ua=m!7@lkdCHsw3 zfHhPB1_^N z(P|$>{99=)P1s`MisH>fDw-}xfrOfWf(XGVMUBT3{RsVchP^%^%Vv0RWMmXKyKh*?oXV-3Q#I!hsGdL^F+00psi4sV@^vIsv*)Y%o z5tw;p4hdmrCEl>zoZsZ&$Zxv3fc<%VTfpw8ngz@SFuMcO&JaaY6ON-a6^^VpTk%_T zg+hTVTR(Psg@E6PLFmqFH{;MlPTHSC8{O#QB?9F`)U;0TtzdTK4Fb+p@&KO{;!;3? z1i`0s@Fs$>7OknMu~PfB>1ggj#yc*a9M(|D04Yw_>!% z^7tC3r}vzP+5-ar6>L_x`?OoHEi>v4?E)RH(?A4=-$M|jvkTat$F~LSermdag<+Xp zKuxO%8xc2BI#!rJ=$R~QfI$xPx_M4hbJjZcdt$AGnxq9{m|8ZR7%H(@_m2ND6TPd4 zCUXDv&=#|S2$LQJz|aI}C_(b6*8y$8$YcR`6Sbo}q@M@KGxQN^m7yv*Rw1WR3wF5ma2(B-+_N5T$kVSjEr@zWj9*}m<08IcK zn$$d~8#{MvuV3AP{ds&_!0xA-1J4+tl#Lp{-f;HZ%huIp^lFFk94SO27RMfWw%3r01*nryoE6xeXpWhV19r0N z&27u$?6`AjF>m-GccFnRo(5J1c}!r0uK)`eyBH*+uP$+areBx%j~Gm21lfagM!;9> zxK&iK5p2+P4uCo6U0M$^8Nw<_*qK&=t#*vfNho>Sx$NW00SBUr-D#m5CIw{3I`V;< zo7tGzpBgbt57-5-#d0G_OQ-~qhh7A{xg+T)tVMEjzLe`bfr7G{1+Tqd9e@cB>aJ9f z`Hd1mM88D*Nk~I})@YlPeZgxV03^9xz%VW%32Fu=Zg3NloJMaNysyShSnyiOqbMKC z#h$c>dbW`y({)jVZjGR1ZJh!3cFkP>5Sthobk`KR!)**Y^E_|R{m-Gw;X;bkobRf} zx&mls0GjwP?*u==7KR(>Xpl9<}HR;{Yshg;9Z#8Qbm-2Q)MJ9l*q?zh(d14mg=1B+a(L72S z2qJ>+0yS&)OWFEP0Vz#`t)(ON=GUT3Kc8UQW%+62xQ-*XiKvdzDGjp96%8qJ^J}Sg zZX{e&#)FpTlu{^sWYoBSk=Pm{`o`buM^AKJWke85AKm$Uk#q_M#ifaWnye0x!(uxr z4c3zNydKDayU)I zB9^`OQMg@4)AI(P6L?BJ7@cmF8ch0w)oU-#yzV4Ozo88BUZeq{Sq~=zR;E9i9F(^Y zuf5oQ-Pi7ApwhZ#m7cKBff168ECQ`OXMbgh&`sOz*L|%)X|xa&cyt!zlHwPsQV1j> zcAG>XKy6-o-JrW4=-b3C2HhQQW6+t94;Zw3FR?$YM}Z4xn$>A>?an2hM?fL6S7v9N zg2>@&jYi9`|Nfi*@%OKO{?Ee?KfRFWR5r)wS^a}RQR>lg;9Y-t$=y#Wb@i9ON&9{E z`>(&Ae){y+-#`8G`=@U|J$K)&nK7IX@ z;lKQdpMU@Lc>3RR|hX?OgxI)4xu?|MFU|`0D4MKK=SL zgpYL@Z;!pGb0uYtSfrb}3o#Pla)mPbk~*hAuxc?UvPyXfYF#q`NH6V4^z4OB;Isz{ z#`6cl5UKdfIHahD7?A`m9*1-#Y_s-LP6mbTU?J%e*F$yITx_#NbM$#h46pa4W^HD( zwHbDV?JJ9d+NT~Maf9K`J&^^cT~W0KJg3PDc!(@35kYskwj~fHYw;mL@nk4ulaLT;>H0who|2n%6X+2oH+av$leCbSZbJ`g(ie>i{6eK7tEN1V#K<9y z73i{f$oDh6E9AFDGyOB03o@Q+j+B^ODhQ!!7h&JDrX-h3Q-Q8b%~1Pe zrG0|s3I9%J6IDgJP$mX+^d81GMP|EXddA7%h{Rwvi8&D!l?F!y^x1>_F3Crb+&na? zl39zswLbZfT$d~$)QRo7t#+iwSYeQzKd520thAopj=FQ zT_zxDc2n9|@Pg3_Eu2u~6>SVYWmID@hREm0BCBH$1~7L1mW_79GmsS$H1p9OWh#K_ zfi<#;B-N8lNb2lnb0ttHkLQ9)&(J%8x$O2z&iZ~MB_fQ>ButQ*3r9<$j;@F#+0LP$ zKc8B&;_o5I6?Gl4mnFOI{4M){&M_UExW%A1z2P&s6?0zN3d%$N&0SLBZgF_XKIwsQG%L_&;Yo}%r{U`Mc z{At)zGOa3!YCTx5!)vFm-IDVcbXa`?cZFL{7>$>;A?T~NAUTG}l1oU*G28Fj`MY4; zeVe$&pu59u3_8c$2Mn4Mz>|6;<@+EPi48BI^SmSLsG}1aQJhfSA8$UKsMTVK;!mrW zYv-kzgo62sfP;esa4{z|jl-K?OWKCkgF+M9Hi=#K?9w)LP{>I8W-Zqf8K`AP61i&py%6THS`-l~&Tt<;gTJ||*OZ(*Z zxg|sNKp9g*R*M(K?+_&6Ng!mC0I1tlq z0XWTnaQ!s?LyggEqtxAj+y{UfNcT#qCcR3MdOOKTDdf8S>7b-U*yUPJ3vFpL6-o9Qz5kxd8H2vv<)GY1=Tv`4r6>og=rVi>3Z+RyK?vow6Ee!ONuXCE z_L3PQ;NtYxoKN=2SxpvD&G$6S?d{i8)M3(o;9;Z8?uHWF9fb}Mu_|-AK-4}dckT-f zx^EM=7<6~IjX`IJf8d0MRd`-&UnOz468(~_6>-P#RJxq8!zF&ZWGo%3kkpu3-grk5!bRwEEY zsSu|CcMGnXxIOK`@(m`ilVy{xq65Mp0RxSYQaQdD-b7j&I!&HWl7=Sz!rASP7BvKD zQkFz-c!CDvK-zIpPCF|MA9llQFHY$0YvoOHzJY86*#gO=(1+V$gVP9tbjfHZ_`qe8 zkgO%;1p(GhXKK49T~$FS0B?j{$6>S=E=MoD6zY|{SP5K7bK#&8G?Ku81B$qPok1_S z`|AeXosPauj19Vzc> zy07JntF|ED!JjF8!j`C*1E{PEid*lLMhd0{X!au)&$yK(O$M7scd^V*rtF2hFbE?(CrMh4xVh2C%*gU*D^ z8+7+`D{xh52f5l%2#E2eRSyXrD?mVJHOx*^&M0KyyD8$QP$f9!8>jMvw2j;Zj8rZH z=M&Cuoq3megYIZ~veIi_VG;jx1Zmik|HEhk@2L@uCI9{g+x-6L{b!q zf2grY*z?xA-D6MYtsR~@gc0T5f>$g^>-cz~bP_(nSR`!x>)qn?ctw#=SR#Ch@XZ{a zFd~i(_=OXQUfnj&O^n~xyR~#mL{H@|9PcxpV9Uiwu4mZopJqHkdel1U@6f9Qtn z482`2dlfm3Ulnq}6TIHCMZplLX!_1Oh;2CW{FdS=bw~n@9@A5zsjAeTGKN;OP+2 zyB=rxvGd#+#~nQG$>T^s46|||_rATADj=hR8sb{bp~Bg5z*hi6Jjw~t?6;Emd1vu{ zw@T~GYZ_u->DB1kemX?i@s&7Cpy`x`$o?y%;QfQztY_}OC%#lXnz zDPlQ$ko-mvIbK|>tWtTMC*EP`Rd8E|w%{F~!O-$^i)3WP{%k z_M03+=)yQi-LAwJ#BhT2tF*WXNbgHBy!pcAky~|MZ(tX^VGMopeg)|TxiZ^inuj4D zgmxfS4$sv|gvfO!M)(UaS;*r~;1OP%C_^jIE_!NwKml6kiFX*9i?!F_I);9IQ@F|^ zmV^^`X(X}(2PCRKDi)Eqh{?i}i)68C5;A{`=!#ygMAgJkLA%1Z#KTO4YFvAeeF>l< zqVM0=H3{fKTyTPM@~(|wY&>zo4y&AD%Kt{>4eR#(b;2zYueVXuaFrtO1+GUN-`FMC zui5ynw&!ibd9vmQg~5uq=VV@l7MPCkB_yZWo~PU>nj1WZ&D7(4>ek(>@Ra9KI$3*R zX>w_^(<8)T<0sZS?`y}c6bX`teTEFZ&Jz_wuTx+U5+pbYChhF+|cqgz`6~h`FgjclH@cR$Oqb+ZQ6o>HzCJ z@eV`t3g$Jqj-jQneFj6LPap%}hL1s$SiXdCKo`Pdb&`VzCMQv+iDCFL7QtReI1gT? zDU^U54j$aE^G*#Ham8ej$U&fE?IJni&j-6DkE6mdXD*Aq{k^|O2OQj2$B$kD_A=SKX@ zOB9n0nlN}DV`w_%x(CB1Q%ST@ZYOw%fvFgSw<3C_&FOvFfXjIT<4ESmCdiXfoa_;h z5Kr$ok|KW?5rU~_l`#})UUE3WOL&39F3n?Ny!iAYoG>Bjm`dTR-dfdIL2(Je4_v2` zVhbwV(}9^IAS$sW(xGan->+oob)I;Kp;y6m483$yXc`iitn+qt-hA`t|ME{v4vLj8 zt#Xwq*-=x?OBWuGv(ezlOOj=-GGI=em$2}0hVt%7Tu<^P_YuC!NCN}+DjYf_GbDyK zzNEzJ;$;<^pQLj_xVn!B6ifa<(%17oKyQGayt3z_7rCqqmwa4`IA;i#bK*56dChnuOz;#Ql^IE^bHP3$e-O4oHi8j@_%`P7xf$Xjbh>2A+~8ad$+JF2!--vBm9%?UOLv@_@k0nva0C1#g`Z z6ubo^7M^K@6T)t`6}fPo;;M#Vz<3JLEv%$&{bKtdrKc(W{{k4t1S0Gb6MSSiy#+=C zM)|~OMqnx~=AM|ZrBCwiP}?FR%iAQ~^0t$#5V~woQcV;+b}hsmrOP9NyrdFH{eHLb z2@n7F7bHT$7~<%R;;h6hy63^sTiw<#7BoK}Ai@^z12#t*J5CEU4&BvqxvxM@(Dwaq<&8sLHco*7cQzhW4n*lA9-mq6cjmat z>x<7|=yjfWhoM)&bqp=r$7e7!S~^d(2xrUAM9?rUpE1R;k#J6PN33k&?0J=a<%4s{ zGjUSyu@p3y3T2_pR(NO-N*Rggl-9|w=vHy~;RUQL+(;5!2kut5bHb-1MVNC6oAuia zO_FL{#R-yuV=4FHWN9YoHcxR-6(E7>8hEa}-7T?;xcNkGCkYa|B9V7Fwh-cw{aENv znX0)-dcRwq@e<{gQ{;?LhhrEPF+$KOnC_O#TNw;V`$O& zGZ>mogWO&dZHR|^@`K{Z>xOF>Bu*Yyqd$r|$(%aN#vj&NzX;-N1wK9OC? zM2sAZP|52K>()t>AL^E5xxC-wA`y29tP7|CMDD>V#FI4?U1O2=4{_}M;%9lql1_&W zk2xTq15dRG3Va@t%=!NEBeNAQ0>qKPISRFhnI%dWJWkvLoDvfe#`hT-U6z1_Pa-a% z&=B@zi(>-;(6~K%;sumxyC@-lfXeGU@eV_;g6kMsT=5wU&4nn=Vpkq8;?IVmS`MoO z*@45^T8JWP%e~cUKO&V9{#S7ac@j<#5F%ajIugqBzyR|e^O}U+_azywpL8R~O9DJI zlq>tdR*EYSmIi`eTGSAN@Vvd}Dcc0`4-SM*Mk$lm^qz>qib;Y$9rt~Sqvz7(!_%@-o%|GSu2t{;$ruX z@`K0gI#0aA(5v7&hSm!I42H(Rf#`cUj@>R?9_qE=@d&%181twb6Nxc&YmWH{L-Wi_ zapg#ThL%FwVYhXgw94}5cuzoVV&@~_+)bfgIiN# zk@xpJb~n5X&I+kauukLxp4^iQh8#ttOWo~EHmGlkJ_s$zJ@6pJ;SqZ^Zx$55ANLgQ zw4gEfMW#s9Z>QJ$cnU2+!aPUCTMM){7R8yohRO5XzWa{!F3F+ z-SaaTn)il;0wORTxzTY{=QfEKeDE|OP!710nBr20(ElLOu@_<0U<)Pl5H?^`xvioH zv+?P1^wqkpZ~Z>lEfM^PIgJH^lzXT|1Or9tMUBRUawGUxVv(@ttyvFw7RkBDl?y2* zcuhg%bUi8MDI?d5y!p3n+IM%t38VSpgSS0Mwt2Ezn`9jQ3Jug5ZKH&C=ci)My zTf{x${bYhR$k40Zaw~ut2KQ1Pm*K2ST4wI_xlYAtm8H1R)hBNO-ezc0Mi7gP$DlkL zQos>ziYy`AgIA&tqF`CKFU)qUaIf>kI}E)Fu48BsWO;{P1s*|wh-U=o;`s*VDlVNL z7>l=D31HmEiY4m!+nV(olZzZRF?{hHkoe#VJT_n)lsL-9O$0K#F-T#sYF%>`S|zV0 ztSjlR6u6#SO5zisYT`(TU6+0ZZT$r2gX;t#=(*tf%b?WpV zA7l~SD2b>+@K2J@;5kP&Z{E2QF70-xJ{ir3a>a}Zi%YcHVk_$Xylk%7VA?yaFv0@U49NQSsl8W3f zztwJe&%{MJiELTKxG)gtmCnh6gYoXEoC4~0XL8rC+@aTbqGIUJ2e)NtyTw~(=+)u4 zIgkq;Pec?kY$G24ZY{V0#z_r#PE(m{ulf(p<%#FB++*QjbK-)QqnpRvCd?38u6$LRZ5Y~Ymwkp_9bla&-eG8kJ$(tTV`vFWpTW>q%<>8(dgq#n_o=+Q z;|U7eDk>qzp*`|H#$$1nCIl?x5eI<{xKH8ogExZ6A8h?H(NpoKS$20pd|dNK z!;yna1sqfnZs`!SKT@w=v=0MrZoF`Z=)z)(}45OoU-t4 zL>-oih8MI1qCr8_^5ae1+eA<P>NA*lFU9uPydxW^g2(} z482B$Rd5|czrHD4r}$`lT716{yz~tdJ%n~Ti!c8cppQo zd7MQQ%aEX=N4RB=wby)}80h`ER5nH=T$Ty}4tU7O=D;d22lJ4nq_0^){De~J2ps<^^;Jnc6N63L_ArY%XX5QETyk+Se8u;4!E zJzUNn6%5u^b$WohutTrysp~xP4nwbk>lj+h_Cn-X1D;nFM>aiT9bly6fj_T3dH6)c zrMqQ|wnMzmH~+!YmfHvf3(~Zc(}ib;IC_!`jle~u7R8;={MQJS^!{9uNsLHavMVak z?tu$8tSMaZVZ-ApNJ`;8UO=ugG>?JA(f8kUbyrdi`0ozKS%_w z{N?qp2hiH4aX~?aB{v*haw~2&e(Y^;6Jf+|iD1RkI}E)Fu48De@XuiAGoHV=PU0la^IW1g5%8DIp8*jD&`GC~yWlE!lBfzcQv7}r1?DJDbL*|R>#7#BU7eR4TXs-uyY0z4X3x;35? zb7@U#23vmNUUPN%Ve>=Z=DLur0^28+Xrlk&tAJMv7f%+(9`+Uye&r6m&J*u2^eVWH zp|!$4gP{=?$pKDICp<2=7$lJu(&>R0%)In`@~BxvPj5ZzE4_81%RaRw#4~ri#HAb1 z4AA#U2C0zB(BC-k{mqG|@B}vF!h=)znCtRx>@xYVQ5?N`i+xO)z2&u%(Bq2DEc7-==1gXZm0Bbyw0Y2wO+xO)z?Cr$- z<^WOH2r~0<{V2y94nv*^ajvS{6n*knGW0r6yu;9|;5vpDK|X__d8aaL$QA(SDO3P5 z9r3A|Q_fx{;cAC?9YXqpn-jN>SVT8u(Ulh|8Ws8xW>VZP2&#;VZbW~7hbHxm9$Nz- zHWaLzm}uDkaK+&!fd|3HBJq9A>hdEGI=3E#lH$}*^t3CF#7MkOIDR5PZ1yJc*Wn&M zN(7!HatUksTaorL-r?WR1vOpodA(!HFC~?HYqNL~LzZZ6$mDoEDRAL~7rKN^wyd!f z+dBE29eSN7-eKrfa2-SIfc*@Hexh6PcofeFE(vkL#A1LhNC?y`dK~JOabDP*)?S1n z8ek~pk@=Ir1DL`%4!HwBD8;GfPCyx{S*YbY7VEiO?^Z?=yjror5J-U}DahqqB;%-x zk((`0-Nxm&+ASI@W?`-~_dI7ML8p>p@FbW=Ac{F+SKkCCdmCCk2Iqzpz;Mt#;~;Y4 zPLI1s0^i{BWTqaT&A;6(b`3%_VNz7&Ds0AN*cf?oj|xOU5c0AbZS9!)l{@r0PgD%O zO59a&TZXp4;>!%ZI^14QlX1-R8LUg-HCR8%v9WF!) zLvBjl2DxjM2&7_A21V?Z7u+IQfzbHQ)RD1H(Q~x%gN2~&GxX{J>pbxeL$8AC7+Op5 zGZ>l>MJFB&Glf^o1ZQ?nJdK~&+jz&nC7iFZNRSOyX@Y9cT?MJ8IK)u}Nn&{7Vokv# ziENO9D`7o&n?;C{wj+_NqSRq*#Fq_ah`SYxj9gHsc#enoU~C;)W$wm7%aT_hIO9so zl{aEP8y;b%Y|o2tZ&$k=$)~4hB-|_U#2uFwrQk%2Ap$I#rg_^P>O><+l3DMT*up$; zV@JM?oOyDJM)t=|L9e_x32e%2o%~9MUgwE-7#bb^HMowUwQ+m~L$g)zYDW+EVFFP7$AKlX&?v;N%R5Y=o5mSH-PqnpPS&f8JY)O zyk_F69`haUojCrG^HY~(n6J1sl&*;d;O%Y+I|H+!w8DM_p_Ka&xdX6ABcv+@kh-mt z-hYq?S3(!ygDSa;K!O0svvLg4Jey!y1HD1}z9d7aoPmpjJ&&_-btSD5fdz4CR852-yc0;yO>f!_ZvNzXsPa zw3Ld^U}#ML+~jS@i%8;iUVuDEvV#i;4nM?O!enm@{l3V76%C6knx&o;DoY*bz?~Ny zXh%rBO1#c4@;-~;chBpW6Ax`Mrrn<;b>V3*&rtC>LyCOJ904M4vj~x)2#ka~7MzCD zDB+~Jq#$I}QAzONb%VCn-gFe4>Ts~B)GbKq|P{FF=n35iFS%_Ytm(XOhcetRhMnVgUZi#A#7Xbgn`W%Iu?>i2-jNDWdx-OfqR;$smE@pOjFR zvYl8c=vV~#v2JS}NF}5s6m(&RKSsthf4kqh$q{M0le7Eg@2=ne;fL>k{^75W-{1eo z-#;#&e)&m9KfG5Fsc!c7KmG9ir^omA-+zDq-!HfSmtSh$Y^+W5U%vl8fBNxf!>nFe zb({b6{db?NK|L{bgRg)5_ka0=S~Q2)4kjT!CXXe!%;chghoD3P+#>tyKp{Fc@t5^c zI-&wa>j7%qGYvn|xg)b?Zh_1|x+544ngVAK9H@XeM9CncF~TUR*-X(Ub7n*IZ!b7t za7Tm}C65A0pn@Y8K3E)+2P_m^hrlr0LWGZax5+0DYUgimZG*YS+!A*vv{JmNl=>Uiejpafa@sFu4#7vkpcxLefePqbLv z^-g3A#A^q3W8>9jD6`Zq>TiiTg#8Qm^#__KuCSyqDPC7P{=P!cEkx3ob zE?%IK3m(Ol>m*K6vNWA|^Oz>k2+>({-|`4>jgIpKt`6lUaM8pq3K5L}7G{U%&W@v* zWb-&vvn4|@q6{d4J!GvZ_k*sh1KY;o&7g| ze*EiqfBE6(Pv3q2Z8{5h;au~4Xt6~=;`-%f8=J1ig5PxW50CHuS_Vt$ar5=T;hS&n zj~{=+rREb4CiR!3u`zkCe(~k)FP9%bJ%0LXyZMT8#1G9iyISQpogQ<#zQp$8yp>(!R$`iz?_1QX!nG2@|2Gfj^+PAZ0 zBJLteXYF{1*DXprJL^f!8;XTeu`v`FXsu4lE1IfUv*gzjtu;$8){?!HF6XkB%?Cf+T1%x|oDF-Xc-Rh$pv70DGL9+?^r7J3?1(XE9psGGYeVjF>)fi0RuU z9^C1a2GHWpG0lvfe3X0UwBwj^KVxchaKhCe|N?VvKcXb;1GKy zPV5dg19->=fW$($Ex2+!!6^63?q~!e=wN8C87AORD7XHXcZ~$ujF?V6hnR@9IAeAk zqB%xDS$dTF8M6ZmM`Os~P!hfrN>49C3agAd zVyBl%rPM?}UP7pc9x4XSgo;`_R9=Yt_XR2Bgj1O@Q3U#~*854Z$j!syrIC|R7I&^Kiw zE;oBknTX31zNXB!rn2}G9Tk?JC=JGV5pFukVAIYmNX_K}*rM%Ngo`|mXp8$-1CMAs z7CAPz6K!!;ZQ6;pV^OhEJ5bqT?^m-9*Z`2>4s4>H`(P_d3j1!u-g*JGCwW>8&R(P? z>P1rqJZy>$*n3EegGT!;kR7-W?87Yx3fx{Bw8MJ=aP7Uky>0-4w%k^>gF{2MHjxCHMLTo4q%y+~kk(E{Ki?zW^{$^ePD`1Lh|i?~E=s>^_J z%j<}yNW{f|tSJ+1IuCgBU;vQeKKk?DK>X5oTBj-02gr&#h9B15^>#O4LqbbY?xj#_=$(q z;4EKL#j>agt~@>nFbg}%5dbpWG;|!Uh1Z8$UUfW7X$Yyz$JJ6SLD;3Dp170hKIsC2{4w-8sM z0GcvDcCvvoT*w3P#P1EbNlm9|IG7E^1}PPEPEOd%shkj7By zfDHhd+@9LTT79sUz((Qf>!FqP56QYgMIJ=B_cXk$gzCpBR8L}^vq($q=%zoB<`4r- z<}ZMt!0nHEljIfv7i~Egnt?>y$uBc^FWRyzH0?xN{FtgT(8D5J&w%7h0uUpi`vIV2_GKQr%rtiVp^sQC-53SsVbmSa~OW6Dr?vqS2@Z^k*#kd;DR6% zoQ4i?OA|QT)pG6?rw%e$ANl*6F#0r*riwF7|B67Iik7P!nVM}mRyZUh3yIfL|H*iB- z%s~z3O5dWa1svkyHQsQuCHdrKU(=sxvtKwdc~&_C?vHxAnCJrzjAZ_57~m-4;#ORb zjT*({hW1R6vZ9B&O*^KYM12j;mKuu4+iDjH4gi_nv~%><94&w?+PZC6>edOb+6Erc zmiItS8H`I=i=SxQ8rybsM*xAoV6~IJm*MssxKXnYwq-oa_PgnGk@nO|8J0D*HMJ4f zMeW*CM#>WYxy_MjSvq;F&?mPbC~*6u-q>;haM70RBsCo^0Km{5>z4H|OQX{`qHSwy z+c7OUl13^xrO!39=U!KuzI!R-+iSt%QUMBH<^?oIfO5LfR5ns$JO z%b<-B0T%=XaDUXBdcFWSRHM@tAc#v@!zmO&+=ii~F5#2hY@$+^c&OBt0U5UP1xAs+ zm*GaQas(F$eYmA>(P<4MC1j4Sr$EApA}3T-lq|AslmlV*f5e>V<(Vl z*FtPZKo?@kA=}9n-DX*@AGYU)*<~{&4sinu(~cWRy(A=q=$TiI7Zb$0mp*f7>^WmYi;D-0xQP*kI?b9zToVYLeIYU~Ve09gI@0TwYQ-)!UG zqlh`>vr9!H=9C>L6^WR{G^+rnE1pT!9HR4?VDJ4?P!djpmu8M17SBLgbCD$LnU;7# z5QJu2QIqH@HE^P4YpIlMK_;%ImB^7#$v|L@odNb|pBdV^1eRREquK^wQL{aEPoidf z%JCh(D>aWurl3*HTsn^l^4s4ZG<1TOW{$v%XP)Emu4-2t&=Xivd`|PU{yw^v#3d$kQx+GGhbbmF+|Nn380=n z6g3ZxJff^Q_>%Mqtg$n|{zx`SDFXb{<=dYwKmO&bEl$T@ZNJ*^wPbWhBe=IrIypD#tmvUwbDSj7e_8};tO)6PYn&2f*au_ zG!DWPuHb@r+KDE}Mu?tC3R`foFs)(N#9)LkxB-k*PrcYc%pDhkOT8R{MFw5v>3hLl zEp9cz(nz@*N3gzdG2wxOTt?N*$Jski%i1*&s%8u(zC~b-)QiY&w&BCD=L#uRzg#?ey?s|%-?y>c_sx1h^~X-yn4 z8?QR%lYv%HkoORisG|=dA;-rg>!=8bcr4J{dZ=XR46fH3?BMIe3l;I|pVu$_Kt}xA z=PmG)PO|yX1rD)!{fWS88qg~-nZ*x*s7uNq^%#2@rChA`NdE*7yS$B(&K#LCas>dBcJXkpI?1 zD4MzBdl}d$_Q=b~MseP^Cb-N&a(Z1e7XvQxM%bC=2HKtGKlvTXEbPk&X(FblJ996Z z2#f(9Ax%WSlB&nlMC{AMrl}Jciv!m$H}jp`r%kTQZ{|KZcj7G{CS)$+V@{NlF?8-l zGlAoy!$KHhg>r; zlncnYwPu1;GOjf&#AJq2G4pgyKlLGV9D>R+!^xI7GhFbvv>9KVAalATAhL&0Gch?y z&#-7F#^M(<7i&$7<*Icq)=W(Aq~~IhFh45pa-6wg*3I1n>1bF+NHY;P;iG0E2a~F( z1%z17Jj2Nfh@Y2VZZfA)?Dr3u^C(_Eg3LX0!Y6oWb|YcW&6x>$_spWJNBqJ3yvagH zaey2=DGrb`6BPTMPq&B)8zr9TPLR26&Jg-D)$YC)CrFkV}{Ebn6%&EY27MQ-zSX?3gur5h2FJkmtutSD3~2JdKoYF~bUy6_PtS z&u}v5QS7Hvw~<5}S>ECRX+|e_fZEfrWptPbt^)m%NH?27%#?wW;6?tdk&%+(fDeqr z?Vn$Tlwu(?4)3m?5s+ql!t7|2MRd|_XHi`9JSgCiJ!~gFZNoyTLZ_`09#Vzv@-0%S zf(c>g4qc(#t6~{5L02$SPx`H_}L44_JR&jT7f0hK=sAJl>Tyw z*;Lp@(XxaF*VA5YEE=OTa}#_uiu%T(sI~I~MSHrNo%tJT+3Q_Abpwj_)|H*DQz6`o zQFKC)h);&Q6UJq;wFnIPwc!Ga2H%Wg`o^Is7#C4A8>--HH<4sA7U_#6xB@s$mXjg$ zMWR+Q7*t;*YPlmYeW6)QE53_jhOb6Z6m}?z+AmPF7tZ+Ax;fcmYZi*dgRcVEA_d_2 z+WA7W==LIEg1L~2VsL1>(uuw(wWn`ct2K&>3s9qot;X)*Ug9VTp}baY#%DvEe2SY2 z9q+}lWU7!s8E|kKbjYg$a-E8eqx!-&o6g%A%v9T&4Ged>3>hu6+f|Fn+>>Tt}%+zg-=#uukF0*C`Yb@!m-BvY~Iz3;YX{*KS zR#-TJO=vppDpkOhUcX8el5L7xZi5V5Nm7UoVVI}B2}e7Is#v(To*Caod?#X;F?zw5wzp6);e1bQPYW;0rwY zMsrr)Cbl4G(!#!P<7n2(wNd+xrp+m@88*#Zd6dz9BT^?3VPQrSl=YNS(&`Gr^@X&P zVbI(;lV_?CwToL#1Dp(W_z4C+WNX&km5bo^8};UllzA8tJ2~_%qY98Msu;TcZu?U7 z(G=OWO7XmDq0{6QFOs%KlUJf6v^APMrwt{Hgiw%+1b~<)>Aj1X3dR=G_HsjW`ceSW zIKswh2MIDE<4kd>Lby0BI8}%bgUF;Y#5O@>vRhmU?ItHLA_;smj_DgenJ*=v{Z|&# z1HHrXpekTAbcs-5p)3-FvD3V?c$i>t8vsf9>u`nEivk)g#nBXCtsp^UeCbwdzD<*gdhpoO~g7a&f1sn~&7ROfEf>({Ki+k-M z$t}~v(rJCELc|Y3o85?0;Z#Vj0Cjn)3QsO#0i_DhE5vC`6((tPam?_|IHqshJQ|0* zz|kaD^7lF7t1t19Q~HI9?G&T}=zPJ_8Ok+VMJ|gWeh<(FJE}B8mdvj3ZH% zfXmP0OZkUzVuni4+X;@DD*OZ!vQrgPK#K_+Re(Hs@Z1Ee0_2Hn->CrkF&)QUUd`~; zI4X#e$ML1?qw!%dVt04OXKNXq(N^;~SYXm{+Q9UNd^jRhA>@PXrwSpTM85?b4Za!2 z^o_^yrOcyGM9L&bRy@IKG>C(ArwW7E?;xr$i2c55o*Wv(vxF+FE3-9pam?`5IO-dZ z<4a*j!w9gT@2f({yGv`FAgIvH2Sb2dYQ)6O!ly4xryx6`;}uod;6{}N91Xr2M{gm} z+3`}&(J+RJR}@uYsCeSD=nR#haH~SI63z}5kiIy(3miN|7{e+I`2`#ez8S~#jh{yW zxwx+qt1J!Uaa08mPky=6)6jU@$;w(<}o|^c5%$`%{ZoS zJdQ8r9DTw(642lAkt&$SDFDxeBXXJHSRVW|6{1Seo%MxK3A(c?Glhn||(VEma z=5IWXuk1X0lIF1lW~m@f8->3H;ES|Tc&WiEL=~@jTZO3N^=qpTRiaa01x7oM`5TYp zD>;Wx(wO2%&0*+ zac%j^qx(waN#DRzjN~>ztI`yCi-A>WioC(VDl|pjUSJhsTyHM03NdbSdR#`6k6S$B z>J<1(JCKcoA}~EeYi1SE_*|leH5I6U(~HHaBHw-x_u9`|zW$)bCar=vUkOmNC=?VB zXEf7Cel}kTK8nb#jf`>JhH5p&hF+z#8skEL5nwgOgkIaU8e9}*rR*D(Xs?yrFeaB5mTH8+Uw2{)3d{>y7#z~DW z^)99v%6U%JU9j4kZDesy^@-u*Z;uQ%u@%|>oQPx>m{#MNR5dm~{;Hqe5@Yl1uG3qB zz;?+6ObyB!Qz@%{PG1U6g23?cMh1gTd`*s52b(>lu^KOHq3xtO^%wi3gvhfU4sO*L zb<)ATfT=+_W12qln7)*q^oenA5Cc?Wv<_l`YK%JGrT{)kK-dL&ST(|DdV4={FL)Yt z@)P_nrWwi^)AW(Y^riR&o_QR9!h&b2@z)_cV9qsuPE}+0_C0 zKN;xGX@;`JG~8yJF?kVFSxzPPlI_;*JE}2S2dP&zMx7w^s>Y}jWM0`yZJZ!%%Ji6O zmQR@s<&0_i$jzx8Z7(o2&6jK=5mUQ!pvIpiIP)a+eHWBat1`ID+q-G*RArP45~FI2 za*O_oU-6>RY3S)AkLydjl0LC{@NNb4ZnpuvG4u8a)fkrsSy45{r9o6wjd5wv>Qy7j zYM0ddF8`_xP}Z}mk36O?rKD`1=0TswCmX1#@h7TkGzYdK4Xg>Z^o7Ull~iP3VB7Ha6)R_Of8JR+n0d>Jm4li$t5`Xd^Ir5;&Z=L& z!M7H*1u1{xv3n&6*(a<7Z!xiQc*38URu13#lh4Z8JG`MpcG#z*u zue{T{BTZx54r8i8O}V_wJM~QMfrMyV?Pe*z7x9EYe5_nNnKbl1at2y8X>=6~n6^7F zW5*{N-tXZyylCsUaH|kY`U?cB5Jlpai#$!aKScF`I!Z@qAHf7&gJC!c48m6k3MhXX zYj6oM{w!9xO;ea|#7DIc(`{}`TYqk<9|GlKgI z7M0t~Io6bJE*|i9kgVF0;;3a=m1{y5V-9G|tH%kq^o3t`uVfW{Az1wxn^pfQOJ~_z zt(@f&%oSDvEZJI7!^CL~8dE~frYpwIVG?_Dm!Yfhqp!%AuS67si}@!&qo*!?!J33n z>{P&;QzFj>V3;!pacof(hVJ#Kb}kV&r!?&&2oN=Qrce9~zLHe*h4Ay%D3uF6@BX54 zVdtG`RW9VbSB%OzLWryo$;8Sd0KUqXkn_03mG??c$p(Tccv_hWm5bosj>AT$^$=_| z*-R1aFVu2zU`WZ^ctAAb2=1m1tG(`fe zyHdHgeq^;S+dleJN0-%!kiPKfX~$chI@6tk6-7NUbvYaFy!ok|shg7M);KVA1dWW; zVCtr9GIe{VZaEr&k*l3Lo&6rSSJsy763C1E~OX0OJpLNn_1K9y@m zld+?ZoE=Kl#OVu<+$%9fV~9Jv;Y8({P;WRI+5iCPC&0G4y z@X(tVW*r0dPtgJA2|c9 zLXNJ2&A|+8%h>UWrotOxNm6ZM$H6O{R-wu8hFGi6Y7)R<6nN);_BceV6ThHW=VFC}IHg zO!`V@v0((5-~O#av*nF`R-xJQWuamw?+Z*D5Hk zx$jym+9XBb1Ce)x#b%HcG1WG9#t+R>P};OLPicaen^|wu_SE#oQIrGeCTW5!$UWH9 zEDg%41vY}}saZp{reBA%!=dfYuZOOb)bw6|FXV{KyaqLVOtZ2TFZRZK)2vJQdQcdX zW<}Fm>B55os#3T(xN+POPV(E;kb=20P6zBh_aX3E83jH1)& zve?WhI-NC(&5WYc$p3CbvQd7tw#-)8McMKrfB`W zNHs_DScF=n%3(eHs;_$!spg;@i@k~Y<_H~4Gjkj>irSlh5)X7&y$oj{b^MZ1Sugn^fr>FT^hH`f=xRc zck=vf$keoB)(`m!$uh5|FY;6XL6@=_kst<}*7Xs}<_>i1ZYD`+8FC%Nn@Pl4ZYL3K zN{`$gQwcBaJjAH68JKRFJN@N$HUpC-bh(|)K*v|V^TmvLm$G@_AlaDS`Vq?J4s5O` zMX>`%Zf7$vDUQqSYz8Jp(zUZ2CsEeK4kGm~@NCsilqEGue+{Ue(a8i4GB!lz%t4^- zW5zsCw&~FA2B!J1QMT&9An%_a@++Jm7f;OQF$ZtePBS$d#g^M?rm|fqF3PGu&D3n$ zS?*73WuIjFSGz&gb8k1OszU?|vz@#_S?N);(WnEt&2ZBDS?0^UliO*ga@5K`#d15% z)RgDR?;1idx6@3`G3l4#(@Y(b?e~L}1@kV?=7EFjhFPCJLfPDbc;YY_Qag~R%puslSv8#%BJUTsoF{ zwt;{%)KS)T==a%mZ7X$Ks>=)A*0x2Xzfrow-dz<)7{{5 zJ5g*lxGuL7#j>H*vrd9fYnInKg6}XzKpundG2@V41WY^)6}W9zAWl2Kg5- z8Ss6Dy+zWfJDG%E^l0Sm>-J5L)?r^`ZQ5CXlRLojz&2fL=9i{tnSo!CH|h)PnpiV{ z*3yCrrfJ7{N4xH>+_XamqFvWk)3oD!rd_$9TqYT2KHBw+I!&r6aX3LJZO>X`+{~F^ z-cr_g==jz)x|b3{+X2i&@XOw=XD%l!OvHP^je}0l8r8I7UE-fLbOf}z8AIaL)7y~i zR&Xn=dfRN>3SMPI1AmdA0pXUQZFioW>iQ))>(7}ipjm?%CZ$!6N$b8~QCjs_v~C51 z(yGUxbt~ADRz3DqEA9F@qzq1UuW4EL8d$UK%u`ZiS*2uw#du`8r>qxVJ&5thEM(J9pPKy7gnyKdNq{nX(Ssh`jhWSad zqlmo7!(EnnYQ3h2z7tM_xtMmMYA{s`r|tB@%TdJq9l54h_&VvK+e)@>I;UErqtV_U8YIKBGAOX_iVDDym-KjD%CON>y0dxLDAQuSNidG6 z4hA>8-fWOWYLRftK6uzB9cG0v*`nYF2=@!NAFizB}(@-sX_x!AyhFU7%;3#4Sudsd=1oa9heW!V3ee||l+;0pgz*?#n zPPN|Gbe@ioDXDaOXyTD$TYW8~yRSu&ESfJfNyZ^iL?=bNqohOSHIE1?qsSCXq#?hm z#o6`sZUZ#?jjE@N9PVqXwVxa+!N-5D?~jvbe*9h(r%5yj95L}7ftouspLjVgSo z*_bojM8HJ0Id4p?7u~R`^f`(CwI$@7FpfWi7?U1Uji~~*QX)`;&W|9eqhDTJY z&;5oX;;vBy6+}?=cqDaeDED|o)oBksS3VLAPH7LFleSe%kiJfxclPg8E%rTklDN5k z42M7w?J=GrYvODgR?8yimu*=uRcl$y31S^A_7;iiMVz!O=BTLQYb}d&Pb|{d>_b*< zhJdA2GvN>@qR%}=*2LI6Ea$>8#rFGCBnkZj7xkU(Gk*`09XHkePQxaA4 zoIw3-Xz=w+8TCf=S9D<{FzFG--`fzO@B^!d{@?~io-J4&GImMomnj2395+4HDN_!H zpnid!(!nzaF?H-jwM|^I9+*qPAK>F`(;?}oYdIFOkWxSNXwP%!T8!r7BOH3Zg5p!dS$uT+B8%y+b z%EU6ZsZI~i!sp5eecVi*w4vVUnv4*yIVJ^9?0oO`n123{@SV-q(%EWnDvq1!l}z~B zXu5RtnwLBK)8hVO6s>$)CtgWLd$IC9dHKF32{<&IQa<+-Sup;(VYNc`y@||M2~^|k zBnc{xYT^U}YX@b0ZAT{6vq`xbn+EEb%hDl+DVR6-23>s=3_-0OvLkN zPwqd6D`xOnBMN|NrsqVc5ioIgS6j>jYp#zyaU<7jvgh=qv45Q{U2QXeuic7!JuTf= z%O_zHxP@YGk0em%2iS!Vb+#OP`cH;TJK?dq8*a7*duRejbfl5=xrrNd#?L?)6AI?U zpRwL1uIruW01+Y`!eG$!>u62F&5#>Q^c0y~Z!9sr)`^fCODwH42xlxYz1@l58%s>j zSYo|RT<0-hYzZbk%J@i(TQjSzFQ%Qb#PTj+Cq?g`CK1lWjpH)Q*tWWK$k{s@*mdEM zv*$E$tL;#)7m~2(P$kH4_C9x=C^lP_$Drd*o2;I=k!WHRE#k(f%k{61m(Nu>tAp}jXMfl|M1=Y=P&--`IK)C`H=?ZN0LYQk%-2R zv>5mi*#7T+xc$waKV5$M#PAnVd~C*V{_&50`28RL`Jevy^^gDlFMsfRqp@IsA`y_VY@AGoWHs7r^DlZzdsN6?c;JB9>Wn;a=hOz_si{Z zx(3*4`KQn)--I!4p+=7GLP=U5{3!6p@WTchu?&sV7c-wI$`+S^tx91*U zuH#;_mz#cjx#*61*gmfJ6-Tm^TP%v); zl$4oH%cExZ8JP3odAksJh)g{9{r2&|RP@|Fp3n2``q2WGDew_97h89%LL>w z$&?fZ$_pDSV_3-rwU2;%7_Z0e^L*Xx@8|R5IBw6wb3@JuIJ&(lwEirfI<6t-|a8D4Y)kc*E`Ov#Fn`1PS3;sw!I#o7lf(JGw`F(^Yfbb z4G=5qHOFJ6q;P1Ck4i~cuQT$i;2w9I`?$SrZ^Pz!xo(Ed_V&Erp0MZXvAta$8Tswz zn{O|t%We1AoSr0^)C%1{cgM&6dAtqh%j3k_3#e(u1k}nh%C;@wFWW$N^*fh$Vf^TB z0|}Vt>AE>z#$o>$clY}a;vb3f%H!Dk=5gEHE5P9a)ZP2}dK@mB)9yCzZ`@@)@STMx z?@zp)FKix6<47FeEAfHu$LC}FJf7}%vPKbv^>GQP)yAsAve_jCu*)1}PdrKr6K9JX zCC0>lH43#4fQtd_&N{fT)m<)6TofLU!|*uWS$`J}ms>%8%dG8(`}TYrhsXYMe_Rg( zJJIuWJ`MNh1|#?*=t8!r{H$fk9*cb0XtD)>lER`{tEa@!52zV1aM0;-yB*0yyT$yt z;m+iK!)k<=;eFUX3*b8d-&_&U4o?!G-Nwgm7;i*Fg5%inwOdAZvrWJQo(+8fRc0W+ zs-~oDBU$n1KB2pfYz54Yo$a}O-i}XT**=c^7F90ah5y* z_ob@!Y(Ma*WrGA@ zml?=L21*J8X2pY&?j|ABJ_7FZv3XobseHX$?_`hO9#4nI5vGEdIN-{;w%vbop@OZB zwa9Y1T)>;Ax-~wi4kH}^bz%P45)|BAia?r&HG}``v1;p5w?{E+N zetuqV+uiNFzi>3MP)=JWqj1~t8+~#P2UC;Jqpb35AO(U#JJYUN}b&x6|(NU_-y($@4ngh`4vW?Qi4ma@j}9X?Rb$tmtvMRn}>aBST5ylI*ra zNq?Olq1^RKu$;$ne}#XUQf-T5fxOTVCYj!t;{=Mg=RhDrPVI4j9<_|0rz1{sTilwi&*2&remiD8-1$Zgmdi?&kI zxC3RL>YSz>au;1;NV-A?Zh#@|)aJNl%Q@bF<+;6IpWE@ue!oR}KTkr{S;q!i7fbQ7 zd7ihI&E@>KZoqrkBV|4IFfX#!; zLc`L|j9C<#A?y3+q38-j(iM8BeE{6U<#v}!w%?)l>~B|2j?3-1*$k%vneb6&ZMXRb zmFBb^S(i`ttKl$i*k=$JZ+BWe50D^pbTnW5-2Yylb9q6om&D)E>Jmh<6q z+B}~ZSoOXeANv~{^;WwubAr=u**a+YEn*X*?qhgxx*+V|ZzFpWPjKmiiDSzQGtyC( z5myRuWdRpegg#1D!7WMo9k{=}jGGbD48&urx$<9l44V(?`~`LRa=YYJBEW$h?eeg> zJ~@#jT0A&n?wcFN@ZI%%;Qf>oRb(it z$dIEc;I>iNuSoerw6p7M`6#%EPPfDHc{nmlcLXJrMzG-o!;Fo~S3y3A=cZD4xQm`K z6g^`odIsE?r=*DRLlWV`lx)BGFaPlM-~aOc#4tOgIaV@lX{3ekcO`Pz3lPKja6h0(o>`GN=rg`<*?BS<+fMjnBt(c%D%& zSRv>0^-%0N0vy$b?f$w)h2K5V!;aV8gZRQcN58Vj&WF<-6NZi^geera?eTU%^Fifj zPsD}xy1xZmw{coPtsJdIg2#%GIWym0;M`PpmKZ^-p!N}PueUAR07vR!kMMVW0 z(ypGiNCb54WQPfmF9b@WyI-Fl1owI%oy@qsp17UG;B>lR>DUgt z>l1jdoYWclQK!KHX?++jtY(54T+aN)E#L|9Hn$yE22+(SWRF_WFNUID3`M^f@?$W( z(#q;i(!ED519L`0-d~WE9w$x%cJT-5#>gA<^XBv@qQ_`f1o#hQ8aw{+y1lc7-p-fX z38e*5@^XAcz_oV=z{@%+3I_9;88SbrTK zjRx8u(cMz%lVrh zIDkP{fKI?XNdB-vW*IT)V&+A08ekr@k1czwLcyDGt+uGrrzbWfnFemPP_Z@cz-@%J#3@0$?uripj+G4@8_hbBWRcjqp_$XW4XD&AXlnP z{0MXMF>pszT8!7H8&*Uj-eXR?jmWW&k*yMI_Owo{H{|in^*mm$GZsB) zOnT6-ME*V=ImjO<%P=%IPzQ|W=Ml4}M3mEInt55z&IkbmmfDd@wpr$QxIQ@WWoJer zjHro8J!(Z+8;i0w7G-TL7dvCQ*cp=|6i^c|mxmV94OM4^U9rvYHdpMxXkWME18KBu zR+yEGqA-M6 z({u#X${ZDK4XrBATDi6vi>@@rta*}X#*cw3?e4aJayx~V&Auva;qZVcecCv1p+_~oac9^ZfZ=U+bk_{%3Q z!9N8h*|dWIZ=qn(zslo~^H{D>#-bRFNihmEH5mT<`1GH?XXp&T55N3$dwl)VFQ5MM zwfs8@olTJ6Kah2i-LdsNw(P)Ikl2in`!PM;IFV${Ks*_bPfqIN?#g{HuTC(0b9scI zbqKG4Odau<73B@>I+MF7Z)3S*DRF_owJ&~r5L}(HPp(96+^3G*#G){3lg2o9xy^Sj zqxJTYV-?(jI-R4@u5l3vfO0*ossE{SnX=h+x0$`L(h*gwzX084O;P_OPgEG<}WWYxJp9uICK1KVp#1M+2_ zi?)jNpOG&YO~}lpq-(1DAhaH=wU$ zh{lw8$AjU9LUp|EHxImEVSHHT$komhh8r-|;t|B|cwteX<3Cvo0r{Q?Q}kJO$bw-x zXv%F>j->I$c}&V=f9BW0#Y~RHXNv;-l*itgaEk+12;7Y0bh0m&iw`2tO*NJf7XJ-P z`Hst##|A$KZlE!taUl`pH(R=X=8H}|7M&O^DN~_bd5uLO#UP}rUy1xvckH<0oKc0b zGjXfVDnQ>vDM2B{%9Pi<8369XWjEYV{ZEK+C>cD{#AJNuk^=XnN4V^<&B&uxv}VjW z1*5{|<$ervS*kiGg*2chV6eX<$(+ttE>y8eApIY?5P2LBmsxQaNoTje;NtiA3D5TU zRL(XQ2iv)*z2~C#o{QRhF4tz~qAy||@no;Y)1Bw^$Ig2I+s?x9nGjrF75ob!%FS4b z)rY58Snt(%nzr&siwMBK9NIsidZJ9!u_d_LI{tOmAm9&#@!FK<+8bRrCgo-DTJUTJ+M& zV~MZRPuw~^Piw7sY|HfvcIZ4v5&sd;OGzPn^q|UPO>@hB?uTt+S{G(6viZ5l=3JbW z(F#kIn-4s4RTYSgbX>6AhkG5e*0ETcODo2faB zS@0^dDAH{ns$4ms7?qMfI}O?|syr1{@b&e7h?W6{f_0J1uoGk$ii!SQL>f#ys&X(el-N7J zJ@^k_?c=~5^#EnB3{y}oK0Js;rK$kei4F9)$&rQOn!!(Dd704Vu`W^xn{^(lNF^-v zQc?hnjyMypTpv!D>$vU&R57f=_=Wq5=zy)phDE>5#%%pcGofFB0jH-PoAlza&|hKT z_2ACWecapBZQ4QfD`Bl)32psK6Qf@VT>Y}CsX1T*MB~c0Zz|X;!&U%9c(&wFw-feR zV4y79c=anyl73A9r?b8bh{n}+Fae@$qX7_OsQ^fp95mE~eU=?mmKamNCV-=VOfzl+ zh`qb<6fs^5fMg+pp|TXAUlaD(JgTy3x}rBr0c4lMA5Ih@ys)0BIv%mL5CNIyK0BUh z&ExLc~<3H>Z*sBA(%TO(CAK^)ylnsMv7+3|=K z_Vpx*Tc!q>(9eG~5e+bLYj)*O z*~G0`nxHItb2Q^hXDkH@qZLG8+Y196SvFDs6Y|;cr?P2p$>N2|4Bp=I)v)r;C}1d@ zR9w88;t0kx@!3s715I<49W^M+@`ipbvT6=;HG!c#twEZx-8+Dhg%@={VV;d)Dw}31 zo5NJLxT`9mX3ZViLE@wc#|XxRc@}6GC`&Z@HBD5OLR7ZMr7>Axq{hw;t^h_BY1I9M zc@}C^Ht}dS5m6RB8iJm;Jmc)_NDVM%kw;@sWXVF0%BES&GKMQ&~2rtzwM^~=|tNwQ(=N$e^)2Elz2ySSz=@JwRYHi}*T{p+uJ z4aeI`hKk@#q?v>d@2ijfbN0bdK0(s-i7B7JrE};4eyr;h>3e9DGh`5 zR2#Me1JS>FdEaY)XDUP>;v~LpC-H4NiEmsa6u2hwEeAWUcLsy-oh`Qj z+9c4G+?BB3B+zXqfsXr`0%R2E1lrEK=l&WGKAi9wCBQfddnHsdFiyfAcTN*z)1*gX zPcXja$M-SEsyE$hcDBDo1Sig%#K;oW8B`}RayyBUIgY>QkK_us>Jar&Cz}u6g1>iZ z&n01Rjwcb8r$uExClPi#iLl#Agxy9F*5>$wK!5D&{{NqwEYdJ^NiZ$3NqpW;;xj(7 zg)Ec!yp7_sNbpgh*YC5;s2eW_xCHFMKgr$P5l*m9oIT0icn6f)D0k-(Co^)e=aP1z zH})h?muwNNn@OH7Sszq3Z4ptPwy|psy=z`0qeB;f3DHSb-cGV|jx;WTaFUgA#}par zB|OP^-oi$zp{SDL9n%A35{$Q#V7#3KW8TGUPy=KFxFZ-RQ>RiK<%q?(pr;J(Oo9n} z+9VApElp!4T`2u3+?>SwRAvjmN03PwtmLi*IoNDh9+)H@r$Gt^aDpySse?Dp?9jJC1TJ`Vm97aOY3f8_q8b(9RkHBp?xZf6|L*bgr=PWklJx(Zzx~_aJifmR2$j`);@AKFCY8A=nTTM+P5w`%ji}c&6IMQ#g;z+uKiX*{}DvlI7sW{SQP;n&5 zsNzV7vx+0;TcNs9T?bXw3EzFh*S-_d`-rsF3G;o#-0Fn>KB8}RqQO4W!0JSZeI$g{ zi5mMz4XYDL_K_r3C%Wt-U3P^o`$!k76I~9GE>%j)a)@-XI??42 z>0))F%OTRm>O_}Aq>I&wE{8}Ls}o%gkuLi}mqVnB)rl_0NEfRUU5=41Rwud~BVDXc zbU8-4Se@u{jC8R&(d8KFVs)a+G1A5AM3-Zvi`9uP$4Hk$q02GS#p*2U4i7ux| z7poIpPLVEFC%T*>U93)YIYqiyo#=9kbg??o0))F z%Mj^eb)w4<>0))F%Mj^eb)w4<>0))F%Mj^eb)w4<>0))F%Mj^eb)w4<>0))F%Mj^u zDs&klU93)Y86#b+PIMU~U93)Y86#b+PIMU~U93)Y86#b+PIMU~U93)Y86#b+PIMU~ zU93)Y86#axK+}0NM!Hy?=yHy9u{zP^9O+_pqRTnb#p*xn_g|?AGChlw|GHoN7<`zb)j+i^Q zFoJa?-noTQtt0%-EsS&>Ie2bi^y`Ska|?Kc7$rM`^4!A6*^!y&7Dm%0@i{dU zUoHyKqPo#^NtCuejHXKhwY4ysE{WCF!f3iATw4pH>5_YiOX-Bl5TNq6{0{+~>Xxb6;=N3lOj<7$sFq(EmersW}uhFz4_|GkjrXBHr zZecX-C;@W|qiIJKm|GZ4I|{+v!f4u23+5I^(~fd5w=kM^RD`*O(X^u|%q@(j9d*H4 znA~hM?I;a%3!`aAb(mWiO*;z2+`?$uQ6uISM$?WmF}E<9c2tVFh0(O5Sj;VqrXBTS zZecX-C>e7LqiILguofnB8%;Y3$K1kb+EF{^7Dm&K@-eqCns!u>xrNcRqlnBcjHVrR zWNu+J?Ixca|@$sN0pgd7)?70&D_Fh+EHuf7Dm&Kax=Funs!tiYcc6L+m50$w-8Oa zvI~O#+(IMUO?PyAK3!`aAU7A}MO*=Z%+`?$uQJm%$ zM$?Y=G`BFCc2uajh0(O5Mil8R_Gs;>RO*?wj+=tP$ zqesmxjHVquYHndP?dVZ+3!`aAkD6N;O*?wj+`?$u(WB-TM$?WSHMcODcJwG~G3ime zjvh6)Fq(GssJVsFw4+DOEsUleJ!)=YH0|h7a|@$sM~|9Y7)?8R)ZD^o+R>xt7Dm&K z9yPZxns)RkYcc6jgq7*@skJbgcJ!#Z52I;EkD6N;O*?wj+`?$u(WB-TM$?WSHMcOD zcJ!#Zh0(O5N6jsarX4+MZecX-=uy^U(xXU+(5LBiYeZbR7cE57eMgU)M-ff;9X)Dp zA)4+xdeq!PG$muh3phkmqFB9XA)4+xdel6MXu9v{QF9B?l-N)GQ6@cV-_fJ24{ISl z-FNh;xrNcRqesmxjHW$3ioXAEyy|{O%8KuQZ%_TdFo#sx2LQ`IqbegEK9^ z{_xY|@`<{Cyy(mS`Q4|#eDN1t_lV~A{O#}l;qmYP^M{}AKYvLd){w{pe@AS;FTVV{ z{|(I=QY$1J8QM<&7cKDBTdw??fDJ!B?qB@w?=OG-`GIra*Z;?V{p#<3`RiY=m+!w9 z>|g)oyPv<{pM=BTBpkjt@ceZ1#g_$@-&SP!H$eQ&Mh=7f&2Qx7#H&iY&c8SjApZEp z^9z;ns)d*+5KDi3@%>z;pclSOj?z_`{nj%9S7vF3UD;9Y)@>ONw(w(Y%XoOf1Esc% zSFoWBO+S1~a56ltt8WQ*M&!}e`+3@W_=}tYueb@nhOD5>5nI$iTs(cI^&&Q{c*o8y(6lp@(ZnCPCkh2+(2P zh@2bS5$Q2}d(eZ`b_`MR(Bi?GA$>{vEy)rHv(&;&I|5^d{tkORX{*O2od~hYLm8p=Tp5A% z^~R?D7NU?-(U*;usG@BL+sAfc4Wb#?``+f;VNbRnHwJsSo>97XWC?A{*z&`A<2>c% zoo_eVE7rO{#a#3}$z)i6;~5d8cSgh3BXYWT=ldkpJ$$NuN$h<28G$9)^-mv)vsyKQ%{*feb! zi6b0*LJ5JB1FL7Cn?He;Zmc-|!;3PMO|7xrD+o%F)B?T^&pp7w*z9nIWqt%}5AO1|H zj2=UOmQc1Cm#tG_j9eMYeJBIrP_&p54%yRqG-?Ba|{gEpp zZ)H2~hOB3vpDEh~d7RvKL1x{NsKW6J-$c3k4SJDQ5aDX=`_e5o-^LP*t z*U^+QEcsCj3&CX_uWevIJ&A7GVc-t-CJfeoo%3z9^`R7dIfr)9R>0P-fHCd3Ot7Z` zX&S>hjZ7G1V3bqOrzo+O9?6YC$}&GL2dmp(IN{-r(C*;ZIqeVtL%XoQNMi6Z4F@fO z-~G4yAdAut;Wo4jay#uT!+4AD-f|?hWtcYt%p`U4_@dS-I7ag=5{Q?PvU3s z+iy9fErwX#A7w{>Zt0};G6Q9nMxgz6wD?l4EXdCQY?)V^c0_#ex*u8qX|x^8Y++#! z;}rCJEZdjfb8HxwZ1Z7U zjy!kH!1R_cxxs@nMRRG&G)4YfR61`R_(XgK>fGu;@;jw=41=0-+W7o8*eK( zu(f*`iL$V_Q?~aq5@iHXa=3BI1imK=qTdhr)^amB_$;t^UAEa{B6D*@8OF4BT*@qc ztNYdx4YXzC{c!Xn2(HDZaP2rS{TK)w0T!~KIKHC4F`QfE)eLqdMu>a+$SL5*9S?qO zk$>tG&SbWiU`LX^8t^@%T=_9jYu#MJEPKzplyzuu?$Ce$=y6;N-QCccWPai7MxPGn zn@l5qJ`jlfKEx$XqyeUkKm)YFKm!D)pwns7_H+&-qAb2@O;gG&HjyjCWZaHRI|87DvV*rP(~i6=z8!e@eG<*opYaD` z*Lz)qvY?kyMy_c$hI0ORm`T3w<+>w=U_UNpq@`;6BO~45?aGvmp6?MHPl|L}(~q)X zv*aq~;P3b-i{oNx@n?^&aTJcQ83Qfe(|~rt&X05uJ^$4ve&&o6vSF3Rw2xc9^QXF=cFIYLqPXCCeX5oRu3 z9ly}uDd;^U&5d*>cVnR6c^hFSF;IX7b5o!TZVzF8Sw^v4)akJP`F)&SBkFW)PF@xz zXnfRHk-~zWN4DIc$0K|@`2cN!?i^iEG=ls`S>QD;9D**6^(CC~93J7`in5?vQHJ`` z@(^XDmGotM%WPBg5ZV#xYkfP+Tpl-Uc%C>a+veaP`M-$vWWk)*z{on_5y$A!fm9&9f0PV;yOxY6$D z=|UNbl*d;RU?>YVUuEYD`{a=g%kdxW!W>aXf zL2`{_#O*|aR1eF^5>2p6x7YPWCjgq*%5cWK))T@q#u`r9IhQ}hDF`BqipanQxImcbZ<7qU;o5#$DO%leCNe)R;T{euar5$mo=Lzjfy0#Er6t8K0AY2dv@G ztrKZW10M`zw)g#ESn*|ys&rD#8r&goxc*L*`EMy>BM;w(`%BuzJ&~(k&sUJ1P0{ry zml#KTNNN$m8<^SsJgp#euwPNe!SBX^ul$+9t#0rkpe)>Zod(WnPe0^wFH>Wc!j;U8 zi-)1tHDtZ=b}lZ#ybm*0u0yyNqAc9gLA|Ztb3{=u!*OvE{7Wc9Q}Hl!7wzeXi*T4D zJYxL*a$*&EpQ01DdEOpISv&(Uw}&%;Sqt#tmJ#TOW)@g2dy1*YRGULcX<*MEyT7+~Ioy-BZ^!h8&fYXKHH z0{Ah|e`C97=j!;{>%CO4=L}pRd46GM54Jh10&(6D&HUMj#_4rp64CuHw(ccavm1x5 zaNncw5K1aZSves$J^!XZTss`ay-vpMB!c|OLxLb48(?|u7e>wcd7yoHja-X8^N+E=3JuLr1?FO%DpSJOKd_gKA3kjm(Tv5ZQJiKljvr@y<`YxKH2s^GB?%Ipft^exSVD&^aH+p_AI5NLR zJDYYa?A1j{Is#$aaf1wxgW&cKIH>OIrAK9>AE5oUxG%JiGcDjaysI6@-aEg4NfYU~ zK6uttyZE%wTq^m!>dJ2J;Ayx@S3dyg_<8s0k-MUK%lFy^Yk*das~e^A>K}r4=j=Ml zTH&=hZ%_)1#Pt0;{xG+Gd{K&(qWI_X^<-zi0>Lwc~=3wOz2?`qqCBT@pVH zu8%wgp^ELoTN1yS>oRd{aOLO%7mCiBRzGFz|Gc;L-|OPc1e1Wq`TDL48n4^Z=x{l| z?&RSEigJ7uXwkjVqI=7l_Ot&e;wthUO`_}k9?`ir1Oq2N zb4T#!S-=10D@J_sHGV^;EI*@Rt#@3s*imS)qke;#M-D+~?(ZFPb$J7=*zVtdhVQjY zt{Yln?`V)|?{|o>wAKa}Xk>(?Up+_P#xL2N@msJjb04v$a~~-|lKTh@CHIjd9sT(? zH7DQ37rA%Y??c_~8USI;nfVvkL+)Bwztyj_6J5;jQ8+@w9!tBDFrodt5BJ}EdfIsO zVs>}u%p}el2(e@?#eNvWVcog#OO1>bm{?rt;b|D{Z(n>bKvUYTsiQ!x07^Ry#|W(|O8f>Gz6 z<(c2_05zC3fD^KpJHIPkw0+sXl@YGccMbUcxk~3gLQ4+dp}7{zq$q?q!SlT~oQq=k z1ubzQwD1sGYObIK8-Ny^w8al58ZGuJS}^ozG?@Jk7u>s-zKuid|MsopE^ZosS9y1 z>GB45V&+1<${HNw@0``km^FZ4i9QL{yfIvk8%EgM_h_QW&lpF8I16okGTH@?ezZSE zX7e9&m(2M^Tbu6MvG`|Pa(8U2YZv(<191Jez^Q@1yHpv0~7B`1R`5Bpm zwiv2w_c1?im0f$jmeJb}Y_WJQG4}Fz51@z(UK>E#^aQ}%ahla2RiL&w@b^~>&rMuUq0#f{g6Xk zBU!)tqzS)Z*)OWGc(XI}HkO5!*a;d2oNv1Ye0kiBN80VTkvdryr!aTQHOudP+=DW{ z7jt)lZGG?rQED{Ub}yCS8!bP+fvI% zUk&&7E)8xsWq%@^Q5Ly?LZZ z+j!K`HkRu2I;=B4w8dpyyT9hFq6rqgXXa?B_2Mw3z7$7Lu;OU(bD_o0iWb`iEtpEQ z+Ae?m0+o68l8h)O0ZkTf+SPVBAKA)?JA@hIz)bsl?#p-m%!Rmr&(YBUu+lfR7qw$O zr`_sTe$RcGaov}tC8nfraD8ZkR6Fh|lh7(XJmc6q|(4V|@=8P{cOl zLTfDT-?ZDMx#6;YAdC4np~d(2413P-=l70A>kn<~bX>cQ(I1UNHhqOyL)#i}*H;nA zY3IDH9C9O5=BJ&kr-@CRNfLRFw*F4-_`Y5qKic}A-TFWs`yEzv&djxQdC&Z4tSgH_ zI#eOqc2w$@pZ#7i7*{-J^H|U@;LE2+vw|}Qyy)I}DwPQOzwOYrejUY3!qDt5+Q!wi zbAfC-(DT8e(0s&LW-kq}F$^-7g7xx-8vsAQ-+Q$9kkOJWjg}aiO;^tLSp&bvfP2^P zXfkZmE;%sTQKr%^IWRUjKICJfew(^c?^!h$ajSQok5*ggPr&B%bsfFDrZ3=szODIc z+WENsHmYOCh+kCS%61|8$aX4q*O?xX{SexD&ztW!fYZ+JvPPH2@faRDg);3Dw>od# z$fon)C2y8f1t@=ik8YN=azNhs;d@N+-wiiL3+)cFA8Jv$l2)1V~M@!x!T4lD2A^rmw!}y^59^BWvrbkOX z9yH4Q&c!wJ?(g+oenE5i)6T#zPhPu!&zU}&Y}FlC2rYBQL+}3TtME+5V8f;_Cu94j z7Q->ooYfnHFxmNGqR_5t$hM2l;P+JE$XYo@`Hsu9&hG_##J`)oUYw@r!1h&-XMay< zV(zV*o~-4k?+ebmZL479&K}>(706Jxg3pku;WU;-nq!xD~;oJ`^xT#JcUik z7-%{BqsyRgCHPtlawdH2^j;`Vv_ zqH&15cgoS$e|5Cr9?;fSyLQ!CKWaxClz07EXMOE?b~$UZ5a z@BSW**JH+jWFP*!b^>r|x4yY+w>rbo8b_pwMt`Q}HwB%w22!ekBLhL5i=+ zr7v>ze8){MdJ`IDD(yCgdwsXI=FztP-_bVT?}}4f{24%eS=58&;+G$+NoVMG3%?ywmSb$m9~-NXcxH3?F-GgXy3(e2w<#i@_XWH!)wM!ZoPJZ(mRHQd3g=ryZC26 zNUM)E6=Tk~@f8eDa+jTe%?PyQv)^1scH%t=0`q&6jpRO{ zxj^3ep{0(JOF#HxwB(Tcb$89A4~Rbd+5&g@tMWvK$jihz*j=BP$dnIp+=`IM(%<6vY-Y8M?UeCLO$lFMTScmduum7={dCGtQ`#rfW& ztzOK{PjA@YGnb6v+h$GmRg^q^!&ll7PHD$wQrhy1zNr;^wDBMKUT&JsCG`olTc5)9 z4Ymg@IHRLQhXe7SxS!vn%x6v6*jZE8dDgUf;C?SS%cCvk35|n%*ObT!A5fffFNg_u z&YTqaJre(=IUk!V<6O25_*H5ef6lc_Ej_egIVGzFn~WC!0b1(aplux1xF5P6%-#By z4waERg;#!jcz%yTIO}&6F82&N=bImJeLv3cDU|Oz3tDk1l(v3bA-VP8;Ys-C@EVv* z&J49F<64;6bHBG3n{z7Xjz`OVd9=tO;y!W+EpiAtc5_SpUfz5@+WJP&Hr9J{-Z;q* zZS8LDHjaJmQkNYq`8{aCwH$5Ff{SPIr^et%AHF);_*Ac*%XWA}jCt)S?RY8DPJ&Nq z6BoKMqU#+katPWnatJMQh$AF22Q4z^V#4`0u#DkdEUDtde22WYJ*yTadAP^A(b1{i zIa|!P+);Aa!jrs{tN&qpSIExqJei?YN9RFVpN!)Xy$&syPqfshM%!E&HXZ@9yaCg; za?KIk{G*en3Rk?}LF70LFU=U`dn2z;#u(Le(89YU+xiLhUEGKfFxJfPXk*)-e=>dx zR%m=_M_b<^TKVrF4ts~S~6&V|NRIZl*hom)r56_q#j z?%LWogp-pb=F&>Y}htHwEvTK~9=f%Q+`?4tjPC$G(}U2@p?(l|4AF5DKommuJ|_h|>w zOYZsAtcxJap39Hi7IWGBSc(C40gRhe>^ zL0@eg$M0+_^w}Mn>HGlIiP4-@D=-k$&4*gHvmE+}gF&lFdz{ z`EZdfZ$QwmU!EFKUja-}d^hk-Is5dSjl0nJdv~j@7kLHg57zU?`7JL|5@EbH`TL0`3?{oH-i!t{WRBmh+ns<1}RB{$n##mqE z!CB+0g8Ir?IDEkH9)|&nttRy=zGmuG&L9Lv&Z=V`eVlf+xJ{Q4P{-~Y7g_d~Xj-{;&sStk6-0UO zWc+dp(T*VioW9BT)7QO_wQ?P0F3KqF7__U{RzeQp14m|KR7@d`;F&WIRKEA#K-uq= zcAUG>3ktbwmHymVK5tWfK|F^UgI6m0-{ISLE==N{RW?c1o-&#><qL3NZs+F+yjS{z4<-v``F2Ug8}RsfP>`B+&eY- zz1CYlk6^w7Z$!pIEk!=_UPT^I*P_=ceH@>l%)aO^)_(ZP{q{R=?@_~o%eb;Vkr7yE z<1X4YMgU9Kxf~c`_XB)<`Shn~|F#oG+&!1S5PlY+j}0MQ7M)u8=je&pDsoL&E%C;C zzhe0S7A&&q(j}L7!8gJO_pIBVEn&L&7{NxeriYu$7;tl$A52W!rKU0MRFd7Uy?XU~ z2Y@`~oFC?{c-LO0M`SzAqU-DsuH5D6ODy_IO7uH|QIb$o-H~(YP@Gu7c2INJBD{@9nR$8wEC9~Z3PDO^6_YRUdm+p}*b6CPq> zuWY~iNErjLK5GRamT|AZ^3D&;H)~HdYu{pupdKRER7cO*KQ&^{sx-OqhFVS0eVC!q zeUx;MeaXVfUJ?gcgM)cwE?8~X#j?a^qUL1nW&dXFWvt|WQ&>0O>r}@6B~F*uE`4xx zi_<4X4&J>~h%3Hu3=~`eT5S8Ht*;a)iK5jte$Mg{H_>eveiYTF!^Q@xRCmj4gSz@?YzXXqVh7G-053F1)*q zPqWTry9m$hI&*~QO(Znoi5-JJIsB(xu~w|2E z6UxfENIe`rIJA7^J@jdG0pZ{1FW_OhqnLTi_g5A+di!DNhKIBR+J4s=Gj9zS4nd%p z>5CT3FyhmGK z)x93Sddbn2uh5{eXU=Ep4qx$_#V>#sn+`4hzoTtj7cKTaT4JAQ!Nj2PF3p^!yR82} zyTsbiQafKldhZYJrnMIc>|lQZ>GFQ9OE7viT4Kj&$pgA-n|r1m-tL>zusVoae`_pg zB^)GV1vdJ}*z5LJxgi^ET zz&l;@W>9_P16J0YN~)yEn96X0iMB828*4G3Z{0?nL3dPk2qJ1_qBv&tfiTl)@^vekAC zRCZWjmAlNQ?_8`^?tLGZIlHFQmwL5p3Tc`(g;H2Qk~>s&jGYSwHRCE98XBi>)}DOX zc7T1cHO>XU{0A7GHPAPI=S{Ws@I93}cd`I$^!ux=lrfx-(GQ+a$Vcd6|gx3W}( z-R@i78L)O2U`V^fytJ!72UaL|Blp_+W3MVx!X#&d&u>T6IyD zbH_N(&;A}S!1APUHIUFW&AXdVC$21vhoJc!FMCWWUF-y z+netNfLZELv?jzQ3>_Jyl^gnU?+|&PIDDPdy zD-a$6nTTu`%ilO6hX=&%d@t>OadX-^z-gD93tEXfGuy6pn6*nzCY2!=G_dv55n;sF z*C#|jxb?Few-zP`4+U^}gZnx57HCCy19lkk;hyRf`N!gne+V)vx*1w@Ww?yRbQmLe!lTW;*&{5uau+RkfONm^ zr4abaNuizSf0v`PvI!m}J|4851v+rnRF2}_zd{qyHx9b6@&zq%oJ+&)@8x|p+D2*| zp#g*K85H)*x;W}%qM*T zzC){BYuNZQ(4yy1`J;!))`%{Omiq(DH+L;sbb+gnlr%pl{}ozvv#ay8`^#ILxDZ-&Z&z*XkGp=C z*H}k6Z*I5fe`vWYF8sT^h9z^}&~jJ6@#LOH3-3a;w4JVdKD-eGobW`ql*HPp%b2ajc=Q`&Xo z*LV-DBr^M4T6->4K#X4OMvnYDvwQag#y_^buxVt8dbwExsa3Iwg;(Qe5RT6|XT?Xp zKoCS<pvSgrZUO5fb?GEay3F3b+`E-mtoR+nqNLs{mv3s5s!sT?#34lA_Im|2B#iG| z;1hTK&J10h0WG?K9Fv~6bJ%5!`mSkzxhv3m-Z;s!ejtmTbN$p%bI}R!Y0u@MbJs1O z{<7s6mm?|dKuxozK<~qU=c@0zaQW^za6K95;@jwJ@ewiBw%7{?sjuC@3t<$L8Hnh!}|idbmL ztGwqXaxYx?D8I*XF?%T`JuyDN*SiO8&!BdD78s4mJAM&VacX8OvRU)@JL-sWv5&_23_3`OqsZ72C5?HCdJdtgv|ub!uG?fnb! ztuFdAy&VIDYGsLb`*w}B!)iO{%aK@qCK6XSyQRvvfn??E%Qsk=bI8lpcMrwWb>ULT z{HWQP-&NL_wO6%bZ5$P+;txaPt>3%@{=D>bBT9`iAq7n&hXMt!{=EOeNalmVR={!W)2stJBc9k_XN~k$59o?){^! z4-YMQ{%FBEqs1R_wDm^_FvlN(7Jmd#L*3lSNXV5OWeMjrJwbq|j!A~A7vQzzy z_1m(_5)VL2ZpK+}qpQHh+w{E`q?cvSK?5QWR2f?x$?C@P@^LOrdCwM%Va|sv;oO&3#vy$hixG)|nA&f<;yaCBm$vvL;~tpC)w z)kPVES!Y+&+SATa>WH8vzX#2yJZpdQFL`6vE_W!YyZ%Y-VuPXO&C{dhF2jD&bBMpS zIS(Bcot3vZ_mLp(`o8o9=6t@_1@)iUl4vA(+NBPpcGZ=g-rg0&!T#PUkIM(dbLNL# zvMw@Tqi?unBA=O!SwE#{G8Z1ktSMx2bUoI2{4}!Y^SxKRa=#6lb?s1asbG51f{_!| zO^zp8b=!M_V(kwic75>r2J3>BdKofhs@GkS!9CN$8qvcP@ms&L-wUqZ0}9nGuJm@+ z527{W9{OnblzAE5@gCAnJ38xo_ThuA!ph=%0623I@6KGLC}s>cc7BfwboWT4W9%9C zGG8zpYlyvtE(Be-wP4_LG#JG zm-U}QGsGu&!>&IJ4VZX;Phco}+4!%%kT>ZonP+G?GP)FYj$h>x%p!NW>eud}P3GRe zQr=lVA=jNBNLJ=7{I+pjno8b#KibACPNRt|LF>4D(!0)VgUC8;pK}RQ6u*V)L(y4j zn7MziR@m+bY1(%DnA;AJEVkbzA?%r^I_9nbN6H=@pg()b*S!49?Cw3ytX-ZIVafRr z=G-_KyQe&U@6E2vLCdSJWD;7!oAR^$=ec(W2yPT_Ke-FaCdf11}exaxI?OU*xFS#g; zfPDkr_qqgj?RhrC&*!dtdFc2QW6hdEw?{|fM#}z5n^-?ORB3GuQfOoOr!j=r&MmdF zQyexnHo2BFeR1~Xd(p;?S-baBC&65RdS?yj2a(xssQ9x#>bW_*!B(goo!m&0q_SPj zuG~=l+`@1skB-fz3m z@t%E^Ec<0`5QYAEpqq5tCbl61ox6v3D6*5cGddSbw|m5{$QTDY-Z5P9;ZtVbw7XZ=S8kvM z--(vE0a{{#3J3)Qj+S@M(0Ie&Iim&3j%L@l9dR}LCiO5_x=Tk~d7x~(Yh`~AHf7}V zPkH%_d!Wzl>pB|VP$(zZUuzZ2j+@rQE&F?y=oMOYOy36Gxa|awOItk1kG_%bfCpI~ z$E9A)o-v%Z^^u)f8@{?w`S7WBLriTAvX+O>KhqO&v(>5+ktAiP@kfW^=Ul>!DE^_S@K| z`ChT(%uljH*C0M=`r`5T5q*D(bEq-8S1A>P`i>-n7vCb6o%$e(E=L~_nu}Y}iype{+0XXY? z@%a8;-s_djncP0K_)E}uw08`q>FO%yZrVMk)kR)$mFBK+yX9VBov+VLk?mly(NZ@G z|5YD?@Q;3Vc&_lI`1!6WwBy>u#>o4jXvuv=Q<&~qztiD@JLIA5{&Jc}Pb3gB7Z`%* z7F+;1M=%8WHZH-OBUP=|r*+Zk*cxu>^2P(?X-1&05`C zM|&>OB6BcX?sM*l+)-%JMVVl^Yth!vWqyGAJ3m*{o;Q*F^#KU&04i)>IN#+BaiR5} zIx(p&g64AE-;#h|wdwCow zcjKjIv~Oy=;^+E(4%U+Iy~24j7x=J^J41*BYl=p5Pv2luv|%oSm#|+DsW{O$Nb(iLZp>VmMZgOTkV>vNxArlgT&}$es@+m*X>%ITybQEh2tQ$rRCJ zy>lB~o<|{P@XAc=e(((Ep1Jq7^KIOs=}UC3-URj#xxr+LO>*G3^u?yT&P<%>iB={2 zayZ7UGf-Q`U_@*?DDLQ!)a=;oLVQ`%i;M3V7Xx2D74MFZhp3AzrtW6W9HY^FILONz zj@Et~Z`k5Dm~@Gsqg5}yp!MF#FqO3jrBv@3JQH#GMIa(;58@wrAb=Dex?s%ea2EjC zb#}wY=OJ2_GmTrKgIthh_Yy69VD00#kdG62g_iTi0lnA?PX6SEA1%5Rr}SbMv}^2% z7r1_byrZZZiY?0bGQvXp(Ce^nZR|5P=ANPS*M=3TTlqq9k4%JC>0HRzy~_^1v3$>{ z%N=FTT|YkV%!T0-Uc2Pn{Wcia%;i9u+YW2w_ptce?)+TU!$djb3+HZ+&hHldXqS5R z4s>d=LEzSIlo}pBrEO$fUbyIv_i6jRBy83h?lo(AD#-Rd_t4TVO>_4R(l7o~`b%_2 zc+A)aaF)@1RPT(u`j7C|?m0at_m+@#{4a2QSpymA@hP0EYQK%{Gk%rh7oCUKXsnTI zqT)G6N_Ql-ed_(Lvz?6IPH)=W3@KRkH8TS~+9hXzJFI%FZwp_607dS?(#6MfHTQO1 zXn&E=@Ov^-aAS9}&E=cJZ&FC5IBt zChfRfP&qRc)bQT{$hR-0H9Xn3lP>(Rx}Gpe?}e+Awd+i~U;WulAvG%-}OJUOyj=?Pzvq~4Y$oY^JJ`TuN|OA+U31U?c%EfhyeiHz9*nVOD%7G z9sabdZgwfg`Mt)8FI9DYbJou;?HK&FAGFP3lO&gV+-S)cM_V7t4~A-S1&7|R%! zJreL)Swb^gzks#p_1M0bwcNE=5`TY>YcBff;U01p zK)iO()hb#1+EvMlj6Ha4&P;7$;c3^;e6KK0^cNB~@`?vEHrR!Gb}zXdbAAv0yx$8Y zGjl$9S~=+kjb0*kq-!c`Am7IMy1M*5dbKi4_&hwMj9k}+4=Q7D?=DYrZ>-;z;g?u1 zr$zAIXo*3h1rLH&z4L0GEgy(Z$ELe-OM7On=Gm^x#iK%_^KV~XuIS$43t8u@_qFrm zMk;Sus@=DHifPY>iZzj)SNL~%O{61dOI$p5g}8XzF#|Fe2E*<-Yju59l<{B<&{B_# z^kdP?xx9jm`Cg#jwhM+7d?WQm1zc-)(*(xPe|H&B<<6x(JeB^cPx7k72XI*8dbqLTu+-a^|8!-95W&X5 zoYeYIWE7SsuSoKap?pNfHl+Z}*_{wTT{@Kd#i&$^&C?_!c z`|%bcq02im^V4_kOLv8{y5B3J6x-z|2)VyUJ>50Ego8cPq*cy7TI3Z~FaC(bHLsi` zPIE^=C+05WYKafPckEqznIjuNmyFFo-|rP1%UmvqmUi*|`@P<)>gRONecG-mWhJs$ znabr!QKJm%Y|@+&tulA@z? zz=St=6T=%E5;^CGPs|vSoR;qyr&%i|MDCO`{?fPgd<7hmQ;1f-vaiUv|M2HzkNOUg z-)roIt2up{Jvo;Y+1Q+Di|f0}xY2#kBHLNNv5yXqxiVH*ckG3q`!YJF@OktI$79bg zt-WU-<449~-kc+}^5l6p=giO!$}bpZ?*JPTUFtR|YtYy>&wqXOwEK@a2Ulecf?u<5 z^$WNda(5eJV~~E2K;1PU#B$E1J>^Wp3Y8YDhUj#1*nkvN=$~=L{Im8V{;}z1rDUgk^Z7rZhX$IGQV zKY`OZZ`Nh~FJ~V`Z^Glz>o_}8M-weLP_*zann3Y8zbhxW_6%BLHU~@FJwl6Kf|j%8 znn@ihwA^KAiA!E(zKsVQbboD5S5IoVpjF?H{t>;21{b*jz1BVFAjvy2LUa$q|kb{Ec4npBASenT?0PG%vnTqWU)03X7=`Dd_h;0 zY2O4n8Z`DhE*h6zXvsO#F4)1NEq>Cs#hyk>jPGd6&u9>5I~PIqtO2mv?76fy+_EkcBd7LJ-m?B(| zIwDtnXzy0grG^l+&NQ0vi7_@*-P3_)_{9xe~;&3@s7B;cOK8?+E&`7#u1%5IB7JI#Qlyd zMb-t{Ir3rQHe#nrWGeb&( z=50H8v$a38OD@#eN6{k=TekNgZ$$Q2J+0^}+=>)}lLl^E7%a7K+a5 zEz|Xdb2aA;k3$@X?;Ve>=~cbmb>Yg$cW_-VU-1;IZw{CyzAEl)0nr`fkf5P?P$V?4 zn9z6umgc#g{GOAPab2PL4mB0`K6m@({#D5=cA1+tJZam)SNG89ejBSS>r86I4uC9+ zKIw*vzQH{iT}u6uuE8bn@A^5vJHIP8x9bO&5q%fCeh^!n(zVzgF8I_IK3ZfL^(wvx3e5W9^d+%oKTeUz z{P57O%PAq-PPyFZ4EKQJ?j__$)(=`Qd`0AJ9Lz>0j})ywH(Z}J<;BeRGUF3J0;!A- znWT$6h4EVKhlp2jEojN#y2x1egL%|(sc_4uR&Qe`vN~9LvzN5Q=!y3{arR9P!t#Mv zRwAFTOw68NwA>3kc(FAOo45BM}u#cSJ6gl4{t78c$>>liTLZ{HuxMC1$eJnJl~ z8+ibgmbGH(W?jU$vIeAhWTI*m(M3U6A}3j#`L^E{Ywwgx=X}2xPjUWde_MZ7J5HHd z=YxXn**`bZ{+?xy99NelveUJnZ^QP{k%SFm=YgO_=3HHuJs(#`B=Zxq-t!?vEV>0x zK9P<>+8sx1;-MQxGzWG*cs^W%@*v!FIh?gi;W(FM>J^XWU1dvmnN zoI9#751kE>nEI{2+Q~Ax8KN%_p~dHW2-1}=TrJ51r_?1!Le)?X?RN~cyoZLCn*C@} z2-7z;TkjRD=zoy9*>fiL#;o;CT}ia9Rf4bnsl2+Ph2dwWZq6n3G~WS~)xN=aspOM) zgD545@m&^f{I+0Fkzqog8y_?Vu-~rpJ+KxUORBWkBxi=^eB2{n>07;ogpJ<^Eq4z$ zNcWd;?{`#pgxZYUMa%thW=g)Jx#9Lhns|Odx5nY*~xtn z*$I9Sd2prpmp87~>8`V59(m7Om%AH`XY(7cs!MDLw46)W)%aBo&zg1Ni_E&H5xTs_ zn#tV=lN!6?aH=bd52xDSYy3k*E@$wPjrx1Rs{lm*&Y4E*?~z0KJ>Hv@Lx7td7xFOY zL*zMqKh7Xg9NU@5j;^8_K>6T|usQplO6i`%UuA#K8cN^f`%!#cQfcP|msUO?Co{hf z`FD=T@LI8rH0ofT4{nz4g)5Cd39ONG32U185gR)`6gF}AlwF%Ug`E;!lewPzmr)m9 zQ(JR!cp!3(pK@j+MG+34x*RbHND?U3C`NH>9VFi zH|I?~t>{gczOeFz8!mhxn<+L4H+p~1R_-|$l1m(iIT*W#cAB#V{g<;(laH--Zid~r zbLsDWdG)!nrgEXOmlw$%*~I=G9%4v}PUQDoNJGO`dBf-=*vcHVV1>})GlHthI|FFJ z3!vp}9U^P}vDhm22U_e8G|_~2UC>Ctr7^9-2Uu-nj=qG`_GN?3ewcIK3v@VKdfS&G zo4HUjhYx7VslkMnd@HnI%LHeFEklcJM~g2UE%8va#P`{-!4CRf%Fli;xbm#?*~EL^ z&L&>}q;E^DPVIt?LUWnTxDbZ1#f8+@ULc7j5Kdp!oAypQ`+WUeXP(_GoKwnRDXeXo-QJnzJ@B8jtbwy;pE_bEVNJsIP2CAA*p$+ODW^8&jpi#&y7wCzp|fLT6}Z<JtT zVmEh+WsbfEqZIuLl70P&_cTIzviK71k`spp+PrH;bI+c;1+p%18Cg?_G1*ISm0jn< zMlHV_Mr6n3jm@}sz3sT%lNt9fu<(p4Nh04%XAP~jcOX+(7PD47;S+Z`-L^UijL61p zoXfnsC5G7M%h zGVCymJ3pAk=uMu7i2e*MA9?TBVhag5ti{A_on^Lo5@DJ^I^JGcx?sIvh z9W?Cf)X>k-1wiw<_U^Kk+1z@Of6U~bEdjV)s|)|`9tkeyds*?hx6s0;f=}U7?(y&e zk4I%9U+LbBmzSS2E!QJ5LeM7b;-cAg22hNib2>U-oNk~tmOL4wW}`!)Ft`>tmN3|zQYmRy(A?!mVd~P@GgraGVE&K<@ZcF zdvsvQT`QQAti7jiSLP_8R+$aLl6I}jiPby|u;Y4arsF1_qHld)JOz1(x0`a-Jk+=r@B#rGkg`_XVOcA2zwN8!ROBSw zT<&g2q2Wn$mKT^YV1)O3SuXhwwA{6}w{sB}+HVtTi#+9;3$LL?ClpGkZ^Y5x{Sets zTo>)*+gww#Z=`Ab7R;2`$!EG`KVaVXEL>V!=0`N`+6%Ksr@n_^vL9;mWlaS-m;acn zxf{=f?VMAOLbk4?*;{IL7@B=$!cPap__?fkmmUJHG?*g|_cjL4$B0WUa2K z-S#ENvmb|ENW0+3_-JiX+65nWKHAw!erk}I?d!BeCK@}Yn1~PV|>o<4QC1UKHMfWq8132xTySj5` zF1auBQ@3pS0Fphrs1@y6ak%d}KY(o3)D^XSB@rWfEXy=Hh&qO`nSgO}|K4-G`yB^P z*>yQHVCQ!rhuw1^jOa+hQQ*vU3KS82)n>HV@pmYNltcT*=c{u<_sH%hmUiuy%e*pX596LE3>W%~`but5e?? zB1joyb(;H*t)G2;C9Q1VtLXO9ye6_avbexf%^Hw&tG^h-)wBGp-dA|s3tEwDuuO9g z-tT!B^qt?)%FnKk@BytgYY%_0`wRa!`hm~oQ`kK^2z~m(HSfM%$&0K3_w%eD%iy2E zl^QoS;?WLpHfwNiG-rNdHf`5C#q`EbxiJ=lfo3~~H_)~=)wSE&R7YFOOqzgJ&i=fYYYUnGozrQdbNX_0HD7an(ej8EY%01C&f0S!27FPlAg&(8zT>AP{d z8)NH&9Sw*teb*Mcc8m2p+P*Vzw2dYF(6Y`#^tt0j%d##a%;o3gPvZlKuKfDYAF_haqBck_GFbu&M)**&Z0rp~ueQFdl0MTE@Q<{+<-h_f9xLd6hxka zXm$-KsJm~(Zg>N2urAh$$zGn6nK0|jpB*0x+W2_zf#}Ze(czj`CZfeIJLubf2io|} zT)yX#nE4Uox%1FsFNiPXj;GZ{j}ZUOxjgsU?m5JE=gpf)W0ZtQa|vd9g- z=Ex0FGCH*zs(e62U%nD*>sjEg+qH-0iX4KsUB3WLN;bvp2kologNVp^lViO6cWHMk z!@OP_p7bn0?!0>jE8i=Cly4L4NIMm2SBBBx#s}}rfI4T+(xWnGnN)2DP(5n}(vja& zA*y@H}r3^CG-!C9X%pg)F(evH%MgS(;j91)(I z^K;(Bt^2Dh9ckyHI$X{)JZtVZ>Op+cZra>$prMg#)QHGuc-Hv!uJ-5hq}rbo_wyYt z!sQ{}?8prWk>#taP8c31iDNUl-J)a42+7?8>b$;xl3fz&%g<=_hY91v@57^zvqkL2 z{)J?Uj5Tb(Ll|*on9q%jP_kw)UdMiJpZyN*-Qh{?wx;{B>ArdOLyJG`O7pF3N9&&Z zjQNhc(AS0_4G8>q&L<&OHlcyAz3nIm8zXg}2>b8+Y+K|3=v!=v;ybN@N)9WButxVo z%1U?$+A3==*w*jp+FvMU_fq63_aFpS?m?%h?U)cFuSC`MJ(k;Zi2khe=04my*A}w& zkrCEDx-x8S;wkncXU2YH?L|_fW1>Z_U47A&*=XTYkc8z^4$%7l(BgZbDMzP4i|x$1 zjIR_eJ_^|1_$bi2mp&tVlsc96h(5VI34574p1Ky9Bl{!w%!Oj|d+y}Sg%K7W2boA; zMpOGTke2`0*n0*=Cc1B~t&E$x3)UsIveEKB`wuO5iWAehBwy&(p4GJ9Av1MkBA+xw z|F#48$@fZJ%=#(clrfZy%NWVKGe4W2cJOd3BfxHIGs%-1neBVG9@MSDVhxVAZ%Q4l zu`FDWd;eVjk?m?gWX~C=`3|w@mBp;u*amlnW(-fG_V*SK=Q{v{vZi9p`+E?Y(Mt{= zn?1kEJ;NKqGqJ%A_qu!_DjEBdxs~|z)dO9=;@8Vvdw9p?Q;_ZGe_WBVVcj0#akT7* z)r`G z0?Rp4c@n#qaVZv(-|oUhK}@`0ljKE1r?T?77-!FK=n zOX6EHZhU>W^!X0q#y$HmhV6R^AhT~Q?40R?sb)VMko3*_0rwq?eM6HVu=0S9C%nr; zma|W5kKDKt_F4POKHP6RRCH)t6Pi-2aNh2b=zet8!&&YateMs23D`gLdt4}yiNs{S z>|fEd-6P(_*q6$=#m5a382uCn&HSX}EN}c2shG7lm#!cF z%Xcs~vLBbMzrV*2NIOdK@*1B<=L{Dq#F5D84zgU}w;RFJW+H zkI*)!#u#{Gz87tCoV2^5Njqnbi+sm9AJT5?*7`l5^86m%Y;*?7&(;yvSFTptT{6Iq zD@ZWBd*iNd;bY@_McX&)kZjxVsjge2?7nStB(85{6WYFaeAxZ1pLkvU;(Qy--O6?i z_boppfuY?GzLB-x&JVIO;>KP3&6GdpBF?_+EULSA_x0`Xf%Gm9fsL&G;)&AD^YU%< z_T{0g(zATP?B2W9QYF`tQmlCIth4ZReMS_(tSKX6c~W)E)wztj`E<8!Tc7J_F7%m; z?Onao8U(wbZ)|6`8*s}09=FP_6$s?YXKVm=Jbl5}a<)#T-Z8Mo+L98I>aPPySpC_4 zY@JG{Qp)X)!Mzb)`;&iT_X8Suby4ux;6^Ue`orjlurj zYqNWwpZ99@2$AUS(Ybx*j=GG(_08!U9Dv1>=r#K*+P(LszQI+Y1!sxYJ4&67@YOx5 zHFI_WY)#Y?VUPebKXuw7H$aZFR%q-0^Lv}GabwULc8p>ciO#J7p)a3w&PRRl_A>Z; zw0*x3k4r(_@AWLy+C7qWaplYzoV9$)&DD1Cx%s_dCEbGiUgh14AF@-0q+LHqlGS%5 zsmD%1+njW3%C)&N_UbV%pGwtVJ6Ye#zf12KUZYrSF0ye+r?%U=J=ZRFEoX$6=4U@H zY`<&IE4ub2p!n8}H%4-*(WrGh#?@0@{QycK@(pwsXPD`PU_$|;})cYOE`{di00coccaQ2c9Gr3Z0-ExgWjt&f%SP9W9x|Mdxad{HK2EHZNpRls1k2GYGQ1A za}M@bJC2{}3&|ea0DdTPR~7K}7h?hz&HkQZ_Z>sIh;8?A-XOQKRv>)qW2Chw7X=S( z-6(6tpPl*T-CXS)q_lHgE?-^!x_k$J)9wc^TzFEJdh`vLnAmS{C?9unWw8)=&N+zT z`UObN_}2_tIZoTn-Az^Q806`$mA@SAHc=HXH5x+-i_RPvDGYo zWSDqz*6M0W?VjKBGnq5nYWK|*mHki}XzhTrNOA_%tNLh{d+QjO>RK4<*1#G*IwW9m9-PRjn=UUS6 z5cbPnrnb`cO|BN2$6R*pFQ_!M8@9Cg$XJcQ5Yrbo=gcV0w{iRH8$P)A)%M%2X2_mj zak`C7kOy0noJ-~cJ~KbrOtAydat3+k!jt!$((W5tbZT509^wv*O^jA~dM^#^oEsN9 zkIcrgkCwim2DyLF<-7Zfmh*8>jO<*9*xY4kxhsw}cI}zO(VJ}V#yYs>I8|p2Sb5Q# zt~Bn-4ROE7Cb@n2J@NDC3?h6vTk`W31I{hlb(VLxy1aJ5wb=0b-0n#4TAeFn?=rZ8 z*hk{=8$&ZjeRH_Jck+kdjB1fRqQ%As3g*#uhSkk?G{5H%S*tsuHRd3?5MHBM#2*II zwsA0S>a9C-uIu{hPo;@`IpE>&AGcKRBeh!zz*d14MvBV-p zk)2mhX6M56i+mwoA|tNw^xny`V)AVw%vmd$ppnJUIq?e^H8Pf_7d=OOaLf8I%GlHlArpf+ zJ{N6tLh5*Y>)3SngRPeQOVTPeSI`8 zSlETcfDT*HzR3euvO(10 z%rj?tZ05&jKJDyz@<@SSB=PR|!m?+6;1OvDOfv19!L6GtIi7nh-$o?1ubAyS7iTqi zfwP2GPc&}wUGxQ9+TX)gbFXr=Y<)d*K8Hm50@jB{Krf8~J^SI-Pn^m85_7mp8_OGL zLODAITO;$6I+E}GC$$~?Ui4zL*j8v;?~wc#Fx&6trrC4EsiOMC%-M~%_PKUj)7{#; zw(`ArGIs!1-<%KOw_t?PQWpX(dJ|f56nRTh=L9YK%Xw8+KSd+iW(^LNuyf`K-t(qP zThEc}BfQINwzB=Auxsa`B_|H8{CB~u%o)}%b9Q9*EL@;u_ngZke9H1$UmpRh<*pSw zAYz|^;4+5z z{_Z9GLGEAi#kxj;Aesc-a`qvhM=`EN|=EZxXuD!ze!kN^RH|ZJu?;tE|H=-prffhUJXx%q= zMds%R_q?eF(0vn9S{{OQ&RHNf^1Z@k;a%!b^b!!<^?@=zRO6rhV17iOq_s!yga@fi zJV(v^o>R0r1I{H5oOZ%_tM9TGlJjltdCjNqJ!!kYhZbE==Jm#k{9a;cN6S5UA+){U zu69D^0^pRn$o&eRN~#Xu%P$Mx-vd7Ty@Hy%Z(`PKmw{v@{)Q%jE5DaIPulTbr=7=~ zLrc6{-`L5#0{aHz!NY>bbfs{_?HC}|Su1Kp)=JHWd>gwlYt=Y}ad|T`Zt~ByOP;Wj zd#P7-w47Bip4^SzVOzUa-{1+4ws{F?`%aQI&AUNAwC*M6b@)`G$gaJz-QjzP=-j`5 zp3`mCkE<`=OQl&~sT-iWfEsF%f5L;&eT0r9$FGLkeg}8c`n~|N6iJymUkTmimn-?0 z-=lObzwo}~-s19zpFvfK^(#}*D2IDSD2di!5QS=B^-xa##4; z+!g1l&$__6WL<94OlJ9`G zSeh_)_&`Q=?kE~f@ewy{98+P|*u^|NZ1`p;;oyNVY4 z!O_+SidO#yT6lx2U}J`tZJKk07JbbsM(Z$slgSb0EVfADx} zgVQ5YkK!UH@ud;P8~e0J^IO~Bl{Y*0Gz?XA5Y}MsHyTpzZt6i~C+#3Qh}XfQgD{hG4~k&qPEn&GGWMhP zi2}c=&x)2eJJEvCbn;yca|YEIjLxd=baWb1j;?YL(!KX()I{E2NvA!7O2S08!zn~x za^DFQSOy7v6)&CN9Rv16Fd*D)dI~Jfb~! zBTP)!)bH(m#GRA#@ptQmyBP_hBS#@1fB$LyLUYE_GOr zw)d9BqrmL%aXrkL(Jto~E#HflyxF5I525jNWn9`oY3no6H*cyOt?NfN4XGVbF`H&Xn(Dqjh0-Qqpkk{EwvKSNU?ld zd5nS;KQB`5eaCIjktkw)8PXhb@0&{Q{j0;AIWrA*&Q~LO_(fVOXZ@_p zrA_R*IOe(cFD*a62ZoW~E9Mvqs=k85182_c=vqO?eCCMrne zhGkj(`Mgc5PojAdeAWsIIsT2q7w8>e9L)MhK8{TC?EKkyQ zd-u3L_V*wb*JiRAx#N{=NUZ3}IP6*-EqXhvY;8&H{NT%1N859b7GED*ci(q5DmVJ@ z>16`+-r}sEHPDV3yK}MS!w1?WMunz;#M@VBmU_E12WjT#60A=UG_SHm;>6w++!kw# zUzBs_f|mD`(Dt2lyUU-wYj96<3}0!NdO>KZEr*tTA=%=o0fv@%#Z{wN`HZGe@4KdG z$#BUDEGK3q%t9d5?rcYWFVuJPJGYtNu@ zgv?yfRt{;GTJlF*8G)Aiu>|7Bc@zE}e%9{eym9B`yjl8PQ^~_?Bl^9(^N1F_3R>_g zN87s$EqE2Q;8l*c=NB!pC$z*hj#fQUoMdG7JtwzzEgDI*d&x1nJPG3!{~9%R?Et@* z+}xwZHjpUS`T4Zvakb34=X@S}j-0^mIT4mUryzuPivw_?BV+G&Tl?rx>sc$0zQop0 z&M5JC*{i!Bq)TGVF0Bv0&jpHi-^lTPTm4cXpOLW#1I^hI>)myhXtTO4VcPkbU37F_ zz4asMTffvL~gl)(_ftbM|D)1P_cBALC`zbWQ2w8N*QspDM?*{6|IFJR5Mh*l!&5sbzK% zpy)n45B*+Sxw_P)QtbW;rsiItHTAr49_Bj~8($teCtk+oqaB%ev9YyRIlXy!ci;F~ zbEc(MbuPhu-R~_fLnZ3efMDSyM;k4Ed9>uXgC{2c60LJajIM_>5@T`G#?J-E*zf3D zmxf4gr0=b5aAn?ikI>?mV$y}zU?pSw{Vd?@8+T`9nDpA%E-;nRC$Egn@F|&79ay=7 z$OGQ{$Q+u~##l@xxlp8dY6yXHrk0sXdX*(=T&^EUI~%v_BDj;aZ*3}llY4zn0`Iz@ zrFIco>iVIj{w`XutAZ6Aw>#%z8@2n`UvJ*8{9_qp&e$(^GB;B6Kh+Z>bKut^4{+q> zS-bF9AoCpu&)NB5`UR5u?I()lUMDhB_4y|1Nqnc%YK6vEhMGe;Xg%+HG znRu*czt@9&8AHO$@*gufx`3>r+-1Df{a)!aSpz7(mDxU{>x={U4kcdVt2&XBeFI<1 zcMzRfKXzW$;2!zfwNjw4ee=d9(G*{^wU4jwI3wqr*EYU1c*n#S>}7l&pkVPS!1+es zm3p%_lLRaXg!x_`#_*r$R`^dSI<|olF3~NnjMuI+Nf+P9rS0t6-#dlr%eA!peD5u; ze!z8@d*(uc9Yb(&*PuC53LMp^ApIydqW2M_gUC?KUW$0-j=K7Z^BpJcVu;QJl=27iECcy#vnq&Z{^P=kyi z9MyJt--Q8@S}JH#)^^+rScFzvm&O`>NY+?nCs7jp{%RJ^+FQ{09np%H;;zdb#pM=T zL+Od04{nehSH?x;nx}fQ_B5oNOSG(kh|rx@1w<+0drh_;Y-_f=HC6_7Z%c-H!zCuu5u_{D*`q9 zaYbeGdyNsOD%3S4hxUBjyK@->=%npZPakIpJ?~uL|FZVN0ofyZ#J1x;$lCLIN5A4d zjV^F%#IDQ7yL0B8ytXUZzc|LbE26XV#zr^eeTh8fQHbvl6fE*U^kea1&h$rL@#I}+ zmVMTlX|*{QeougF_DJe$eCA$^*mw`as`8YZW_>~K?#B3J#pKRY&oaJ$wamifTsOJT zxn!a%t1Fqi;&5O4y<9gr=cI0Ql{0acPdx_|d*+mtv;*JRJ3y_q=zmm@_z7jNezZ&7 zOPXW-TuP3{uj2SdmdGya8c0OTcSz>hb98FS+VRFs?8L2*GXwsT{bim<-&N`~dIVbM zA}+aek*bh0$O9FBCuBu**2BcCd`1iJ(zxOiJQZ`-iW(Lj)5=F5_qFjI(rj}teEFJB zh07W^MyuD6F7Y1-Tdhuwos-Y$L<>(kT3p&C?}QsE zwaX>fCJ#v8+zUtB`v@)TceIu5Xj#*v?OvkA-X|c+8;;xB2E0BZbuYi5B{vr>HMY)U zwE6*B@YDj#E|49A`kZn3ZATvH8#@Ipb;?}|kxgj9m7;NA?OeDlM;2?B+IML2o6)@U z#_MV0t0SQ$evU>N*tsyWM>pdVj1L7Z^%T&epW^Z4h>>6%Fgq>F9|+m34(Hn( z;Q2jX`PKilgNB%Pr%IIu60+^s=b>Gtoo%P!NWVjR__l*2+qIXGzWR&%DD{2ZDX|;T zNVXm0@6@ThJ8E?c4zlDgNNNifR$tcIj=@EdH4sm3yTs3p8^7|=)^~`OxXaNNGlmu) zD4HnW&e{3Me$>yZZ~W+J@qMAiw|=y}Yta%@LQ5Q*g_F3!WnPD0sO{lhrr+Aw7a@o& zp@oHC?!Ca>H>&*lc+4+(B53h#9&O_|XvyP419O-?LR*_yyV%ZX!NH>?Zg8~7ChMHD zKr31QhC(XAMf$ze1K{B)Kcj`8;Tdv1(8A9W^Ea+xcFAKlZfc!s7ry`+P~fgJ`(x#m zan}zgER=c$Xvu{_6X4%5+);Z5g_%l|ueN%L@cHZqZSwy8zcK#T|NI~RBmUFci-ctC z4@wh}wQKcFJvV)W2Sy9tTi?7}f9-M>L<3fi>zjN|eSa?&=Uxe3zqh`@S!$O$ zMQGV`eN!t!I~DuhHARcRQ{TktvrBL)1wodf=^NkD zA!n9PjhnX&w37w+ej8e9SLzGxl6JK(ffx23)HgX7#;6{4_@VhWedEK^H~uxW_=5CJ zZoPKFsiH+@E2322gNSDI&I>6Gujxxv?plEaX8q(i4Nc$b2iR}r8l@?iRDJW-f_CvO zp{cO)&QIUelhH2sB^sq6eN}UOY1+Bumqt|?nsM{i2aBn;IM~SEZ~D4zGe+%&gWOF! zed|*YEZ^9oxuou(zO{*2=j+$gH?jBgazzJbxx{8CHr7|KFHyPQD}SLh8uZ&v-{8YQ znV?eNc4!=wFHPU@%e9LwPNP}dS>NRE>zkM>TJlo$4SrI)#HS^ZW)1XBEJ3@xeTEhu z(l_{f?VR*?UC^Tc>6`l5*RJ=BlH@u2?24i3n|K*oV%vVLelzWQF2$|ptm=!U_uJ%- ztWNEk={bT6T3-S8WY-GJeAdsnjRA4`b`99mvo883kDga07*ge~KV*)}V&uWKi_GTO zTbzP%gK5)OTJWqN2kPGE`X*mZUjg~_&3V&T#5V0JpRcU_w9}WjFMabipy+RE2{@F& z6EKOs}a2K{PYbLRy%<7w;fvQ) zzNt;6Z|X7`_luSsCw+@aP-8!~)z#DAGsrcP7?pONvrxkDpK(*mo5dd7+*$FF8xRDE zX=;~Po2Xy*m&9Hh!Wa^dcYm2obN85YYRMBh9EIr{oQ%HpixO)e<5uTqzQu>arBM0j zD6DQK6rH&JrG8C2-w|12ML%RQ|MQ#~w?cIleIpaOH=^g<^N6#47a`cSH%9LnQR2;& z*0(mDkmioz_kzLDmnAiOdF4jttlllS_Kd#0%j8HTt_BekJLPK6j=XnmRhQ=q+8DEO zQ}ajP_^Htd+4tK3FlxVnv@I@D-^M1uk=BoX?Uw&ss}$&r%M10=v`d}{THgFKX9XY9 zH+ehy#(vW`I6>|5CLCJcv(Yzs@7FFk4>>UVZTjZjDyl{B@@O)9-*3}5wfMCQCK}Bz zrY|JfOS^XQdw=BK7+11>`Uacq_mb0kuifPi5CO_vA=0+@jK1+nJJ5~)vOe}q>uZB| z&NQ)+FMhAO+0~++^}BY_&E)s&J!4$K&y1T~eZQBQEBfZmAJpcuil{Q2Is|>zg_@`lc>6TJ$x2llyw@f)Tl}^PF?2k;MHd7I~-PRPUAJ z`a;9*w^iTOH#vLy=C07LI3t>JWgXXC_{wFGb4d~F_ZGjQ4A7o)l`vxqnKSZ!FN1pZ z94`1hANn>HBlTv_n*lKDJBGd={O4FsJAD)9)Hk`q+5ttsV=z{eE2D3H1tJd76aTjK zBO~smnY9s(5jz>JI{)Rrb$)+Ub{^-y-(lRmGhmG331v%G2R?)A{XKn)g^+Hwx}I^J z^zG}J!qSqTtgp`Lo3|D3sj@w9`icytZ|dT>XoEr1*M&ZPq1J29!*RJV-yh2N@aeW($al^;wc6IF|V}TrI z4a^UyDB}`3FU`2Y!RwoP1!%ulPt>Kxn}%>YKA=jO2WysXF@pp1$=JXqS7{SzRoczOL%`_Xy|2)X_Y{ z^S09$L%%fbY*J{cSDsBc30#sbNAF9a1kyVb9RM6ySiGP2HM4b8Zov&y*5MPbgYyw^AH=$K#ac=lWGZoZ6J z1J+S>QC3v%GRXyzr_y2~OT2TN@8yPT-{ya?)YjjmEPHXA+U*#=BUoL1>ld)3>mTQm zjt-)4aFN$8zHt7gJ#W`{?-_jsZFbM?=J21s$;H$6<9oeVl(Wz}f%+zX$=#kBAI7aO zL%YOg`A;ja`Yw+#>fhimUcLtsEdEcs@(XsJbE$8Ap#11NhOj~SUSE$@%zkLM{6Cx06>vqy_*g5VJ!{2hKKx?N)m3=7a}SDcElxrBNaf_6 zizgF@c27&h_iA?Ca}efPKYdff;3sS~@)WJ{l!M&O?_Il`UtGU2aO3tKgz1Q!gfID6 z=a0QS0Q9aEZ0+!$acdh~Y256&u0zu|??+v9dU+R(kbUQ(uguDqrk#Y?r5#Y_rCn4b z{xxC5J*z^7k$?K;UEgcBK0&m`Vfk93n`yVYvcA4JbEc)gv}?Dz1zO%1G3VH(*RFCB zJZIKV-`ay$iy?FQh|%+DC1!I^0}c=IzNC(;ag!f#?bg;sD}I9?u6s$~4-X0J*8b(! zOI(8$zw4rJ{MpcdUW3>@;tK*TQT)X&@uFhC^ z15>822UJRn-elbBf5dLjmQq}EX7o*sEs`!a*i}dRSgT?ZoVLmXarTZYV{O;!BUf59 zWo=G#u1{aPte-b zfkW)2X;(bI6S(d4jZf=fJS&^ff7Zco$6kHW@BtZ? z^<(A@jfJD?&yK58St;@7 zz`rbygUB`i*NIts+PH#zyH=dd**DI^q3Ii0V%*vv2Z-xh73-p1&oq5<_`tZaFL}oa z)cp>I!0>^-snd3z_1HK(9&0lR{-)N@Wl`1 zcRX$U#|7SVu_XB+3(P|dGB1((O-DiqUWfEUcPrl<$N%m zhi2U3>qOoouQZFyxsdDZo1eony9S`ELo;sib+ni0P3O|z`}Yo9_Cr$F%1#+)(I*L_ zU3<&NWw&z{F`IKv)hoVEMnm_=AS>JNa-20VZv0Kyz@dKUV%*~Ew2PlX)yCZ2l&RRs zIC5pNahrEc(O%t0yV{q+QMtSItbFDt%sLD9cAbN5bhLsIL8~w549xHWl{Nfwz|yR9 zb6Xtg=t!!t1QW<%vTJXC$weVvQsd+2N$*($?NSf)yvpTC4z}SJ?ecyU8l`K$m&0@J zWbG0+B~DfGOJ7R*OVbX>E3~}tY=Gz>`X>H?Rz3Ct5#b>QLus+6jaysy-pZSGarHFb zEjZSDiww&e5YXW(33Wp=Mr!P%rGA89t;(UhrWikR*M|&E-^RA7SF5+XrsI3PzH75z zyS-=77}@Xq^i55%Yqz|MmUxuD(LuBe77;BqgY|VIy>q#C>$jlN)V4ZM)_ljc+p~q{ zQhvuUZgQcn-QFo^gm(JoZR2aVdIVbPF&a1Z{;%EQX{djBvz^N$ch9{_z(0= zTwJ^4(xT;F)i-vsc6t92t#LYTn)R1lyOm*RsXJrbk2U3M8QDqA{@`a$#yNx6Ztu6B z^sVeK=hw*gQ{`7jLgSTQ-Z)3YOS^XKKV??r-5}qaoZxG>dJ|ggMtzfSrCn;(p+#m( zDpEXu=S@D^?s+?x(dt8o&Z%y}=^H-fJRI7g4wqky0i^V}!47)@2DcP_@w8fcd|30mWHSNmkv;M#Tl`Zk+!9pHB^`o`AfPHcWC zk&|!4%!~lPw>}Rv%EdbuRbKU)w4PmPj39)`le1QTHZ_07rN|S zgKJkg#ND(qR^Qc&9aM>9`+M}sIn&0?J4yO}?0NOX>sx(8<)fLO-vgz6=b~?F^Jy16 zoH8QKBe*)6^Bq@~WaT&-V134QT3_0=i~W0VHw=%PbLtT28{aEh^Vxaz_H1cqlQNg; zNUo37$1!Byy+&&dNvj+m3e{p|lfEpecP@5_KzM1_E^AM9+&$N~_P%ymXOY>(v+5g6 zs&P{{8O$TteSPCszIO3XUa7>$I>{Nsr}~Bu(DL@Oaf4;Lc8kG93+`Xv_@%C0{3_J@ z-AmDc+WV^Ktd7n|j*X}<)o%B<`k%hZ8`C#AsM-ZLhxW0*VoDu1^@4cy5;rhz>Z5Cy zylu4PL+DHS+3$s$8=Xeq*p2!Id#+vl@@T=X>YF+f*RE&b!#@el5Pgz)xv~jNEAOEh zH#UTJA7`IaFuICFudGXbk$$f_@Rb#svvuvFdo!`uA11LSH8UwM^%Zc1uWZscm^*!g z{Y4Xae)&LO&g++^U1|iNeSL52%WD_Eg{ojHUyQ3d{f;Z)bnY$iKd10*r*G_@Yq$2> zK?m2Drf=#MT)XI#fASM{t$2*zx#$~gH(F~Pseiw^EiF6mhoZGs$(3E5xm>&T&7na8 zyz_&6`?v?08oA$;F3(xu_l~@hV3E0ia}CY7wdquIOPu81vl-chQkxDpFAfif7n|qT zb}rX0`lK|zjT;y@m}q?|^Y5C1(FSv;Z|XH`_wl{IBNO!%fy)?X7@F|iOJkJPKPieG zo$Eqg^Ly8K&z3n`=ywdXV9@kUtx3@2Q*7egW;4heUCra~YR5we#ah%AEPRM!x79EW0rx*U*AJ2l3Ba zTi0%FA+-46uJ78H*KRR#l#;w{tgoW2`@NQ+w47CallOE``?WdIT-5Iv`lha*c9mha zva*i;mpx)`&6xq5Np7xjS%mLgt~_CMF8sIOaeenp>l<2lXnDxEmH2Zd%K;$!c;_83KO$`HmgV99GeW`Eae%gia zMdO2Y))z2s_m?+!<>WnKG<={hWiNf}W2A@exzsnci_EV!1iiENKGkFE;->WR*(DcoF9r^}ejMmuVrG90dKm0Uz#Si}(H??8~H5xCwuz2{H z-+O*fUx=>#-m8f6(u~{qFLN+Hc(>r%dHSZ7fN`nw?-*#QO@FV?#1C@*&g`!^?0lQP z!7EG8tDgbtaD9>ba$xQJl*sG2&B6ck9yU+k;4#gmeg^tq;?pPE=i4~&;uADyM)8b$ z>gmpzWMBN6zQNlXBX=HJ=BKYv+l`e zY*+qZ*C{@hcYcpb{?f>%{sGzKYoO(gT(WuF4j(1>ZNm;M{tV;p-NUSyv-rw%Yz&%q zlV@{${pLc6XC07@e}-)8v!ca6O*Zdg;7hJ0T5_t%rXI@i zXD8Xz6eH^d+&&8yn)xFe{|s3zN49Uw@o&Y(xj>4{6+i6UdD_j}irUS*xS*0_M^+TU z_V1joq0!u58rfin+US|hp;BFiL6fmjBr;cwuvu$Ly%aMC1Sgl`z{6>SZ2ftFv*_)B zn$;u7Hm3K|KW1M3{tW)1k&SN_pDG&fobucWHh5`d>)%q_X7x_89J`fW{0|?^{pCYT zJhgU6Nzl?8GP*ve`wAP%30REFs*zex>RKwvv_ssDl4o1ofmy>zJanX(WzPc zAKGn9F9fvhwg!oIX=iU=+~%pthL$$~$T}1w+gwI&?CMtnh$@@&<+5zncPHQ}^)atJ z+^#<~86|K3$V$0-X~(y?En4&UKg8@DU(al&^USGsgTa$c&ax#Ae0}>zR%rN3!zZXH zG~SMvMz(mdZM3?D-{X>sERW+$JHF~5m$dxyX*YFd$pR=}zKd{O-#b~3zwjk@ovbI2 zUOuw*|5D}Go*@fVU0GM?>`Qz(Pp^Dp?@)EcBTMC<{*jGuR;e`s2yb7GZ?R^y=-Ff) zo{_CzgDKRx;_IDts*Tuwg4XND0dqxngupLWMK<@AKBvy&@x{*$vD|oSvZ+rhkiPgB0p1Z~cUfR_AjXvl((5ux2$TArsn>rKP{oV4B zP2A1#~F`>_!8}=euOrPdn;SJ`-5!#E?|qD z7r&P~ifn2?9N+p80Vt{MMfStGzf7+kuc)G-kxd;wZR8wB`>-~ogYEf@Z~Z-Ff48>j z-Kx>EWi9T0yJyLE{>U~)6JPEHZ^G@pdKE+V_q37PS!ng)I>Lg3fWs0~bZ=11ylD5s z8ftA3i%QYa_q&lzonCw&zPV$3_9|J?tMBiT{qTLon++e?=937K%e{Zk#EmXMHg9QY zxBgJsh`WZqrPXy#`AuhDB>5-blx*=+Q7F9^guZ32)Va%j>3A-UBm13G?FReTzr3q} z_Tj8k!)5j*)w}V8G`;n8Xg4}0*Q;I3UuHnsH7;y2aqhx7EqJtHh~?;f&w zuSlh#yeD!`0|u)XwjNv_Y4;o1;67GM@`~_Dpn1O~T5x%?l=Gx0HEo>i&cRi! z=r`)yieyt~f^4uzw7y5rgx&k?_@ZB3*{wMbw3{_fHgRe9eCXEh1>UPL_0He%t-gzv zc;%B_yY~1NPec=7_qIW{@hbOh>E2~djn$jT7TXkwxLAgEQ$LJsu}!C6a7U#q_D&{S z9OOz~%-Fy~$*Vls4{gMUanRE4EwZr@@j=bsIYn!o{L4?CHI6SelF<|pdw);6&GEz+ z{LecQ!3x}DB8hZ03?;VNk1un0l9W=Lh9$IwydkLcJS;%(Q zq$_IXo-74_`;0nc15mVv6?D9JEj2OU?W)GTZD_$qdTH9I9l%4i`}}$rdjTpCdtYY# zwm~+v4YixR%7Zp{{!X@QQn{Oab9F>AUR!2p+DL84%M6M?R7BR^75G}COm(w8OJtMO zzlCpg3&r_A*svgvT|@Ui#Oyb+!Tz=DwW#^NTQ!0|O!O;w=} z^*3`eU{riG=1(psEot< z~TcWK-jpEOX=K zL!-&QG_uh_@Hu5)KF$giOJ5q<#(d&ijGL^e)X27;f^h!Do5(U$BAXh&_)>QUE$6sC zr^YWnC;8h3TH`0V)mFFV4pmfaWx3ymrrp%|B`caFvcaWJcITdKYj!DJzH3duQ~d$> zcJ2DT*qmfrzll|rd+@q9XCm3egE%3w&(WGQrG(o0qmD0nq7!G=i*{qH-N}@5$ma-V zF3K%ymzEv-m+NHxOxjJ1FodTufn4Cx33+C7Z$XDXw9CQpt{3e#Ka|zDwt>Fnjh3^R z_Uy!GVZCkGc6JW53*dPBg0D8ym43?JZ;UY6);0AtRv*`{sNS?IiYl}ZYoqUwYxl$20GUr4 z+KvC5?1#DPJ7-r7VAuFPy&QZOvc7r*SqI0Cjmeq0FYa?UPwq>hwK?l#e*{-ys;%9l z&y9JvJ7VLA8eSY-$Vq&z_jL8z)B4<+KlqZv!4=axRJc#pfgry5mfDSes@=q{;%h!4 z&(od}WUF`L+j#*51&3oMH?Gu4ocq@b+Pm>&dnWQZWV~v`&%BUjo$k8gu&r!#0kWwl zZETc_{XH(t=qjR9%9s06hg<(ylzy-(d~55H^?~2M=yPiws5%ooOho10t7Jc%O|ZF~ z*>u8=xAluz@jW|D^Xzl&(!Squ0ET7gg%&K0Z2CeLq!?Ms{7VDzv|jy%#%H|c`>w+&c;%&st;~6G-+$8_6%D zjOV;J&xxl#zFoU$S>t3=GaX-a2DIAj7xp!4==gT-(G(N2ra6ZWw(XosLC82HInACX3$c6qqTSR!MoV31vRaO8Vi6@WCXO2<9Sjd& z-UvsF@9whvcdz2h8;58ViMM|Tg|Dr4d^@LT!JEiNN5Yr*7qnnnWI1u)zTk_mQh01| zJ+iTh@g>d(Ew(+`)R)5dVXi!C(YeYUMb?GB<8Yh~O}o6B?w0d!bwYf}^G9plJeK|HgvYn~5L$FheGXQIFZJ-y9D(mR$mZR?<6AogEwypU zLa^T7!`~qg(7~ z8`;>6$G0(%(gPCbOE$Ror4cL+f|k4@l@5Y??DCEcEwPehgL@y} zVn%3rYk_QVZ+!7#NSjFB1X=LX^bbs!btO_`*Dl%M-r7iwZZv^QZyRKTdmmrEFF(`b zYbU#yAX#S9+Xh@lJNnKYSi)*mi||%~@hc2cx}cz33|Zri*)% zjeSm5I#1dKR=qT`v5Cnh#~3Z|=aEf4GJNqBxuK$4kd1zNd^v}pC2J#+1$4dRI8@x@n!_Tl>qMCsT* zd|#oa*@J>{X1!=5@mCTkjbz6QYnk6WzV4&unCLNZ^Zh-ts_%rxJbh_5+QpU03iN&X z&{8XwY<&Lss3DQ{a_LJWD|qXrNzh5nZ#2r|%SSf#ZSl$ceEHCVi;~SbgfF-#T5Mvn z!7Pt2`U{PEb!tJNsi8qOcJe=n%-eWEvZmI zVwunquR@kfAnhhzg>2q8AgjniWb;-4@HDxWWD{3_FBmdfFa@&Jukdwmf&ORhlKrq( zK_i(1VQHP`>e+Hu_H6edYZIUAF5lO(n*NERNSucSp19$ow2;N>geevee?6;H1l(ia1fgd(FLiJ)tZHK+ zE`(~ohtHduD`<&tM@v4=MZPVjkLKjqKD+s6UH~o0v9s}mp`Zys*xx&kPiU!;2jw#0 z;d2u2-g4=?eU{I$Hs6z$Y&zZ73y>zQ|46IXF9N_P&!iK7X|2 zoGIiJeGM(x>xGdmmWG!4vCyH!J)m*#?3}t6GB$?Zu4fVIi_5FHoERIloV!%6U?XVB z7ZR!#Un*L3Dc<EKLb6$zC$r{(?wUenO!4s+cdEWpnxi@I>?=ubJ14j#X zbFVUHpI_nRU8mf-xs!h>C^+Aj^_G1EMbA1l%WHQtQxemLrm(@bQS3&sddb7f#}L@_ z)b+NwBeTcVu(F1`ds+n5+6F=vV{2R(BP2&>YdT zo`qCqPUU1qSH5dz$8pEs?!mKbcb*Sd*>i(78J&>5n)^}|cWjqiY8eM}VfQoxI6C@W zjXRDzvUeP3Fzh&(W$SnGfRRgY=Jb@GJtG_&xl?X?>^SW5^_5>ZX7+*uI^WF!vp!tz zX@}kRPt3&bE&jKSqvcX}OsuRQeCOq=!%?6gzN771OlVxVZ(ok4;>gN6{z~&yL-;n9 z&hJs;-tTcVm%z%xi!+WZCck_zw|Bkxy~MYpiJ?#bw2j|_61Cl%YT>T8D!OkB$Z}vGpF`qjQ?ut3v@zJlg&mj2OtN5gmZl9SX znfog>wCmvBeMq07>$^5oXUN>^a^{{5mbsV3oVn*unKKr!>6SVjV_8$-Sa(nMGoeCVQJ(np~~m{6S_LsrZ)H&cHQ&jkB{Z}HV5Wt zoqH~ktRXj9_QI6`-~G$X8^4-1c*1tw)8ywa!zbS*bLv{i*v`|m-}0jALi3xUZ7w_> zQ?TcnT{-tTKIm)4VXtPru&zqtQWbk?yS zp}Rj+CC-^60(Wc!zbEiv*EpLvYh1;ooPXXK$R4EmidszH!$EyE}V^-938P{T>iBzqjvX;)Cz*dbzs$>C0`_{XIU&v>`lu*Z4hZkv8&n zh`!K8^L;;@2Ungo?Q)UseuDvw&p{i)Hp^$GcWj`bw(;S+(arLG8IF-vATHmMp;y`7 zzua#*hfG2A174C3e2cf60|rR?cZlcC0c0wDX5D9PQ2JNa=g2yMWsHx2?(CM@-xH2B zzP97rnDR?XuzaV_0t$0CULe`dvml_X4a+5GqTrOQUArx7O-0^(U!hSQFU4u+{$Q!h zfppW|GjN*R75C(6#+Dptb45YOHv(>^4R+)14_9dW;!xc4K$uq6l_ws?medy&5cVuq zBDCM!fYX=6c=D5k68;S8E%Z;5rbG7aFh2aezd$Jt6+rM*mgjPM+kt28Yz2=dY^fLn#E4JJtl`RTEjax< z&;6`js9x8fB!rzmm~?Dnfj9XctzAU%@o(q8u~zsDcXb+p8QBZW(24gUOPAX9Y`D3n zX-&bAsI1YYILotF&(*ePCr5VHIEQlktgg$hUD$fgFsgFwMyokz6Gv?951%sYRLt1K zCYvj%RT+n{mOV>2`Ld51-|qJ~>N59yO1swNAWY2*vRu)b7th`8dIldvr>0GQXk%+O zXv3kH^~@EWHA&|xAI*673{O$+lnWcl{8@ncJtkP@ild~m>vu5@YSa84=k&e_$1!%u zhl@_Yx0x zw4CE;EUvV%aXcrxHIC3M$$Sq+@$8xN;_P}x+xMgH_cq`DXu+G%_C4I=+uFEC+ZZ`C zF8X}Sd-P!E!1i#lDXp155&c;QAlj@KS7`bIT8}K1HSJPh%C~Pk7_XGQ@@)(Y zzT`z8ExG`jfbX5FbL@t;^+oUZ9G>A@e=YA8IA`a|re1vrp9^z-&qv>T0bkd%&a4h6 zg}3A7YTJA8QhisCK--!P#vv(b=S5BEwtGoLI}T^vp0StHI`@JuZ#`P=CRXieTmJwJ zWWHwo>*|NP~vJD7j{Logo

- +
- +
acomp_hysteresis_selection_t acomp_config_t::hysteresisSelectionacomp_hysteresis_selection_t _acomp_config::hysteresisSelection
@@ -248,31 +277,31 @@ Initialization
- +
- +
struct acomp_ladder_config_tstruct _acomp_ladder_config
- - - - - - + + + + + +

Data Fields

uint8_t ladderValue
 Voltage ladder value. More...
 
acomp_ladder_reference_voltage_t referenceVoltage
 Selects the reference voltage(Vref) for the voltage ladder. More...
 
uint8_t ladderValue
 Voltage ladder value. More...
 
acomp_ladder_reference_voltage_t referenceVoltage
 Selects the reference voltage(Vref) for the voltage ladder. More...
 

Field Documentation

- +
- +
uint8_t acomp_ladder_config_t::ladderValueuint8_t _acomp_ladder_config::ladderValue
@@ -280,12 +309,12 @@ Initialization
- +
- +
acomp_ladder_reference_voltage_t acomp_ladder_config_t::referenceVoltageacomp_ladder_reference_voltage_t _acomp_ladder_config::referenceVoltage
@@ -309,69 +338,72 @@ Initialization

Enumeration Type Documentation

- +
- -
Enumerator
kACOMP_LadderRefVoltagePinVDD  +
Enumerator
kACOMP_LadderRefVoltagePinVDD 

Supply from pin VDD.

kACOMP_LadderRefVoltagePinVDDCMP  +
kACOMP_LadderRefVoltagePinVDDCMP 

Supply from pin VDDCMP.

- +
- - - +
Enumerator
kACOMP_InterruptsFallingEdgeEnable  +
Enumerator
kACOMP_InterruptsFallingEdgeEnable 

Enable the falling edge interrupts.

kACOMP_InterruptsRisingEdgeEnable  +
kACOMP_InterruptsRisingEdgeEnable 

Enable the rising edge interrupts.

kACOMP_InterruptsBothEdgesEnable  +
kACOMP_InterruptsBothEdgesEnable 

Enable the both edges interrupts.

kACOMP_InterruptsDisable  +

Disable the interrupts.

+
- +
- - - -
Enumerator
kACOMP_HysteresisNoneSelection  +
Enumerator
kACOMP_HysteresisNoneSelection 

None (the output will switch as the voltages cross).

kACOMP_Hysteresis5MVSelection  +
kACOMP_Hysteresis5MVSelection 

5mV.

kACOMP_Hysteresis10MVSelection  +
kACOMP_Hysteresis10MVSelection 

10mV.

kACOMP_Hysteresis20MVSelection  +
kACOMP_Hysteresis20MVSelection 

20mV.

@@ -392,7 +424,7 @@ Initialization - const acomp_config_t *  + const acomp_config_tconfig  @@ -441,7 +473,7 @@ Initialization void ACOMP_GetDefaultConfig ( - acomp_config_t *  + acomp_config_tconfig) @@ -474,7 +506,7 @@ Initialization - acomp_interrupt_enable_t  + acomp_interrupt_enable_t  enable  @@ -647,7 +679,7 @@ Initialization - const acomp_ladder_config_t *  + const acomp_ladder_config_tconfig  diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00008.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00008.js index 284eb93..4256e23 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00008.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00008.js @@ -1,28 +1,34 @@ var a00008 = [ - [ "acomp_config_t", "a00008.html#a00101", [ - [ "enableSyncToBusClk", "a00008.html#a6f9542645b06542277cb07ea138e5064", null ], - [ "hysteresisSelection", "a00008.html#a46ecb6f7efe54967d816deafdf1c65ce", null ] + [ "_acomp_config", "a00008.html#a00096", [ + [ "enableSyncToBusClk", "a00008.html#aadb2c1f3afec1367d80ee500fecf9924", null ], + [ "hysteresisSelection", "a00008.html#a4263e26731a1edc99ab1334412794b0a", null ] ] ], - [ "acomp_ladder_config_t", "a00008.html#a00102", [ - [ "ladderValue", "a00008.html#a8271667393096dad310f8b2e486b1c4b", null ], - [ "referenceVoltage", "a00008.html#a66356c0246938695521e8a0ece69cf11", null ] + [ "_acomp_ladder_config", "a00008.html#a00097", [ + [ "ladderValue", "a00008.html#adcb0d4715a113383eeff5c8099c6179f", null ], + [ "referenceVoltage", "a00008.html#a425079b28fa9d9d2942cc2160089ae18", null ] ] ], [ "FSL_ACOMP_DRIVER_VERSION", "a00008.html#ga856c35b78deb42642464ecfdb8dfa8aa", null ], - [ "acomp_ladder_reference_voltage_t", "a00008.html#ga7ad629d52b0a70f95cd2ddb3bee8ab5f", [ - [ "kACOMP_LadderRefVoltagePinVDD", "a00008.html#gga7ad629d52b0a70f95cd2ddb3bee8ab5fa70a5aa61894fc8d2195652c2fa3423aa", null ], - [ "kACOMP_LadderRefVoltagePinVDDCMP", "a00008.html#gga7ad629d52b0a70f95cd2ddb3bee8ab5fa56718eb64fdbbd04648466547b326c35", null ] + [ "acomp_ladder_reference_voltage_t", "a00008.html#gafdeea2fb570a5d98264ced03af561757", null ], + [ "acomp_interrupt_enable_t", "a00008.html#ga00a425fdc3a8f0270f6b1496c9718898", null ], + [ "acomp_hysteresis_selection_t", "a00008.html#ga96d96cca1e3c96dba2753a4db623cb22", null ], + [ "acomp_config_t", "a00008.html#ga78066df1c1b1ff94c949c635062ba790", null ], + [ "acomp_ladder_config_t", "a00008.html#gaf0ae8abcb6f3e8fde08615f492df7fc3", null ], + [ "_acomp_ladder_reference_voltage", "a00008.html#ga3cb7b227dfa6d29bc1d83fe22eba4ddc", [ + [ "kACOMP_LadderRefVoltagePinVDD", "a00008.html#gga3cb7b227dfa6d29bc1d83fe22eba4ddca70a5aa61894fc8d2195652c2fa3423aa", null ], + [ "kACOMP_LadderRefVoltagePinVDDCMP", "a00008.html#gga3cb7b227dfa6d29bc1d83fe22eba4ddca56718eb64fdbbd04648466547b326c35", null ] ] ], - [ "acomp_interrupt_enable_t", "a00008.html#ga178d521ca2ee7f33d518b30dfb627d20", [ - [ "kACOMP_InterruptsFallingEdgeEnable", "a00008.html#gga178d521ca2ee7f33d518b30dfb627d20ad015e6ad9b09be27a6de122fde6b3997", null ], - [ "kACOMP_InterruptsRisingEdgeEnable", "a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a25801b009aba402b9810189eb8545b8b", null ], - [ "kACOMP_InterruptsBothEdgesEnable", "a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a23743234a07f6c25a2b14cca0cd863c7", null ] + [ "_acomp_interrupt_enable", "a00008.html#ga7959160daeb14e107aa41d21b23ad7d8", [ + [ "kACOMP_InterruptsFallingEdgeEnable", "a00008.html#gga7959160daeb14e107aa41d21b23ad7d8ad015e6ad9b09be27a6de122fde6b3997", null ], + [ "kACOMP_InterruptsRisingEdgeEnable", "a00008.html#gga7959160daeb14e107aa41d21b23ad7d8a25801b009aba402b9810189eb8545b8b", null ], + [ "kACOMP_InterruptsBothEdgesEnable", "a00008.html#gga7959160daeb14e107aa41d21b23ad7d8a23743234a07f6c25a2b14cca0cd863c7", null ], + [ "kACOMP_InterruptsDisable", "a00008.html#gga7959160daeb14e107aa41d21b23ad7d8a629a3a054d3fde07b8f1d4974a9d070d", null ] ] ], - [ "acomp_hysteresis_selection_t", "a00008.html#gaa7c0a15052b6421941faad3c6ea3a5ac", [ - [ "kACOMP_HysteresisNoneSelection", "a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acae003c683374deba88f335221e4f6c62b", null ], - [ "kACOMP_Hysteresis5MVSelection", "a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acae6c2b1547a7e476425962d46b44a00f9", null ], - [ "kACOMP_Hysteresis10MVSelection", "a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5aca25ed95469736b1383c06835f1a59a6f7", null ], - [ "kACOMP_Hysteresis20MVSelection", "a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acab609612c0f5c6987b71f287d0ab8e02c", null ] + [ "_acomp_hysteresis_selection", "a00008.html#gaaf41a27470c09c9433454b94bec78133", [ + [ "kACOMP_HysteresisNoneSelection", "a00008.html#ggaaf41a27470c09c9433454b94bec78133ae003c683374deba88f335221e4f6c62b", null ], + [ "kACOMP_Hysteresis5MVSelection", "a00008.html#ggaaf41a27470c09c9433454b94bec78133ae6c2b1547a7e476425962d46b44a00f9", null ], + [ "kACOMP_Hysteresis10MVSelection", "a00008.html#ggaaf41a27470c09c9433454b94bec78133a25ed95469736b1383c06835f1a59a6f7", null ], + [ "kACOMP_Hysteresis20MVSelection", "a00008.html#ggaaf41a27470c09c9433454b94bec78133ab609612c0f5c6987b71f287d0ab8e02c", null ] ] ], [ "ACOMP_Init", "a00008.html#gae8afb04a61cd16f74eba67061409cd30", null ], [ "ACOMP_Deinit", "a00008.html#ga4e2589a3a011cb833649e301be7fff8c", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00009.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00009.html index eea8987..3a3c133 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00009.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00009.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
@@ -101,6 +101,7 @@ $(document).ready(function(){initNavTree('a00009.html','');});
ADC: 12-bit SAR Analog-to-Digital Converter Driver
@@ -124,15 +125,71 @@ Files - - - - - - - - - + + + + + + + + + +

Data Structures

struct  adc_config_t
 Define structure for configuring the block. More...
 
struct  adc_conv_seq_config_t
 Define structure for configuring conversion sequence. More...
 
struct  adc_result_info_t
 Define structure of keeping conversion result information. More...
 
struct  _adc_config
 Define structure for configuring the block. More...
 
struct  _adc_conv_seq_config
 Define structure for configuring conversion sequence. More...
 
struct  _adc_result_info
 Define structure of keeping conversion result information. More...
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Typedefs

+typedef enum _adc_trigger_polarity adc_trigger_polarity_t
 Define selection of polarity of selected input trigger for conversion sequence.
 
+typedef enum _adc_priority adc_priority_t
 Define selection of conversion sequence's priority.
 
+typedef enum
+_adc_seq_interrupt_mode 
adc_seq_interrupt_mode_t
 Define selection of conversion sequence's interrupt.
 
+typedef enum
+_adc_threshold_compare_status 
adc_threshold_compare_status_t
 Define status of threshold compare result.
 
+typedef enum
+_adc_threshold_crossing_status 
adc_threshold_crossing_status_t
 Define status of threshold crossing detection result.
 
+typedef enum
+_adc_threshold_interrupt_mode 
adc_threshold_interrupt_mode_t
 Define interrupt mode for threshold compare event.
 
+typedef enum _adc_inforesultshift adc_inforesult_t
 Define the info result mode of different resolution.
 
+typedef enum
+_adc_tempsensor_common_mode 
adc_tempsensor_common_mode_t
 Define common modes for Temerature sensor.
 
+typedef enum _adc_second_control adc_second_control_t
 Define source impedance modes for GPADC control.
 
+typedef struct _adc_config adc_config_t
 Define structure for configuring the block.
 
+typedef struct _adc_conv_seq_config adc_conv_seq_config_t
 Define structure for configuring conversion sequence.
 
+typedef struct _adc_result_info adc_result_info_t
 Define structure of keeping conversion result information.
 
@@ -210,131 +267,125 @@ Enumerations } - - - - + + - - - + + - - - + + - - - + + - - - + + - - - + + - - - + + - - - + + - - + +

Enumerations

 Interrupts. More...
 
enum  adc_trigger_polarity_t {
-  kADC_TriggerPolarityNegativeEdge = 0U, +
enum  _adc_trigger_polarity {
+  kADC_TriggerPolarityNegativeEdge = 0U,
-  kADC_TriggerPolarityPositiveEdge = 1U +  kADC_TriggerPolarityPositiveEdge = 1U
}
 Define selection of polarity of selected input trigger for conversion sequence. More...
 
enum  adc_priority_t {
-  kADC_PriorityLow = 0U, +
 Define selection of polarity of selected input trigger for conversion sequence. More...
 
enum  _adc_priority {
+  kADC_PriorityLow = 0U,
-  kADC_PriorityHigh = 1U +  kADC_PriorityHigh = 1U
}
 Define selection of conversion sequence's priority. More...
 
enum  adc_seq_interrupt_mode_t {
-  kADC_InterruptForEachConversion = 0U, +
 Define selection of conversion sequence's priority. More...
 
enum  _adc_seq_interrupt_mode {
+  kADC_InterruptForEachConversion = 0U,
-  kADC_InterruptForEachSequence = 1U +  kADC_InterruptForEachSequence = 1U
}
 Define selection of conversion sequence's interrupt. More...
 
enum  adc_threshold_compare_status_t {
-  kADC_ThresholdCompareInRange = 0U, +
 Define selection of conversion sequence's interrupt. More...
 
enum  _adc_threshold_compare_status {
+  kADC_ThresholdCompareInRange = 0U,
-  kADC_ThresholdCompareBelowRange = 1U, +  kADC_ThresholdCompareBelowRange = 1U,
-  kADC_ThresholdCompareAboveRange = 2U +  kADC_ThresholdCompareAboveRange = 2U
}
 Define status of threshold compare result. More...
 
enum  adc_threshold_crossing_status_t {
-  kADC_ThresholdCrossingNoDetected = 0U, +
 Define status of threshold compare result. More...
 
enum  _adc_threshold_crossing_status {
+  kADC_ThresholdCrossingNoDetected = 0U,
-  kADC_ThresholdCrossingDownward = 2U, +  kADC_ThresholdCrossingDownward = 2U,
-  kADC_ThresholdCrossingUpward = 3U +  kADC_ThresholdCrossingUpward = 3U
}
 Define status of threshold crossing detection result. More...
 
enum  adc_threshold_interrupt_mode_t {
-  kADC_ThresholdInterruptDisabled = 0U, +
 Define status of threshold crossing detection result. More...
 
enum  _adc_threshold_interrupt_mode {
+  kADC_ThresholdInterruptDisabled = 0U,
-  kADC_ThresholdInterruptOnOutside = 1U, +  kADC_ThresholdInterruptOnOutside = 1U,
-  kADC_ThresholdInterruptOnCrossing = 2U +  kADC_ThresholdInterruptOnCrossing = 2U
}
 Define interrupt mode for threshold compare event. More...
 
enum  adc_inforesult_t {
-  kADC_Resolution12bitInfoResultShift = 0U, +
 Define interrupt mode for threshold compare event. More...
 
enum  _adc_inforesultshift {
+  kADC_Resolution12bitInfoResultShift = 0U,
-  kADC_Resolution10bitInfoResultShift = 2U, +  kADC_Resolution10bitInfoResultShift = 2U,
-  kADC_Resolution8bitInfoResultShift = 4U, +  kADC_Resolution8bitInfoResultShift = 4U,
-  kADC_Resolution6bitInfoResultShift = 6U +  kADC_Resolution6bitInfoResultShift = 6U
}
 Define the info result mode of different resolution. More...
 
enum  adc_tempsensor_common_mode_t {
-  kADC_HighNegativeOffsetAdded = 0x0U, +
 Define the info result mode of different resolution. More...
 
enum  _adc_tempsensor_common_mode {
+  kADC_HighNegativeOffsetAdded = 0x0U,
-  kADC_IntermediateNegativeOffsetAdded, +  kADC_IntermediateNegativeOffsetAdded,
-  kADC_NoOffsetAdded = 0x8U, +  kADC_NoOffsetAdded = 0x8U,
-  kADC_LowPositiveOffsetAdded = 0xcU +  kADC_LowPositiveOffsetAdded = 0xcU
}
 Define common modes for Temerature sensor. More...
 
enum  adc_second_control_t {
-  kADC_Impedance621Ohm = 0x1U << 9U, +
 Define common modes for Temerature sensor. More...
 
enum  _adc_second_control {
+  kADC_Impedance621Ohm = 0x1U << 9U,
-  kADC_Impedance55kOhm, +  kADC_Impedance55kOhm,
-  kADC_Impedance87kOhm = 0x1fU << 9U, +  kADC_Impedance87kOhm = 0x1fU << 9U,
-  kADC_NormalFunctionalMode = 0x0U << 14U, +  kADC_NormalFunctionalMode = 0x0U << 14U,
-  kADC_MultiplexeTestMode = 0x1U << 14U, +  kADC_MultiplexeTestMode = 0x1U << 14U,
-  kADC_ADCInUnityGainMode = 0x2U << 14U +  kADC_ADCInUnityGainMode = 0x2U << 14U
}
 Define source impedance modes for GPADC control. More...
 
 Define source impedance modes for GPADC control. More...
 
- - + +

Driver version

#define FSL_ADC_DRIVER_VERSION   (MAKE_VERSION(2, 5, 2))
 ADC driver version 2.5.2. More...
#define FSL_ADC_DRIVER_VERSION   (MAKE_VERSION(2, 5,3))
 ADC driver version 2.5.3. More...
 
- + - + - - - - - -

Initialization and Deinitialization

void ADC_Init (ADC_Type *base, const adc_config_t *config)
void ADC_Init (ADC_Type *base, const adc_config_t *config)
 Initialize the ADC module. More...
 
void ADC_Deinit (ADC_Type *base)
 Deinitialize the ADC module. More...
 
void ADC_GetDefaultConfig (adc_config_t *config)
void ADC_GetDefaultConfig (adc_config_t *config)
 Gets an available pre-defined settings for initial configuration. More...
 
bool ADC_DoSelfCalibration (ADC_Type *base, uint32_t frequency)
 Do the hardware self-calibration. More...
 
static void ADC_EnableTemperatureSensor (ADC_Type *base, bool enable)
 Enable the internal temperature sensor measurement. More...
 
- + @@ -352,7 +403,7 @@ Control conversion sequence B. - + @@ -367,13 +418,13 @@ Control conversion sequence B.

Control conversion sequence A.

static void ADC_EnableConvSeqA (ADC_Type *base, bool enable)
 Enable the conversion sequence A. More...
 
void ADC_SetConvSeqAConfig (ADC_Type *base, const adc_conv_seq_config_t *config)
void ADC_SetConvSeqAConfig (ADC_Type *base, const adc_conv_seq_config_t *config)
 Configure the conversion sequence A. More...
 
static void ADC_DoSoftwareTriggerConvSeqA (ADC_Type *base)
static void ADC_EnableConvSeqB (ADC_Type *base, bool enable)
 Enable the conversion sequence B. More...
 
void ADC_SetConvSeqBConfig (ADC_Type *base, const adc_conv_seq_config_t *config)
void ADC_SetConvSeqBConfig (ADC_Type *base, const adc_conv_seq_config_t *config)
 Configure the conversion sequence B. More...
 
static void ADC_DoSoftwareTriggerConvSeqB (ADC_Type *base)
- + - + - +

Data result.

bool ADC_GetConvSeqAGlobalConversionResult (ADC_Type *base, adc_result_info_t *info)
bool ADC_GetConvSeqAGlobalConversionResult (ADC_Type *base, adc_result_info_t *info)
 Get the global ADC conversion infomation of sequence A. More...
 
bool ADC_GetConvSeqBGlobalConversionResult (ADC_Type *base, adc_result_info_t *info)
bool ADC_GetConvSeqBGlobalConversionResult (ADC_Type *base, adc_result_info_t *info)
 Get the global ADC conversion infomation of sequence B. More...
 
bool ADC_GetChannelConversionResult (ADC_Type *base, uint32_t channel, adc_result_info_t *info)
bool ADC_GetChannelConversionResult (ADC_Type *base, uint32_t channel, adc_result_info_t *info)
 Get the channel's ADC conversion completed under each conversion sequence. More...
 
@@ -400,7 +451,7 @@ Interrupts. - +
static void ADC_DisableInterrupts (ADC_Type *base, uint32_t mask)
 Disable interrupts for conversion sequence. More...
 
static void ADC_EnableThresholdCompareInterrupt (ADC_Type *base, uint32_t channel, adc_threshold_interrupt_mode_t mode)
static void ADC_EnableThresholdCompareInterrupt (ADC_Type *base, uint32_t channel, adc_threshold_interrupt_mode_t mode)
 Enable the interrupt of threshold compare event for each channel. More...
 
@@ -414,28 +465,32 @@ Status.
 

Data Structure Documentation

- +
- +
struct adc_config_tstruct _adc_config
- - - + + + + + +

Data Fields

uint32_t clockDividerNumber
 This field is only available when using kADC_ClockSynchronousMode for "clockMode" field. More...
 
uint32_t clockDividerNumber
 This field is only available when using kADC_ClockSynchronousMode for "clockMode" field. More...
 
bool enableLowPowerMode
 
If disable low-power mode, ADC remains activated even when no conversions are
+

requested. More...

 

Field Documentation

- +
- +
uint32_t adc_config_t::clockDividerNumberuint32_t _adc_config::clockDividerNumber
@@ -443,50 +498,63 @@ Status.
- -
-
- +
- + + +
struct adc_conv_seq_config_tbool _adc_config::enableLowPowerMode
+
+

If enable low-power mode, The ADC is automatically powered-down when no conversions are taking place.

+ +
+
+ +
+
+ +
+
+ + +
struct _adc_conv_seq_config
- - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + +

Data Fields

uint32_t channelMask
 
              Selects which one or more of the ADC channels will be sampled and converted when this
-

sequence is launched. More...

 
uint32_t triggerMask
 
              Selects which one or more of the available hardware trigger sources will cause this
-

conversion sequence to be initiated. More...

 
adc_trigger_polarity_t triggerPolarity
 Select the trigger to launch conversion sequence. More...
 
bool enableSyncBypass
 
             To enable this feature allows the hardware trigger input to bypass synchronization
-

flip-flop stages and therefore shorten the time between the trigger input signal and the start of a conversion. More...

 
bool enableSingleStep
 
             When enabling this feature, a trigger will launch a single conversion on the next
-

channel in the sequence instead of the default response of launching an entire sequence of conversions. More...

 
adc_seq_interrupt_mode_t interruptMode
 Select the interrpt/DMA trigger mode. More...
 
uint32_t channelMask
 
              Selects which one or more of the ADC channels will be sampled and converted when this
+

sequence is launched. More...

 
uint32_t triggerMask
 
              Selects which one or more of the available hardware trigger sources will cause this
+

conversion sequence to be initiated. More...

 
adc_trigger_polarity_t triggerPolarity
 Select the trigger to launch conversion sequence. More...
 
bool enableSyncBypass
 
             To enable this feature allows the hardware trigger input to bypass synchronization
+

flip-flop stages and therefore shorten the time between the trigger input signal and the start of a conversion. More...

 
bool enableSingleStep
 
             When enabling this feature, a trigger will launch a single conversion on the next
+

channel in the sequence instead of the default response of launching an entire sequence of conversions. More...

 
adc_seq_interrupt_mode_t interruptMode
 Select the interrpt/DMA trigger mode. More...
 

Field Documentation

- +
- +
uint32_t adc_conv_seq_config_t::channelMaskuint32_t _adc_conv_seq_config::channelMask
@@ -494,12 +562,12 @@ Status.
- +
- +
uint32_t adc_conv_seq_config_t::triggerMaskuint32_t _adc_conv_seq_config::triggerMask
@@ -507,48 +575,48 @@ Status.
- +
- +
adc_trigger_polarity_t adc_conv_seq_config_t::triggerPolarityadc_trigger_polarity_t _adc_conv_seq_config::triggerPolarity
- +
- +
bool adc_conv_seq_config_t::enableSyncBypassbool _adc_conv_seq_config::enableSyncBypass
- +
- +
bool adc_conv_seq_config_t::enableSingleStepbool _adc_conv_seq_config::enableSingleStep
- +
- +
adc_seq_interrupt_mode_t adc_conv_seq_config_t::interruptModeadc_seq_interrupt_mode_t _adc_conv_seq_config::interruptMode
@@ -558,88 +626,88 @@ Status.
- +
- +
struct adc_result_info_tstruct _adc_result_info
- - - - - - - - - - - - - - - + + + + + + + + + + + + + + +

Data Fields

uint32_t result
 Keep the conversion data value. More...
 
adc_threshold_compare_status_t thresholdCompareStatus
 Keep the threshold compare status. More...
 
adc_threshold_crossing_status_t thresholdCorssingStatus
 Keep the threshold crossing status. More...
 
uint32_t channelNumber
 Keep the channel number for this conversion. More...
 
bool overrunFlag
 Keep the status whether the conversion is overrun or not. More...
 
uint32_t result
 Keep the conversion data value. More...
 
adc_threshold_compare_status_t thresholdCompareStatus
 Keep the threshold compare status. More...
 
adc_threshold_crossing_status_t thresholdCorssingStatus
 Keep the threshold crossing status. More...
 
uint32_t channelNumber
 Keep the channel number for this conversion. More...
 
bool overrunFlag
 Keep the status whether the conversion is overrun or not. More...
 

Field Documentation

- +
- +
uint32_t adc_result_info_t::resultuint32_t _adc_result_info::result
- +
- +
adc_threshold_compare_status_t adc_result_info_t::thresholdCompareStatusadc_threshold_compare_status_t _adc_result_info::thresholdCompareStatus
- +
- +
adc_threshold_crossing_status_t adc_result_info_t::thresholdCorssingStatusadc_threshold_crossing_status_t _adc_result_info::thresholdCorssingStatus
- +
- +
uint32_t adc_result_info_t::channelNumberuint32_t _adc_result_info::channelNumber
- +
- +
bool adc_result_info_t::overrunFlagbool _adc_result_info::overrunFlag
@@ -655,7 +723,7 @@ Status.
- +
#define FSL_ADC_DRIVER_VERSION   (MAKE_VERSION(2, 5, 2))#define FSL_ADC_DRIVER_VERSION   (MAKE_VERSION(2, 5,3))
@@ -791,213 +859,213 @@ Status.
- +
- -
Enumerator
kADC_TriggerPolarityNegativeEdge  +
Enumerator
kADC_TriggerPolarityNegativeEdge 

A negative edge launches the conversion sequence on the trigger(s).

kADC_TriggerPolarityPositiveEdge  +
kADC_TriggerPolarityPositiveEdge 

A positive edge launches the conversion sequence on the trigger(s).

- +
- -
Enumerator
kADC_PriorityLow  +
Enumerator
kADC_PriorityLow 

This sequence would be preempted when another sequence is started.

kADC_PriorityHigh  +
kADC_PriorityHigh 

This sequence would preempt other sequence even when it is started.

- +
- -
Enumerator
kADC_InterruptForEachConversion  +
Enumerator
kADC_InterruptForEachConversion 

The sequence interrupt/DMA trigger will be set at the end of each individual ADC conversion inside this conversion sequence.

kADC_InterruptForEachSequence  +
kADC_InterruptForEachSequence 

The sequence interrupt/DMA trigger will be set when the entire set of this sequence conversions completes.

- +
- - -
Enumerator
kADC_ThresholdCompareInRange  +
Enumerator
kADC_ThresholdCompareInRange 

LOW threshold <= conversion value <= HIGH threshold.

kADC_ThresholdCompareBelowRange  +
kADC_ThresholdCompareBelowRange 

conversion value < LOW threshold.

kADC_ThresholdCompareAboveRange  +
kADC_ThresholdCompareAboveRange 

conversion value > HIGH threshold.

- +
- - -
Enumerator
kADC_ThresholdCrossingNoDetected  +
Enumerator
kADC_ThresholdCrossingNoDetected 

No threshold Crossing detected.

kADC_ThresholdCrossingDownward  +
kADC_ThresholdCrossingDownward 

Downward Threshold Crossing detected.

kADC_ThresholdCrossingUpward  +
kADC_ThresholdCrossingUpward 

Upward Threshold Crossing Detected.

- +
- - -
Enumerator
kADC_ThresholdInterruptDisabled  +
Enumerator
kADC_ThresholdInterruptDisabled 

Threshold comparison interrupt is disabled.

kADC_ThresholdInterruptOnOutside  +
kADC_ThresholdInterruptOnOutside 

Threshold comparison interrupt is enabled on outside threshold.

kADC_ThresholdInterruptOnCrossing  +
kADC_ThresholdInterruptOnCrossing 

Threshold comparison interrupt is enabled on crossing threshold.

- +
- - - -
Enumerator
kADC_Resolution12bitInfoResultShift  +
Enumerator
kADC_Resolution12bitInfoResultShift 

Info result shift of Resolution12bit.

kADC_Resolution10bitInfoResultShift  +
kADC_Resolution10bitInfoResultShift 

Info result shift of Resolution10bit.

kADC_Resolution8bitInfoResultShift  +
kADC_Resolution8bitInfoResultShift 

Info result shift of Resolution8bit.

kADC_Resolution6bitInfoResultShift  +
kADC_Resolution6bitInfoResultShift 

Info result shift of Resolution6bit.

- +
- - - -
Enumerator
kADC_HighNegativeOffsetAdded  +
Enumerator
kADC_HighNegativeOffsetAdded 

Temperature sensor common mode: high negative offset added.

kADC_IntermediateNegativeOffsetAdded  +
kADC_IntermediateNegativeOffsetAdded 

Temperature sensor common mode: intermediate negative offset added.

kADC_NoOffsetAdded  +
kADC_NoOffsetAdded 

Temperature sensor common mode: no offset added.

kADC_LowPositiveOffsetAdded  +
kADC_LowPositiveOffsetAdded 

Temperature sensor common mode: low positive offset added.

- +
- - - - - -
Enumerator
kADC_Impedance621Ohm  +
Enumerator
kADC_Impedance621Ohm 

Extand ADC sampling time according to source impedance 1: 0.621 kOhm.

kADC_Impedance55kOhm  +
kADC_Impedance55kOhm 

Extand ADC sampling time according to source impedance 20 (default): 55 kOhm.

kADC_Impedance87kOhm  +
kADC_Impedance87kOhm 

Extand ADC sampling time according to source impedance 31: 87 kOhm.

kADC_NormalFunctionalMode  +
kADC_NormalFunctionalMode 

TEST mode: Normal functional mode.

kADC_MultiplexeTestMode  +
kADC_MultiplexeTestMode 

TEST mode: Multiplexer test mode.

kADC_ADCInUnityGainMode  +
kADC_ADCInUnityGainMode 

TEST mode: ADC in unity gain mode.

@@ -1018,7 +1086,7 @@ Status. - const adc_config_t *  + const adc_config_tconfig  @@ -1031,7 +1099,7 @@ Status.
Parameters
- +
baseADC peripheral base address.
configPointer to configuration structure, see to adc_config_t.
configPointer to configuration structure, see to adc_config_t.
@@ -1067,7 +1135,7 @@ Status. void ADC_GetDefaultConfig ( - adc_config_t *  + adc_config_tconfig) @@ -1087,89 +1155,6 @@ Status. -
-
- -
-
- - - - - - - - - - - - - - - - - - -
bool ADC_DoSelfCalibration (ADC_Type * base,
uint32_t frequency 
)
-
-

To calibrate the ADC, set the ADC clock to 500 kHz. In order to achieve the specified ADC accuracy, the A/D converter must be recalibrated, at a minimum, following every chip reset before initiating normal ADC operation.

-
Parameters
- - - -
baseADC peripheral base address.
frequencyThe clock frequency that ADC operates at.
-
-
-
Return values
- - - -
trueCalibration succeed.
falseCalibration failed.
-
-
- -
-
- -
-
- - - - - -
- - - - - - - - - - - - - - - - - - -
static void ADC_EnableTemperatureSensor (ADC_Type * base,
bool enable 
)
-
-inlinestatic
-
-

When enabling the internal temperature sensor measurement, the channel 0 would be connected to internal sensor instead of external pin.

-
Parameters
- - - -
baseADC peripheral base address.
enableSwitcher to enable the feature or not.
-
-
-
@@ -1227,7 +1212,7 @@ Status. - const adc_conv_seq_config_t *  + const adc_conv_seq_config_tconfig  @@ -1240,7 +1225,7 @@ Status.
Parameters
- +
baseADC peripheral base address.
configPointer to configuration structure, see to adc_conv_seq_config_t.
configPointer to configuration structure, see to adc_conv_seq_config_t.
@@ -1404,7 +1389,7 @@ Status. - const adc_conv_seq_config_t *  + const adc_conv_seq_config_tconfig  @@ -1417,7 +1402,7 @@ Status.
Parameters
- +
baseADC peripheral base address.
configPointer to configuration structure, see to adc_conv_seq_config_t.
configPointer to configuration structure, see to adc_conv_seq_config_t.
@@ -1539,7 +1524,7 @@ Status. - adc_result_info_t *  + adc_result_info_tinfo  @@ -1552,7 +1537,7 @@ Status.
Parameters
- +
baseADC peripheral base address.
infoPointer to information structure, see to adc_result_info_t;
infoPointer to information structure, see to adc_result_info_t;
@@ -1579,7 +1564,7 @@ Status. - adc_result_info_t *  + adc_result_info_tinfo  @@ -1592,7 +1577,7 @@ Status.
Parameters
- +
baseADC peripheral base address.
infoPointer to information structure, see to adc_result_info_t;
infoPointer to information structure, see to adc_result_info_t;
@@ -1625,7 +1610,7 @@ Status. - adc_result_info_t *  + adc_result_info_tinfo  @@ -1639,7 +1624,7 @@ Status. - +
baseADC peripheral base address.
channelThe indicated channel number.
infoPointer to information structure, see to adc_result_info_t;
infoPointer to information structure, see to adc_result_info_t;
@@ -1935,7 +1920,7 @@ Status. - adc_threshold_interrupt_mode_t  + adc_threshold_interrupt_mode_t  mode  @@ -1954,7 +1939,7 @@ Status. - +
baseADC peripheral base address.
channelChannel number.
modeInterrupt mode for threshold compare event, see to adc_threshold_interrupt_mode_t.
modeInterrupt mode for threshold compare event, see to adc_threshold_interrupt_mode_t.
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00009.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00009.js index e63ab9a..2a66e78 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00009.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00009.js @@ -1,24 +1,37 @@ var a00009 = [ - [ "adc_config_t", "a00009.html#a00103", [ - [ "clockDividerNumber", "a00009.html#abb59c0a54988e01653f80c8a59d18b1a", null ] + [ "_adc_config", "a00009.html#a00098", [ + [ "clockDividerNumber", "a00009.html#a55737a4c28557ec53b39ede642c6fd15", null ], + [ "enableLowPowerMode", "a00009.html#a0f905ed7fa606d287ad9fff223a84efb", null ] ] ], - [ "adc_conv_seq_config_t", "a00009.html#a00104", [ - [ "channelMask", "a00009.html#ab63c0a8803f4d02e040d55efd8d58e88", null ], - [ "triggerMask", "a00009.html#a53ec8373edca82340278a104721ed8bb", null ], - [ "triggerPolarity", "a00009.html#a280dc4b4d9c35c2411fe92cf3cadb838", null ], - [ "enableSyncBypass", "a00009.html#aaf2640b291a24e4222953c7686007a3b", null ], - [ "enableSingleStep", "a00009.html#abe7f67296372743da67e2eac58f9aafe", null ], - [ "interruptMode", "a00009.html#aa37fa0f5c6c3351f811d4f31f40290ad", null ] + [ "_adc_conv_seq_config", "a00009.html#a00099", [ + [ "channelMask", "a00009.html#a9743fed64072f085713d02155a37ff10", null ], + [ "triggerMask", "a00009.html#ac01424048f052ea58ae64493bc6efd9c", null ], + [ "triggerPolarity", "a00009.html#a989334ade488c5980bc4022c66a25671", null ], + [ "enableSyncBypass", "a00009.html#a7658740de8624cabaf36e55569f5e0a5", null ], + [ "enableSingleStep", "a00009.html#a965d79f3c62d5614f423a1a34b72a8ea", null ], + [ "interruptMode", "a00009.html#a9113b389cf95ab7cbe6b6127dce14406", null ] ] ], - [ "adc_result_info_t", "a00009.html#a00105", [ - [ "result", "a00009.html#afa0a0f7e84c888060b963280035378f6", null ], - [ "thresholdCompareStatus", "a00009.html#a1014edddf4d1ea57e751088dcadace6b", null ], - [ "thresholdCorssingStatus", "a00009.html#a443ffd542f5690ca2cb239181db4aaf7", null ], - [ "channelNumber", "a00009.html#a6829bb748bc55409fed8615f6a40bb84", null ], - [ "overrunFlag", "a00009.html#a5d9fd2ad5f95c7b55a72ac1b5d10221f", null ] + [ "_adc_result_info", "a00009.html#a00100", [ + [ "result", "a00009.html#a3e3d2d7de657f63a68fa37041fb793fa", null ], + [ "thresholdCompareStatus", "a00009.html#a793ec5bdf0875dc6ee03904e7df9f1b7", null ], + [ "thresholdCorssingStatus", "a00009.html#ad19349a037214c68ca3afb7b0751514e", null ], + [ "channelNumber", "a00009.html#a133e25bdbfb7e5ffd30c94f5216433a5", null ], + [ "overrunFlag", "a00009.html#ac203d9e6924d2b46deb5611052543f16", null ] ] ], [ "FSL_ADC_DRIVER_VERSION", "a00009.html#ga5838d9fe654d6e1503be047d08ce5b32", null ], + [ "adc_trigger_polarity_t", "a00009.html#gabae195883a5fe2742c6ffc76cd605d74", null ], + [ "adc_priority_t", "a00009.html#ga252547d797d59b78370345cca566d037", null ], + [ "adc_seq_interrupt_mode_t", "a00009.html#gaf7b92741cf8b758c97e9e6c57ba2461f", null ], + [ "adc_threshold_compare_status_t", "a00009.html#ga002dc4b410e50f6ac5dfebdd2b805b53", null ], + [ "adc_threshold_crossing_status_t", "a00009.html#gabc50fa38745a93781aa8e63efc39264e", null ], + [ "adc_threshold_interrupt_mode_t", "a00009.html#gade2b7fadaa94974ae0903b8dc2da139d", null ], + [ "adc_inforesult_t", "a00009.html#ga508d5921baba373e9ee7145858e60635", null ], + [ "adc_tempsensor_common_mode_t", "a00009.html#ga45d95e134021b358833d8c08fad48806", null ], + [ "adc_second_control_t", "a00009.html#gad2d3e423f1542e8e5bc6e063b34e818c", null ], + [ "adc_config_t", "a00009.html#ga3b22457f328dd120d593051f40db8e1a", null ], + [ "adc_conv_seq_config_t", "a00009.html#ga1b511fba76a0acb8e8aa0b3844962e45", null ], + [ "adc_result_info_t", "a00009.html#gad4d586bb8e6bb1623c116c86586836ec", null ], [ "_adc_status_flags", "a00009.html#ga417e499fb2f1ee7ba05088468b392ce1", [ [ "kADC_ThresholdCompareFlagOnChn0", "a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a07b97b0e0329e40c1829ac1e54467279", null ], [ "kADC_ThresholdCompareFlagOnChn1", "a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a5f66d1dcf413402eff0001649d32f853", null ], @@ -56,58 +69,56 @@ var a00009 = [ "kADC_ConvSeqBInterruptEnable", "a00009.html#ggac5899c7af1f9cac7695d7171f83be5f0ac8937ac90396e6c1ab8b3a8c83c530f8", null ], [ "kADC_OverrunInterruptEnable", "a00009.html#ggac5899c7af1f9cac7695d7171f83be5f0a81d0490f6a7b7fd8301c7af3162c9770", null ] ] ], - [ "adc_trigger_polarity_t", "a00009.html#ga538fb95659082602d6ebb205f21573a5", [ - [ "kADC_TriggerPolarityNegativeEdge", "a00009.html#gga538fb95659082602d6ebb205f21573a5a2515d6b46f5b471d516ceff71dda5bb5", null ], - [ "kADC_TriggerPolarityPositiveEdge", "a00009.html#gga538fb95659082602d6ebb205f21573a5a1e29943afcd9c5266a8401565798febe", null ] + [ "_adc_trigger_polarity", "a00009.html#gafd224e352527936e20c50ac8c39ad9c8", [ + [ "kADC_TriggerPolarityNegativeEdge", "a00009.html#ggafd224e352527936e20c50ac8c39ad9c8a2515d6b46f5b471d516ceff71dda5bb5", null ], + [ "kADC_TriggerPolarityPositiveEdge", "a00009.html#ggafd224e352527936e20c50ac8c39ad9c8a1e29943afcd9c5266a8401565798febe", null ] ] ], - [ "adc_priority_t", "a00009.html#gab625534aa39c1cd25f18cdc0dc3b9981", [ - [ "kADC_PriorityLow", "a00009.html#ggab625534aa39c1cd25f18cdc0dc3b9981aa47123e81ebbce4dd5905b0b93d0303d", null ], - [ "kADC_PriorityHigh", "a00009.html#ggab625534aa39c1cd25f18cdc0dc3b9981a591af3687c8df2663d34e7d8ff942abe", null ] + [ "_adc_priority", "a00009.html#ga895b86955b34760035b75f48ed891a7c", [ + [ "kADC_PriorityLow", "a00009.html#gga895b86955b34760035b75f48ed891a7caa47123e81ebbce4dd5905b0b93d0303d", null ], + [ "kADC_PriorityHigh", "a00009.html#gga895b86955b34760035b75f48ed891a7ca591af3687c8df2663d34e7d8ff942abe", null ] ] ], - [ "adc_seq_interrupt_mode_t", "a00009.html#ga3948c83397f351b5ed70bbaf1c5da35b", [ - [ "kADC_InterruptForEachConversion", "a00009.html#gga3948c83397f351b5ed70bbaf1c5da35ba0e0b964334a5fafa867734f43c9302d1", null ], - [ "kADC_InterruptForEachSequence", "a00009.html#gga3948c83397f351b5ed70bbaf1c5da35ba77c1e0c1efa82a0e1d23b38dcdc42e8d", null ] + [ "_adc_seq_interrupt_mode", "a00009.html#ga1e1cfa1d671392e8809b52b6257a7042", [ + [ "kADC_InterruptForEachConversion", "a00009.html#gga1e1cfa1d671392e8809b52b6257a7042a0e0b964334a5fafa867734f43c9302d1", null ], + [ "kADC_InterruptForEachSequence", "a00009.html#gga1e1cfa1d671392e8809b52b6257a7042a77c1e0c1efa82a0e1d23b38dcdc42e8d", null ] ] ], - [ "adc_threshold_compare_status_t", "a00009.html#gad47d3fd5553ab75cdf6b95268cb94f20", [ - [ "kADC_ThresholdCompareInRange", "a00009.html#ggad47d3fd5553ab75cdf6b95268cb94f20a0879cb322fa21eea083514475b2e5c92", null ], - [ "kADC_ThresholdCompareBelowRange", "a00009.html#ggad47d3fd5553ab75cdf6b95268cb94f20a4957dc189299bb3397555dbb37991903", null ], - [ "kADC_ThresholdCompareAboveRange", "a00009.html#ggad47d3fd5553ab75cdf6b95268cb94f20a88f6c1b1c2081034a524d1f07bda8479", null ] + [ "_adc_threshold_compare_status", "a00009.html#ga0b4c2ffa22cd01dc1d08b0c6f3b08965", [ + [ "kADC_ThresholdCompareInRange", "a00009.html#gga0b4c2ffa22cd01dc1d08b0c6f3b08965a0879cb322fa21eea083514475b2e5c92", null ], + [ "kADC_ThresholdCompareBelowRange", "a00009.html#gga0b4c2ffa22cd01dc1d08b0c6f3b08965a4957dc189299bb3397555dbb37991903", null ], + [ "kADC_ThresholdCompareAboveRange", "a00009.html#gga0b4c2ffa22cd01dc1d08b0c6f3b08965a88f6c1b1c2081034a524d1f07bda8479", null ] ] ], - [ "adc_threshold_crossing_status_t", "a00009.html#gae86929708f4bb8be4cc88dcf50c3db54", [ - [ "kADC_ThresholdCrossingNoDetected", "a00009.html#ggae86929708f4bb8be4cc88dcf50c3db54abf34f1a6b0ab0bb0338143eba9344156", null ], - [ "kADC_ThresholdCrossingDownward", "a00009.html#ggae86929708f4bb8be4cc88dcf50c3db54ac5aec5587ce5a042aed8506c8e732422", null ], - [ "kADC_ThresholdCrossingUpward", "a00009.html#ggae86929708f4bb8be4cc88dcf50c3db54a84de2355e5d40cc75c1ac6e56feb7153", null ] + [ "_adc_threshold_crossing_status", "a00009.html#ga0aeab7e111fc623f3b1a20cf36884b9d", [ + [ "kADC_ThresholdCrossingNoDetected", "a00009.html#gga0aeab7e111fc623f3b1a20cf36884b9dabf34f1a6b0ab0bb0338143eba9344156", null ], + [ "kADC_ThresholdCrossingDownward", "a00009.html#gga0aeab7e111fc623f3b1a20cf36884b9dac5aec5587ce5a042aed8506c8e732422", null ], + [ "kADC_ThresholdCrossingUpward", "a00009.html#gga0aeab7e111fc623f3b1a20cf36884b9da84de2355e5d40cc75c1ac6e56feb7153", null ] ] ], - [ "adc_threshold_interrupt_mode_t", "a00009.html#gac6aab6fdd21723cfc6ed4437372521ac", [ - [ "kADC_ThresholdInterruptDisabled", "a00009.html#ggac6aab6fdd21723cfc6ed4437372521aca9302e8f9009ba4561655bb2903156522", null ], - [ "kADC_ThresholdInterruptOnOutside", "a00009.html#ggac6aab6fdd21723cfc6ed4437372521aca699feabaae444d40c7261e26a2872af8", null ], - [ "kADC_ThresholdInterruptOnCrossing", "a00009.html#ggac6aab6fdd21723cfc6ed4437372521acab2db65594aeb9ce82f73ace49535d278", null ] + [ "_adc_threshold_interrupt_mode", "a00009.html#ga703e45dd351d168a4d8ab2e5a605ec93", [ + [ "kADC_ThresholdInterruptDisabled", "a00009.html#gga703e45dd351d168a4d8ab2e5a605ec93a9302e8f9009ba4561655bb2903156522", null ], + [ "kADC_ThresholdInterruptOnOutside", "a00009.html#gga703e45dd351d168a4d8ab2e5a605ec93a699feabaae444d40c7261e26a2872af8", null ], + [ "kADC_ThresholdInterruptOnCrossing", "a00009.html#gga703e45dd351d168a4d8ab2e5a605ec93ab2db65594aeb9ce82f73ace49535d278", null ] ] ], - [ "adc_inforesult_t", "a00009.html#gaecfd3ba1bc4b014f3c11bc6f348a28cc", [ - [ "kADC_Resolution12bitInfoResultShift", "a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28cca5925d865b6882eeef54d3389dc2e9f98", null ], - [ "kADC_Resolution10bitInfoResultShift", "a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28cca9923280b0a76bc961bed79aef5ecb0f3", null ], - [ "kADC_Resolution8bitInfoResultShift", "a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28ccae60e431363f005f7830c1751ec9dbb3b", null ], - [ "kADC_Resolution6bitInfoResultShift", "a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28ccaa51dfd6f4acca775dd0838c40eebe25e", null ] + [ "_adc_inforesultshift", "a00009.html#gadd80a979d7edaf06b04a7720dcbf6560", [ + [ "kADC_Resolution12bitInfoResultShift", "a00009.html#ggadd80a979d7edaf06b04a7720dcbf6560a5925d865b6882eeef54d3389dc2e9f98", null ], + [ "kADC_Resolution10bitInfoResultShift", "a00009.html#ggadd80a979d7edaf06b04a7720dcbf6560a9923280b0a76bc961bed79aef5ecb0f3", null ], + [ "kADC_Resolution8bitInfoResultShift", "a00009.html#ggadd80a979d7edaf06b04a7720dcbf6560ae60e431363f005f7830c1751ec9dbb3b", null ], + [ "kADC_Resolution6bitInfoResultShift", "a00009.html#ggadd80a979d7edaf06b04a7720dcbf6560aa51dfd6f4acca775dd0838c40eebe25e", null ] ] ], - [ "adc_tempsensor_common_mode_t", "a00009.html#ga2432b8bc48a2d3ede87fd021faefeaa5", [ - [ "kADC_HighNegativeOffsetAdded", "a00009.html#gga2432b8bc48a2d3ede87fd021faefeaa5a7a88de53f3fa62d9c9d073619e6f7b77", null ], - [ "kADC_IntermediateNegativeOffsetAdded", "a00009.html#gga2432b8bc48a2d3ede87fd021faefeaa5a705b5ce05ce8d2499b4ae652c138339b", null ], - [ "kADC_NoOffsetAdded", "a00009.html#gga2432b8bc48a2d3ede87fd021faefeaa5a524bba486c728e5af9ae263057fe7475", null ], - [ "kADC_LowPositiveOffsetAdded", "a00009.html#gga2432b8bc48a2d3ede87fd021faefeaa5ad18ff3e548f2107156eb50a604d26ee5", null ] + [ "_adc_tempsensor_common_mode", "a00009.html#gae379cff02514632d8af1b8f52b460cf2", [ + [ "kADC_HighNegativeOffsetAdded", "a00009.html#ggae379cff02514632d8af1b8f52b460cf2a7a88de53f3fa62d9c9d073619e6f7b77", null ], + [ "kADC_IntermediateNegativeOffsetAdded", "a00009.html#ggae379cff02514632d8af1b8f52b460cf2a705b5ce05ce8d2499b4ae652c138339b", null ], + [ "kADC_NoOffsetAdded", "a00009.html#ggae379cff02514632d8af1b8f52b460cf2a524bba486c728e5af9ae263057fe7475", null ], + [ "kADC_LowPositiveOffsetAdded", "a00009.html#ggae379cff02514632d8af1b8f52b460cf2ad18ff3e548f2107156eb50a604d26ee5", null ] ] ], - [ "adc_second_control_t", "a00009.html#gafb17afb34b6114b97b8bfa331465fd23", [ - [ "kADC_Impedance621Ohm", "a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a5f5dc970993e744974494e283be79e2c", null ], - [ "kADC_Impedance55kOhm", "a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a272bdcdc0d5ebca10a10d7f6927f031f", null ], - [ "kADC_Impedance87kOhm", "a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a4538ae9bd911369a26f43c1b5fa1150f", null ], - [ "kADC_NormalFunctionalMode", "a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a8792af738570ae4baa6f58baecc04eff", null ], - [ "kADC_MultiplexeTestMode", "a00009.html#ggafb17afb34b6114b97b8bfa331465fd23aa806598c66d17dadc451cfaab2e6af45", null ], - [ "kADC_ADCInUnityGainMode", "a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a520d81c1c70fab0701cfdcfaa46e1cae", null ] + [ "_adc_second_control", "a00009.html#ga30fbf503bbcb9bd9583b08e712e313a6", [ + [ "kADC_Impedance621Ohm", "a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a5f5dc970993e744974494e283be79e2c", null ], + [ "kADC_Impedance55kOhm", "a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a272bdcdc0d5ebca10a10d7f6927f031f", null ], + [ "kADC_Impedance87kOhm", "a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a4538ae9bd911369a26f43c1b5fa1150f", null ], + [ "kADC_NormalFunctionalMode", "a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a8792af738570ae4baa6f58baecc04eff", null ], + [ "kADC_MultiplexeTestMode", "a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6aa806598c66d17dadc451cfaab2e6af45", null ], + [ "kADC_ADCInUnityGainMode", "a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a520d81c1c70fab0701cfdcfaa46e1cae", null ] ] ], [ "ADC_Init", "a00009.html#gaa407371ebd58e5aa7f75d38bfdad6169", null ], [ "ADC_Deinit", "a00009.html#ga9e87d0842217dd30d2d9699df580e237", null ], [ "ADC_GetDefaultConfig", "a00009.html#ga69c1682f87ee05264946329a2532792e", null ], - [ "ADC_DoSelfCalibration", "a00009.html#ga6c5be196874795f6647b18fd1f3443b4", null ], - [ "ADC_EnableTemperatureSensor", "a00009.html#ga038d00e89652d2b860ef23b79cdbe23d", null ], [ "ADC_EnableConvSeqA", "a00009.html#ga0576bc613006f8a4d3bb6db93167be65", null ], [ "ADC_SetConvSeqAConfig", "a00009.html#ga30e86d22d3680c1cf71d5121f162075b", null ], [ "ADC_DoSoftwareTriggerConvSeqA", "a00009.html#ga13edd8c6ef6665907b00a6a819187292", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00010.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00010.html index 5369318..0885bf4 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00010.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00010.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
@@ -101,6 +101,7 @@ $(document).ready(function(){initNavTree('a00010.html','');});
CAPT: Capacitive Touch
@@ -122,12 +123,49 @@ Files - - - - - - + + + + + + +

Data Structures

struct  capt_config_t
 The structure for CAPT basic configuration. More...
 
struct  capt_touch_data_t
 The structure for storing touch data. More...
 
struct  _capt_config
 The structure for CAPT basic configuration. More...
 
struct  _capt_touch_data
 The structure for storing touch data. More...
 
+ + + + + + + + + + + + + + + + + + + + + + + + +

+Typedefs

+typedef enum _capt_trigger_mode capt_trigger_mode_t
 The enumeration for CAPT trigger mode.
 
+typedef enum
+_capt_inactive_xpins_mode 
capt_inactive_xpins_mode_t
 The enumeration for the inactive X pins mode.
 
+typedef enum
+_capt_measurement_delay 
capt_measurement_delay_t
 The enumeration for the delay of measuring voltage state.
 
+typedef enum _capt_reset_delay capt_reset_delay_t
 The enumeration for the delay of reseting or draining Cap.
 
+typedef enum _capt_polling_mode capt_polling_mode_t
 The enumeration of CAPT polling mode.
 
+typedef enum _capt_dma_mode capt_dma_mode_t
 The enumeration of CAPT DMA trigger mode.
 
+typedef struct _capt_config capt_config_t
 The structure for CAPT basic configuration.
 
+typedef struct _capt_touch_data capt_touch_data_t
 The structure for storing touch data.
 
@@ -203,66 +241,66 @@ Enumerations } - - - - + + - - - + + - - - + + - - - + + - - - + + - - + +

Enumerations

 The enumeration for CAPT status flags. More...
 
enum  capt_trigger_mode_t {
-  kCAPT_YHPortTriggerMode = 0U, +
enum  _capt_trigger_mode {
+  kCAPT_YHPortTriggerMode = 0U,
-  kCAPT_ComparatorTriggerMode = 1U +  kCAPT_ComparatorTriggerMode = 1U
}
 The enumeration for CAPT trigger mode. More...
 
enum  capt_inactive_xpins_mode_t {
-  kCAPT_InactiveXpinsHighZMode, +
 The enumeration for CAPT trigger mode. More...
 
enum  _capt_inactive_xpins_mode {
+  kCAPT_InactiveXpinsHighZMode,
-  kCAPT_InactiveXpinsDrivenLowMode +  kCAPT_InactiveXpinsDrivenLowMode
}
 The enumeration for the inactive X pins mode. More...
 
enum  capt_measurement_delay_t {
-  kCAPT_MeasureDelayNoWait = 0U, +
 The enumeration for the inactive X pins mode. More...
 
enum  _capt_measurement_delay {
+  kCAPT_MeasureDelayNoWait = 0U,
-  kCAPT_MeasureDelayWait3FCLKs = 1U, +  kCAPT_MeasureDelayWait3FCLKs = 1U,
-  kCAPT_MeasureDelayWait5FCLKs = 2U, +  kCAPT_MeasureDelayWait5FCLKs = 2U,
-  kCAPT_MeasureDelayWait9FCLKs = 3U +  kCAPT_MeasureDelayWait9FCLKs = 3U
}
 The enumeration for the delay of measuring voltage state. More...
 
enum  capt_reset_delay_t {
-  kCAPT_ResetDelayNoWait = 0U, +
 The enumeration for the delay of measuring voltage state. More...
 
enum  _capt_reset_delay {
+  kCAPT_ResetDelayNoWait = 0U,
-  kCAPT_ResetDelayWait3FCLKs = 1U, +  kCAPT_ResetDelayWait3FCLKs = 1U,
-  kCAPT_ResetDelayWait5FCLKs = 2U, +  kCAPT_ResetDelayWait5FCLKs = 2U,
-  kCAPT_ResetDelayWait9FCLKs = 3U +  kCAPT_ResetDelayWait9FCLKs = 3U
}
 The enumeration for the delay of reseting or draining Cap. More...
 
enum  capt_polling_mode_t {
-  kCAPT_PollInactiveMode, +
 The enumeration for the delay of reseting or draining Cap. More...
 
enum  _capt_polling_mode {
+  kCAPT_PollInactiveMode,
-  kCAPT_PollNowMode = 1U, +  kCAPT_PollNowMode = 1U,
-  kCAPT_PollContinuousMode +  kCAPT_PollContinuousMode
}
 The enumeration of CAPT polling mode. More...
 
enum  capt_dma_mode_t {
-  kCAPT_DMATriggerOnTouchMode = 1U, +
 The enumeration of CAPT polling mode. More...
 
enum  _capt_dma_mode {
+  kCAPT_DMATriggerOnTouchMode = 1U,
-  kCAPT_DMATriggerOnBothMode = 2U, +  kCAPT_DMATriggerOnBothMode = 2U,
-  kCAPT_DMATriggerOnAllMode = 3U +  kCAPT_DMATriggerOnAllMode = 3U
}
 The enumeration of CAPT DMA trigger mode. More...
 
 The enumeration of CAPT DMA trigger mode. More...
 
@@ -272,19 +310,19 @@ Driver version

Driver version

- + - + - + @@ -302,7 +340,7 @@ Initialization - + @@ -310,56 +348,56 @@ Initialization

Initialization

void CAPT_Init (CAPT_Type *base, const capt_config_t *config)
void CAPT_Init (CAPT_Type *base, const capt_config_t *config)
 Initialize the CAPT module. More...
 
void CAPT_Deinit (CAPT_Type *base)
 De-initialize the CAPT module. More...
 
void CAPT_GetDefaultConfig (capt_config_t *config)
void CAPT_GetDefaultConfig (capt_config_t *config)
 Gets an available pre-defined settings for the CAPT's configuration. More...
 
static void CAPT_SetThreshold (CAPT_Type *base, uint32_t count)
 Set Sets the count threshold in divided FCLKs between touch and no-touch. More...
 
void CAPT_SetPollMode (CAPT_Type *base, capt_polling_mode_t mode)
void CAPT_SetPollMode (CAPT_Type *base, capt_polling_mode_t mode)
 Set the CAPT polling mode. More...
 
static void CAPT_EnableInterrupts (CAPT_Type *base, uint32_t mask)
static uint32_t CAPT_GetStatusFlags (CAPT_Type *base)
 Get CAPT status flags. More...
 
bool CAPT_GetTouchData (CAPT_Type *base, capt_touch_data_t *data)
bool CAPT_GetTouchData (CAPT_Type *base, capt_touch_data_t *data)
 Get CAPT touch data. More...
 
void CAPT_PollNow (CAPT_Type *base, uint16_t enableXpins)
 

Data Structure Documentation

- +
- +
struct capt_config_tstruct _capt_config
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Data Fields

bool enableWaitMode
 If enable the wait mode, when the touch event occurs, the module will wait until the TOUCH register is read before starting the next measurement. More...
 
bool enableTouchLower
 enableTouchLower = true: Trigger at count < TCNT is a touch. More...
 
uint8_t clockDivider
 Function clock divider. More...
 
uint8_t timeOutCount
 Sets the count value at which a time-out event occurs if a measurement has not triggered. More...
 
uint8_t pollCount
 Sets the time delay between polling rounds (successive sets of X measurements). More...
 
uint16_t enableXpins
 Selects which of the available X pins are enabled. More...
 
capt_trigger_mode_t triggerMode
 Select the menthods of measuring the voltage across the measurement capacitor. More...
 
capt_inactive_xpins_mode_t XpinsMode
 Determines how X pins enabled in the XPINSEL field are controlled when not active. More...
 
capt_measurement_delay_t mDelay
 
       Set the time delay after entering step 3 (measure voltage state), before
-

sampling the YH port pin or analog comarator output. More...

 
capt_reset_delay_t rDelay
 Set the number of divided FCLKs the module will remain in Reset or Draining Cap. More...
 
bool enableWaitMode
 If enable the wait mode, when the touch event occurs, the module will wait until the TOUCH register is read before starting the next measurement. More...
 
bool enableTouchLower
 enableTouchLower = true: Trigger at count < TCNT is a touch. More...
 
uint8_t clockDivider
 Function clock divider. More...
 
uint8_t timeOutCount
 Sets the count value at which a time-out event occurs if a measurement has not triggered. More...
 
uint8_t pollCount
 Sets the time delay between polling rounds (successive sets of X measurements). More...
 
uint16_t enableXpins
 Selects which of the available X pins are enabled. More...
 
capt_trigger_mode_t triggerMode
 Select the menthods of measuring the voltage across the measurement capacitor. More...
 
capt_inactive_xpins_mode_t XpinsMode
 Determines how X pins enabled in the XPINSEL field are controlled when not active. More...
 
capt_measurement_delay_t mDelay
 
       Set the time delay after entering step 3 (measure voltage state), before
+

sampling the YH port pin or analog comarator output. More...

 
capt_reset_delay_t rDelay
 Set the number of divided FCLKs the module will remain in Reset or Draining Cap. More...
 

Field Documentation

- +
- +
bool capt_config_t::enableWaitModebool _capt_config::enableWaitMode
@@ -367,12 +405,12 @@ Initialization
- +
- +
bool capt_config_t::enableTouchLowerbool _capt_config::enableTouchLower
@@ -380,12 +418,12 @@ Initialization
- +
- +
uint8_t capt_config_t::clockDivideruint8_t _capt_config::clockDivider
@@ -393,12 +431,12 @@ Initialization
- +
- +
uint8_t capt_config_t::timeOutCountuint8_t _capt_config::timeOutCount
@@ -406,12 +444,12 @@ Initialization
- +
- +
uint8_t capt_config_t::pollCountuint8_t _capt_config::pollCount
@@ -419,12 +457,12 @@ Initialization
- +
- +
uint16_t capt_config_t::enableXpinsuint16_t _capt_config::enableXpins
@@ -432,48 +470,48 @@ Initialization
- +
- +
capt_trigger_mode_t capt_config_t::triggerModecapt_trigger_mode_t _capt_config::triggerMode
- +
- +
capt_inactive_xpins_mode_t capt_config_t::XpinsModecapt_inactive_xpins_mode_t _capt_config::XpinsMode
- +
- +
capt_measurement_delay_t capt_config_t::mDelaycapt_measurement_delay_t _capt_config::mDelay
- +
- +
capt_reset_delay_t capt_config_t::rDelaycapt_reset_delay_t _capt_config::rDelay
@@ -483,88 +521,88 @@ Initialization
- +
- +
struct capt_touch_data_tstruct _capt_touch_data
- - - - - - - - - - - - - - - + + + + + + + + + + + + + + +

Data Fields

bool yesTimeOut
 'true': if the measurement resulted in a time-out event, 'false': otherwise. More...
 
bool yesTouch
 'true': if the trigger is due to a touch even, 'false': if the trigger is due to a no-touch event. More...
 
uint8_t XpinsIndex
 Contains the index of the X pin for the current measurement, or lowest X for a multiple-pin poll now measurement. More...
 
uint8_t sequenceNumber
 Contains the 4-bit(0-7) sequence number, which increments at the end of each polling round. More...
 
uint16_t count
 Contains the count value reached at trigger or time-out. More...
 
bool yesTimeOut
 'true': if the measurement resulted in a time-out event, 'false': otherwise. More...
 
bool yesTouch
 'true': if the trigger is due to a touch even, 'false': if the trigger is due to a no-touch event. More...
 
uint8_t XpinsIndex
 Contains the index of the X pin for the current measurement, or lowest X for a multiple-pin poll now measurement. More...
 
uint8_t sequenceNumber
 Contains the 4-bit(0-7) sequence number, which increments at the end of each polling round. More...
 
uint16_t count
 Contains the count value reached at trigger or time-out. More...
 

Field Documentation

- +
- +
bool capt_touch_data_t::yesTimeOutbool _capt_touch_data::yesTimeOut
- +
- +
bool capt_touch_data_t::yesTouchbool _capt_touch_data::yesTouch
- +
- +
uint8_t capt_touch_data_t::XpinsIndexuint8_t _capt_touch_data::XpinsIndex
- +
- +
uint8_t capt_touch_data_t::sequenceNumberuint8_t _capt_touch_data::sequenceNumber
- +
- +
uint16_t capt_touch_data_t::countuint16_t _capt_touch_data::count
@@ -728,139 +766,139 @@ Initialization
- +
- -
Enumerator
kCAPT_YHPortTriggerMode  +
Enumerator
kCAPT_YHPortTriggerMode 

YH port pin trigger mode.

kCAPT_ComparatorTriggerMode  +
kCAPT_ComparatorTriggerMode 

Analog comparator trigger mode.

- +
- -
Enumerator
kCAPT_InactiveXpinsHighZMode  +
Enumerator
kCAPT_InactiveXpinsHighZMode 

Xpins enabled in the XPINSEL field are controlled to HIGH-Z mode when not active.

kCAPT_InactiveXpinsDrivenLowMode  +
kCAPT_InactiveXpinsDrivenLowMode 

Xpins enabled in the XPINSEL field are controlled to be driven low mode when not active.

- +
- - - -
Enumerator
kCAPT_MeasureDelayNoWait  +
Enumerator
kCAPT_MeasureDelayNoWait 

Don’t wait.

kCAPT_MeasureDelayWait3FCLKs  +
kCAPT_MeasureDelayWait3FCLKs 

Wait 3 divided FCLKs.

kCAPT_MeasureDelayWait5FCLKs  +
kCAPT_MeasureDelayWait5FCLKs 

Wait 5 divided FCLKs.

kCAPT_MeasureDelayWait9FCLKs  +
kCAPT_MeasureDelayWait9FCLKs 

Wait 9 divided FCLKs.

- +
- - - -
Enumerator
kCAPT_ResetDelayNoWait  +
Enumerator
kCAPT_ResetDelayNoWait 

Don’t wait.

kCAPT_ResetDelayWait3FCLKs  +
kCAPT_ResetDelayWait3FCLKs 

Wait 3 divided FCLKs.

kCAPT_ResetDelayWait5FCLKs  +
kCAPT_ResetDelayWait5FCLKs 

Wait 5 divided FCLKs.

kCAPT_ResetDelayWait9FCLKs  +
kCAPT_ResetDelayWait9FCLKs 

Wait 9 divided FCLKs.

- +
- - -
Enumerator
kCAPT_PollInactiveMode  +
Enumerator
kCAPT_PollInactiveMode 

No measurements are taken, no polls are performed.

The module remains in the Reset/ Draining Cap.

kCAPT_PollNowMode  +
kCAPT_PollNowMode 

Immediately launches (ignoring Poll Delay) a one-time-only, simultaneous poll of all X pins that are enabled in the XPINSEL field of the Control register, then stops, returning to Reset/Draining Cap.

kCAPT_PollContinuousMode  +
kCAPT_PollContinuousMode 

Polling rounds are continuously performed, by walking through the enabled X pins.

- +
- - -
Enumerator
kCAPT_DMATriggerOnTouchMode  +
Enumerator
kCAPT_DMATriggerOnTouchMode 

Trigger on touch.

kCAPT_DMATriggerOnBothMode  +
kCAPT_DMATriggerOnBothMode 

Trigger on both touch and no-touch.

kCAPT_DMATriggerOnAllMode  +
kCAPT_DMATriggerOnAllMode 

Trigger on all touch, no-touch and time-out.

@@ -881,7 +919,7 @@ Initialization - const capt_config_t *  + const capt_config_tconfig  @@ -930,7 +968,7 @@ Initialization void CAPT_GetDefaultConfig ( - capt_config_t *  + capt_config_tconfig) @@ -943,10 +981,10 @@ Initialization
* config->timeOutCount = 12U;
* config->pollCount = 0U;
* config->enableXpins = 0U;
-
* config->triggerMode = kCAPT_YHPortTriggerMode;
-
* config->XpinsMode = kCAPT_InactiveXpinsDrivenLowMode;
-
* config->mDelay = kCAPT_MeasureDelayNoWait;
-
* config->rDelay = kCAPT_ResetDelayWait9FCLKs;
+
* config->triggerMode = kCAPT_YHPortTriggerMode;
+
* config->XpinsMode = kCAPT_InactiveXpinsDrivenLowMode;
+
* config->mDelay = kCAPT_MeasureDelayNoWait;
+
* config->rDelay = kCAPT_ResetDelayWait9FCLKs;
*
Parameters
@@ -1011,7 +1049,7 @@ Initialization - + @@ -1229,7 +1267,7 @@ Initialization - + diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00010.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00010.js index 82ae9e1..6f05fd3 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00010.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00010.js @@ -1,25 +1,33 @@ var a00010 = [ - [ "capt_config_t", "a00010.html#a00106", [ - [ "enableWaitMode", "a00010.html#a65c26d6fd762782ca1370d3de678e98b", null ], - [ "enableTouchLower", "a00010.html#af153fbc551235805bebdcae4efa95462", null ], - [ "clockDivider", "a00010.html#a9a8da1759cc4ea2b284400908075c871", null ], - [ "timeOutCount", "a00010.html#a2d65011e0db3619f02eacea89b6ab5a3", null ], - [ "pollCount", "a00010.html#ac5c6145f8f3ee5abc6130bea3de2d8c8", null ], - [ "enableXpins", "a00010.html#a22171a4d042ea93fa19f6d7e22caa516", null ], - [ "triggerMode", "a00010.html#a998c94c1d03dc0f2be30ae3a3982585f", null ], - [ "XpinsMode", "a00010.html#a34dffb25e8164ae4addccac265358f00", null ], - [ "mDelay", "a00010.html#a6f8664474411be56853bb70cd8de8b70", null ], - [ "rDelay", "a00010.html#a2023ba3491243edb7ad6be41ea0b0f68", null ] + [ "_capt_config", "a00010.html#a00101", [ + [ "enableWaitMode", "a00010.html#a99e3cabacd7886f131f941d4ff6cf96a", null ], + [ "enableTouchLower", "a00010.html#afabf0825d1df7f15902138064c9dff9d", null ], + [ "clockDivider", "a00010.html#a6261f0e14942576f0b3e5065876a142f", null ], + [ "timeOutCount", "a00010.html#a27f8cf637ec988e2df0170bb123f5e4b", null ], + [ "pollCount", "a00010.html#a63c6f24b87a854af63cf75d8498f9f25", null ], + [ "enableXpins", "a00010.html#af0e94cfd8917e5b0190c2a8abff13692", null ], + [ "triggerMode", "a00010.html#a47ce7657992c3f912bd0f03648eba96a", null ], + [ "XpinsMode", "a00010.html#ae902ee4d97896c27a4885e4136e899d0", null ], + [ "mDelay", "a00010.html#ac15874a392739d70799948b6d9d1ac64", null ], + [ "rDelay", "a00010.html#a4d7124d2fe27a7b307abef0e3d1bd55c", null ] ] ], - [ "capt_touch_data_t", "a00010.html#a00107", [ - [ "yesTimeOut", "a00010.html#ad73f9e52f210a4ef91e0732ba882c384", null ], - [ "yesTouch", "a00010.html#a5acedab58178a003114a220a5ea3f6f4", null ], - [ "XpinsIndex", "a00010.html#ada6854ef1bf21b8f35acba939c389190", null ], - [ "sequenceNumber", "a00010.html#a3305567326ede24c2f45a2d5b788eb2e", null ], - [ "count", "a00010.html#a2b0d01a9feb8b378e76f4d3240e9ebe8", null ] + [ "_capt_touch_data", "a00010.html#a00102", [ + [ "yesTimeOut", "a00010.html#a918a1f29c2ee23f496a31d9683fb8882", null ], + [ "yesTouch", "a00010.html#a661bfc69fa8b5c41e2d815c9e6ae1954", null ], + [ "XpinsIndex", "a00010.html#ac3cb07338155e9af597f13a608941203", null ], + [ "sequenceNumber", "a00010.html#abf61047d6c6489bdb2f836e7720fe088", null ], + [ "count", "a00010.html#a0d0af0032496196c04ff3c21e08da611", null ] ] ], [ "FSL_CAPT_DRIVER_VERSION", "a00010.html#ga9b69d5e0db52ef3ba10acf4f190b9fc2", null ], + [ "capt_trigger_mode_t", "a00010.html#ga9e1bb8a7bd2cbff89e6f673ca57c6221", null ], + [ "capt_inactive_xpins_mode_t", "a00010.html#ga0e056ff4422c6989865712a185a896d5", null ], + [ "capt_measurement_delay_t", "a00010.html#ga0f65d6ba3713aaeb0b928bfadc58298d", null ], + [ "capt_reset_delay_t", "a00010.html#ga3d417e44bbd7b0f2c6379b6f2de6810e", null ], + [ "capt_polling_mode_t", "a00010.html#ga8bbc2f332ee8dbc12ed3da6214419ed4", null ], + [ "capt_dma_mode_t", "a00010.html#ga606a6384f012744ab917b4344ec7a98d", null ], + [ "capt_config_t", "a00010.html#ga144d98c26ff4afcdeb44d1c7031cb7a0", null ], + [ "capt_touch_data_t", "a00010.html#ga5de965b76e76697fae576f93037a9d4d", null ], [ "_capt_xpins", "a00010.html#ga2c35cfaacd7e34465baab32d16a4abb5", [ [ "kCAPT_X0Pin", "a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5ad4b60dd2d35d11a91c11630a7fe91640", null ], [ "kCAPT_X1Pin", "a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5ac39fdef6bb40a142936848a676f02e49", null ], @@ -56,35 +64,35 @@ var a00010 = [ "kCAPT_BusyStatusFlag", "a00010.html#gga86048ff411e845cbde51d9324b5a4b0fa1a35bccccdc8b9c911a96a14f6413579", null ], [ "kCAPT_XMAXStatusFlag", "a00010.html#gga86048ff411e845cbde51d9324b5a4b0fa9210dee59a14aaec97257fac9a20ae9c", null ] ] ], - [ "capt_trigger_mode_t", "a00010.html#ga0c1eb1e31ad34c8654c981a5e643e2c9", [ - [ "kCAPT_YHPortTriggerMode", "a00010.html#gga0c1eb1e31ad34c8654c981a5e643e2c9aba5349742fd4b19f8a7ccc0bdc9cb514", null ], - [ "kCAPT_ComparatorTriggerMode", "a00010.html#gga0c1eb1e31ad34c8654c981a5e643e2c9a29ad6662e14cd08a6d3771ecbd591619", null ] + [ "_capt_trigger_mode", "a00010.html#gae36ac2d48fdabc6713754765cb654bd2", [ + [ "kCAPT_YHPortTriggerMode", "a00010.html#ggae36ac2d48fdabc6713754765cb654bd2aba5349742fd4b19f8a7ccc0bdc9cb514", null ], + [ "kCAPT_ComparatorTriggerMode", "a00010.html#ggae36ac2d48fdabc6713754765cb654bd2a29ad6662e14cd08a6d3771ecbd591619", null ] ] ], - [ "capt_inactive_xpins_mode_t", "a00010.html#ga01d767059334ad74d416f6c0287f101b", [ - [ "kCAPT_InactiveXpinsHighZMode", "a00010.html#gga01d767059334ad74d416f6c0287f101bac07d74a17c9f9d1b9119b89639f54b9b", null ], - [ "kCAPT_InactiveXpinsDrivenLowMode", "a00010.html#gga01d767059334ad74d416f6c0287f101ba080299d9cd40fafc670901715422dbbc", null ] + [ "_capt_inactive_xpins_mode", "a00010.html#ga9f532395f74b4c57cf60754a72e619bd", [ + [ "kCAPT_InactiveXpinsHighZMode", "a00010.html#gga9f532395f74b4c57cf60754a72e619bdac07d74a17c9f9d1b9119b89639f54b9b", null ], + [ "kCAPT_InactiveXpinsDrivenLowMode", "a00010.html#gga9f532395f74b4c57cf60754a72e619bda080299d9cd40fafc670901715422dbbc", null ] ] ], - [ "capt_measurement_delay_t", "a00010.html#ga5ced51d15f9db8f1e6619a5859f6215d", [ - [ "kCAPT_MeasureDelayNoWait", "a00010.html#gga5ced51d15f9db8f1e6619a5859f6215dafe63c76a86da5c022a74985bd052a07f", null ], - [ "kCAPT_MeasureDelayWait3FCLKs", "a00010.html#gga5ced51d15f9db8f1e6619a5859f6215dae787b59b2f377b1ef65d0c13f45e757e", null ], - [ "kCAPT_MeasureDelayWait5FCLKs", "a00010.html#gga5ced51d15f9db8f1e6619a5859f6215dae746038d0fc4423106a252b02ec58ac2", null ], - [ "kCAPT_MeasureDelayWait9FCLKs", "a00010.html#gga5ced51d15f9db8f1e6619a5859f6215da839864dc82a65aa09bccd6c672f23a80", null ] + [ "_capt_measurement_delay", "a00010.html#ga981c7dd2659c3c59529d314722d3e937", [ + [ "kCAPT_MeasureDelayNoWait", "a00010.html#gga981c7dd2659c3c59529d314722d3e937afe63c76a86da5c022a74985bd052a07f", null ], + [ "kCAPT_MeasureDelayWait3FCLKs", "a00010.html#gga981c7dd2659c3c59529d314722d3e937ae787b59b2f377b1ef65d0c13f45e757e", null ], + [ "kCAPT_MeasureDelayWait5FCLKs", "a00010.html#gga981c7dd2659c3c59529d314722d3e937ae746038d0fc4423106a252b02ec58ac2", null ], + [ "kCAPT_MeasureDelayWait9FCLKs", "a00010.html#gga981c7dd2659c3c59529d314722d3e937a839864dc82a65aa09bccd6c672f23a80", null ] ] ], - [ "capt_reset_delay_t", "a00010.html#ga4394d9b57a062c83c444e391c4adf855", [ - [ "kCAPT_ResetDelayNoWait", "a00010.html#gga4394d9b57a062c83c444e391c4adf855a306721a69ff013b3f7784bb5d503fa1a", null ], - [ "kCAPT_ResetDelayWait3FCLKs", "a00010.html#gga4394d9b57a062c83c444e391c4adf855a505d962a510aaf415ee47e6d92ca932c", null ], - [ "kCAPT_ResetDelayWait5FCLKs", "a00010.html#gga4394d9b57a062c83c444e391c4adf855aadd3a0bd70e2f2795032fc953d97c677", null ], - [ "kCAPT_ResetDelayWait9FCLKs", "a00010.html#gga4394d9b57a062c83c444e391c4adf855a4d1bfa8129b289d5fe1f56dd980343ea", null ] + [ "_capt_reset_delay", "a00010.html#ga63b8630c7a923a59dc8c91390a749405", [ + [ "kCAPT_ResetDelayNoWait", "a00010.html#gga63b8630c7a923a59dc8c91390a749405a306721a69ff013b3f7784bb5d503fa1a", null ], + [ "kCAPT_ResetDelayWait3FCLKs", "a00010.html#gga63b8630c7a923a59dc8c91390a749405a505d962a510aaf415ee47e6d92ca932c", null ], + [ "kCAPT_ResetDelayWait5FCLKs", "a00010.html#gga63b8630c7a923a59dc8c91390a749405aadd3a0bd70e2f2795032fc953d97c677", null ], + [ "kCAPT_ResetDelayWait9FCLKs", "a00010.html#gga63b8630c7a923a59dc8c91390a749405a4d1bfa8129b289d5fe1f56dd980343ea", null ] ] ], - [ "capt_polling_mode_t", "a00010.html#ga43dfe06b3cce27e03b4b131557a5237b", [ - [ "kCAPT_PollInactiveMode", "a00010.html#gga43dfe06b3cce27e03b4b131557a5237ba70a38885a33a8996934ad68c3322df89", null ], - [ "kCAPT_PollNowMode", "a00010.html#gga43dfe06b3cce27e03b4b131557a5237ba50f00dbce20a1a3390c77501baccf4a0", null ], - [ "kCAPT_PollContinuousMode", "a00010.html#gga43dfe06b3cce27e03b4b131557a5237baca7d1fdc5128af90a17c6e71a9133dda", null ] + [ "_capt_polling_mode", "a00010.html#ga66b33c0d7a75bb762caef24e9c2dca6d", [ + [ "kCAPT_PollInactiveMode", "a00010.html#gga66b33c0d7a75bb762caef24e9c2dca6da70a38885a33a8996934ad68c3322df89", null ], + [ "kCAPT_PollNowMode", "a00010.html#gga66b33c0d7a75bb762caef24e9c2dca6da50f00dbce20a1a3390c77501baccf4a0", null ], + [ "kCAPT_PollContinuousMode", "a00010.html#gga66b33c0d7a75bb762caef24e9c2dca6daca7d1fdc5128af90a17c6e71a9133dda", null ] ] ], - [ "capt_dma_mode_t", "a00010.html#ga0be2df04c72a8847673bcb6d9714866a", [ - [ "kCAPT_DMATriggerOnTouchMode", "a00010.html#gga0be2df04c72a8847673bcb6d9714866aacf5512e0ec996846318dc272e7366887", null ], - [ "kCAPT_DMATriggerOnBothMode", "a00010.html#gga0be2df04c72a8847673bcb6d9714866aac710d56353625bbc2ed100f6dc28111d", null ], - [ "kCAPT_DMATriggerOnAllMode", "a00010.html#gga0be2df04c72a8847673bcb6d9714866aae7dbcc430de0ec04afa4b600985bfaf7", null ] + [ "_capt_dma_mode", "a00010.html#ga00234907358f91917dca95eff851ead9", [ + [ "kCAPT_DMATriggerOnTouchMode", "a00010.html#gga00234907358f91917dca95eff851ead9acf5512e0ec996846318dc272e7366887", null ], + [ "kCAPT_DMATriggerOnBothMode", "a00010.html#gga00234907358f91917dca95eff851ead9ac710d56353625bbc2ed100f6dc28111d", null ], + [ "kCAPT_DMATriggerOnAllMode", "a00010.html#gga00234907358f91917dca95eff851ead9ae7dbcc430de0ec04afa4b600985bfaf7", null ] ] ], [ "CAPT_Init", "a00010.html#gafc552b775b278e7e6ea930bb42ca8506", null ], [ "CAPT_Deinit", "a00010.html#gaea982bc73916fdb713906a2ee49ca604", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00011.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00011.html index a0c7b3d..f97285a 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00011.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00011.html @@ -32,7 +32,7 @@ @@ -102,6 +102,7 @@ $(document).ready(function(){initNavTree('a00011.html','');}); Files | Data Structures | Macros | +Typedefs | Enumerations | Functions
@@ -145,9 +146,9 @@ Files
capt_polling_mode_t capt_polling_mode_t  mode 
capt_touch_data_tcapt_touch_data_t data 
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
- - - + + +

Data Structures

struct  crc_config_t
 CRC protocol configuration. More...
 
struct  _crc_config
 CRC protocol configuration. More...
 
@@ -155,22 +156,31 @@ Macros

Macros

 Default configuration structure filled by CRC_GetDefaultConfig(). More...
 
+ + + + + + + +

+Typedefs

typedef enum _crc_polynomial crc_polynomial_t
 CRC polynomials to use. More...
 
typedef struct _crc_config crc_config_t
 CRC protocol configuration. More...
 
- - - + +

Enumerations

enum  crc_polynomial_t {
-  kCRC_Polynomial_CRC_CCITT = 0U, +
enum  _crc_polynomial {
+  kCRC_Polynomial_CRC_CCITT = 0U,
-  kCRC_Polynomial_CRC_16 = 1U, +  kCRC_Polynomial_CRC_16 = 1U,
-  kCRC_Polynomial_CRC_32 = 2U +  kCRC_Polynomial_CRC_32 = 2U
}
 CRC polynomials to use. More...
 
 CRC polynomials to use. More...
 
- + @@ -182,10 +192,10 @@ Functions - + - + @@ -205,104 +215,104 @@ Driver version

Functions

void CRC_Init (CRC_Type *base, const crc_config_t *config)
void CRC_Init (CRC_Type *base, const crc_config_t *config)
 Enables and configures the CRC peripheral module. More...
 
static void CRC_Deinit (CRC_Type *base)
void CRC_WriteSeed (CRC_Type *base, uint32_t seed)
 Write seed to CRC peripheral module. More...
 
void CRC_GetDefaultConfig (crc_config_t *config)
void CRC_GetDefaultConfig (crc_config_t *config)
 Loads default values to CRC protocol configuration structure. More...
 
void CRC_GetConfig (CRC_Type *base, crc_config_t *config)
void CRC_GetConfig (CRC_Type *base, crc_config_t *config)
 Loads actual values configured in CRC peripheral to CRC protocol configuration structure. More...
 
void CRC_WriteData (CRC_Type *base, const uint8_t *data, size_t dataSize)
 

Data Structure Documentation

- +
- +
struct crc_config_tstruct _crc_config

This structure holds the configuration for the CRC protocol.

- - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + +

Data Fields

crc_polynomial_t polynomial
 CRC polynomial. More...
 
bool reverseIn
 Reverse bits on input. More...
 
bool complementIn
 Perform 1's complement on input. More...
 
bool reverseOut
 Reverse bits on output. More...
 
bool complementOut
 Perform 1's complement on output. More...
 
uint32_t seed
 Starting checksum value. More...
 
crc_polynomial_t polynomial
 CRC polynomial. More...
 
bool reverseIn
 Reverse bits on input. More...
 
bool complementIn
 Perform 1's complement on input. More...
 
bool reverseOut
 Reverse bits on output. More...
 
bool complementOut
 Perform 1's complement on output. More...
 
uint32_t seed
 Starting checksum value. More...
 

Field Documentation

- +
- +
crc_polynomial_t crc_config_t::polynomialcrc_polynomial_t _crc_config::polynomial
- +
- +
bool crc_config_t::reverseInbool _crc_config::reverseIn
- +
- +
bool crc_config_t::complementInbool _crc_config::complementIn
- +
- +
bool crc_config_t::reverseOutbool _crc_config::reverseOut
- +
- +
bool crc_config_t::complementOutbool _crc_config::complementOut
- +
- +
uint32_t crc_config_t::seeduint32_t _crc_config::seed
@@ -363,24 +373,50 @@ Driver version
-

Enumeration Type Documentation

- +

Typedef Documentation

+
+ +
+
+ +
+
+ + + + +
typedef struct _crc_config crc_config_t
+
+

This structure holds the configuration for the CRC protocol.

+ +
+
+

Enumeration Type Documentation

+ +
+
+ + +
enum _crc_polynomial
- - -
Enumerator
kCRC_Polynomial_CRC_CCITT  +
Enumerator
kCRC_Polynomial_CRC_CCITT 

x^16+x^12+x^5+1

kCRC_Polynomial_CRC_16  +
kCRC_Polynomial_CRC_16 

x^16+x^15+x^2+1

kCRC_Polynomial_CRC_32  +
kCRC_Polynomial_CRC_32 

x^32+x^26+x^23+x^22+x^16+x^12+x^11+x^10+x^8+x^7+x^5+x^4+x^2+x+1

@@ -401,7 +437,7 @@ Driver version - const crc_config_t *  + const crc_config_tconfig  @@ -515,14 +551,14 @@ Driver version void CRC_GetDefaultConfig ( - crc_config_t *  + crc_config_tconfig)

Loads default values to CRC protocol configuration structure. The default values are:

-
* config->polynomial = kCRC_Polynomial_CRC_CCITT;
+
* config->polynomial = kCRC_Polynomial_CRC_CCITT;
* config->reverseIn = false;
* config->complementIn = false;
* config->reverseOut = false;
@@ -551,7 +587,7 @@ Driver version - crc_config_t *  + crc_config_tconfig  diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00011.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00011.js index 6b24eaf..25da1ce 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00011.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00011.js @@ -1,19 +1,21 @@ var a00011 = [ - [ "crc_config_t", "a00011.html#a00108", [ - [ "polynomial", "a00011.html#afbe53ea36b200e69cb7f693e6f0a11f1", null ], - [ "reverseIn", "a00011.html#aecb53a8e8a78e3273c6d3b2c874ab7f2", null ], - [ "complementIn", "a00011.html#a9982af011e3e1fc9756dcee96281ebda", null ], - [ "reverseOut", "a00011.html#ab34c2bedb6fc476f9cbe760b6d45a417", null ], - [ "complementOut", "a00011.html#a673b6508efa086da7b7bd537a876241e", null ], - [ "seed", "a00011.html#a88920b83ff1317f511c1e9f4916b3b30", null ] + [ "_crc_config", "a00011.html#a00103", [ + [ "polynomial", "a00011.html#acdcb878b2b9318dffe5be1e214f67663", null ], + [ "reverseIn", "a00011.html#af12b83e2d0d509072a6f6f9cfe851c08", null ], + [ "complementIn", "a00011.html#a21fbdaae981f48037ac2ae4f17014d4e", null ], + [ "reverseOut", "a00011.html#a7c89d6338d481fa27e2291c28b375ae1", null ], + [ "complementOut", "a00011.html#a6b4f17bf4ed5716ce78d1505bb3b7df8", null ], + [ "seed", "a00011.html#a5db17f3b1bf658ca8c44531a370c447d", null ] ] ], [ "FSL_CRC_DRIVER_VERSION", "a00011.html#gac70c03eacdde850267d7fe0d7dca9591", null ], [ "CRC_DRIVER_USE_CRC16_CCITT_FALSE_AS_DEFAULT", "a00011.html#ga37d19c87e3adeb47db8f6967df0496e1", null ], - [ "crc_polynomial_t", "a00011.html#ga7daa8fa0df9f42a98afcf766a63d931d", [ - [ "kCRC_Polynomial_CRC_CCITT", "a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da9ef1c3d85d4f13199e7df63d170bf6f6", null ], - [ "kCRC_Polynomial_CRC_16", "a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da4a13840f3031b3aed89f60ba37a34c8b", null ], - [ "kCRC_Polynomial_CRC_32", "a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da12ca2ee766202eb962135c76c00b10aa", null ] + [ "crc_polynomial_t", "a00011.html#ga5196acf4fbe44e56f5b3609c524d737d", null ], + [ "crc_config_t", "a00011.html#ga8d7443c594312c4c8a5418fd12aa8881", null ], + [ "_crc_polynomial", "a00011.html#gac9e3abdb739c7842a6f26505b418540d", [ + [ "kCRC_Polynomial_CRC_CCITT", "a00011.html#ggac9e3abdb739c7842a6f26505b418540da9ef1c3d85d4f13199e7df63d170bf6f6", null ], + [ "kCRC_Polynomial_CRC_16", "a00011.html#ggac9e3abdb739c7842a6f26505b418540da4a13840f3031b3aed89f60ba37a34c8b", null ], + [ "kCRC_Polynomial_CRC_32", "a00011.html#ggac9e3abdb739c7842a6f26505b418540da12ca2ee766202eb962135c76c00b10aa", null ] ] ], [ "CRC_Init", "a00011.html#ga7114311534a33b6688f35ceaaa3f7832", null ], [ "CRC_Deinit", "a00011.html#ga7a4d725b011a98efb29a26bcca04c35b", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00012.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00012.html index 4ecddc0..d408738 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00012.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00012.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
@@ -101,6 +101,7 @@ $(document).ready(function(){initNavTree('a00012.html','');});
@@ -142,110 +143,154 @@ Files - - - - - - + + + + + + +

Data Structures

struct  ctimer_match_config_t
 Match configuration. More...
 
struct  ctimer_config_t
 Timer configuration structure. More...
 
struct  _ctimer_match_config
 Match configuration. More...
 
struct  _ctimer_config
 Timer configuration structure. More...
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Typedefs

+typedef enum
+_ctimer_capture_channel 
ctimer_capture_channel_t
 List of Timer capture channels.
 
+typedef enum _ctimer_capture_edge ctimer_capture_edge_t
 List of capture edge options.
 
+typedef enum _ctimer_match ctimer_match_t
 List of Timer match registers.
 
+typedef enum _ctimer_external_match ctimer_external_match_t
 List of external match.
 
+typedef enum
+_ctimer_match_output_control 
ctimer_match_output_control_t
 List of output control options.
 
+typedef enum _ctimer_timer_mode ctimer_timer_mode_t
 List of Timer modes.
 
+typedef enum
+_ctimer_interrupt_enable 
ctimer_interrupt_enable_t
 List of Timer interrupts.
 
+typedef enum _ctimer_status_flags ctimer_status_flags_t
 List of Timer flags.
 
typedef struct _ctimer_match_config ctimer_match_config_t
 Match configuration. More...
 
typedef struct _ctimer_config ctimer_config_t
 Timer configuration structure. More...
 
- - - - + + - - - + + - - - + + - - - + + - - - - - - + + + + + - - - + + - - + +

Enumerations

enum  ctimer_capture_channel_t {
-  kCTIMER_Capture_0 = 0U, +
enum  _ctimer_capture_channel {
+  kCTIMER_Capture_0 = 0U,
-  kCTIMER_Capture_1, +  kCTIMER_Capture_1,
-  kCTIMER_Capture_2 +  kCTIMER_Capture_2
}
 List of Timer capture channels. More...
 
enum  ctimer_capture_edge_t {
-  kCTIMER_Capture_RiseEdge = 1U, +
 List of Timer capture channels. More...
 
enum  _ctimer_capture_edge {
+  kCTIMER_Capture_RiseEdge = 1U,
-  kCTIMER_Capture_FallEdge = 2U, +  kCTIMER_Capture_FallEdge = 2U,
-  kCTIMER_Capture_BothEdge = 3U +  kCTIMER_Capture_BothEdge = 3U
}
 List of capture edge options. More...
 
enum  ctimer_match_t {
-  kCTIMER_Match_0 = 0U, +
 List of capture edge options. More...
 
enum  _ctimer_match {
+  kCTIMER_Match_0 = 0U,
-  kCTIMER_Match_1, +  kCTIMER_Match_1,
-  kCTIMER_Match_2, +  kCTIMER_Match_2,
-  kCTIMER_Match_3 +  kCTIMER_Match_3
}
 List of Timer match registers. More...
 
enum  ctimer_external_match_t {
-  kCTIMER_External_Match_0 = (1UL << 0), +
 List of Timer match registers. More...
 
enum  _ctimer_external_match {
+  kCTIMER_External_Match_0 = (1UL << 0),
-  kCTIMER_External_Match_1 = (1UL << 1), +  kCTIMER_External_Match_1 = (1UL << 1),
-  kCTIMER_External_Match_2 = (1UL << 2), +  kCTIMER_External_Match_2 = (1UL << 2),
-  kCTIMER_External_Match_3 = (1UL << 3) +  kCTIMER_External_Match_3 = (1UL << 3)
}
 List of external match. More...
 
enum  ctimer_match_output_control_t {
-  kCTIMER_Output_NoAction = 0U, +
 List of external match. More...
 
enum  _ctimer_match_output_control {
+  kCTIMER_Output_NoAction = 0U,
-  kCTIMER_Output_Clear, +  kCTIMER_Output_Clear,
-  kCTIMER_Output_Set, +  kCTIMER_Output_Set,
-  kCTIMER_Output_Toggle +  kCTIMER_Output_Toggle
}
 List of output control options. More...
 
enum  ctimer_timer_mode_t
 List of Timer modes.
 
enum  ctimer_interrupt_enable_t {
-  kCTIMER_Match0InterruptEnable = CTIMER_MCR_MR0I_MASK, +
 List of output control options. More...
 
enum  _ctimer_timer_mode
 List of Timer modes.
 
enum  _ctimer_interrupt_enable {
+  kCTIMER_Match0InterruptEnable = CTIMER_MCR_MR0I_MASK,
-  kCTIMER_Match1InterruptEnable = CTIMER_MCR_MR1I_MASK, +  kCTIMER_Match1InterruptEnable = CTIMER_MCR_MR1I_MASK,
-  kCTIMER_Match2InterruptEnable = CTIMER_MCR_MR2I_MASK, +  kCTIMER_Match2InterruptEnable = CTIMER_MCR_MR2I_MASK,
-  kCTIMER_Match3InterruptEnable = CTIMER_MCR_MR3I_MASK, +  kCTIMER_Match3InterruptEnable = CTIMER_MCR_MR3I_MASK,
-  kCTIMER_Capture0InterruptEnable = CTIMER_CCR_CAP0I_MASK, +  kCTIMER_Capture0InterruptEnable = CTIMER_CCR_CAP0I_MASK,
-  kCTIMER_Capture1InterruptEnable = CTIMER_CCR_CAP1I_MASK, +  kCTIMER_Capture1InterruptEnable = CTIMER_CCR_CAP1I_MASK,
-  kCTIMER_Capture2InterruptEnable = CTIMER_CCR_CAP2I_MASK +  kCTIMER_Capture2InterruptEnable = CTIMER_CCR_CAP2I_MASK
}
 List of Timer interrupts. More...
 
enum  ctimer_status_flags_t {
-  kCTIMER_Match0Flag = CTIMER_IR_MR0INT_MASK, +
 List of Timer interrupts. More...
 
enum  _ctimer_status_flags {
+  kCTIMER_Match0Flag = CTIMER_IR_MR0INT_MASK,
-  kCTIMER_Match1Flag = CTIMER_IR_MR1INT_MASK, +  kCTIMER_Match1Flag = CTIMER_IR_MR1INT_MASK,
-  kCTIMER_Match2Flag = CTIMER_IR_MR2INT_MASK, +  kCTIMER_Match2Flag = CTIMER_IR_MR2INT_MASK,
-  kCTIMER_Match3Flag = CTIMER_IR_MR3INT_MASK, +  kCTIMER_Match3Flag = CTIMER_IR_MR3INT_MASK,
-  kCTIMER_Capture0Flag = CTIMER_IR_CR0INT_MASK, +  kCTIMER_Capture0Flag = CTIMER_IR_CR0INT_MASK,
-  kCTIMER_Capture1Flag = CTIMER_IR_CR1INT_MASK, +  kCTIMER_Capture1Flag = CTIMER_IR_CR1INT_MASK,
-  kCTIMER_Capture2Flag = CTIMER_IR_CR2INT_MASK +  kCTIMER_Capture2Flag = CTIMER_IR_CR2INT_MASK
}
 List of Timer flags. More...
 
 List of Timer flags. More...
 
enum  ctimer_callback_type_t {
  kCTIMER_SingleCallback,
@@ -257,13 +302,13 @@ Enumerations
- + - + @@ -278,21 +323,27 @@ Functions - + - + - + - + + + + - + + + +

Functions

void CTIMER_SetupMatch (CTIMER_Type *base, ctimer_match_t matchChannel, const ctimer_match_config_t *config)
void CTIMER_SetupMatch (CTIMER_Type *base, ctimer_match_t matchChannel, const ctimer_match_config_t *config)
 Setup the match register. More...
 
uint32_t CTIMER_GetOutputMatchStatus (CTIMER_Type *base, uint32_t matchChannel)
 Get the status of output match. More...
 
void CTIMER_SetupCapture (CTIMER_Type *base, ctimer_capture_channel_t capture, ctimer_capture_edge_t edge, bool enableInt)
void CTIMER_SetupCapture (CTIMER_Type *base, ctimer_capture_channel_t capture, ctimer_capture_edge_t edge, bool enableInt)
 Setup the capture. More...
 
static uint32_t CTIMER_GetTimerCountValue (CTIMER_Type *base)
static void CTIMER_SetPrescale (CTIMER_Type *base, uint32_t prescale)
 Setup the timer prescale value. More...
 
static uint32_t CTIMER_GetCaptureValue (CTIMER_Type *base, ctimer_capture_channel_t capture)
static uint32_t CTIMER_GetCaptureValue (CTIMER_Type *base, ctimer_capture_channel_t capture)
 Get capture channel value. More...
 
static void CTIMER_EnableResetMatchChannel (CTIMER_Type *base, ctimer_match_t match, bool enable)
static void CTIMER_EnableResetMatchChannel (CTIMER_Type *base, ctimer_match_t match, bool enable)
 Enable reset match channel. More...
 
static void CTIMER_EnableStopMatchChannel (CTIMER_Type *base, ctimer_match_t match, bool enable)
static void CTIMER_EnableStopMatchChannel (CTIMER_Type *base, ctimer_match_t match, bool enable)
 Enable stop match channel. More...
 
static void CTIMER_EnableRisingEdgeCapture (CTIMER_Type *base, ctimer_capture_channel_t capture, bool enable)
static void CTIMER_EnableMatchChannelReload (CTIMER_Type *base, ctimer_match_t match, bool enable)
 Enable reload channel falling edge. More...
 
static void CTIMER_EnableRisingEdgeCapture (CTIMER_Type *base, ctimer_capture_channel_t capture, bool enable)
 Enable capture channel rising edge. More...
 
static void CTIMER_EnableFallingEdgeCapture (CTIMER_Type *base, ctimer_capture_channel_t capture, bool enable)
static void CTIMER_EnableFallingEdgeCapture (CTIMER_Type *base, ctimer_capture_channel_t capture, bool enable)
 Enable capture channel falling edge. More...
 
static void CTIMER_SetShadowValue (CTIMER_Type *base, ctimer_match_t match, uint32_t matchvalue)
 Set the specified match shadow channel. More...
 
@@ -303,28 +354,28 @@ Driver version

Driver version

- + - +

Initialization and deinitialization

void CTIMER_Init (CTIMER_Type *base, const ctimer_config_t *config)
void CTIMER_Init (CTIMER_Type *base, const ctimer_config_t *config)
 Ungates the clock and configures the peripheral for basic operation. More...
 
void CTIMER_Deinit (CTIMER_Type *base)
 Gates the timer clock. More...
 
void CTIMER_GetDefaultConfig (ctimer_config_t *config)
void CTIMER_GetDefaultConfig (ctimer_config_t *config)
 Fills in the timers configuration structure with the default settings. More...
 
- + - + - + - +

PWM setup operations

status_t CTIMER_SetupPwmPeriod (CTIMER_Type *base, const ctimer_match_t pwmPeriodChannel, ctimer_match_t matchChannel, uint32_t pwmPeriod, uint32_t pulsePeriod, bool enableInt)
status_t CTIMER_SetupPwmPeriod (CTIMER_Type *base, const ctimer_match_t pwmPeriodChannel, ctimer_match_t matchChannel, uint32_t pwmPeriod, uint32_t pulsePeriod, bool enableInt)
 Configures the PWM signal parameters. More...
 
status_t CTIMER_SetupPwm (CTIMER_Type *base, const ctimer_match_t pwmPeriodChannel, ctimer_match_t matchChannel, uint8_t dutyCyclePercent, uint32_t pwmFreq_Hz, uint32_t srcClock_Hz, bool enableInt)
status_t CTIMER_SetupPwm (CTIMER_Type *base, const ctimer_match_t pwmPeriodChannel, ctimer_match_t matchChannel, uint8_t dutyCyclePercent, uint32_t pwmFreq_Hz, uint32_t srcClock_Hz, bool enableInt)
 Configures the PWM signal parameters. More...
 
static void CTIMER_UpdatePwmPulsePeriod (CTIMER_Type *base, ctimer_match_t matchChannel, uint32_t pulsePeriod)
static void CTIMER_UpdatePwmPulsePeriod (CTIMER_Type *base, ctimer_match_t matchChannel, uint32_t pulsePeriod)
 Updates the pulse period of an active PWM signal. More...
 
void CTIMER_UpdatePwmDutycycle (CTIMER_Type *base, const ctimer_match_t pwmPeriodChannel, ctimer_match_t matchChannel, uint8_t dutyCyclePercent)
void CTIMER_UpdatePwmDutycycle (CTIMER_Type *base, const ctimer_match_t pwmPeriodChannel, ctimer_match_t matchChannel, uint8_t dutyCyclePercent)
 Updates the duty cycle of an active PWM signal. More...
 
@@ -359,52 +410,52 @@ Counter Start and Stop
 

Data Structure Documentation

- +
- +
struct ctimer_match_config_tstruct _ctimer_match_config

This structure holds the configuration settings for each match register.

- - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + +

Data Fields

-uint32_t matchValue
 This is stored in the match register.
 
-bool enableCounterReset
 true: Match will reset the counter false: Match will not reser the counter
 
-bool enableCounterStop
 true: Match will stop the counter false: Match will not stop the counter
 
-ctimer_match_output_control_t outControl
 Action to be taken on a match on the EM bit/output.
 
-bool outPinInitState
 Initial value of the EM bit/output.
 
-bool enableInterrupt
 true: Generate interrupt upon match false: Do not generate interrupt on match
 
+uint32_t matchValue
 This is stored in the match register.
 
+bool enableCounterReset
 true: Match will reset the counter false: Match will not reser the counter
 
+bool enableCounterStop
 true: Match will stop the counter false: Match will not stop the counter
 
+ctimer_match_output_control_t outControl
 Action to be taken on a match on the EM bit/output.
 
+bool outPinInitState
 Initial value of the EM bit/output.
 
+bool enableInterrupt
 true: Generate interrupt upon match false: Do not generate interrupt on match
 
- +
- +
struct ctimer_config_tstruct _ctimer_config
@@ -412,211 +463,239 @@ bool 

Data Fields

-
-ctimer_timer_mode_t mode - Timer mode.
-  - -ctimer_capture_channel_t input - Input channel to increment the timer, used only in timer modes that rely on this input signal to increment TC.
-  - -uint32_t prescale - Prescale value.
-  + +ctimer_timer_mode_t mode + Timer mode.
+  + +ctimer_capture_channel_t input + Input channel to increment the timer, used only in timer modes that rely on this input signal to increment TC.
+  + +uint32_t prescale + Prescale value.
-

Enumeration Type Documentation

- +

Typedef Documentation

+
+

This structure holds the configuration settings for each match register.

+ +
+
+ +
+
+ + + + +
typedef struct _ctimer_config ctimer_config_t
+
+

This structure holds the configuration settings for the Timer peripheral. To initialize this structure to reasonable defaults, call the CTIMER_GetDefaultConfig() function and pass a pointer to the configuration structure instance.

+

The configuration structure can be made constant so as to reside in flash.

+ +
+
+

Enumeration Type Documentation

+ +
+
- - -
Enumerator
kCTIMER_Capture_0  +
Enumerator
kCTIMER_Capture_0 

Timer capture channel 0.

kCTIMER_Capture_1  +
kCTIMER_Capture_1 

Timer capture channel 1.

kCTIMER_Capture_2  +
kCTIMER_Capture_2 

Timer capture channel 2.

- +
- - -
Enumerator
kCTIMER_Capture_RiseEdge  +
Enumerator
kCTIMER_Capture_RiseEdge 

Capture on rising edge.

kCTIMER_Capture_FallEdge  +
kCTIMER_Capture_FallEdge 

Capture on falling edge.

kCTIMER_Capture_BothEdge  +
kCTIMER_Capture_BothEdge 

Capture on rising and falling edge.

- +
- - - -
Enumerator
kCTIMER_Match_0  +
Enumerator
kCTIMER_Match_0 

Timer match register 0.

kCTIMER_Match_1  +
kCTIMER_Match_1 

Timer match register 1.

kCTIMER_Match_2  +
kCTIMER_Match_2 

Timer match register 2.

kCTIMER_Match_3  +
kCTIMER_Match_3 

Timer match register 3.

- +
- - - -
Enumerator
kCTIMER_External_Match_0  +
Enumerator
kCTIMER_External_Match_0 

External match 0.

kCTIMER_External_Match_1  +
kCTIMER_External_Match_1 

External match 1.

kCTIMER_External_Match_2  +
kCTIMER_External_Match_2 

External match 2.

kCTIMER_External_Match_3  +
kCTIMER_External_Match_3 

External match 3.

- +
- - - -
Enumerator
kCTIMER_Output_NoAction  +
Enumerator
kCTIMER_Output_NoAction 

No action is taken.

kCTIMER_Output_Clear  +
kCTIMER_Output_Clear 

Clear the EM bit/output to 0.

kCTIMER_Output_Set  +
kCTIMER_Output_Set 

Set the EM bit/output to 1.

kCTIMER_Output_Toggle  +
kCTIMER_Output_Toggle 

Toggle the EM bit/output.

- +
- - - - - - -
Enumerator
kCTIMER_Match0InterruptEnable  +
Enumerator
kCTIMER_Match0InterruptEnable 

Match 0 interrupt.

kCTIMER_Match1InterruptEnable  +
kCTIMER_Match1InterruptEnable 

Match 1 interrupt.

kCTIMER_Match2InterruptEnable  +
kCTIMER_Match2InterruptEnable 

Match 2 interrupt.

kCTIMER_Match3InterruptEnable  +
kCTIMER_Match3InterruptEnable 

Match 3 interrupt.

kCTIMER_Capture0InterruptEnable  +
kCTIMER_Capture0InterruptEnable 

Capture 0 interrupt.

kCTIMER_Capture1InterruptEnable  +
kCTIMER_Capture1InterruptEnable 

Capture 1 interrupt.

kCTIMER_Capture2InterruptEnable  +
kCTIMER_Capture2InterruptEnable 

Capture 2 interrupt.

- +
- - - - - - -
Enumerator
kCTIMER_Match0Flag  +
Enumerator
kCTIMER_Match0Flag 

Match 0 interrupt flag.

kCTIMER_Match1Flag  +
kCTIMER_Match1Flag 

Match 1 interrupt flag.

kCTIMER_Match2Flag  +
kCTIMER_Match2Flag 

Match 2 interrupt flag.

kCTIMER_Match3Flag  +
kCTIMER_Match3Flag 

Match 3 interrupt flag.

kCTIMER_Capture0Flag  +
kCTIMER_Capture0Flag 

Capture 0 interrupt flag.

kCTIMER_Capture1Flag  +
kCTIMER_Capture1Flag 

Capture 1 interrupt flag.

kCTIMER_Capture2Flag  +
kCTIMER_Capture2Flag 

Capture 2 interrupt flag.

@@ -660,7 +739,7 @@ uint32_t  - const ctimer_config_t *  + const ctimer_config_tconfig  @@ -710,7 +789,7 @@ uint32_t void CTIMER_GetDefaultConfig ( - ctimer_config_t *  + ctimer_config_tconfig) @@ -718,7 +797,7 @@ uint32_t 

The default values are:

Parameters
@@ -743,13 +822,13 @@ uint32_t  - const ctimer_match_t  + const ctimer_match_t  pwmPeriodChannel, - ctimer_match_t  + ctimer_match_t  matchChannel, @@ -806,13 +885,13 @@ uint32_t  - const ctimer_match_t  + const ctimer_match_t  pwmPeriodChannel, - ctimer_match_t  + ctimer_match_t  matchChannel, @@ -879,7 +958,7 @@ uint32_t  - ctimer_match_t  + ctimer_match_t  matchChannel, @@ -924,13 +1003,13 @@ uint32_t  - const ctimer_match_t  + const ctimer_match_t  pwmPeriodChannel, - ctimer_match_t  + ctimer_match_t  matchChannel, @@ -972,13 +1051,13 @@ uint32_t  - ctimer_match_t  + ctimer_match_t  matchChannel, - const ctimer_match_config_t *  + const ctimer_match_config_tconfig  @@ -1027,7 +1106,7 @@ uint32_t 
Parameters
- +
baseCtimer peripheral base address
matchChannelExternal match channel, user can obtain the status of multiple match channels at the same time by using the logic of "|" enumeration ctimer_external_match_t
matchChannelExternal match channel, user can obtain the status of multiple match channels at the same time by using the logic of "|" enumeration ctimer_external_match_t
@@ -1048,13 +1127,13 @@ uint32_t  - ctimer_capture_channel_t  + ctimer_capture_channel_t  capture, - ctimer_capture_edge_t  + ctimer_capture_edge_t  edge, @@ -1187,7 +1266,7 @@ uint32_t 
Parameters
- +
baseCtimer peripheral base address
maskThe interrupts to enable. This is a logical OR of members of the enumeration ctimer_interrupt_enable_t
maskThe interrupts to enable. This is a logical OR of members of the enumeration ctimer_interrupt_enable_t
@@ -1228,7 +1307,7 @@ uint32_t 
Parameters
- +
baseCtimer peripheral base address
maskThe interrupts to enable. This is a logical OR of members of the enumeration ctimer_interrupt_enable_t
maskThe interrupts to enable. This is a logical OR of members of the enumeration ctimer_interrupt_enable_t
@@ -1262,7 +1341,7 @@ uint32_t 
Returns
The enabled interrupts. This is the logical OR of members of the enumeration ctimer_interrupt_enable_t
+
Returns
The enabled interrupts. This is the logical OR of members of the enumeration ctimer_interrupt_enable_t
@@ -1293,7 +1372,7 @@ uint32_t 
Returns
The status flags. This is the logical OR of members of the enumeration ctimer_status_flags_t
+
Returns
The status flags. This is the logical OR of members of the enumeration ctimer_status_flags_t
@@ -1331,7 +1410,7 @@ uint32_t 
Parameters
- +
baseCtimer peripheral base address
maskThe status flags to clear. This is a logical OR of members of the enumeration ctimer_status_flags_t
maskThe status flags to clear. This is a logical OR of members of the enumeration ctimer_status_flags_t
@@ -1487,7 +1566,7 @@ uint32_t 
- ctimer_capture_channel_t  + ctimer_capture_channel_t  capture  @@ -1530,7 +1609,7 @@ uint32_t  - ctimer_match_t  + ctimer_match_t  match, @@ -1579,7 +1658,7 @@ uint32_t  - ctimer_match_t  + ctimer_match_t  match, @@ -1610,6 +1689,55 @@ uint32_t  +
+
+ + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
static void CTIMER_EnableMatchChannelReload (CTIMER_Type * base,
ctimer_match_t match,
bool enable 
)
+
+inlinestatic
+
+

Enable the specified match channel reload match shadow value.

+
Parameters
+ + + + +
baseCtimer peripheral base address.
matchmatch channel used.
enableEnable .
+
+
+
@@ -1628,7 +1756,7 @@ uint32_t  - ctimer_capture_channel_t  + ctimer_capture_channel_t  capture, @@ -1677,7 +1805,7 @@ uint32_t  - ctimer_capture_channel_t  + ctimer_capture_channel_t  capture, @@ -1708,6 +1836,54 @@ uint32_t  +
+
+ + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
static void CTIMER_SetShadowValue (CTIMER_Type * base,
ctimer_match_t match,
uint32_t matchvalue 
)
+
+inlinestatic
+
+
Parameters
+ + + + +
baseCtimer peripheral base address.
matchmatch channel used.
matchvalueReload the value of the corresponding match register.
+
+
+
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00012.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00012.js index d54dc4c..1a01dff 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00012.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00012.js @@ -1,65 +1,75 @@ var a00012 = [ - [ "ctimer_match_config_t", "a00012.html#a00110", [ - [ "matchValue", "a00012.html#afa3614f09e787565b3514ddd4d9545a0", null ], - [ "enableCounterReset", "a00012.html#aaa00b16aba273e3f11f9480154acc1e5", null ], - [ "enableCounterStop", "a00012.html#a94fe2c63ce7fcb5cf68f9ce73974a3e8", null ], - [ "outControl", "a00012.html#a0e8b29f0c0f74c5273942a521e3b16d6", null ], - [ "outPinInitState", "a00012.html#a31ca8ee3406b7a17c793d94469e1e68d", null ], - [ "enableInterrupt", "a00012.html#ae09fd69820c9285d7f146260d2c51584", null ] + [ "_ctimer_match_config", "a00012.html#a00105", [ + [ "matchValue", "a00012.html#a5960cac6e983dce00a98f942e22f5832", null ], + [ "enableCounterReset", "a00012.html#a21541c95f8155a7668f604269630112c", null ], + [ "enableCounterStop", "a00012.html#a20b9c2ce6be8ecf9f0f640bba9828851", null ], + [ "outControl", "a00012.html#aebc9be7186748d055b9f5a32c241c51e", null ], + [ "outPinInitState", "a00012.html#a742e587e497d79f10529d45268078d15", null ], + [ "enableInterrupt", "a00012.html#a65dfe86005e09a191b64c31726f5b8ad", null ] ] ], - [ "ctimer_config_t", "a00012.html#a00109", [ - [ "mode", "a00012.html#ab72ef3a10cab9754d3cecb44ef7ad6ac", null ], - [ "input", "a00012.html#ac07c23c0bbadea74c24c03e401321fbc", null ], - [ "prescale", "a00012.html#aa8baec2c586854dc58ff4cdb82b7996b", null ] + [ "_ctimer_config", "a00012.html#a00104", [ + [ "mode", "a00012.html#ae5118e6ce0406226a78b579332afc0e7", null ], + [ "input", "a00012.html#ae81716b8893efcbe0a03b7a96215cd66", null ], + [ "prescale", "a00012.html#acf773fdddb3e5695ae30f4ab45e4b2fd", null ] ] ], [ "FSL_CTIMER_DRIVER_VERSION", "a00012.html#ga89b626fd25a5b3bbd511672ff51618f8", null ], - [ "ctimer_capture_channel_t", "a00012.html#ga2fbf5cfa219c31ac16f3786d6897dc3f", [ - [ "kCTIMER_Capture_0", "a00012.html#gga2fbf5cfa219c31ac16f3786d6897dc3faa13dee3bb29b8962385da2ac6d8dc938", null ], - [ "kCTIMER_Capture_1", "a00012.html#gga2fbf5cfa219c31ac16f3786d6897dc3fac4fcf23f15faa447489ef7ccc4d6cb25", null ], - [ "kCTIMER_Capture_2", "a00012.html#gga2fbf5cfa219c31ac16f3786d6897dc3fa9d6d30f6a5498d009cd87fafee3bccd5", null ] + [ "ctimer_capture_channel_t", "a00012.html#gab58c5d25a397ad3ea4f089720cc8d173", null ], + [ "ctimer_capture_edge_t", "a00012.html#gaa8f3f17e5986e89e8833dfd3a6f73e49", null ], + [ "ctimer_match_t", "a00012.html#gafaaa3af278c6301f84854a06d39fd29c", null ], + [ "ctimer_external_match_t", "a00012.html#ga0b8ebcf3ce7d5c70171eb3a81c5e40d7", null ], + [ "ctimer_match_output_control_t", "a00012.html#gaf25bea100cf94b195a396ed113f8efdf", null ], + [ "ctimer_timer_mode_t", "a00012.html#ga88c4223ed0ddac8579baee9a943fac13", null ], + [ "ctimer_interrupt_enable_t", "a00012.html#ga6ad362eb6d1fccbbf692e624140f8089", null ], + [ "ctimer_status_flags_t", "a00012.html#ga0004b37966f280b656f02a7879c5892d", null ], + [ "ctimer_match_config_t", "a00012.html#ga40092936e611d9c5525182c48b92a064", null ], + [ "ctimer_config_t", "a00012.html#gae51a84eed9514dfaf69dc96f0573ed66", null ], + [ "_ctimer_capture_channel", "a00012.html#gadb9b8699537a63ff6520274fd3d2fded", [ + [ "kCTIMER_Capture_0", "a00012.html#ggadb9b8699537a63ff6520274fd3d2fdedaa13dee3bb29b8962385da2ac6d8dc938", null ], + [ "kCTIMER_Capture_1", "a00012.html#ggadb9b8699537a63ff6520274fd3d2fdedac4fcf23f15faa447489ef7ccc4d6cb25", null ], + [ "kCTIMER_Capture_2", "a00012.html#ggadb9b8699537a63ff6520274fd3d2fdeda9d6d30f6a5498d009cd87fafee3bccd5", null ] ] ], - [ "ctimer_capture_edge_t", "a00012.html#gac37706bc06bc7590ce1e3d1b4bf73638", [ - [ "kCTIMER_Capture_RiseEdge", "a00012.html#ggac37706bc06bc7590ce1e3d1b4bf73638ac8297b7a4be687e63200fc22be1d2f02", null ], - [ "kCTIMER_Capture_FallEdge", "a00012.html#ggac37706bc06bc7590ce1e3d1b4bf73638a18a0af674c980ebbdfd0413dcfa3f3c0", null ], - [ "kCTIMER_Capture_BothEdge", "a00012.html#ggac37706bc06bc7590ce1e3d1b4bf73638ab73ca62f50120abc4662c750925e9b5a", null ] + [ "_ctimer_capture_edge", "a00012.html#ga345fca07290119fa13234da1b38a6106", [ + [ "kCTIMER_Capture_RiseEdge", "a00012.html#gga345fca07290119fa13234da1b38a6106ac8297b7a4be687e63200fc22be1d2f02", null ], + [ "kCTIMER_Capture_FallEdge", "a00012.html#gga345fca07290119fa13234da1b38a6106a18a0af674c980ebbdfd0413dcfa3f3c0", null ], + [ "kCTIMER_Capture_BothEdge", "a00012.html#gga345fca07290119fa13234da1b38a6106ab73ca62f50120abc4662c750925e9b5a", null ] ] ], - [ "ctimer_match_t", "a00012.html#gae60f7d34c9e499abba96e5979ee1818d", [ - [ "kCTIMER_Match_0", "a00012.html#ggae60f7d34c9e499abba96e5979ee1818dac06fa7bc66bbb978bc14348fc0cb4633", null ], - [ "kCTIMER_Match_1", "a00012.html#ggae60f7d34c9e499abba96e5979ee1818da38a7356dba8d8a5a8877e9de9fde2faf", null ], - [ "kCTIMER_Match_2", "a00012.html#ggae60f7d34c9e499abba96e5979ee1818da98b35c6f057b201073c8afa4bdce60ed", null ], - [ "kCTIMER_Match_3", "a00012.html#ggae60f7d34c9e499abba96e5979ee1818da6acca2e2ccd6cc6d08a3d958e47c80fb", null ] + [ "_ctimer_match", "a00012.html#ga631867452d444e8d84ab4bc24570359b", [ + [ "kCTIMER_Match_0", "a00012.html#gga631867452d444e8d84ab4bc24570359bac06fa7bc66bbb978bc14348fc0cb4633", null ], + [ "kCTIMER_Match_1", "a00012.html#gga631867452d444e8d84ab4bc24570359ba38a7356dba8d8a5a8877e9de9fde2faf", null ], + [ "kCTIMER_Match_2", "a00012.html#gga631867452d444e8d84ab4bc24570359ba98b35c6f057b201073c8afa4bdce60ed", null ], + [ "kCTIMER_Match_3", "a00012.html#gga631867452d444e8d84ab4bc24570359ba6acca2e2ccd6cc6d08a3d958e47c80fb", null ] ] ], - [ "ctimer_external_match_t", "a00012.html#ga09f5eb858c001377062fe0b8a0d5bd29", [ - [ "kCTIMER_External_Match_0", "a00012.html#gga09f5eb858c001377062fe0b8a0d5bd29a42b2f4d5cb9a524f87f6626169155207", null ], - [ "kCTIMER_External_Match_1", "a00012.html#gga09f5eb858c001377062fe0b8a0d5bd29a90643d7c03749f64e0d20cfcf55a80ed", null ], - [ "kCTIMER_External_Match_2", "a00012.html#gga09f5eb858c001377062fe0b8a0d5bd29ab4602750f83eba0e5cebd0f2ed91a3c4", null ], - [ "kCTIMER_External_Match_3", "a00012.html#gga09f5eb858c001377062fe0b8a0d5bd29aba7194efe10386e467b082fc0b847031", null ] + [ "_ctimer_external_match", "a00012.html#gab4782e716d63a0496aa9a80aaef07863", [ + [ "kCTIMER_External_Match_0", "a00012.html#ggab4782e716d63a0496aa9a80aaef07863a42b2f4d5cb9a524f87f6626169155207", null ], + [ "kCTIMER_External_Match_1", "a00012.html#ggab4782e716d63a0496aa9a80aaef07863a90643d7c03749f64e0d20cfcf55a80ed", null ], + [ "kCTIMER_External_Match_2", "a00012.html#ggab4782e716d63a0496aa9a80aaef07863ab4602750f83eba0e5cebd0f2ed91a3c4", null ], + [ "kCTIMER_External_Match_3", "a00012.html#ggab4782e716d63a0496aa9a80aaef07863aba7194efe10386e467b082fc0b847031", null ] ] ], - [ "ctimer_match_output_control_t", "a00012.html#ga22cef1fc5f8e23a35b6c3a012e3d143c", [ - [ "kCTIMER_Output_NoAction", "a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143cac387e950c7d8c19c1464dd27e5c7396f", null ], - [ "kCTIMER_Output_Clear", "a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143ca2086fd6712693ac8f0758553ff7bb3d3", null ], - [ "kCTIMER_Output_Set", "a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143cae1b0b02fe1e0af81f62fb40ff8339b8f", null ], - [ "kCTIMER_Output_Toggle", "a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143ca4ad940f7bea1dbae09b156ec0415f5f2", null ] + [ "_ctimer_match_output_control", "a00012.html#gaf605923833c7eff925d35f1f8eb9011c", [ + [ "kCTIMER_Output_NoAction", "a00012.html#ggaf605923833c7eff925d35f1f8eb9011cac387e950c7d8c19c1464dd27e5c7396f", null ], + [ "kCTIMER_Output_Clear", "a00012.html#ggaf605923833c7eff925d35f1f8eb9011ca2086fd6712693ac8f0758553ff7bb3d3", null ], + [ "kCTIMER_Output_Set", "a00012.html#ggaf605923833c7eff925d35f1f8eb9011cae1b0b02fe1e0af81f62fb40ff8339b8f", null ], + [ "kCTIMER_Output_Toggle", "a00012.html#ggaf605923833c7eff925d35f1f8eb9011ca4ad940f7bea1dbae09b156ec0415f5f2", null ] ] ], - [ "ctimer_timer_mode_t", "a00012.html#ga9cc18e14c871b2a79888a4cdacbb0eee", null ], - [ "ctimer_interrupt_enable_t", "a00012.html#ga0971c614f932bcf55994bf6c92325eb2", [ - [ "kCTIMER_Match0InterruptEnable", "a00012.html#gga0971c614f932bcf55994bf6c92325eb2a05694ae09ff514b7237388b33acaaa99", null ], - [ "kCTIMER_Match1InterruptEnable", "a00012.html#gga0971c614f932bcf55994bf6c92325eb2a47f020e940359f6f08c5c8171d2942b9", null ], - [ "kCTIMER_Match2InterruptEnable", "a00012.html#gga0971c614f932bcf55994bf6c92325eb2ab15c129ca07d334ae13d77e0646c7287", null ], - [ "kCTIMER_Match3InterruptEnable", "a00012.html#gga0971c614f932bcf55994bf6c92325eb2a1f67c8c1e8039ca326e9f25fabffdd0d", null ], - [ "kCTIMER_Capture0InterruptEnable", "a00012.html#gga0971c614f932bcf55994bf6c92325eb2a5fe0ea705babd6351564e59c8db8e928", null ], - [ "kCTIMER_Capture1InterruptEnable", "a00012.html#gga0971c614f932bcf55994bf6c92325eb2a5d70800d3cc33733d42f525242edbbf4", null ], - [ "kCTIMER_Capture2InterruptEnable", "a00012.html#gga0971c614f932bcf55994bf6c92325eb2a68a56db3574cd0ea3bb783c7d13f0894", null ] + [ "_ctimer_timer_mode", "a00012.html#ga25a75b8276d2d13d35b8f9e3b0cf08d4", null ], + [ "_ctimer_interrupt_enable", "a00012.html#gac328f6ccbe026c29679fda455577ebc8", [ + [ "kCTIMER_Match0InterruptEnable", "a00012.html#ggac328f6ccbe026c29679fda455577ebc8a05694ae09ff514b7237388b33acaaa99", null ], + [ "kCTIMER_Match1InterruptEnable", "a00012.html#ggac328f6ccbe026c29679fda455577ebc8a47f020e940359f6f08c5c8171d2942b9", null ], + [ "kCTIMER_Match2InterruptEnable", "a00012.html#ggac328f6ccbe026c29679fda455577ebc8ab15c129ca07d334ae13d77e0646c7287", null ], + [ "kCTIMER_Match3InterruptEnable", "a00012.html#ggac328f6ccbe026c29679fda455577ebc8a1f67c8c1e8039ca326e9f25fabffdd0d", null ], + [ "kCTIMER_Capture0InterruptEnable", "a00012.html#ggac328f6ccbe026c29679fda455577ebc8a5fe0ea705babd6351564e59c8db8e928", null ], + [ "kCTIMER_Capture1InterruptEnable", "a00012.html#ggac328f6ccbe026c29679fda455577ebc8a5d70800d3cc33733d42f525242edbbf4", null ], + [ "kCTIMER_Capture2InterruptEnable", "a00012.html#ggac328f6ccbe026c29679fda455577ebc8a68a56db3574cd0ea3bb783c7d13f0894", null ] ] ], - [ "ctimer_status_flags_t", "a00012.html#gae64285eb2e52bf5cc2b723870392ff60", [ - [ "kCTIMER_Match0Flag", "a00012.html#ggae64285eb2e52bf5cc2b723870392ff60ad76fd4735e0604307b50d2fd12cba3c0", null ], - [ "kCTIMER_Match1Flag", "a00012.html#ggae64285eb2e52bf5cc2b723870392ff60a901042ca905603329df3cf1d316a2e53", null ], - [ "kCTIMER_Match2Flag", "a00012.html#ggae64285eb2e52bf5cc2b723870392ff60af5a6c5ee43a01803e82c26181dedfa97", null ], - [ "kCTIMER_Match3Flag", "a00012.html#ggae64285eb2e52bf5cc2b723870392ff60a02e58518795f05162313b509a600a3d3", null ], - [ "kCTIMER_Capture0Flag", "a00012.html#ggae64285eb2e52bf5cc2b723870392ff60a5504b0ec94b832a46824ec297a106df1", null ], - [ "kCTIMER_Capture1Flag", "a00012.html#ggae64285eb2e52bf5cc2b723870392ff60af3a0db73d48116ea419af737c706b30b", null ], - [ "kCTIMER_Capture2Flag", "a00012.html#ggae64285eb2e52bf5cc2b723870392ff60aaf0224295d4f330d0d61d10ab30f38ea", null ] + [ "_ctimer_status_flags", "a00012.html#gafb9f972964325723b7f3097e89f67f14", [ + [ "kCTIMER_Match0Flag", "a00012.html#ggafb9f972964325723b7f3097e89f67f14ad76fd4735e0604307b50d2fd12cba3c0", null ], + [ "kCTIMER_Match1Flag", "a00012.html#ggafb9f972964325723b7f3097e89f67f14a901042ca905603329df3cf1d316a2e53", null ], + [ "kCTIMER_Match2Flag", "a00012.html#ggafb9f972964325723b7f3097e89f67f14af5a6c5ee43a01803e82c26181dedfa97", null ], + [ "kCTIMER_Match3Flag", "a00012.html#ggafb9f972964325723b7f3097e89f67f14a02e58518795f05162313b509a600a3d3", null ], + [ "kCTIMER_Capture0Flag", "a00012.html#ggafb9f972964325723b7f3097e89f67f14a5504b0ec94b832a46824ec297a106df1", null ], + [ "kCTIMER_Capture1Flag", "a00012.html#ggafb9f972964325723b7f3097e89f67f14af3a0db73d48116ea419af737c706b30b", null ], + [ "kCTIMER_Capture2Flag", "a00012.html#ggafb9f972964325723b7f3097e89f67f14aaf0224295d4f330d0d61d10ab30f38ea", null ] ] ], [ "ctimer_callback_type_t", "a00012.html#ga740677adee6ada817bb45ed62607c3c4", [ [ "kCTIMER_SingleCallback", "a00012.html#gga740677adee6ada817bb45ed62607c3c4af805d881e4dc37e339a8434c1816f930", null ], @@ -89,6 +99,8 @@ var a00012 = [ "CTIMER_GetCaptureValue", "a00012.html#gad50a3d5668e4b0e9aba06d4d555139b9", null ], [ "CTIMER_EnableResetMatchChannel", "a00012.html#gaeb3527b175c6158c02d76e063e2794b5", null ], [ "CTIMER_EnableStopMatchChannel", "a00012.html#gaf575852d70d9db218b0def620a0b7302", null ], + [ "CTIMER_EnableMatchChannelReload", "a00012.html#ga47db49d83551ef1e38375570f29e5f0e", null ], [ "CTIMER_EnableRisingEdgeCapture", "a00012.html#ga0b4954f928c8e278c29325ea10aa7fa5", null ], - [ "CTIMER_EnableFallingEdgeCapture", "a00012.html#ga37f6a866661fa105f4e66e76e02d4236", null ] + [ "CTIMER_EnableFallingEdgeCapture", "a00012.html#ga37f6a866661fa105f4e66e76e02d4236", null ], + [ "CTIMER_SetShadowValue", "a00012.html#gabe141933756553c5fcbb23160f065b00", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00013.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00013.html index 3f298e7..d1572c5 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00013.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00013.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
@@ -101,6 +101,7 @@ $(document).ready(function(){initNavTree('a00013.html','');});
@@ -125,30 +126,41 @@ Files - - - + + + +

Data Structures

struct  dac_config_t
 The configuration of DAC. More...
 
struct  _dac_config
 The configuration of DAC. More...
 
+ + + + + + +

+Typedefs

+typedef enum _dac_settling_time dac_settling_time_t
 The DAC settling time.
 
+typedef struct _dac_config dac_config_t
 The configuration of DAC.
 
- - - + +

Enumerations

enum  dac_settling_time_t {
-  kDAC_SettlingTimeIs1us = 0U, +
enum  _dac_settling_time {
+  kDAC_SettlingTimeIs1us = 0U,
-  kDAC_SettlingTimeIs25us = 1U +  kDAC_SettlingTimeIs25us = 1U
}
 The DAC settling time. More...
 
 The DAC settling time. More...
 
- + - + @@ -174,28 +186,28 @@ Driver version

Functions

void DAC_Init (DAC_Type *base, const dac_config_t *config)
void DAC_Init (DAC_Type *base, const dac_config_t *config)
 Initialize the DAC module. More...
 
void DAC_Deinit (DAC_Type *base)
 De-Initialize the DAC module. More...
 
void DAC_GetDefaultConfig (dac_config_t *config)
void DAC_GetDefaultConfig (dac_config_t *config)
 Initializes the DAC user configuration structure. More...
 
void DAC_EnableDoubleBuffering (DAC_Type *base, bool enable)
 

Data Structure Documentation

- +
- +
struct dac_config_tstruct _dac_config
- - - + + +

Data Fields

dac_settling_time_t settlingTime
 The settling times are valid for a capacitance load on the DAC_OUT pin not exceeding 100 pF. More...
 
dac_settling_time_t settlingTime
 The settling times are valid for a capacitance load on the DAC_OUT pin not exceeding 100 pF. More...
 

Field Documentation

- +
- +
dac_settling_time_t dac_config_t::settlingTimedac_settling_time_t _dac_config::settlingTime
@@ -220,21 +232,21 @@ Driver version

Enumeration Type Documentation

- +
- - @@ -256,7 +268,7 @@ Driver version - + @@ -305,14 +317,14 @@ Driver version - +
Enumerator
kDAC_SettlingTimeIs1us  +
Enumerator
kDAC_SettlingTimeIs1us 

The settling time of the DAC is 1us max, and the maximum current is 700 mA.

This allows a maximum update rate of 1 MHz.

kDAC_SettlingTimeIs25us  +
kDAC_SettlingTimeIs25us 

The settling time of the DAC is 2.5us and the maximum current is 350uA.

This allows a maximum update rate of 400 kHz.

const dac_config_tconst dac_config_t config 
void DAC_GetDefaultConfig (dac_config_tdac_config_t config)

This function initializes the user configuration structure to a default value. The default values are as follows.

-
* config->settlingTime = kDAC_SettlingTimeIs1us;
+
* config->settlingTime = kDAC_SettlingTimeIs1us;
*
Parameters
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00013.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00013.js index 55134bb..a78fbf2 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00013.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00013.js @@ -1,12 +1,14 @@ var a00013 = [ - [ "dac_config_t", "a00013.html#a00111", [ - [ "settlingTime", "a00013.html#a64eb5fd25bfaaa5593caf6e9116dbb5b", null ] + [ "_dac_config", "a00013.html#a00106", [ + [ "settlingTime", "a00013.html#ad028490a4c5b6ddc206b0c9f6efd7903", null ] ] ], [ "LPC_DAC_DRIVER_VERSION", "a00013.html#ga92d95f6b17de859a557ed350a86ff56e", null ], - [ "dac_settling_time_t", "a00013.html#ga82eecb700b3afeda8b95a6151d8904fc", [ - [ "kDAC_SettlingTimeIs1us", "a00013.html#gga82eecb700b3afeda8b95a6151d8904fca302776aaf6d8780d9b57e9f910615d6f", null ], - [ "kDAC_SettlingTimeIs25us", "a00013.html#gga82eecb700b3afeda8b95a6151d8904fcad460e5e0da52ad16bf771892ebfce1f8", null ] + [ "dac_settling_time_t", "a00013.html#ga73e8aad041168c603df5aa5e27a4fec5", null ], + [ "dac_config_t", "a00013.html#gab9703d5a615e29deaeaa91ff01ef240f", null ], + [ "_dac_settling_time", "a00013.html#gaf0a17d3b5229418c020d333b7c88f2f8", [ + [ "kDAC_SettlingTimeIs1us", "a00013.html#ggaf0a17d3b5229418c020d333b7c88f2f8a302776aaf6d8780d9b57e9f910615d6f", null ], + [ "kDAC_SettlingTimeIs25us", "a00013.html#ggaf0a17d3b5229418c020d333b7c88f2f8ad460e5e0da52ad16bf771892ebfce1f8", null ] ] ], [ "DAC_Init", "a00013.html#ga0331d8c8f699fac12c93a7796d961923", null ], [ "DAC_Deinit", "a00013.html#gab9cec79763041b1df321a5839982feba", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00014.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00014.html index 9849123..a0f78e6 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00014.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00014.html @@ -32,7 +32,7 @@ @@ -101,6 +101,7 @@ $(document).ready(function(){initNavTree('a00014.html','');});
@@ -134,20 +135,30 @@ Files
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
- - - + + + +

Data Structures

struct  gpio_pin_config_t
 The GPIO pin configuration structure. More...
 
struct  _gpio_pin_config
 The GPIO pin configuration structure. More...
 
+ + + + + + +

+Typedefs

+typedef enum _gpio_pin_direction gpio_pin_direction_t
 LPC GPIO direction definition.
 
typedef struct _gpio_pin_config gpio_pin_config_t
 The GPIO pin configuration structure. More...
 
- - - + +

Enumerations

enum  gpio_pin_direction_t {
-  kGPIO_DigitalInput = 0U, +
enum  _gpio_pin_direction {
+  kGPIO_DigitalInput = 0U,
-  kGPIO_DigitalOutput = 1U +  kGPIO_DigitalOutput = 1U
}
 LPC GPIO direction definition. More...
 
 LPC GPIO direction definition. More...
 
@@ -172,7 +183,7 @@ GPIO Configuration - +

Functions

void GPIO_PortInit (GPIO_Type *base, uint32_t port)
 Initializes the GPIO peripheral. More...
 
void GPIO_PinInit (GPIO_Type *base, uint32_t port, uint32_t pin, const gpio_pin_config_t *config)
void GPIO_PinInit (GPIO_Type *base, uint32_t port, uint32_t pin, const gpio_pin_config_t *config)
 Initializes a GPIO pin used by the board. More...
 
@@ -189,26 +200,26 @@ GPIO Input Operations
 

Data Structure Documentation

- +
- +
struct gpio_pin_config_tstruct _gpio_pin_config

Every pin can only be configured as either output pin or input pin at a time. If configured as a input pin, then leave the outputConfig unused.

- - - - - - + + + + + +

Data Fields

-gpio_pin_direction_t pinDirection
 GPIO direction, input or output.
 
-uint8_t outputLogic
 Set default output logic, no use in input.
 
+gpio_pin_direction_t pinDirection
 GPIO direction, input or output.
 
+uint8_t outputLogic
 Set default output logic, no use in input.
 
@@ -226,21 +237,35 @@ uint8_t Enumeration Type Documentation - +

Typedef Documentation

+
+

Every pin can only be configured as either output pin or input pin at a time. If configured as a input pin, then leave the outputConfig unused.

+ +
+
+

Enumeration Type Documentation

+ +
+
+ + +
enum _gpio_pin_direction
- -
Enumerator
kGPIO_DigitalInput  +
Enumerator
kGPIO_DigitalInput 

Set current pin as digital input.

kGPIO_DigitalOutput  +
kGPIO_DigitalOutput 

Set current pin as digital output.

@@ -307,7 +332,7 @@ uint8_t  - const gpio_pin_config_t *  + const gpio_pin_config_tconfig  @@ -320,15 +345,15 @@ uint8_t GPIO_PinInit() function.

This is an example to define an input pin or output pin configuration:

* Define a digital input pin configuration,
- +
* {
- +
* 0,
* }
* Define a digital output pin configuration,
- +
* {
- +
* 0,
* }
*
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00014.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00014.js index e666182..c6e7b2b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00014.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00014.js @@ -1,13 +1,15 @@ var a00014 = [ - [ "gpio_pin_config_t", "a00014.html#a00112", [ - [ "pinDirection", "a00014.html#a70aed128003103272f5740f12fbff525", null ], - [ "outputLogic", "a00014.html#a9d37ffd9a2943f10a91095759bd52da5", null ] + [ "_gpio_pin_config", "a00014.html#a00107", [ + [ "pinDirection", "a00014.html#ae01198d65e4be510c4468dce75b6d779", null ], + [ "outputLogic", "a00014.html#a70ede6dc868ff6dd15987ba62c5d574b", null ] ] ], [ "FSL_GPIO_DRIVER_VERSION", "a00014.html#ga5aa5229cbd041b11bcf8417ba12896b2", null ], - [ "gpio_pin_direction_t", "a00014.html#gada41ca0a2ce239fe125ee96833e715c0", [ - [ "kGPIO_DigitalInput", "a00014.html#ggada41ca0a2ce239fe125ee96833e715c0abacf19933be1940ab40c83535e6a46d4", null ], - [ "kGPIO_DigitalOutput", "a00014.html#ggada41ca0a2ce239fe125ee96833e715c0a509ebcd228fc813cf4afcacd258680f9", null ] + [ "gpio_pin_direction_t", "a00014.html#gaf7d75755774a0f20385fbdda546f1f1d", null ], + [ "gpio_pin_config_t", "a00014.html#ga9279df0a87f2432dc3c5d596028223b7", null ], + [ "_gpio_pin_direction", "a00014.html#ga0df4be96fa56f3bdd7bfa048fdaacd6b", [ + [ "kGPIO_DigitalInput", "a00014.html#gga0df4be96fa56f3bdd7bfa048fdaacd6babacf19933be1940ab40c83535e6a46d4", null ], + [ "kGPIO_DigitalOutput", "a00014.html#gga0df4be96fa56f3bdd7bfa048fdaacd6ba509ebcd228fc813cf4afcacd258680f9", null ] ] ], [ "GPIO_PortInit", "a00014.html#ga6fabd21856d350fc0b60ffea3bbca200", null ], [ "GPIO_PinInit", "a00014.html#ga9b8fa194d8fa5a1bf933a709d4dde014", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00015.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00015.html index bd838d0..bdba573 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00015.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00015.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
@@ -110,19 +110,33 @@ $(document).ready(function(){initNavTree('a00015.html','');}); - - - - - - - - - + + + + + + + + +

Data Structures

struct  i2c_master_config_t
 Structure with settings to initialize the I2C master module. More...
 
struct  i2c_master_transfer_t
 Non-blocking transfer descriptor structure. More...
 
struct  i2c_master_handle_t
 Driver handle for master non-blocking APIs. More...
 
struct  _i2c_master_config
 Structure with settings to initialize the I2C master module. More...
 
struct  _i2c_master_transfer
 Non-blocking transfer descriptor structure. More...
 
struct  _i2c_master_handle
 Driver handle for master non-blocking APIs. More...
 
- + + + + + + + + + + + + +

Typedefs

typedef void(* i2c_master_transfer_callback_t )(I2C_Type *base, i2c_master_handle_t *handle, status_t completionStatus, void *userData)
typedef enum _i2c_direction i2c_direction_t
 Direction of master and slave transfers. More...
 
typedef struct _i2c_master_config i2c_master_config_t
 Structure with settings to initialize the I2C master module. More...
 
+typedef struct _i2c_master_transfer i2c_master_transfer_t
 I2C master transfer typedef.
 
+typedef struct _i2c_master_handle i2c_master_handle_t
 I2C master handle typedef.
 
typedef void(* i2c_master_transfer_callback_t )(I2C_Type *base, i2c_master_handle_t *handle, status_t completionStatus, void *userData)
 Master completion callback function pointer type. More...
 
@@ -138,14 +152,14 @@ Enumerations } - - - + +
 I2C master peripheral flags. More...
 
enum  i2c_direction_t {
-  kI2C_Write = 0U, +
enum  _i2c_direction {
+  kI2C_Write = 0U,
-  kI2C_Read = 1U +  kI2C_Read = 1U
}
 Direction of master and slave transfers. More...
 
 Direction of master and slave transfers. More...
 
enum  _i2c_master_transfer_flags {
  kI2C_TransferDefaultFlag = 0x00U,
@@ -164,10 +178,10 @@ Enumerations
- + - + @@ -212,13 +226,13 @@ Bus operations - + - + @@ -227,22 +241,22 @@ Bus operations - +

Initialization and deinitialization

void I2C_MasterGetDefaultConfig (i2c_master_config_t *masterConfig)
void I2C_MasterGetDefaultConfig (i2c_master_config_t *masterConfig)
 Provides a default configuration for the I2C master peripheral. More...
 
void I2C_MasterInit (I2C_Type *base, const i2c_master_config_t *masterConfig, uint32_t srcClock_Hz)
void I2C_MasterInit (I2C_Type *base, const i2c_master_config_t *masterConfig, uint32_t srcClock_Hz)
 Initializes the I2C master peripheral. More...
 
void I2C_MasterDeinit (I2C_Type *base)
static bool I2C_MasterGetBusIdleState (I2C_Type *base)
 Returns whether the bus is idle. More...
 
status_t I2C_MasterStart (I2C_Type *base, uint8_t address, i2c_direction_t direction)
status_t I2C_MasterStart (I2C_Type *base, uint8_t address, i2c_direction_t direction)
 Sends a START on the I2C bus. More...
 
status_t I2C_MasterStop (I2C_Type *base)
 Sends a STOP signal on the I2C bus. More...
 
static status_t I2C_MasterRepeatedStart (I2C_Type *base, uint8_t address, i2c_direction_t direction)
static status_t I2C_MasterRepeatedStart (I2C_Type *base, uint8_t address, i2c_direction_t direction)
 Sends a REPEATED START on the I2C bus. More...
 
status_t I2C_MasterWriteBlocking (I2C_Type *base, const void *txBuff, size_t txSize, uint32_t flags)
status_t I2C_MasterReadBlocking (I2C_Type *base, void *rxBuff, size_t rxSize, uint32_t flags)
 Performs a polling receive transfer on the I2C bus. More...
 
status_t I2C_MasterTransferBlocking (I2C_Type *base, i2c_master_transfer_t *xfer)
status_t I2C_MasterTransferBlocking (I2C_Type *base, i2c_master_transfer_t *xfer)
 Performs a master polling transfer on the I2C bus. More...
 
- + - + - + - +

Non-blocking

void I2C_MasterTransferCreateHandle (I2C_Type *base, i2c_master_handle_t *handle, i2c_master_transfer_callback_t callback, void *userData)
void I2C_MasterTransferCreateHandle (I2C_Type *base, i2c_master_handle_t *handle, i2c_master_transfer_callback_t callback, void *userData)
 Creates a new handle for the I2C master non-blocking APIs. More...
 
status_t I2C_MasterTransferNonBlocking (I2C_Type *base, i2c_master_handle_t *handle, i2c_master_transfer_t *xfer)
status_t I2C_MasterTransferNonBlocking (I2C_Type *base, i2c_master_handle_t *handle, i2c_master_transfer_t *xfer)
 Performs a non-blocking transaction on the I2C bus. More...
 
status_t I2C_MasterTransferGetCount (I2C_Type *base, i2c_master_handle_t *handle, size_t *count)
status_t I2C_MasterTransferGetCount (I2C_Type *base, i2c_master_handle_t *handle, size_t *count)
 Returns number of bytes transferred so far. More...
 
status_t I2C_MasterTransferAbort (I2C_Type *base, i2c_master_handle_t *handle)
status_t I2C_MasterTransferAbort (I2C_Type *base, i2c_master_handle_t *handle)
 Terminates a non-blocking I2C master transmission early. More...
 
@@ -253,12 +267,12 @@ IRQ handler
 

Data Structure Documentation

- +
- +
struct i2c_master_config_tstruct _i2c_master_config
@@ -266,47 +280,47 @@ IRQ handler

The configuration structure can be made constant so it resides in flash.

- - - - - - - - - + + + + + + + + +

Data Fields

bool enableMaster
 Whether to enable master mode. More...
 
uint32_t baudRate_Bps
 Desired baud rate in bits per second. More...
 
bool enableTimeout
 Enable internal timeout function. More...
 
bool enableMaster
 Whether to enable master mode. More...
 
uint32_t baudRate_Bps
 Desired baud rate in bits per second. More...
 
bool enableTimeout
 Enable internal timeout function. More...
 

Field Documentation

- +
- +
bool i2c_master_config_t::enableMasterbool _i2c_master_config::enableMaster
- +
- +
uint32_t i2c_master_config_t::baudRate_Bpsuint32_t _i2c_master_config::baudRate_Bps
- +
- +
bool i2c_master_config_t::enableTimeoutbool _i2c_master_config::enableTimeout
@@ -316,7 +330,7 @@ IRQ handler
- +
@@ -325,39 +339,38 @@ IRQ handler
-

I2C master transfer typedef.

-

This structure is used to pass transaction parameters to the I2C_MasterTransferNonBlocking() API.

+

This structure is used to pass transaction parameters to the I2C_MasterTransferNonBlocking() API.

- - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + +

Data Fields

uint32_t flags
 Bit mask of options for the transfer. More...
 
uint16_t slaveAddress
 The 7-bit slave address. More...
 
i2c_direction_t direction
 Either kI2C_Read or kI2C_Write. More...
 
uint32_t subaddress
 Sub address. More...
 
size_t subaddressSize
 Length of sub address to send in bytes. More...
 
void * data
 Pointer to data to transfer. More...
 
size_t dataSize
 Number of bytes to transfer. More...
 
uint32_t flags
 Bit mask of options for the transfer. More...
 
uint16_t slaveAddress
 The 7-bit slave address. More...
 
i2c_direction_t direction
 Either kI2C_Read or kI2C_Write. More...
 
uint32_t subaddress
 Sub address. More...
 
size_t subaddressSize
 Length of sub address to send in bytes. More...
 
void * data
 Pointer to data to transfer. More...
 
size_t dataSize
 Number of bytes to transfer. More...
 

Field Documentation

- +
- +
uint32_t i2c_master_transfer_t::flagsuint32_t _i2c_master_transfer::flags
@@ -365,36 +378,36 @@ IRQ handler
- +
- +
uint16_t i2c_master_transfer_t::slaveAddressuint16_t _i2c_master_transfer::slaveAddress
- +
- +
i2c_direction_t i2c_master_transfer_t::directioni2c_direction_t _i2c_master_transfer::direction
- +
- +
uint32_t i2c_master_transfer_t::subaddressuint32_t _i2c_master_transfer::subaddress
@@ -402,12 +415,12 @@ IRQ handler
- +
- +
size_t i2c_master_transfer_t::subaddressSizesize_t _i2c_master_transfer::subaddressSize
@@ -415,24 +428,24 @@ IRQ handler
- +
- +
void* i2c_master_transfer_t::datavoid* _i2c_master_transfer::data
- +
- +
size_t i2c_master_transfer_t::dataSizesize_t _i2c_master_transfer::dataSize
@@ -442,7 +455,7 @@ IRQ handler
- +
@@ -451,100 +464,99 @@ IRQ handler
-

I2C master handle typedef.

-
Note
The contents of this structure are private and subject to change.
+
Note
The contents of this structure are private and subject to change.
- - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + +

Data Fields

uint8_t state
 Transfer state machine current state. More...
 
-uint32_t transferCount
 Indicates progress of the transfer.
 
uint32_t remainingBytes
 Remaining byte count in current state. More...
 
uint8_t * buf
 Buffer pointer for current state. More...
 
i2c_master_transfer_t transfer
 Copy of the current transfer info. More...
 
i2c_master_transfer_callback_t completionCallback
 Callback function pointer. More...
 
void * userData
 Application data passed to callback. More...
 
uint8_t state
 Transfer state machine current state. More...
 
+uint32_t transferCount
 Indicates progress of the transfer.
 
uint32_t remainingBytes
 Remaining byte count in current state. More...
 
uint8_t * buf
 Buffer pointer for current state. More...
 
i2c_master_transfer_t transfer
 Copy of the current transfer info. More...
 
i2c_master_transfer_callback_t completionCallback
 Callback function pointer. More...
 
void * userData
 Application data passed to callback. More...
 

Field Documentation

- +
- +
uint8_t i2c_master_handle_t::stateuint8_t _i2c_master_handle::state
- +
- +
uint32_t i2c_master_handle_t::remainingBytesuint32_t _i2c_master_handle::remainingBytes
- +
- +
uint8_t* i2c_master_handle_t::bufuint8_t* _i2c_master_handle::buf
- +
- +
i2c_master_transfer_t i2c_master_handle_t::transferi2c_master_transfer_t _i2c_master_handle::transfer
- +
- +
i2c_master_transfer_callback_t i2c_master_handle_t::completionCallbacki2c_master_transfer_callback_t _i2c_master_handle::completionCallback
- +
- +
void* i2c_master_handle_t::userDatavoid* _i2c_master_handle::userData
@@ -555,12 +567,38 @@ uint32_t Typedef Documentation + +
+
+ + + + +
typedef enum _i2c_direction i2c_direction_t
+
+ +
+
+ +
+
+ + + + +
typedef struct _i2c_master_config i2c_master_config_t
+
+

This structure holds configuration settings for the I2C peripheral. To initialize this structure to reasonable defaults, call the I2C_MasterGetDefaultConfig() function and pass a pointer to your configuration structure instance.

+

The configuration structure can be made constant so it resides in flash.

+ +
+
- +
typedef void(* i2c_master_transfer_callback_t)(I2C_Type *base, i2c_master_handle_t *handle, status_t completionStatus, void *userData)typedef void(* i2c_master_transfer_callback_t)(I2C_Type *base, i2c_master_handle_t *handle, status_t completionStatus, void *userData)
@@ -602,20 +640,20 @@ uint32_t  +
- -
Enumerator
kI2C_Write  +
Enumerator
kI2C_Write 

Master transmit.

kI2C_Read  +
kI2C_Read 

Master receive.

@@ -631,7 +669,7 @@ uint32_t  -
Note
These enumerations are intended to be OR'd together to form a bit mask of options for the _i2c_master_transfer::flags field.
+
Note
These enumerations are intended to be OR'd together to form a bit mask of options for the _i2c_master_transfer::flags field.
- + @@ -683,7 +721,7 @@ uint32_t  - + @@ -1146,7 +1184,7 @@ uint32_t  - + @@ -1220,7 +1258,7 @@ uint32_t  - + @@ -1379,7 +1417,7 @@ uint32_t  - + @@ -1423,7 +1461,7 @@ uint32_t  - + @@ -1471,13 +1509,13 @@ uint32_t  - + - + @@ -1518,7 +1556,7 @@ uint32_t  - + @@ -1565,7 +1603,7 @@ uint32_t  - + diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00015.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00015.js index d935882..7bc9e7c 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00015.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00015.js @@ -1,37 +1,41 @@ var a00015 = [ - [ "i2c_master_config_t", "a00015.html#a00113", [ - [ "enableMaster", "a00015.html#a2419131a10906475fd31950f17ac8895", null ], - [ "baudRate_Bps", "a00015.html#a2186844dc87bcde999fc12005f4c550a", null ], - [ "enableTimeout", "a00015.html#a1c6831135c83c013d2a3cfc3e7210357", null ] + [ "_i2c_master_config", "a00015.html#a00108", [ + [ "enableMaster", "a00015.html#a7a58fd313d0615ac1ca70d2b4f17ae9a", null ], + [ "baudRate_Bps", "a00015.html#a282b6a9bd8e98bf35e8183665488d98c", null ], + [ "enableTimeout", "a00015.html#ae638534f9f5735a294e951652c1d650b", null ] ] ], - [ "i2c_master_transfer_t", "a00015.html#a00097", [ - [ "flags", "a00015.html#a8835787e1b0f9a4b8868e7cbe53e45d5", null ], - [ "slaveAddress", "a00015.html#a97891bd050609c6dcd1276714277e480", null ], - [ "direction", "a00015.html#ac5b89dc9115b7760431c981e0c1fd443", null ], - [ "subaddress", "a00015.html#ae7facb612714785d4e143e57d47a5af3", null ], - [ "subaddressSize", "a00015.html#aeec8dccf4a49f03ff9a40f5982a24796", null ], - [ "data", "a00015.html#a8c7f63bd1e7de04e40f5cd733f5c7388", null ], - [ "dataSize", "a00015.html#a68e2dd69e1e34b1b505270e1e07e8a34", null ] + [ "_i2c_master_transfer", "a00015.html#a00110", [ + [ "flags", "a00015.html#a84861e140902bd67ae901b2f4c53bfc0", null ], + [ "slaveAddress", "a00015.html#ae21c9a34c722273d6c28f0abe72b5424", null ], + [ "direction", "a00015.html#a7bd3afcf89b78d9397b2f0c55f9deb27", null ], + [ "subaddress", "a00015.html#a7a4f43a16e63d9f286bc41b6255b5e3f", null ], + [ "subaddressSize", "a00015.html#a0c2c6dde8d11db7793fdea90f1b34afb", null ], + [ "data", "a00015.html#a083727a4268398628225a68cad8c4a50", null ], + [ "dataSize", "a00015.html#a7034b157201c46c116dc251e3b56412d", null ] ] ], - [ "i2c_master_handle_t", "a00015.html#a00096", [ - [ "state", "a00015.html#add7ec18bc8239c5c87ffcec2fbcf5dd8", null ], - [ "transferCount", "a00015.html#a5943d16f5ed6e7c4ebd334cdbc0e2afc", null ], - [ "remainingBytes", "a00015.html#aca481b5d3ca6dce53cf440b534ff80c2", null ], - [ "buf", "a00015.html#a9577474c0c4395355174df2b016108de", null ], - [ "transfer", "a00015.html#a6858d3525f762d7aded20e6c95eb19fc", null ], - [ "completionCallback", "a00015.html#a15b84b8a94c2b2e5ace0a695c79edd84", null ], - [ "userData", "a00015.html#aad7df570c53adb2e80acd2ba0d39d109", null ] + [ "_i2c_master_handle", "a00015.html#a00109", [ + [ "state", "a00015.html#ade67a2d6339836711ee255a18405e750", null ], + [ "transferCount", "a00015.html#ab08095b4638786e1b364c1eed398fce4", null ], + [ "remainingBytes", "a00015.html#aa041075cd1ecaf90bcc73d96478e94cf", null ], + [ "buf", "a00015.html#a62ffa1c0fea595fb26ac5604c437a549", null ], + [ "transfer", "a00015.html#a403d5da02efab45e1ebb8bef2ae063d5", null ], + [ "completionCallback", "a00015.html#a0c6862e22cf2047661ce05975756093a", null ], + [ "userData", "a00015.html#a862e1a312eb8b2bd537f1a22a48f455f", null ] ] ], + [ "i2c_direction_t", "a00015.html#ga4bf954d998f086594eece268c780bec7", null ], + [ "i2c_master_config_t", "a00015.html#gad739710b6b65e12ca5ba9dc2a2a2b463", null ], + [ "i2c_master_transfer_t", "a00015.html#ga7edd253f4df51a13bd0a6a0461b9f93a", null ], + [ "i2c_master_handle_t", "a00015.html#ga2fd51f58b8cfd309be985bce4b817fdc", null ], [ "i2c_master_transfer_callback_t", "a00015.html#gad292a48f957a9b76593c1779d9dce497", null ], [ "_i2c_master_flags", "a00015.html#gac938392418ba1a891983d11ef5c9f2a3", [ [ "kI2C_MasterPendingFlag", "a00015.html#ggac938392418ba1a891983d11ef5c9f2a3a9cc49a1ca21e87058a7fbf733cce0e62", null ], [ "kI2C_MasterArbitrationLostFlag", "a00015.html#ggac938392418ba1a891983d11ef5c9f2a3a7b487779b58af99a7a8c179545f78ccd", null ], [ "kI2C_MasterStartStopErrorFlag", "a00015.html#ggac938392418ba1a891983d11ef5c9f2a3ab5cec1d9be7dc5fb2c106e2b87889517", null ] ] ], - [ "i2c_direction_t", "a00015.html#gab49c827b45635206f06e5737606e4611", [ - [ "kI2C_Write", "a00015.html#ggab49c827b45635206f06e5737606e4611a93b476b469c2a4bfed5916b458ae9bb0", null ], - [ "kI2C_Read", "a00015.html#ggab49c827b45635206f06e5737606e4611a3a7bb24dc8d1c1be8925603eeafe9b30", null ] + [ "_i2c_direction", "a00015.html#ga1625497320644ba123718bafdd2c1f39", [ + [ "kI2C_Write", "a00015.html#gga1625497320644ba123718bafdd2c1f39a93b476b469c2a4bfed5916b458ae9bb0", null ], + [ "kI2C_Read", "a00015.html#gga1625497320644ba123718bafdd2c1f39a3a7bb24dc8d1c1be8925603eeafe9b30", null ] ] ], [ "_i2c_master_transfer_flags", "a00015.html#ga87ea07668194cfb46c7c368d2cb42433", [ [ "kI2C_TransferDefaultFlag", "a00015.html#gga87ea07668194cfb46c7c368d2cb42433ae80f7b768b1621e42ac965d3d23de5e2", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00016.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00016.html index 7a922c3..730a539 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00016.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00016.html @@ -32,7 +32,7 @@ @@ -110,24 +110,56 @@ $(document).ready(function(){initNavTree('a00016.html','');});
Enumerator
kI2C_TransferDefaultFlag 

Transfer starts with a start signal, stops with a stop signal.

@@ -669,7 +707,7 @@ uint32_t 
void I2C_MasterGetDefaultConfig (i2c_master_config_ti2c_master_config_t masterConfig)
I2C_MasterInit().

Parameters
- +
[out]masterConfigUser provided configuration structure for default values. Refer to i2c_master_config_t.
[out]masterConfigUser provided configuration structure for default values. Refer to i2c_master_config_t.
@@ -703,7 +741,7 @@ uint32_t 
const i2c_master_config_tconst i2c_master_config_t masterConfig,
i2c_direction_t i2c_direction_t  direction 
i2c_direction_t i2c_direction_t  direction 
i2c_master_transfer_t * i2c_master_transfer_t xfer 
i2c_master_handle_t * i2c_master_handle_t handle,
i2c_master_handle_t * i2c_master_handle_t handle,
i2c_master_transfer_t * i2c_master_transfer_t xfer 
i2c_master_handle_t * i2c_master_handle_t handle,
i2c_master_handle_t * i2c_master_handle_t handle 
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
- - + + + + + + + + - - - - - - - - - + + +

Data Structures

struct  i2c_slave_address_t
 Data structure with 7-bit Slave address and Slave address disable. More...
struct  _i2c_slave_address
 Data structure with 7-bit Slave address and Slave address disable. More...
 
struct  _i2c_slave_config
 Structure with settings to initialize the I2C slave module. More...
 
struct  _i2c_slave_transfer
 I2C slave transfer structure. More...
 
struct  i2c_slave_config_t
 Structure with settings to initialize the I2C slave module. More...
 
struct  i2c_slave_transfer_t
 I2C slave transfer structure. More...
 
struct  i2c_slave_handle_t
 I2C slave handle structure. More...
 
struct  _i2c_slave_handle
 I2C slave handle structure. More...
 
- + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -146,58 +178,58 @@ Enumerations } - - - - + + - - - - - - + + + + + - - - - - + + + + +

Typedefs

typedef void(* i2c_slave_transfer_callback_t )(I2C_Type *base, volatile i2c_slave_transfer_t *transfer, void *userData)
typedef enum
+_i2c_slave_address_register 
i2c_slave_address_register_t
 I2C slave address register. More...
 
typedef struct _i2c_slave_address i2c_slave_address_t
 Data structure with 7-bit Slave address and Slave address disable. More...
 
typedef enum
+_i2c_slave_address_qual_mode 
i2c_slave_address_qual_mode_t
 I2C slave address match options. More...
 
typedef enum _i2c_slave_bus_speed i2c_slave_bus_speed_t
 I2C slave bus speed options. More...
 
typedef struct _i2c_slave_config i2c_slave_config_t
 Structure with settings to initialize the I2C slave module. More...
 
typedef enum
+_i2c_slave_transfer_event 
i2c_slave_transfer_event_t
 Set of events sent to the callback for non blocking slave transfers. More...
 
typedef struct _i2c_slave_handle i2c_slave_handle_t
 I2C slave handle typedef. More...
 
+typedef struct _i2c_slave_transfer i2c_slave_transfer_t
 I2C slave transfer structure.
 
typedef void(* i2c_slave_transfer_callback_t )(I2C_Type *base, volatile i2c_slave_transfer_t *transfer, void *userData)
 Slave event callback function pointer type. More...
 
+typedef enum _i2c_slave_fsm i2c_slave_fsm_t
 I2C slave software finite state machine states.
 
typedef void(* i2c_isr_t )(I2C_Type *base, void *i2cHandle)
 Typedef for interrupt handler. More...
 
 I2C slave peripheral flags. More...
 
enum  i2c_slave_address_register_t {
-  kI2C_SlaveAddressRegister0 = 0U, +
enum  _i2c_slave_address_register {
+  kI2C_SlaveAddressRegister0 = 0U,
-  kI2C_SlaveAddressRegister1 = 1U, +  kI2C_SlaveAddressRegister1 = 1U,
-  kI2C_SlaveAddressRegister2 = 2U, +  kI2C_SlaveAddressRegister2 = 2U,
-  kI2C_SlaveAddressRegister3 = 3U +  kI2C_SlaveAddressRegister3 = 3U
}
 I2C slave address register. More...
 
enum  i2c_slave_address_qual_mode_t {
-  kI2C_QualModeMask = 0U, +
 I2C slave address register. More...
 
enum  _i2c_slave_address_qual_mode {
+  kI2C_QualModeMask = 0U,
-  kI2C_QualModeExtend +  kI2C_QualModeExtend
}
 I2C slave address match options. More...
 
enum  i2c_slave_bus_speed_t
 I2C slave bus speed options. More...
 
enum  i2c_slave_transfer_event_t {
-  kI2C_SlaveAddressMatchEvent = 0x01U, +
 I2C slave address match options. More...
 
enum  _i2c_slave_bus_speed
 I2C slave bus speed options. More...
 
enum  _i2c_slave_transfer_event {
+  kI2C_SlaveAddressMatchEvent = 0x01U,
-  kI2C_SlaveTransmitEvent = 0x02U, +  kI2C_SlaveTransmitEvent = 0x02U,
-  kI2C_SlaveReceiveEvent = 0x04U, +  kI2C_SlaveReceiveEvent = 0x04U,
-  kI2C_SlaveCompletionEvent = 0x20U, +  kI2C_SlaveCompletionEvent = 0x20U,
-  kI2C_SlaveDeselectedEvent, +  kI2C_SlaveDeselectedEvent,
-  kI2C_SlaveAllEvents +  kI2C_SlaveAllEvents
}
 Set of events sent to the callback for non blocking slave transfers. More...
 
enum  i2c_slave_fsm_t
 I2C slave software finite state machine states.
 
 Set of events sent to the callback for non blocking slave transfers. More...
 
enum  _i2c_slave_fsm
 I2C slave software finite state machine states.
 
- + - + - + @@ -224,25 +256,25 @@ Slave bus operations

Slave initialization and deinitialization

void I2C_SlaveGetDefaultConfig (i2c_slave_config_t *slaveConfig)
void I2C_SlaveGetDefaultConfig (i2c_slave_config_t *slaveConfig)
 Provides a default configuration for the I2C slave peripheral. More...
 
status_t I2C_SlaveInit (I2C_Type *base, const i2c_slave_config_t *slaveConfig, uint32_t srcClock_Hz)
status_t I2C_SlaveInit (I2C_Type *base, const i2c_slave_config_t *slaveConfig, uint32_t srcClock_Hz)
 Initializes the I2C slave peripheral. More...
 
void I2C_SlaveSetAddress (I2C_Type *base, i2c_slave_address_register_t addressRegister, uint8_t address, bool addressDisable)
void I2C_SlaveSetAddress (I2C_Type *base, i2c_slave_address_register_t addressRegister, uint8_t address, bool addressDisable)
 Configures Slave Address n register. More...
 
void I2C_SlaveDeinit (I2C_Type *base)
- + - + - + - + - + - + - +

Slave non-blocking

void I2C_SlaveTransferCreateHandle (I2C_Type *base, i2c_slave_handle_t *handle, i2c_slave_transfer_callback_t callback, void *userData)
void I2C_SlaveTransferCreateHandle (I2C_Type *base, i2c_slave_handle_t *handle, i2c_slave_transfer_callback_t callback, void *userData)
 Creates a new handle for the I2C slave non-blocking APIs. More...
 
status_t I2C_SlaveTransferNonBlocking (I2C_Type *base, i2c_slave_handle_t *handle, uint32_t eventMask)
status_t I2C_SlaveTransferNonBlocking (I2C_Type *base, i2c_slave_handle_t *handle, uint32_t eventMask)
 Starts accepting slave transfers. More...
 
status_t I2C_SlaveSetSendBuffer (I2C_Type *base, volatile i2c_slave_transfer_t *transfer, const void *txData, size_t txSize, uint32_t eventMask)
status_t I2C_SlaveSetSendBuffer (I2C_Type *base, volatile i2c_slave_transfer_t *transfer, const void *txData, size_t txSize, uint32_t eventMask)
 Starts accepting master read from slave requests. More...
 
status_t I2C_SlaveSetReceiveBuffer (I2C_Type *base, volatile i2c_slave_transfer_t *transfer, void *rxData, size_t rxSize, uint32_t eventMask)
status_t I2C_SlaveSetReceiveBuffer (I2C_Type *base, volatile i2c_slave_transfer_t *transfer, void *rxData, size_t rxSize, uint32_t eventMask)
 Starts accepting master write to slave requests. More...
 
static uint32_t I2C_SlaveGetReceivedAddress (I2C_Type *base, volatile i2c_slave_transfer_t *transfer)
static uint32_t I2C_SlaveGetReceivedAddress (I2C_Type *base, volatile i2c_slave_transfer_t *transfer)
 Returns the slave address sent by the I2C master. More...
 
void I2C_SlaveTransferAbort (I2C_Type *base, i2c_slave_handle_t *handle)
void I2C_SlaveTransferAbort (I2C_Type *base, i2c_slave_handle_t *handle)
 Aborts the slave non-blocking transfers. More...
 
status_t I2C_SlaveTransferGetCount (I2C_Type *base, i2c_slave_handle_t *handle, size_t *count)
status_t I2C_SlaveTransferGetCount (I2C_Type *base, i2c_slave_handle_t *handle, size_t *count)
 Gets the slave transfer remaining bytes during a interrupt non-blocking transfer. More...
 
@@ -253,43 +285,43 @@ Slave IRQ handler
 

Data Structure Documentation

- +
- +
struct i2c_slave_address_tstruct _i2c_slave_address
- - - - - - + + + + + +

Data Fields

uint8_t address
 7-bit Slave address SLVADR. More...
 
bool addressDisable
 Slave address disable SADISABLE. More...
 
uint8_t address
 7-bit Slave address SLVADR. More...
 
bool addressDisable
 Slave address disable SADISABLE. More...
 

Field Documentation

- +
- +
uint8_t i2c_slave_address_t::addressuint8_t _i2c_slave_address::address
- +
- +
bool i2c_slave_address_t::addressDisablebool _i2c_slave_address::addressDisable
@@ -299,12 +331,12 @@ Slave IRQ handler
- +
- +
struct i2c_slave_config_tstruct _i2c_slave_config
@@ -312,123 +344,123 @@ Slave IRQ handler

The configuration structure can be made constant so it resides in flash.

- - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + +

Data Fields

i2c_slave_address_t address0
 Slave's 7-bit address and disable. More...
 
i2c_slave_address_t address1
 Alternate slave 7-bit address and disable. More...
 
i2c_slave_address_t address2
 Alternate slave 7-bit address and disable. More...
 
i2c_slave_address_t address3
 Alternate slave 7-bit address and disable. More...
 
i2c_slave_address_qual_mode_t qualMode
 Qualify mode for slave address 0. More...
 
uint8_t qualAddress
 Slave address qualifier for address 0. More...
 
i2c_slave_bus_speed_t busSpeed
 Slave bus speed mode. More...
 
bool enableSlave
 Enable slave mode. More...
 
i2c_slave_address_t address0
 Slave's 7-bit address and disable. More...
 
i2c_slave_address_t address1
 Alternate slave 7-bit address and disable. More...
 
i2c_slave_address_t address2
 Alternate slave 7-bit address and disable. More...
 
i2c_slave_address_t address3
 Alternate slave 7-bit address and disable. More...
 
i2c_slave_address_qual_mode_t qualMode
 Qualify mode for slave address 0. More...
 
uint8_t qualAddress
 Slave address qualifier for address 0. More...
 
i2c_slave_bus_speed_t busSpeed
 Slave bus speed mode. More...
 
bool enableSlave
 Enable slave mode. More...
 

Field Documentation

- +
- +
i2c_slave_address_t i2c_slave_config_t::address0i2c_slave_address_t _i2c_slave_config::address0
- +
- +
i2c_slave_address_t i2c_slave_config_t::address1i2c_slave_address_t _i2c_slave_config::address1
- +
- +
i2c_slave_address_t i2c_slave_config_t::address2i2c_slave_address_t _i2c_slave_config::address2
- +
- +
i2c_slave_address_t i2c_slave_config_t::address3i2c_slave_address_t _i2c_slave_config::address3
- +
- +
i2c_slave_address_qual_mode_t i2c_slave_config_t::qualModei2c_slave_address_qual_mode_t _i2c_slave_config::qualMode
- +
- +
uint8_t i2c_slave_config_t::qualAddressuint8_t _i2c_slave_config::qualAddress
- +
- +
i2c_slave_bus_speed_t i2c_slave_config_t::busSpeedi2c_slave_bus_speed_t _i2c_slave_config::busSpeed
-

If the slave function stretches SCL to allow for software response, it must provide sufficient data setup time to the master before releasing the stretched clock. This is accomplished by inserting one clock time of CLKDIV at that point. The busSpeed value is used to configure CLKDIV such that one clock time is greater than the tSU;DAT value noted in the I2C bus specification for the I2C mode that is being used. If the busSpeed mode is unknown at compile time, use the longest data setup time kI2C_SlaveStandardMode (250 ns)

+

If the slave function stretches SCL to allow for software response, it must provide sufficient data setup time to the master before releasing the stretched clock. This is accomplished by inserting one clock time of CLKDIV at that point. The busSpeed value is used to configure CLKDIV such that one clock time is greater than the tSU;DAT value noted in the I2C bus specification for the I2C mode that is being used. If the busSpeed mode is unknown at compile time, use the longest data setup time kI2C_SlaveStandardMode (250 ns)

- +
- +
bool i2c_slave_config_t::enableSlavebool _i2c_slave_config::enableSlave
@@ -438,83 +470,83 @@ Slave IRQ handler
- +
- +
struct i2c_slave_transfer_tstruct _i2c_slave_transfer
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Data Fields

i2c_slave_handle_t * handle
 Pointer to handle that contains this transfer. More...
 
i2c_slave_transfer_event_t event
 Reason the callback is being invoked. More...
 
uint8_t receivedAddress
 Matching address send by master. More...
 
uint32_t eventMask
 Mask of enabled events. More...
 
-uint8_t * rxData
 Transfer buffer for receive data.
 
-const uint8_t * txData
 Transfer buffer for transmit data.
 
-size_t txSize
 Transfer size.
 
-size_t rxSize
 Transfer size.
 
size_t transferredCount
 Number of bytes transferred during this transfer. More...
 
status_t completionStatus
 Success or error code describing how the transfer completed. More...
 
i2c_slave_handle_thandle
 Pointer to handle that contains this transfer. More...
 
i2c_slave_transfer_event_t event
 Reason the callback is being invoked. More...
 
uint8_t receivedAddress
 Matching address send by master. More...
 
uint32_t eventMask
 Mask of enabled events. More...
 
+uint8_t * rxData
 Transfer buffer for receive data.
 
+const uint8_t * txData
 Transfer buffer for transmit data.
 
+size_t txSize
 Transfer size.
 
+size_t rxSize
 Transfer size.
 
size_t transferredCount
 Number of bytes transferred during this transfer. More...
 
status_t completionStatus
 Success or error code describing how the transfer completed. More...
 

Field Documentation

- +
- +
i2c_slave_handle_t* i2c_slave_transfer_t::handlei2c_slave_handle_t* _i2c_slave_transfer::handle
- +
- +
i2c_slave_transfer_event_t i2c_slave_transfer_t::eventi2c_slave_transfer_event_t _i2c_slave_transfer::event
- +
- +
uint8_t i2c_slave_transfer_t::receivedAddressuint8_t _i2c_slave_transfer::receivedAddress
@@ -522,47 +554,47 @@ size_t  +
- +
uint32_t i2c_slave_transfer_t::eventMaskuint32_t _i2c_slave_transfer::eventMask
- +
- +
size_t i2c_slave_transfer_t::transferredCountsize_t _i2c_slave_transfer::transferredCount
- +
- +
status_t i2c_slave_transfer_t::completionStatusstatus_t _i2c_slave_transfer::completionStatus
-

Only applies for kI2C_SlaveCompletionEvent.

+

Only applies for kI2C_SlaveCompletionEvent.

- +
@@ -571,81 +603,80 @@ size_t  - + @@ -835,7 +954,7 @@ size_t  - + @@ -896,7 +1015,7 @@ size_t  - + @@ -1143,7 +1262,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav - + @@ -1191,7 +1310,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav - + @@ -1208,13 +1327,13 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav
-

I2C slave handle typedef.

-
Note
The contents of this structure are private and subject to change.
+
Note
The contents of this structure are private and subject to change.
- - - - - - - - - - - - - - - + + + + + + + + + + + + + + +

Data Fields

volatile i2c_slave_transfer_t transfer
 I2C slave transfer. More...
 
volatile bool isBusy
 Whether transfer is busy. More...
 
volatile i2c_slave_fsm_t slaveFsm
 slave transfer state machine. More...
 
i2c_slave_transfer_callback_t callback
 Callback function called at transfer event. More...
 
void * userData
 Callback parameter passed to callback. More...
 
volatile i2c_slave_transfer_t transfer
 I2C slave transfer. More...
 
volatile bool isBusy
 Whether transfer is busy. More...
 
volatile i2c_slave_fsm_t slaveFsm
 slave transfer state machine. More...
 
i2c_slave_transfer_callback_t callback
 Callback function called at transfer event. More...
 
void * userData
 Callback parameter passed to callback. More...
 

Field Documentation

-
+
- +
volatile i2c_slave_transfer_t i2c_slave_handle_t::transfervolatile i2c_slave_transfer_t _i2c_slave_handle::transfer
- +
- +
volatile bool i2c_slave_handle_t::isBusyvolatile bool _i2c_slave_handle::isBusy
- +
- +
volatile i2c_slave_fsm_t i2c_slave_handle_t::slaveFsmvolatile i2c_slave_fsm_t _i2c_slave_handle::slaveFsm
- +
- +
i2c_slave_transfer_callback_t i2c_slave_handle_t::callbacki2c_slave_transfer_callback_t _i2c_slave_handle::callback
- +
- +
void* i2c_slave_handle_t::userDatavoid* _i2c_slave_handle::userData
@@ -656,12 +687,100 @@ size_t 
Typedef Documentation + + + +
+
+ + + + +
typedef struct _i2c_slave_address i2c_slave_address_t
+
+ +
+
+ + + +
+
+ +
+
+ +
+
+ + + + +
typedef struct _i2c_slave_config i2c_slave_config_t
+
+

This structure holds configuration settings for the I2C slave peripheral. To initialize this structure to reasonable defaults, call the I2C_SlaveGetDefaultConfig() function and pass a pointer to your configuration structure instance.

+

The configuration structure can be made constant so it resides in flash.

+ +
+
+ +
+
+

These event enumerations are used for two related purposes. First, a bit mask created by OR'ing together events is passed to I2C_SlaveTransferNonBlocking() in order to specify which events to enable. Then, when the slave callback is invoked, it is passed the current event through its transfer parameter.

+
Note
These enumerations are meant to be OR'd together to form a bit mask of events.
+ +
+
+ +
+
+ + + + +
typedef struct _i2c_slave_handle i2c_slave_handle_t
+
+ +
+
- +
typedef void(* i2c_slave_transfer_callback_t)(I2C_Type *base, volatile i2c_slave_transfer_t *transfer, void *userData)typedef void(* i2c_slave_transfer_callback_t)(I2C_Type *base, volatile i2c_slave_transfer_t *transfer, void *userData)
@@ -717,92 +836,92 @@ size_t 
+
- - - -
Enumerator
kI2C_SlaveAddressRegister0  +
Enumerator
kI2C_SlaveAddressRegister0 

Slave Address 0 register.

kI2C_SlaveAddressRegister1  +
kI2C_SlaveAddressRegister1 

Slave Address 1 register.

kI2C_SlaveAddressRegister2  +
kI2C_SlaveAddressRegister2 

Slave Address 2 register.

kI2C_SlaveAddressRegister3  +
kI2C_SlaveAddressRegister3 

Slave Address 3 register.

- +
- -
Enumerator
kI2C_QualModeMask  +
Enumerator
kI2C_QualModeMask 

The SLVQUAL0 field (qualAddress) is used as a logical mask for matching address0.

kI2C_QualModeExtend  +
kI2C_QualModeExtend 

The SLVQUAL0 (qualAddress) field is used to extend address 0 matching in a range of addresses.

- + - +

These event enumerations are used for two related purposes. First, a bit mask created by OR'ing together events is passed to I2C_SlaveTransferNonBlocking() in order to specify which events to enable. Then, when the slave callback is invoked, it is passed the current event through its transfer parameter.

Note
These enumerations are meant to be OR'd together to form a bit mask of events.
- - - - - -
Enumerator
kI2C_SlaveAddressMatchEvent  +
Enumerator
kI2C_SlaveAddressMatchEvent 

Received the slave address after a start or repeated start.

kI2C_SlaveTransmitEvent  +
kI2C_SlaveTransmitEvent 

Callback is requested to provide data to transmit (slave-transmitter role).

kI2C_SlaveReceiveEvent  +
kI2C_SlaveReceiveEvent 

Callback is requested to provide a buffer in which to place received data (slave-receiver role).

kI2C_SlaveCompletionEvent  +
kI2C_SlaveCompletionEvent 

All data in the active transfer have been consumed.

kI2C_SlaveDeselectedEvent  +
kI2C_SlaveDeselectedEvent 

The slave function has become deselected (SLVSEL flag changing from 1 to 0.

kI2C_SlaveAllEvents  +
kI2C_SlaveAllEvents 

Bit mask of all available events.

@@ -817,7 +936,7 @@ size_t 
void I2C_SlaveGetDefaultConfig (i2c_slave_config_ti2c_slave_config_t slaveConfig)
I2C_SlaveInit(). Be sure to override at least the address0.address member of the configuration structure with the desired slave address.

Parameters
- +
[out]slaveConfigUser provided configuration structure that is set to default values. Refer to i2c_slave_config_t.
[out]slaveConfigUser provided configuration structure that is set to default values. Refer to i2c_slave_config_t.
@@ -855,7 +974,7 @@ size_t 
const i2c_slave_config_tconst i2c_slave_config_t slaveConfig,
i2c_slave_address_register_t i2c_slave_address_register_t  addressRegister,
i2c_slave_handle_t * i2c_slave_handle_t handle,
i2c_slave_handle_t * i2c_slave_handle_t handle,

Call this API after calling I2C_SlaveInit() and I2C_SlaveTransferCreateHandle() to start processing transactions driven by an I2C master. The slave monitors the I2C bus and pass events to the callback that was passed into the call to I2C_SlaveTransferCreateHandle(). The callback is always invoked from the interrupt context.

-

If no slave Tx transfer is busy, a master read from slave request invokes kI2C_SlaveTransmitEvent callback. If no slave Rx transfer is busy, a master write to slave request invokes kI2C_SlaveReceiveEvent callback.

-

The set of events received by the callback is customizable. To do so, set the eventMask parameter to the OR'd combination of i2c_slave_transfer_event_t enumerators for the events you wish to receive. The kI2C_SlaveTransmitEvent and kI2C_SlaveReceiveEvent events are always enabled and do not need to be included in the mask. Alternatively, you can pass 0 to get a default set of only the transmit and receive events that are always enabled. In addition, the kI2C_SlaveAllEvents constant is provided as a convenient way to enable all events.

+

If no slave Tx transfer is busy, a master read from slave request invokes kI2C_SlaveTransmitEvent callback. If no slave Rx transfer is busy, a master write to slave request invokes kI2C_SlaveReceiveEvent callback.

+

The set of events received by the callback is customizable. To do so, set the eventMask parameter to the OR'd combination of i2c_slave_transfer_event_t enumerators for the events you wish to receive. The kI2C_SlaveTransmitEvent and kI2C_SlaveReceiveEvent events are always enabled and do not need to be included in the mask. Alternatively, you can pass 0 to get a default set of only the transmit and receive events that are always enabled. In addition, the kI2C_SlaveAllEvents constant is provided as a convenient way to enable all events.

Parameters
- +
baseThe I2C peripheral base address.
handlePointer to i2c_slave_handle_t structure which stores the transfer state.
eventMaskBit mask formed by OR'ing together i2c_slave_transfer_event_t enumerators to specify which events to send to the callback. Other accepted values are 0 to get a default set of only the transmit and receive events, and kI2C_SlaveAllEvents to enable all events.
eventMaskBit mask formed by OR'ing together i2c_slave_transfer_event_t enumerators to specify which events to send to the callback. Other accepted values are 0 to get a default set of only the transmit and receive events, and kI2C_SlaveAllEvents to enable all events.
@@ -1241,7 +1360,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav - volatile i2c_slave_transfer_t *  + volatile i2c_slave_transfer_ttransfer, @@ -1269,15 +1388,15 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav
-

The function can be called in response to kI2C_SlaveTransmitEvent callback to start a new slave Tx transfer from within the transfer callback.

-

The set of events received by the callback is customizable. To do so, set the eventMask parameter to the OR'd combination of i2c_slave_transfer_event_t enumerators for the events you wish to receive. The kI2C_SlaveTransmitEvent and kI2C_SlaveReceiveEvent events are always enabled and do not need to be included in the mask. Alternatively, you can pass 0 to get a default set of only the transmit and receive events that are always enabled. In addition, the kI2C_SlaveAllEvents constant is provided as a convenient way to enable all events.

+

The function can be called in response to kI2C_SlaveTransmitEvent callback to start a new slave Tx transfer from within the transfer callback.

+

The set of events received by the callback is customizable. To do so, set the eventMask parameter to the OR'd combination of i2c_slave_transfer_event_t enumerators for the events you wish to receive. The kI2C_SlaveTransmitEvent and kI2C_SlaveReceiveEvent events are always enabled and do not need to be included in the mask. Alternatively, you can pass 0 to get a default set of only the transmit and receive events that are always enabled. In addition, the kI2C_SlaveAllEvents constant is provided as a convenient way to enable all events.

Parameters
- + - +
baseThe I2C peripheral base address.
transferPointer to i2c_slave_transfer_t structure.
transferPointer to i2c_slave_transfer_t structure.
txDataPointer to data to send to master.
txSizeSize of txData in bytes.
eventMaskBit mask formed by OR'ing together i2c_slave_transfer_event_t enumerators to specify which events to send to the callback. Other accepted values are 0 to get a default set of only the transmit and receive events, and kI2C_SlaveAllEvents to enable all events.
eventMaskBit mask formed by OR'ing together i2c_slave_transfer_event_t enumerators to specify which events to send to the callback. Other accepted values are 0 to get a default set of only the transmit and receive events, and kI2C_SlaveAllEvents to enable all events.
@@ -1304,7 +1423,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav - volatile i2c_slave_transfer_t *  + volatile i2c_slave_transfer_ttransfer, @@ -1332,15 +1451,15 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav
-

The function can be called in response to kI2C_SlaveReceiveEvent callback to start a new slave Rx transfer from within the transfer callback.

-

The set of events received by the callback is customizable. To do so, set the eventMask parameter to the OR'd combination of i2c_slave_transfer_event_t enumerators for the events you wish to receive. The kI2C_SlaveTransmitEvent and kI2C_SlaveReceiveEvent events are always enabled and do not need to be included in the mask. Alternatively, you can pass 0 to get a default set of only the transmit and receive events that are always enabled. In addition, the kI2C_SlaveAllEvents constant is provided as a convenient way to enable all events.

+

The function can be called in response to kI2C_SlaveReceiveEvent callback to start a new slave Rx transfer from within the transfer callback.

+

The set of events received by the callback is customizable. To do so, set the eventMask parameter to the OR'd combination of i2c_slave_transfer_event_t enumerators for the events you wish to receive. The kI2C_SlaveTransmitEvent and kI2C_SlaveReceiveEvent events are always enabled and do not need to be included in the mask. Alternatively, you can pass 0 to get a default set of only the transmit and receive events that are always enabled. In addition, the kI2C_SlaveAllEvents constant is provided as a convenient way to enable all events.

Parameters
- + - +
baseThe I2C peripheral base address.
transferPointer to i2c_slave_transfer_t structure.
transferPointer to i2c_slave_transfer_t structure.
rxDataPointer to data to store data from master.
rxSizeSize of rxData in bytes.
eventMaskBit mask formed by OR'ing together i2c_slave_transfer_event_t enumerators to specify which events to send to the callback. Other accepted values are 0 to get a default set of only the transmit and receive events, and kI2C_SlaveAllEvents to enable all events.
eventMaskBit mask formed by OR'ing together i2c_slave_transfer_event_t enumerators to specify which events to send to the callback. Other accepted values are 0 to get a default set of only the transmit and receive events, and kI2C_SlaveAllEvents to enable all events.
@@ -1370,7 +1489,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav - volatile i2c_slave_transfer_t *  + volatile i2c_slave_transfer_ttransfer  @@ -1385,7 +1504,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav
-

This function should only be called from the address match event callback kI2C_SlaveAddressMatchEvent.

+

This function should only be called from the address match event callback kI2C_SlaveAddressMatchEvent.

Parameters
@@ -1410,7 +1529,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav - + @@ -1451,7 +1570,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav - + diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00016.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00016.js index 0b6a25d..e59412b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00016.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00016.js @@ -1,39 +1,48 @@ var a00016 = [ - [ "i2c_slave_address_t", "a00016.html#a00114", [ - [ "address", "a00016.html#ad7af2a58faba6a178daa97bd06ebce36", null ], - [ "addressDisable", "a00016.html#aca3dcdb3ab2710d991ada52d64bf102c", null ] + [ "_i2c_slave_address", "a00016.html#a00111", [ + [ "address", "a00016.html#aac0aeb8b419b1312b7f873549541be45", null ], + [ "addressDisable", "a00016.html#a554d799403bbf3b7a43ba535f77036de", null ] ] ], - [ "i2c_slave_config_t", "a00016.html#a00115", [ - [ "address0", "a00016.html#a4738c7cd55260f7e8a3825d0b2278a34", null ], - [ "address1", "a00016.html#ae19c45c96699bb3a6821150ab820b029", null ], - [ "address2", "a00016.html#ae855ba5c53f7e585c44eae8bada85e9d", null ], - [ "address3", "a00016.html#a213d1737a633686701581a09859213a6", null ], - [ "qualMode", "a00016.html#a51577d06d8812b0c999957898ec016b5", null ], - [ "qualAddress", "a00016.html#a4c9d09c35c4641d38bf565de31b07320", null ], - [ "busSpeed", "a00016.html#a14acc40a290c779fde0825f3a8bdbb25", null ], - [ "enableSlave", "a00016.html#a92d20835618a946b8f7702455877ef7a", null ] + [ "_i2c_slave_config", "a00016.html#a00112", [ + [ "address0", "a00016.html#a5203e2e0d3d7e4f33aae8ced4df94778", null ], + [ "address1", "a00016.html#ad750f43edf4fce1b7d46aa567fbef65e", null ], + [ "address2", "a00016.html#adc043a79268cdf3f2f9bb82f486f25ef", null ], + [ "address3", "a00016.html#aa8bf7ada2ce9d9b2fd3fe94c7cae63f2", null ], + [ "qualMode", "a00016.html#a98fcd913b880ce599149066d0cd1f431", null ], + [ "qualAddress", "a00016.html#a0b7ba3bb25c3bc531ade96ab283b8a5b", null ], + [ "busSpeed", "a00016.html#a27793bbbe385b9446a1e10e1d109dbbd", null ], + [ "enableSlave", "a00016.html#ad720331a891010209a1209585056a2b7", null ] ] ], - [ "i2c_slave_transfer_t", "a00016.html#a00116", [ - [ "handle", "a00016.html#ab74516c1edb1424ddb1554de7cae69bc", null ], - [ "event", "a00016.html#ad0a9e837e9df16d89bab98a78af5bd10", null ], - [ "receivedAddress", "a00016.html#ad6f3b291ee81b69cf91c161ae26d65ae", null ], - [ "eventMask", "a00016.html#a1be21257ec375e09106dfe242c02beea", null ], - [ "rxData", "a00016.html#a3e497078c6038baa8748ce5ecebc7e3d", null ], - [ "txData", "a00016.html#afaff4f7ef2ae4ed49eff607a58db18c2", null ], - [ "txSize", "a00016.html#a3d2ef683b0439ce83e3d54e8823ebc38", null ], - [ "rxSize", "a00016.html#a75e06358c0d5840a1dfc19a029e10ba8", null ], - [ "transferredCount", "a00016.html#a0394563c8d0f9eeeecd242a65cee2ad4", null ], - [ "completionStatus", "a00016.html#a35adbf64ca65dd2c1b52f9260f5b9e90", null ] + [ "_i2c_slave_transfer", "a00016.html#a00114", [ + [ "handle", "a00016.html#a5138a6295a93e877a8de83980095b8ab", null ], + [ "event", "a00016.html#a461d482d4a91765e8820b55b17e7e53c", null ], + [ "receivedAddress", "a00016.html#a521a410193f5184aaea5ab42b8bafac7", null ], + [ "eventMask", "a00016.html#a26fb4094fa78037240e2dd1d71637026", null ], + [ "rxData", "a00016.html#a93589ac311615c53149c70f87cc85220", null ], + [ "txData", "a00016.html#ab269c32e68b47ebecbdf6dc99694dc1f", null ], + [ "txSize", "a00016.html#a8d96f5ea7d8287ae770a43aeba051765", null ], + [ "rxSize", "a00016.html#a97340f3d81fba5c6f687f51ea68793c3", null ], + [ "transferredCount", "a00016.html#aae53c04dd44495a5f8cf8813e715a53e", null ], + [ "completionStatus", "a00016.html#a5c09cb680bd188a4176823b6dfa21335", null ] ] ], - [ "i2c_slave_handle_t", "a00016.html#a00098", [ - [ "transfer", "a00016.html#a9934cd1a4d4b4e02ac5856e28f86a229", null ], - [ "isBusy", "a00016.html#a81ece18a362fc9779750be91f7cc6b30", null ], - [ "slaveFsm", "a00016.html#a18589a5de9b06b2eaf7d4260eac03a58", null ], - [ "callback", "a00016.html#a7229e894f762ead4bd08b4add49e6bc2", null ], - [ "userData", "a00016.html#a98ea5e99278b386e2ddb99d45a9750ee", null ] + [ "_i2c_slave_handle", "a00016.html#a00113", [ + [ "transfer", "a00016.html#ad590d3719153a8cd99d22f10ee7bf859", null ], + [ "isBusy", "a00016.html#af6d7a3aa8f16a2ddacb9cf58977fd67c", null ], + [ "slaveFsm", "a00016.html#a8cc8b98baef51cb985c227d65e5f75f1", null ], + [ "callback", "a00016.html#aa1a2ab536368e7b2e8981879f1a3f5ca", null ], + [ "userData", "a00016.html#a0c21a56aa399763f1969a28fbea35054", null ] ] ], + [ "i2c_slave_address_register_t", "a00016.html#ga5011aa3cf4340912b0a54c5fd2ccc881", null ], + [ "i2c_slave_address_t", "a00016.html#ga4952975f11796f57c1dbc8c9352ee496", null ], + [ "i2c_slave_address_qual_mode_t", "a00016.html#ga02d133f25b39ab4842c870de2d66179f", null ], + [ "i2c_slave_bus_speed_t", "a00016.html#ga222f565dee8428d8f882203a4a6c22ff", null ], + [ "i2c_slave_config_t", "a00016.html#gaa70dd0ec9815617269569190efd2de5d", null ], + [ "i2c_slave_transfer_event_t", "a00016.html#ga95f120f6a73af41648364538cf9a6eca", null ], + [ "i2c_slave_handle_t", "a00016.html#ga394e5278816efe62815de9d25be84752", null ], + [ "i2c_slave_transfer_t", "a00016.html#ga69e696cb21165bc58176475879a471cf", null ], [ "i2c_slave_transfer_callback_t", "a00016.html#ga0704c8f14da38feb11555e4127d86a18", null ], + [ "i2c_slave_fsm_t", "a00016.html#gace8044962fd3c872ae2d7952de85525a", null ], [ "i2c_isr_t", "a00016.html#ga64e4839b34e9156358c4eaa717b1c14a", null ], [ "_i2c_slave_flags", "a00016.html#ga419f2fc2e0684671c00ee97e962bbe0c", [ [ "kI2C_SlavePendingFlag", "a00016.html#gga419f2fc2e0684671c00ee97e962bbe0cabd274f2defb8f0f8d2f6c2fcdd71c63c", null ], @@ -41,26 +50,26 @@ var a00016 = [ "kI2C_SlaveSelected", "a00016.html#gga419f2fc2e0684671c00ee97e962bbe0ca2d0c7dc4bf2815768510b916b7c1d54e", null ], [ "kI2C_SaveDeselected", "a00016.html#gga419f2fc2e0684671c00ee97e962bbe0cad834aafd823863602d905d4b3d8c9430", null ] ] ], - [ "i2c_slave_address_register_t", "a00016.html#ga833a7311515f1a3bf5cb8da2355cc661", [ - [ "kI2C_SlaveAddressRegister0", "a00016.html#gga833a7311515f1a3bf5cb8da2355cc661a39e0e158674bd8a6e254a6a42b5ce50f", null ], - [ "kI2C_SlaveAddressRegister1", "a00016.html#gga833a7311515f1a3bf5cb8da2355cc661a42a589b5e31f29ddc41088c3fb4bfcf1", null ], - [ "kI2C_SlaveAddressRegister2", "a00016.html#gga833a7311515f1a3bf5cb8da2355cc661a8381dd229f545df5e6a32ee5f0aac871", null ], - [ "kI2C_SlaveAddressRegister3", "a00016.html#gga833a7311515f1a3bf5cb8da2355cc661a9e73a2b09fe3943d51849a7d87fbe8a3", null ] + [ "_i2c_slave_address_register", "a00016.html#gaa4d64624a394b298aeb74188f932406a", [ + [ "kI2C_SlaveAddressRegister0", "a00016.html#ggaa4d64624a394b298aeb74188f932406aa39e0e158674bd8a6e254a6a42b5ce50f", null ], + [ "kI2C_SlaveAddressRegister1", "a00016.html#ggaa4d64624a394b298aeb74188f932406aa42a589b5e31f29ddc41088c3fb4bfcf1", null ], + [ "kI2C_SlaveAddressRegister2", "a00016.html#ggaa4d64624a394b298aeb74188f932406aa8381dd229f545df5e6a32ee5f0aac871", null ], + [ "kI2C_SlaveAddressRegister3", "a00016.html#ggaa4d64624a394b298aeb74188f932406aa9e73a2b09fe3943d51849a7d87fbe8a3", null ] ] ], - [ "i2c_slave_address_qual_mode_t", "a00016.html#ga719dc02b99647eb8f08a05d4d6066c51", [ - [ "kI2C_QualModeMask", "a00016.html#gga719dc02b99647eb8f08a05d4d6066c51af542f0269c83549e7b492911e281a902", null ], - [ "kI2C_QualModeExtend", "a00016.html#gga719dc02b99647eb8f08a05d4d6066c51a6b755a1d30a626380730ce947d09335f", null ] + [ "_i2c_slave_address_qual_mode", "a00016.html#gadbb0ae033785e1ad024c953e24cc2f36", [ + [ "kI2C_QualModeMask", "a00016.html#ggadbb0ae033785e1ad024c953e24cc2f36af542f0269c83549e7b492911e281a902", null ], + [ "kI2C_QualModeExtend", "a00016.html#ggadbb0ae033785e1ad024c953e24cc2f36a6b755a1d30a626380730ce947d09335f", null ] ] ], - [ "i2c_slave_bus_speed_t", "a00016.html#ga5f368505586dd356fc680711023ace7f", null ], - [ "i2c_slave_transfer_event_t", "a00016.html#gac53e5c96a2eed1b5a95b7d84be48f4ac", [ - [ "kI2C_SlaveAddressMatchEvent", "a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4acacb1ae174dd6798a6fd79800a9e39a3c6", null ], - [ "kI2C_SlaveTransmitEvent", "a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca2f85039a57379838909876a1d509b7aa", null ], - [ "kI2C_SlaveReceiveEvent", "a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4acaac8593e808a8137d1b5d3a51640779de", null ], - [ "kI2C_SlaveCompletionEvent", "a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca38cca3cd668e73f51b8e574835d419df", null ], - [ "kI2C_SlaveDeselectedEvent", "a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca5e765c1c316a51908b68f1f32a7b524d", null ], - [ "kI2C_SlaveAllEvents", "a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca94b030ebdac378a84961893ae567bfbc", null ] + [ "_i2c_slave_bus_speed", "a00016.html#ga6c18ce95502d66818793c44df9029eae", null ], + [ "_i2c_slave_transfer_event", "a00016.html#ga87e42e170b60f17f657ef3c06a918133", [ + [ "kI2C_SlaveAddressMatchEvent", "a00016.html#gga87e42e170b60f17f657ef3c06a918133acb1ae174dd6798a6fd79800a9e39a3c6", null ], + [ "kI2C_SlaveTransmitEvent", "a00016.html#gga87e42e170b60f17f657ef3c06a918133a2f85039a57379838909876a1d509b7aa", null ], + [ "kI2C_SlaveReceiveEvent", "a00016.html#gga87e42e170b60f17f657ef3c06a918133aac8593e808a8137d1b5d3a51640779de", null ], + [ "kI2C_SlaveCompletionEvent", "a00016.html#gga87e42e170b60f17f657ef3c06a918133a38cca3cd668e73f51b8e574835d419df", null ], + [ "kI2C_SlaveDeselectedEvent", "a00016.html#gga87e42e170b60f17f657ef3c06a918133a5e765c1c316a51908b68f1f32a7b524d", null ], + [ "kI2C_SlaveAllEvents", "a00016.html#gga87e42e170b60f17f657ef3c06a918133a94b030ebdac378a84961893ae567bfbc", null ] ] ], - [ "i2c_slave_fsm_t", "a00016.html#gad6564299304730416461f62255fcd37c", null ], + [ "_i2c_slave_fsm", "a00016.html#ga41bf767e5abf573149dd68e87fc45276", null ], [ "I2C_SlaveGetDefaultConfig", "a00016.html#ga7115f80e28b62bbbd3be1a2a918529ba", null ], [ "I2C_SlaveInit", "a00016.html#ga175a81b5ab74dd78469d6cdea690d8cc", null ], [ "I2C_SlaveSetAddress", "a00016.html#gacf721065f83982e3df651111183bf7b2", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00017.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00017.html index f6e1364..956fccd 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00017.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00017.html @@ -32,7 +32,7 @@ @@ -101,6 +101,7 @@ $(document).ready(function(){initNavTree('a00017.html','');});
IOCON: I/O pin configuration
@@ -127,16 +128,23 @@ Files
baseThe I2C peripheral base address.
i2c_slave_handle_t * i2c_slave_handle_t handle 
i2c_slave_handle_t * i2c_slave_handle_t handle,
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
- - - + + + +

Data Structures

struct  iocon_group_t
 Array of IOCON pin definitions passed to IOCON_SetPinMuxing() must be in this format. More...
 
struct  _iocon_group
 Array of IOCON pin definitions passed to IOCON_SetPinMuxing() must be in this format. More...
 
+ + + +

+Typedefs

+typedef struct _iocon_group iocon_group_t
 Array of IOCON pin definitions passed to IOCON_SetPinMuxing() must be in this format.
 
- +

Functions

__STATIC_INLINE void IOCON_PinMuxSet (IOCON_Type *base, uint8_t ionumber, uint32_t modefunc)
 IOCON function and mode selection definitions. More...
 
__STATIC_INLINE void IOCON_SetPinMuxing (IOCON_Type *base, const iocon_group_t *pinArray, uint32_t arrayLength)
__STATIC_INLINE void IOCON_SetPinMuxing (IOCON_Type *base, const iocon_group_t *pinArray, uint32_t arrayLength)
 Set all I/O Control pin muxing. More...
 
@@ -147,12 +155,12 @@ Driver version
 

Data Structure Documentation

- +
- +
struct iocon_group_tstruct _iocon_group
@@ -228,7 +236,7 @@ Driver version - const iocon_group_t *  + const iocon_group_tpinArray, diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00017.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00017.js index 3da9ec8..73d03b4 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00017.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00017.js @@ -1,7 +1,8 @@ var a00017 = [ - [ "iocon_group_t", "a00017.html#a00117", null ], + [ "_iocon_group", "a00017.html#a00115", null ], [ "LPC_IOCON_DRIVER_VERSION", "a00017.html#gac4193f0e0cb9b0469f58af2648024059", null ], + [ "iocon_group_t", "a00017.html#ga06bfcbf91ce61bfc72ca270889515d26", null ], [ "IOCON_PinMuxSet", "a00017.html#gaf9258f56192a94928f67940eee5dd879", null ], [ "IOCON_SetPinMuxing", "a00017.html#gaf2cc5d2d92b4e2898a197479e77a326e", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00018.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00018.html index 5b21c0b..d40e7ac 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00018.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00018.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
@@ -101,6 +101,7 @@ $(document).ready(function(){initNavTree('a00018.html','');});
MRT: Multi-Rate Timer
@@ -126,7 +127,7 @@ Start and Stop timer operations

The function MRT_StopTimer() stops the timer counting.

Get and release channel

-

These functions can be used to reserve and release a channel. The function MRT_GetIdleChannel() finds the available channel. This function returns the lowest available channel number. The function MRT_ReleaseChannel() release the channel when the timer is using the multi-task mode. In multi-task mode, the INUSE flags allow more control over when MRT channels are released for further use.

+

These functions can be used to reserve and release a channel. The function MRT_GetIdleChannel() finds the available channel. This function returns the lowest available channel number. The function MRT_ReleaseChannel() release the channel when the timer is using the multi-task mode. In multi-task mode, the INUSE flags allow more control over when MRT channels are released for further use.

Status

Provides functions to get and clear the PIT status.

@@ -146,46 +147,68 @@ Files - - - + + + +

Data Structures

struct  mrt_config_t
 MRT configuration structure. More...
 
struct  _mrt_config
 MRT configuration structure. More...
 
+ + + + + + + + + + + + + + + +

+Typedefs

+typedef enum _mrt_chnl mrt_chnl_t
 List of MRT channels.
 
+typedef enum _mrt_timer_mode mrt_timer_mode_t
 List of MRT timer modes.
 
+typedef enum _mrt_interrupt_enable mrt_interrupt_enable_t
 List of MRT interrupts.
 
+typedef enum _mrt_status_flags mrt_status_flags_t
 List of MRT status flags.
 
typedef struct _mrt_config mrt_config_t
 MRT configuration structure. More...
 
- - - - + + - - - + + - - - + + - - + +

Enumerations

enum  mrt_chnl_t {
-  kMRT_Channel_0 = 0U, +
enum  _mrt_chnl {
+  kMRT_Channel_0 = 0U,
-  kMRT_Channel_1, +  kMRT_Channel_1,
-  kMRT_Channel_2, +  kMRT_Channel_2,
-  kMRT_Channel_3 +  kMRT_Channel_3
}
 List of MRT channels. More...
 
enum  mrt_timer_mode_t {
-  kMRT_RepeatMode = (0 << MRT_CHANNEL_CTRL_MODE_SHIFT), +
 List of MRT channels. More...
 
enum  _mrt_timer_mode {
+  kMRT_RepeatMode = (0 << MRT_CHANNEL_CTRL_MODE_SHIFT),
-  kMRT_OneShotMode = (1 << MRT_CHANNEL_CTRL_MODE_SHIFT), +  kMRT_OneShotMode = (1 << MRT_CHANNEL_CTRL_MODE_SHIFT),
-  kMRT_OneShotStallMode = (2 << MRT_CHANNEL_CTRL_MODE_SHIFT) +  kMRT_OneShotStallMode = (2 << MRT_CHANNEL_CTRL_MODE_SHIFT)
}
 List of MRT timer modes. More...
 
enum  mrt_interrupt_enable_t { kMRT_TimerInterruptEnable = MRT_CHANNEL_CTRL_INTEN_MASK +
 List of MRT timer modes. More...
 
enum  _mrt_interrupt_enable { kMRT_TimerInterruptEnable = MRT_CHANNEL_CTRL_INTEN_MASK }
 List of MRT interrupts. More...
 
enum  mrt_status_flags_t {
-  kMRT_TimerInterruptFlag = MRT_CHANNEL_STAT_INTFLAG_MASK, +
 List of MRT interrupts. More...
 
enum  _mrt_status_flags {
+  kMRT_TimerInterruptFlag = MRT_CHANNEL_STAT_INTFLAG_MASK,
-  kMRT_TimerRunFlag = MRT_CHANNEL_STAT_RUN_MASK +  kMRT_TimerRunFlag = MRT_CHANNEL_STAT_RUN_MASK
}
 List of MRT status flags. More...
 
 List of MRT status flags. More...
 
@@ -196,55 +219,55 @@ Driver version

Driver version

- + - + - +

Initialization and deinitialization

void MRT_Init (MRT_Type *base, const mrt_config_t *config)
void MRT_Init (MRT_Type *base, const mrt_config_t *config)
 Ungates the MRT clock and configures the peripheral for basic operation. More...
 
void MRT_Deinit (MRT_Type *base)
 Gate the MRT clock. More...
 
static void MRT_GetDefaultConfig (mrt_config_t *config)
static void MRT_GetDefaultConfig (mrt_config_t *config)
 Fill in the MRT config struct with the default settings. More...
 
static void MRT_SetupChannelMode (MRT_Type *base, mrt_chnl_t channel, const mrt_timer_mode_t mode)
static void MRT_SetupChannelMode (MRT_Type *base, mrt_chnl_t channel, const mrt_timer_mode_t mode)
 Sets up an MRT channel mode. More...
 
- + - + - +

Interrupt Interface

static void MRT_EnableInterrupts (MRT_Type *base, mrt_chnl_t channel, uint32_t mask)
static void MRT_EnableInterrupts (MRT_Type *base, mrt_chnl_t channel, uint32_t mask)
 Enables the MRT interrupt. More...
 
static void MRT_DisableInterrupts (MRT_Type *base, mrt_chnl_t channel, uint32_t mask)
static void MRT_DisableInterrupts (MRT_Type *base, mrt_chnl_t channel, uint32_t mask)
 Disables the selected MRT interrupt. More...
 
static uint32_t MRT_GetEnabledInterrupts (MRT_Type *base, mrt_chnl_t channel)
static uint32_t MRT_GetEnabledInterrupts (MRT_Type *base, mrt_chnl_t channel)
 Gets the enabled MRT interrupts. More...
 
- + - +

Status Interface

static uint32_t MRT_GetStatusFlags (MRT_Type *base, mrt_chnl_t channel)
static uint32_t MRT_GetStatusFlags (MRT_Type *base, mrt_chnl_t channel)
 Gets the MRT status flags. More...
 
static void MRT_ClearStatusFlags (MRT_Type *base, mrt_chnl_t channel, uint32_t mask)
static void MRT_ClearStatusFlags (MRT_Type *base, mrt_chnl_t channel, uint32_t mask)
 Clears the MRT status flags. More...
 
- + - +

Read and Write the timer period

void MRT_UpdateTimerPeriod (MRT_Type *base, mrt_chnl_t channel, uint32_t count, bool immediateLoad)
void MRT_UpdateTimerPeriod (MRT_Type *base, mrt_chnl_t channel, uint32_t count, bool immediateLoad)
 Used to update the timer period in units of count. More...
 
static uint32_t MRT_GetCurrentTimerCount (MRT_Type *base, mrt_chnl_t channel)
static uint32_t MRT_GetCurrentTimerCount (MRT_Type *base, mrt_chnl_t channel)
 Reads the current timer counting value. More...
 
- + - +

Timer Start and Stop

static void MRT_StartTimer (MRT_Type *base, mrt_chnl_t channel, uint32_t count)
static void MRT_StartTimer (MRT_Type *base, mrt_chnl_t channel, uint32_t count)
 Starts the timer counting. More...
 
static void MRT_StopTimer (MRT_Type *base, mrt_chnl_t channel)
static void MRT_StopTimer (MRT_Type *base, mrt_chnl_t channel)
 Stops the timer counting. More...
 
@@ -253,17 +276,14 @@ Get & release channel - - -
static uint32_t MRT_GetIdleChannel (MRT_Type *base)
 Find the available channel. More...
 
static void MRT_ReleaseChannel (MRT_Type *base, mrt_chnl_t channel)
 Release the channel when the timer is using the multi-task mode. More...
 

Data Structure Documentation

- +
- +
struct mrt_config_tstruct _mrt_config
@@ -271,95 +291,110 @@ Get & release channel

The config struct can be made const so it resides in flash

- - - + + +

Data Fields

-bool enableMultiTask
 true: Timers run in multi-task mode; false: Timers run in hardware status mode
 
+bool enableMultiTask
 true: Timers run in multi-task mode; false: Timers run in hardware status mode
 
-

Enumeration Type Documentation

- +

Typedef Documentation

+
- + + +
enum mrt_chnl_ttypedef struct _mrt_config mrt_config_t
+
+

This structure holds the configuration settings for the MRT peripheral. To initialize this structure to reasonable defaults, call the MRT_GetDefaultConfig() function and pass a pointer to your config structure instance.

+

The config struct can be made const so it resides in flash

+ +
+
+

Enumeration Type Documentation

+ +
+
+ + +
enum _mrt_chnl
- - - -
Enumerator
kMRT_Channel_0  +
Enumerator
kMRT_Channel_0 

MRT channel number 0.

kMRT_Channel_1  +
kMRT_Channel_1 

MRT channel number 1.

kMRT_Channel_2  +
kMRT_Channel_2 

MRT channel number 2.

kMRT_Channel_3  +
kMRT_Channel_3 

MRT channel number 3.

- +
- - -
Enumerator
kMRT_RepeatMode  +
Enumerator
kMRT_RepeatMode 

Repeat Interrupt mode.

kMRT_OneShotMode  +
kMRT_OneShotMode 

One-shot Interrupt mode.

kMRT_OneShotStallMode  +
kMRT_OneShotStallMode 

One-shot stall mode.

- +
-
Enumerator
kMRT_TimerInterruptEnable  +
Enumerator
kMRT_TimerInterruptEnable 

Timer interrupt enable.

- +
- -
Enumerator
kMRT_TimerInterruptFlag  +
Enumerator
kMRT_TimerInterruptFlag 

Timer interrupt flag.

kMRT_TimerRunFlag  +
kMRT_TimerRunFlag 

Indicates state of the timer.

@@ -380,7 +415,7 @@ bool  - const mrt_config_t *  + const mrt_config_tconfig  @@ -433,7 +468,7 @@ bool static void MRT_GetDefaultConfig ( - mrt_config_t *  + mrt_config_tconfig) @@ -472,13 +507,13 @@ bool  - mrt_chnl_t  + mrt_chnl_t  channel, - const mrt_timer_mode_t  + const mrt_timer_mode_t  mode  @@ -520,7 +555,7 @@ bool  - mrt_chnl_t  + mrt_chnl_t  channel, @@ -545,7 +580,7 @@ bool  baseMulti-Rate timer peripheral base address channelTimer channel number - maskThe interrupts to enable. This is a logical OR of members of the enumeration mrt_interrupt_enable_t + maskThe interrupts to enable. This is a logical OR of members of the enumeration mrt_interrupt_enable_t
@@ -568,7 +603,7 @@ bool  - mrt_chnl_t  + mrt_chnl_t  channel, @@ -593,7 +628,7 @@ bool  baseMulti-Rate timer peripheral base address channelTimer channel number - maskThe interrupts to disable. This is a logical OR of members of the enumeration mrt_interrupt_enable_t + maskThe interrupts to disable. This is a logical OR of members of the enumeration mrt_interrupt_enable_t
@@ -616,7 +651,7 @@ bool  - mrt_chnl_t  + mrt_chnl_t  channel  @@ -638,7 +673,7 @@ bool 
Returns
The enabled interrupts. This is the logical OR of members of the enumeration mrt_interrupt_enable_t
+
Returns
The enabled interrupts. This is the logical OR of members of the enumeration mrt_interrupt_enable_t
@@ -658,7 +693,7 @@ bool  - mrt_chnl_t  + mrt_chnl_t  channel  @@ -680,7 +715,7 @@ bool 
Returns
The status flags. This is the logical OR of members of the enumeration mrt_status_flags_t
+
Returns
The status flags. This is the logical OR of members of the enumeration mrt_status_flags_t
@@ -700,7 +735,7 @@ bool  - mrt_chnl_t  + mrt_chnl_t  channel, @@ -725,7 +760,7 @@ bool  baseMulti-Rate timer peripheral base address channelTimer channel number - maskThe status flags to clear. This is a logical OR of members of the enumeration mrt_status_flags_t + maskThe status flags to clear. This is a logical OR of members of the enumeration mrt_status_flags_t @@ -745,7 +780,7 @@ bool  - mrt_chnl_t  + mrt_chnl_t  channel, @@ -797,7 +832,7 @@ bool  - mrt_chnl_t  + mrt_chnl_t  channel  @@ -841,7 +876,7 @@ bool  - mrt_chnl_t  + mrt_chnl_t  channel, @@ -891,7 +926,7 @@ bool  - mrt_chnl_t  + mrt_chnl_t  channel  @@ -946,48 +981,6 @@ bool  -
-
- - - - - -
- - - - - - - - - - - - - - - - - - -
static void MRT_ReleaseChannel (MRT_Type * base,
mrt_chnl_t channel 
)
-
-inlinestatic
-
-

In multi-task mode, the INUSE flags allow more control over when MRT channels are released for further use. The user can hold on to a channel acquired by calling MRT_GetIdleChannel() for as long as it is needed and release it by calling this function. This removes the need to ask for an available channel for every use.

-
Parameters
- - - -
baseMulti-Rate timer peripheral base address
channelTimer channel number.
-
-
-
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00018.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00018.js index b7ce70f..f4a72b4 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00018.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00018.js @@ -1,26 +1,31 @@ var a00018 = [ - [ "mrt_config_t", "a00018.html#a00118", [ - [ "enableMultiTask", "a00018.html#aa8d8b810ee17770fd48674454f59bb08", null ] + [ "_mrt_config", "a00018.html#a00116", [ + [ "enableMultiTask", "a00018.html#adf44f75ebc1e4c27ed0cf88c03c122d9", null ] ] ], [ "FSL_MRT_DRIVER_VERSION", "a00018.html#gae39f6122bd73a5156d40291962f7507f", null ], - [ "mrt_chnl_t", "a00018.html#gaece5c1972e35dec2efcce98847a09622", [ - [ "kMRT_Channel_0", "a00018.html#ggaece5c1972e35dec2efcce98847a09622a27e83d437e2798b19c59c2af4d99e1dc", null ], - [ "kMRT_Channel_1", "a00018.html#ggaece5c1972e35dec2efcce98847a09622a44b7807f36fe0a21240e721d46d8f9d7", null ], - [ "kMRT_Channel_2", "a00018.html#ggaece5c1972e35dec2efcce98847a09622a17597fb66d9b1d3e97b318db79267f52", null ], - [ "kMRT_Channel_3", "a00018.html#ggaece5c1972e35dec2efcce98847a09622af57e6e009258a71bc3a2890aedff34b3", null ] + [ "mrt_chnl_t", "a00018.html#gaf78437e7a713ae57fd5c12135af514ba", null ], + [ "mrt_timer_mode_t", "a00018.html#gaff791fb43331fc1ae8f4e0d9003ac286", null ], + [ "mrt_interrupt_enable_t", "a00018.html#ga35fd7f67352e6660946fe980fcd4fc5d", null ], + [ "mrt_status_flags_t", "a00018.html#ga42f664094e65ac53728a8bb0dea0d641", null ], + [ "mrt_config_t", "a00018.html#gadb656916391d01109e84f6e5d97fd5c3", null ], + [ "_mrt_chnl", "a00018.html#ga05660f6ec5e7c0ac6204165d7ab9bf35", [ + [ "kMRT_Channel_0", "a00018.html#gga05660f6ec5e7c0ac6204165d7ab9bf35a27e83d437e2798b19c59c2af4d99e1dc", null ], + [ "kMRT_Channel_1", "a00018.html#gga05660f6ec5e7c0ac6204165d7ab9bf35a44b7807f36fe0a21240e721d46d8f9d7", null ], + [ "kMRT_Channel_2", "a00018.html#gga05660f6ec5e7c0ac6204165d7ab9bf35a17597fb66d9b1d3e97b318db79267f52", null ], + [ "kMRT_Channel_3", "a00018.html#gga05660f6ec5e7c0ac6204165d7ab9bf35af57e6e009258a71bc3a2890aedff34b3", null ] ] ], - [ "mrt_timer_mode_t", "a00018.html#gad481f648f1c89a1eab327530d6fef1d0", [ - [ "kMRT_RepeatMode", "a00018.html#ggad481f648f1c89a1eab327530d6fef1d0a6b415c060dc09ed293ec3dda829cb257", null ], - [ "kMRT_OneShotMode", "a00018.html#ggad481f648f1c89a1eab327530d6fef1d0abe4ba0a30bc09f1921a0504eb826b3dc", null ], - [ "kMRT_OneShotStallMode", "a00018.html#ggad481f648f1c89a1eab327530d6fef1d0add9f55173bb54f623c955c29c22dd5b2", null ] + [ "_mrt_timer_mode", "a00018.html#gae772c3f1dc986d55a787b987f731b389", [ + [ "kMRT_RepeatMode", "a00018.html#ggae772c3f1dc986d55a787b987f731b389a6b415c060dc09ed293ec3dda829cb257", null ], + [ "kMRT_OneShotMode", "a00018.html#ggae772c3f1dc986d55a787b987f731b389abe4ba0a30bc09f1921a0504eb826b3dc", null ], + [ "kMRT_OneShotStallMode", "a00018.html#ggae772c3f1dc986d55a787b987f731b389add9f55173bb54f623c955c29c22dd5b2", null ] ] ], - [ "mrt_interrupt_enable_t", "a00018.html#ga9d2f90ae2c6f99410e2908dac8cc6943", [ - [ "kMRT_TimerInterruptEnable", "a00018.html#gga9d2f90ae2c6f99410e2908dac8cc6943a2a5e8ee568da2c888093b732f2157ea5", null ] + [ "_mrt_interrupt_enable", "a00018.html#ga854d01b9575db5b6f0f7aaad49cf0c7d", [ + [ "kMRT_TimerInterruptEnable", "a00018.html#gga854d01b9575db5b6f0f7aaad49cf0c7da2a5e8ee568da2c888093b732f2157ea5", null ] ] ], - [ "mrt_status_flags_t", "a00018.html#ga0bb94508d8cf924c3a6971364377673a", [ - [ "kMRT_TimerInterruptFlag", "a00018.html#gga0bb94508d8cf924c3a6971364377673aa6942598faf3aef148a850f8850cf01c3", null ], - [ "kMRT_TimerRunFlag", "a00018.html#gga0bb94508d8cf924c3a6971364377673aa745c62687191197b48b35dbd1aac40db", null ] + [ "_mrt_status_flags", "a00018.html#ga13878b183833475306c8aabb81391a8a", [ + [ "kMRT_TimerInterruptFlag", "a00018.html#gga13878b183833475306c8aabb81391a8aa6942598faf3aef148a850f8850cf01c3", null ], + [ "kMRT_TimerRunFlag", "a00018.html#gga13878b183833475306c8aabb81391a8aa745c62687191197b48b35dbd1aac40db", null ] ] ], [ "MRT_Init", "a00018.html#ga44091408531a61dfac7c4ee4982be317", null ], [ "MRT_Deinit", "a00018.html#ga5d988ee09b604ab28f1b37320ee8d2d9", null ], @@ -35,6 +40,5 @@ var a00018 = [ "MRT_GetCurrentTimerCount", "a00018.html#ga7c0bbb20b4abd7450f860277ef9d8a20", null ], [ "MRT_StartTimer", "a00018.html#ga388dd5041e6c179d637e17cd6e5a607e", null ], [ "MRT_StopTimer", "a00018.html#ga7620866a54acdcc17abab2b46a94dc6c", null ], - [ "MRT_GetIdleChannel", "a00018.html#ga320ba098c93a30174254eb763dd37dde", null ], - [ "MRT_ReleaseChannel", "a00018.html#ga8e55bb859e4f9b98ea8ec580ed7b106a", null ] + [ "MRT_GetIdleChannel", "a00018.html#ga320ba098c93a30174254eb763dd37dde", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00019.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00019.html index 11b6f79..01a7712 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00019.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00019.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
@@ -119,21 +119,21 @@ Files - - - - - + + + + + + + + - - + + - - - - - - + + +

Data Structures

struct  spi_delay_config_t
 SPI delay time configure structure. More...
 
struct  spi_master_config_t
 SPI master user configure structure. More...
struct  _spi_delay_config
 SPI delay time configure structure. More...
 
struct  _spi_master_config
 SPI master user configure structure. More...
 
struct  _spi_slave_config
 SPI slave user configure structure. More...
 
struct  spi_slave_config_t
 SPI slave user configure structure. More...
struct  _spi_transfer
 SPI transfer structure. More...
 
struct  spi_transfer_t
 SPI transfer structure. More...
 
struct  spi_master_handle_t
 SPI transfer handle structure. More...
 
struct  _spi_master_handle
 SPI transfer handle structure. More...
 
@@ -146,12 +146,50 @@ Macros

Macros

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +typedef spi_master_handle_t  +typedef void(*  } - - - - + + - - - + + - - - + + - - - - - - + + + + + - - + +

Typedefs

typedef enum _spi_shift_direction spi_shift_direction_t
 SPI data shifter direction options. More...
 
typedef enum _spi_clock_polarity spi_clock_polarity_t
 SPI clock polarity configuration. More...
 
typedef enum _spi_clock_phase spi_clock_phase_t
 SPI clock phase configuration. More...
 
+typedef enum _spi_ssel spi_ssel_t
 Slave select.
 
+typedef enum _spi_spol spi_spol_t
 ssel polarity
 
+typedef enum _spi_data_width spi_data_width_t
 Transfer data width.
 
typedef struct _spi_delay_config spi_delay_config_t
 SPI delay time configure structure. More...
 
typedef struct _spi_master_config spi_master_config_t
 SPI master user configure structure. More...
 
typedef struct _spi_slave_config spi_slave_config_t
 SPI slave user configure structure. More...
 
+typedef struct _spi_transfer spi_transfer_t
 SPI transfer structure.
 
+typedef struct _spi_master_handle spi_master_handle_t
 Master handle type.
 
-typedef spi_master_handle_t spi_slave_handle_t
spi_slave_handle_t
 Slave handle type.
 
-typedef void(* spi_master_callback_t )(SPI_Type *base, spi_master_handle_t *handle, status_t status, void *userData)
spi_master_callback_t )(SPI_Type *base, spi_master_handle_t *handle, status_t status, void *userData)
 SPI master callback for finished transmit.
 
@@ -171,67 +209,67 @@ Enumerations
 SPI transfer option. More...
 
enum  spi_shift_direction_t {
-  kSPI_MsbFirst = 0U, +
enum  _spi_shift_direction {
+  kSPI_MsbFirst = 0U,
-  kSPI_LsbFirst = 1U +  kSPI_LsbFirst = 1U
}
 SPI data shifter direction options. More...
 
enum  spi_clock_polarity_t {
-  kSPI_ClockPolarityActiveHigh = 0x0U, +
 SPI data shifter direction options. More...
 
enum  _spi_clock_polarity {
+  kSPI_ClockPolarityActiveHigh = 0x0U,
-  kSPI_ClockPolarityActiveLow = 0x1U +  kSPI_ClockPolarityActiveLow = 0x1U
}
 SPI clock polarity configuration. More...
 
enum  spi_clock_phase_t {
-  kSPI_ClockPhaseFirstEdge = 0x0U, +
 SPI clock polarity configuration. More...
 
enum  _spi_clock_phase {
+  kSPI_ClockPhaseFirstEdge = 0x0U,
-  kSPI_ClockPhaseSecondEdge = 0x1U +  kSPI_ClockPhaseSecondEdge = 0x1U
}
 SPI clock phase configuration. More...
 
enum  spi_ssel_t { kSPI_Ssel0Assert = (int)(~SPI_TXDATCTL_TXSSEL0_N_MASK) +
 SPI clock phase configuration. More...
 
enum  _spi_ssel { kSPI_Ssel0Assert = (int)(~SPI_TXDATCTL_TXSSEL0_N_MASK) }
 Slave select. More...
 
enum  spi_spol_t
 ssel polarity
 
enum  spi_data_width_t {
-  kSPI_Data4Bits = 3, +
 Slave select. More...
 
enum  _spi_spol
 ssel polarity
 
enum  _spi_data_width {
+  kSPI_Data4Bits = 3,
-  kSPI_Data5Bits = 4, +  kSPI_Data5Bits = 4,
-  kSPI_Data6Bits = 5, +  kSPI_Data6Bits = 5,
-  kSPI_Data7Bits = 6, +  kSPI_Data7Bits = 6,
-  kSPI_Data8Bits = 7, +  kSPI_Data8Bits = 7,
-  kSPI_Data9Bits = 8, +  kSPI_Data9Bits = 8,
-  kSPI_Data10Bits = 9, +  kSPI_Data10Bits = 9,
-  kSPI_Data11Bits = 10, +  kSPI_Data11Bits = 10,
-  kSPI_Data12Bits = 11, +  kSPI_Data12Bits = 11,
-  kSPI_Data13Bits = 12, +  kSPI_Data13Bits = 12,
-  kSPI_Data14Bits = 13, +  kSPI_Data14Bits = 13,
-  kSPI_Data15Bits = 14, +  kSPI_Data15Bits = 14,
-  kSPI_Data16Bits = 15 +  kSPI_Data16Bits = 15
}
 Transfer data width. More...
 
 Transfer data width. More...
 
enum  {
  kStatus_SPI_Busy = MAKE_STATUS(kStatusGroup_LPC_MINISPI, 0),
@@ -299,16 +337,16 @@ Driver version
- + - + - + - + @@ -356,37 +394,37 @@ Bus Operations - + - +

Initialization and deinitialization

void SPI_MasterGetDefaultConfig (spi_master_config_t *config)
void SPI_MasterGetDefaultConfig (spi_master_config_t *config)
 Sets the SPI master configuration structure to default values. More...
 
status_t SPI_MasterInit (SPI_Type *base, const spi_master_config_t *config, uint32_t srcClock_Hz)
status_t SPI_MasterInit (SPI_Type *base, const spi_master_config_t *config, uint32_t srcClock_Hz)
 Initializes the SPI with master configuration. More...
 
void SPI_SlaveGetDefaultConfig (spi_slave_config_t *config)
void SPI_SlaveGetDefaultConfig (spi_slave_config_t *config)
 Sets the SPI slave configuration structure to default values. More...
 
status_t SPI_SlaveInit (SPI_Type *base, const spi_slave_config_t *config)
status_t SPI_SlaveInit (SPI_Type *base, const spi_slave_config_t *config)
 Initializes the SPI with slave configuration. More...
 
void SPI_Deinit (SPI_Type *base)
static uint32_t SPI_ReadData (SPI_Type *base)
 Gets a data from the SPI data register. More...
 
void SPI_SetTransferDelay (SPI_Type *base, const spi_delay_config_t *config)
void SPI_SetTransferDelay (SPI_Type *base, const spi_delay_config_t *config)
 Set delay time for transfer. More...
 
void SPI_SetDummyData (SPI_Type *base, uint16_t dummyData)
 Set up the dummy data. More...
 
status_t SPI_MasterTransferBlocking (SPI_Type *base, spi_transfer_t *xfer)
status_t SPI_MasterTransferBlocking (SPI_Type *base, spi_transfer_t *xfer)
 Transfers a block of data using a polling method. More...
 
- + - + - + - + - + - + @@ -400,73 +438,140 @@ Transactional

Transactional

status_t SPI_MasterTransferCreateHandle (SPI_Type *base, spi_master_handle_t *handle, spi_master_callback_t callback, void *userData)
status_t SPI_MasterTransferCreateHandle (SPI_Type *base, spi_master_handle_t *handle, spi_master_callback_t callback, void *userData)
 Initializes the SPI master handle. More...
 
status_t SPI_MasterTransferNonBlocking (SPI_Type *base, spi_master_handle_t *handle, spi_transfer_t *xfer)
status_t SPI_MasterTransferNonBlocking (SPI_Type *base, spi_master_handle_t *handle, spi_transfer_t *xfer)
 Performs a non-blocking SPI interrupt transfer. More...
 
status_t SPI_MasterTransferGetCount (SPI_Type *base, spi_master_handle_t *handle, size_t *count)
status_t SPI_MasterTransferGetCount (SPI_Type *base, spi_master_handle_t *handle, size_t *count)
 Gets the master transfer count. More...
 
void SPI_MasterTransferAbort (SPI_Type *base, spi_master_handle_t *handle)
void SPI_MasterTransferAbort (SPI_Type *base, spi_master_handle_t *handle)
 SPI master aborts a transfer using an interrupt. More...
 
void SPI_MasterTransferHandleIRQ (SPI_Type *base, spi_master_handle_t *handle)
void SPI_MasterTransferHandleIRQ (SPI_Type *base, spi_master_handle_t *handle)
 Interrupts the handler for the SPI. More...
 
status_t SPI_SlaveTransferCreateHandle (SPI_Type *base, spi_slave_handle_t *handle, spi_slave_callback_t callback, void *userData)
 Initializes the SPI slave handle. More...
 
status_t SPI_SlaveTransferNonBlocking (SPI_Type *base, spi_slave_handle_t *handle, spi_transfer_t *xfer)
status_t SPI_SlaveTransferNonBlocking (SPI_Type *base, spi_slave_handle_t *handle, spi_transfer_t *xfer)
 Performs a non-blocking SPI slave interrupt transfer. More...
 
static status_t SPI_SlaveTransferGetCount (SPI_Type *base, spi_slave_handle_t *handle, size_t *count)
 

Data Structure Documentation

- +
- +
struct spi_delay_config_tstruct _spi_delay_config
- - - - - - - - - - - - + + + + + + + + + + + +

Data Fields

uint8_t preDelay
 Delay between SSEL assertion and the beginning of transfer. More...
 
uint8_t postDelay
 Delay between the end of transfer and SSEL deassertion. More...
 
uint8_t frameDelay
 Delay between frame to frame. More...
 
uint8_t transferDelay
 Delay between transfer to transfer. More...
 
uint8_t preDelay
 Delay between SSEL assertion and the beginning of transfer. More...
 
uint8_t postDelay
 Delay between the end of transfer and SSEL deassertion. More...
 
uint8_t frameDelay
 Delay between frame to frame. More...
 
uint8_t transferDelay
 Delay between transfer to transfer. More...
 

Field Documentation

- +
- +
uint8_t spi_delay_config_t::preDelayuint8_t _spi_delay_config::preDelay
- +
- +
uint8_t spi_delay_config_t::postDelayuint8_t _spi_delay_config::postDelay
- +
- +
uint8_t spi_delay_config_t::frameDelayuint8_t _spi_delay_config::frameDelay
- +
- + + +
uint8_t spi_delay_config_t::transferDelayuint8_t _spi_delay_config::transferDelay
+
+ +
+
+ +
+
+ +
+
+ + + + +
struct _spi_master_config
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Data Fields

+bool enableLoopback
 Enable loopback for test purpose.
 
+bool enableMaster
 Enable SPI at initialization time.
 
+uint32_t baudRate_Bps
 Baud Rate for SPI in Hz.
 
+spi_clock_polarity_t clockPolarity
 Clock polarity.
 
+spi_clock_phase_t clockPhase
 Clock phase.
 
+spi_shift_direction_t direction
 MSB or LSB.
 
+uint8_t dataWidth
 Width of the data.
 
+spi_ssel_t sselNumber
 Slave select number.
 
+spi_spol_t sselPolarity
 Configure active CS polarity.
 
spi_delay_config_t delayConfig
 Configure for delay time. More...
 
+

Field Documentation

+ +
+
+ + +
spi_delay_config_t _spi_master_config::delayConfig
@@ -481,65 +586,37 @@ Transactional
- +
struct spi_master_config_tstruct _spi_slave_config
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + +

Data Fields

-bool enableLoopback
 Enable loopback for test purpose.
 
-bool enableMaster
 Enable SPI at initialization time.
 
-uint32_t baudRate_Bps
 Baud Rate for SPI in Hz.
 
-spi_clock_polarity_t clockPolarity
 Clock polarity.
 
-spi_clock_phase_t clockPhase
 Clock phase.
 
-spi_shift_direction_t direction
 MSB or LSB.
 
-uint8_t dataWidth
 Width of the data.
 
-spi_ssel_t sselNumber
 Slave select number.
 
-spi_spol_t sselPolarity
 Configure active CS polarity.
 
spi_delay_config_t delayConfig
 Configure for delay time. More...
 
+bool enableSlave
 Enable SPI at initialization time.
 
+spi_clock_polarity_t clockPolarity
 Clock polarity.
 
+spi_clock_phase_t clockPhase
 Clock phase.
 
+spi_shift_direction_t direction
 MSB or LSB.
 
+uint8_t dataWidth
 Width of the data.
 
+spi_spol_t sselPolarity
 Configure active CS polarity.
 
-

Field Documentation

- -
-
- - - - -
spi_delay_config_t spi_master_config_t::delayConfig
-
- -
-
@@ -548,74 +625,35 @@ uint8_t  - +
struct spi_slave_config_tstruct _spi_transfer
-
- -
-
- - - - -
struct spi_transfer_t
-
-
- - - - - - - - - - - - - + + + + + + + + + + + +

Data Fields

-uint8_t * txData
 Send buffer.
 
-uint8_t * rxData
 Receive buffer.
 
-size_t dataSize
 Transfer bytes.
 
uint32_t configFlags
 Additional option to control transfer _spi_xfer_option. More...
 
+uint8_t * txData
 Send buffer.
 
+uint8_t * rxData
 Receive buffer.
 
+size_t dataSize
 Transfer bytes.
 
uint32_t configFlags
 Additional option to control transfer _spi_xfer_option. More...
 

Field Documentation

- +
- +
uint32_t spi_transfer_t::configFlagsuint32_t _spi_transfer::configFlags
@@ -625,7 +663,7 @@ size_t  +
@@ -634,56 +672,55 @@ size_t  - +
-

Master handle type.

-
+
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Data Fields

-uint8_t *volatile txData
 Transfer buffer.
 
-uint8_t *volatile rxData
 Receive buffer.
 
-volatile size_t txRemainingBytes
 Number of data to be transmitted [in bytes].
 
-volatile size_t rxRemainingBytes
 Number of data to be received [in bytes].
 
-size_t totalByteCount
 A number of transfer bytes.
 
-volatile uint32_t state
 SPI internal state.
 
-spi_master_callback_t callback
 SPI callback.
 
-void * userData
 Callback parameter.
 
-uint8_t dataWidth
 Width of the data [Valid values: 1 to 16].
 
uint32_t lastCommand
 Last command for transfer. More...
 
+uint8_t *volatile txData
 Transfer buffer.
 
+uint8_t *volatile rxData
 Receive buffer.
 
+volatile size_t txRemainingBytes
 Number of data to be transmitted [in bytes].
 
+volatile size_t rxRemainingBytes
 Number of data to be received [in bytes].
 
+size_t totalByteCount
 A number of transfer bytes.
 
+volatile uint32_t state
 SPI internal state.
 
+spi_master_callback_t callback
 SPI callback.
 
+void * userData
 Callback parameter.
 
+uint8_t dataWidth
 Width of the data [Valid values: 1 to 16].
 
uint32_t lastCommand
 Last command for transfer. More...
 

Field Documentation

-
+
- +
uint32_t spi_master_handle_t::lastCommanduint32_t _spi_master_handle::lastCommand
@@ -728,6 +765,79 @@ uint8_t 
+ + +

Typedef Documentation

+
+
+
+ +
+
+ +
+
+ + + + +
typedef enum _spi_clock_polarity spi_clock_polarity_t
+
+ +
+
+ +
+
+ + + + +
typedef enum _spi_clock_phase spi_clock_phase_t
+
+ +
+
+ +
+
+ + + + +
typedef struct _spi_delay_config spi_delay_config_t
+
+ +
+
+ +
+
+ + + + +
typedef struct _spi_master_config spi_master_config_t
+
+ +
+
+ +
+
+ + + + +
typedef struct _spi_slave_config spi_slave_config_t
+
+

Enumeration Type Documentation

@@ -754,130 +864,130 @@ uint8_t 
+
- -
Enumerator
kSPI_MsbFirst  +
Enumerator
kSPI_MsbFirst 

Data transfers start with most significant bit.

kSPI_LsbFirst  +
kSPI_LsbFirst 

Data transfers start with least significant bit.

- +
- -
Enumerator
kSPI_ClockPolarityActiveHigh  +
Enumerator
kSPI_ClockPolarityActiveHigh 

Active-high SPI clock (idles low).

kSPI_ClockPolarityActiveLow  +
kSPI_ClockPolarityActiveLow 

Active-low SPI clock (idles high).

- +
- -
Enumerator
kSPI_ClockPhaseFirstEdge  +
Enumerator
kSPI_ClockPhaseFirstEdge 

First edge on SCK occurs at the middle of the first cycle of a data transfer.

kSPI_ClockPhaseSecondEdge  +
kSPI_ClockPhaseSecondEdge 

First edge on SCK occurs at the start of the first cycle of a data transfer.

- +
- +
enum spi_ssel_tenum _spi_ssel
-
Enumerator
kSPI_Ssel0Assert  +
Enumerator
kSPI_Ssel0Assert 

Slave select 0.

- +
- - - - - - - - - - - - -
Enumerator
kSPI_Data4Bits  +
Enumerator
kSPI_Data4Bits 

4 bits data width

kSPI_Data5Bits  +
kSPI_Data5Bits 

5 bits data width

kSPI_Data6Bits  +
kSPI_Data6Bits 

6 bits data width

kSPI_Data7Bits  +
kSPI_Data7Bits 

7 bits data width

kSPI_Data8Bits  +
kSPI_Data8Bits 

8 bits data width

kSPI_Data9Bits  +
kSPI_Data9Bits 

9 bits data width

kSPI_Data10Bits  +
kSPI_Data10Bits 

10 bits data width

kSPI_Data11Bits  +
kSPI_Data11Bits 

11 bits data width

kSPI_Data12Bits  +
kSPI_Data12Bits 

12 bits data width

kSPI_Data13Bits  +
kSPI_Data13Bits 

13 bits data width

kSPI_Data14Bits  +
kSPI_Data14Bits 

14 bits data width

kSPI_Data15Bits  +
kSPI_Data15Bits 

15 bits data width

kSPI_Data16Bits  +
kSPI_Data16Bits 

16 bits data width

@@ -1010,14 +1120,14 @@ uint8_t 
void SPI_MasterGetDefaultConfig (spi_master_config_tspi_master_config_t config)

The purpose of this API is to get the configuration structure initialized for use in SPI_MasterInit(). User may use the initialized structure unchanged in SPI_MasterInit(), or modify some fields of the structure before calling SPI_MasterInit(). After calling this API, the master is ready to transfer. Example:

-
+
Parameters
@@ -1041,7 +1151,7 @@ uint8_t  - + @@ -1058,8 +1168,8 @@ uint8_t  - +
const spi_master_config_tconst spi_master_config_t config,

The configuration structure can be filled by user from scratch, or be set with default values by SPI_MasterGetDefaultConfig(). After calling this API, the slave is ready to transfer. Example

-
-
.baudRate_Bps = 500000,
+
+
.baudRate_Bps = 500000,
...
};
SPI_MasterInit(SPI0, &config);
@@ -1081,14 +1191,14 @@ uint8_t 
void SPI_SlaveGetDefaultConfig (spi_slave_config_tspi_slave_config_t config)

The purpose of this API is to get the configuration structure initialized for use in SPI_SlaveInit(). Modify some fields of the structure before calling SPI_SlaveInit(). Example:

-
+
Parameters
@@ -1112,7 +1222,7 @@ uint8_t  - + @@ -1123,10 +1233,10 @@ uint8_t  - + @@ -1618,7 +1728,7 @@ uint8_t  - + @@ -1713,7 +1823,7 @@ uint8_t  - + @@ -1761,13 +1871,13 @@ uint8_t  - + - + @@ -1809,7 +1919,7 @@ uint8_t  - + @@ -1851,7 +1961,7 @@ uint8_t  - + @@ -1885,7 +1995,7 @@ uint8_t  - + @@ -1972,7 +2082,7 @@ uint8_t  - + diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00019.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00019.js index 29ea43b..e6be5a3 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00019.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00019.js @@ -1,52 +1,63 @@ var a00019 = [ - [ "spi_delay_config_t", "a00019.html#a00119", [ - [ "preDelay", "a00019.html#a24a2584817f03d1ace0a6cecc718bc09", null ], - [ "postDelay", "a00019.html#a960b87887e431dabbb5641109cb56d90", null ], - [ "frameDelay", "a00019.html#a9609e5c510bf5d0c120a403ed40aed42", null ], - [ "transferDelay", "a00019.html#a7ea0733fc746e2bafe1c0999db7d4804", null ] + [ "_spi_delay_config", "a00019.html#a00117", [ + [ "preDelay", "a00019.html#a5a9538fb17f9ad1ae6e5bc3654674bf5", null ], + [ "postDelay", "a00019.html#aed8236ecb1aea1a39be3f060e8de34c7", null ], + [ "frameDelay", "a00019.html#abf7584dd222128b434052c58d1bdecbc", null ], + [ "transferDelay", "a00019.html#aef054205e545959e62a3aea546cee042", null ] ] ], - [ "spi_master_config_t", "a00019.html#a00120", [ - [ "enableLoopback", "a00019.html#a384bc00ef4cd5b4e9cb6a4d48ec336bd", null ], - [ "enableMaster", "a00019.html#aa033bd20cfbb1a14f0fd43f4b31bb27e", null ], - [ "baudRate_Bps", "a00019.html#ae7695987e044d80983fd98a43812b1ea", null ], - [ "clockPolarity", "a00019.html#a780c1f03e9670a2c38068a7bd49d4f13", null ], - [ "clockPhase", "a00019.html#a7fbed6c387c99764c4f405fc7d9761ee", null ], - [ "direction", "a00019.html#aace9261acfad8526f3d5b0b59346629a", null ], - [ "dataWidth", "a00019.html#a018402d4d181b482376a878b38db740f", null ], - [ "sselNumber", "a00019.html#af889de97327eb44641d8acc1f509d074", null ], - [ "sselPolarity", "a00019.html#ab514b4866ee539808abd92a75ca8be51", null ], - [ "delayConfig", "a00019.html#a787477e7b0ae7833dcbdb1c85fef361c", null ] + [ "_spi_master_config", "a00019.html#a00118", [ + [ "enableLoopback", "a00019.html#a1677d25ffaa802e2a44ea7ed20d2ca18", null ], + [ "enableMaster", "a00019.html#a21f290440b9d5e90f40c297a35e9d5ec", null ], + [ "baudRate_Bps", "a00019.html#a8cba2f473c8c924773ddc933be83c939", null ], + [ "clockPolarity", "a00019.html#ae90ee3831c40c91378c657046f74825f", null ], + [ "clockPhase", "a00019.html#af9e0087b540a3d80d58ab1cd7384538f", null ], + [ "direction", "a00019.html#ae222bf1f735603efc912489f7d3b778c", null ], + [ "dataWidth", "a00019.html#a8d506d4156e7e9d46ba531ff56ddd3a7", null ], + [ "sselNumber", "a00019.html#a2f58207867da4bce8e21aef3d14b36c0", null ], + [ "sselPolarity", "a00019.html#af04e8fe6a645da87ee12619e59e2598f", null ], + [ "delayConfig", "a00019.html#a0dd557fb69673bc7e825d0e9845fd575", null ] ] ], - [ "spi_slave_config_t", "a00019.html#a00121", [ - [ "enableSlave", "a00019.html#a9fcd3fae6d886c7dfd0c3fd4cd51e6fe", null ], - [ "clockPolarity", "a00019.html#afd5bb71a7dc1908cb8a1860564601a45", null ], - [ "clockPhase", "a00019.html#a56c657f64c2e26fe7dd772c1a77efb9e", null ], - [ "direction", "a00019.html#ad4eb458a0c1a0c0934eec53d71b78ffb", null ], - [ "dataWidth", "a00019.html#adc19252b2493f3f9d12f029e57908ce5", null ], - [ "sselPolarity", "a00019.html#a0d0bceaaae2e5bae690fb2c3289f48f6", null ] + [ "_spi_slave_config", "a00019.html#a00120", [ + [ "enableSlave", "a00019.html#a9cd2350556d5f374c285e1cc82d1a0cd", null ], + [ "clockPolarity", "a00019.html#ad3c74e7d0889da63926fe36ab14ec477", null ], + [ "clockPhase", "a00019.html#abcaa0eec27e2e09c26c4eb4d7a516de8", null ], + [ "direction", "a00019.html#aa45fa3b6868b3efab6bb769342a83b08", null ], + [ "dataWidth", "a00019.html#a7313f9e492afbf8ff4680502124b4fe2", null ], + [ "sselPolarity", "a00019.html#a63ddc4cf4beddeb93868a2511bf6286f", null ] ] ], - [ "spi_transfer_t", "a00019.html#a00122", [ - [ "txData", "a00019.html#addc4f20760a1a62c4d20cdf9443f3af3", null ], - [ "rxData", "a00019.html#a28b878b99d5ec790b5c8f3bafe140da0", null ], - [ "dataSize", "a00019.html#ae003337c9398e5f5e3189f5b2ba6335b", null ], - [ "configFlags", "a00019.html#a582eea734badd0049c98ea3cf89b3e4b", null ] + [ "_spi_transfer", "a00019.html#a00121", [ + [ "txData", "a00019.html#a4c9897ae5dd550dba2db4a5bc4beb00e", null ], + [ "rxData", "a00019.html#a747148e84f9c242b274a022aed7a0555", null ], + [ "dataSize", "a00019.html#a79e2b8992abc1795158494ee18ad4473", null ], + [ "configFlags", "a00019.html#a59471cf32712d027d561669583d2f89f", null ] ] ], - [ "spi_master_handle_t", "a00019.html#a00099", [ - [ "txData", "a00019.html#a6cb4626f6b63c70fa21fe2e3338dc915", null ], - [ "rxData", "a00019.html#a69f260bde2e1728233f835a148b2f51b", null ], - [ "txRemainingBytes", "a00019.html#a62fa101ddfc970e7b6bcba0b2eb6b869", null ], - [ "rxRemainingBytes", "a00019.html#a23b2531ea36b53e42cd6b2a3780d3017", null ], - [ "totalByteCount", "a00019.html#a4e19f9299f123f181536c6bd4456e50a", null ], - [ "state", "a00019.html#ae7933252a37be998d127217f34f6fd16", null ], - [ "callback", "a00019.html#a445e70d437c917e6af1b4037bdbb6a3f", null ], - [ "userData", "a00019.html#ab8d01b85149d749ab1c748bb5116b90e", null ], - [ "dataWidth", "a00019.html#a11b51e4d88d3f8d9137a13ce301ed46c", null ], - [ "lastCommand", "a00019.html#a4e66b572aa792a179028af5340bc83cb", null ] + [ "_spi_master_handle", "a00019.html#a00119", [ + [ "txData", "a00019.html#a867963777e36d1376c6650031c56a8dc", null ], + [ "rxData", "a00019.html#ac2ff0ccc0b7c02ad4be567e9e09eb840", null ], + [ "txRemainingBytes", "a00019.html#a6694d3629434500b949c94f88e6f6743", null ], + [ "rxRemainingBytes", "a00019.html#a3706da91ee40a2f2bd006765fa6d9d5f", null ], + [ "totalByteCount", "a00019.html#a90dcc0891804465061db37a61d6a6dfe", null ], + [ "state", "a00019.html#a4e535bb80a844ac409dbf51c2ecee955", null ], + [ "callback", "a00019.html#a23ddc6ed23916e8d059decde7018013b", null ], + [ "userData", "a00019.html#aaee79d264a914993a6f719038a62f1b9", null ], + [ "dataWidth", "a00019.html#a078d736075e4466b9b45e066f33bfb6f", null ], + [ "lastCommand", "a00019.html#abd11fc7796a0daf717776f0aa4bd1a90", null ] ] ], [ "FSL_SPI_DRIVER_VERSION", "a00019.html#gaeb6046de02dffe9248de71332fac246e", null ], [ "SPI_DUMMYDATA", "a00019.html#ga1541129ba8262e1649592b5109e2074c", null ], [ "SPI_RETRY_TIMES", "a00019.html#gaa4717c15ca9604c505a5e5c60df29ebe", null ], + [ "spi_shift_direction_t", "a00019.html#gadc24bb931a59fc64ee4cb60ed56addb4", null ], + [ "spi_clock_polarity_t", "a00019.html#ga9de95ac02ae859ac26534c06eb47b5b8", null ], + [ "spi_clock_phase_t", "a00019.html#ga12e1c73dd72aaabd76ff5ce23c0d3244", null ], + [ "spi_ssel_t", "a00019.html#gab2c4dcc02a93990358783cbdce5e6d51", null ], + [ "spi_spol_t", "a00019.html#ga81db47cb3d4de3ce1bee11725659b0d7", null ], + [ "spi_data_width_t", "a00019.html#ga237f202331592eab8ddadc63b780fa9d", null ], + [ "spi_delay_config_t", "a00019.html#ga5d2ab71dbc12d399a9e125103fd3ec10", null ], + [ "spi_master_config_t", "a00019.html#ga15e5f834f83ba89de1e920bba9d00394", null ], + [ "spi_slave_config_t", "a00019.html#gaa62352a5d0a01f70fe74941809d03ec3", null ], + [ "spi_transfer_t", "a00019.html#ga59314d5596f240cfbe8b9466bd99ed3f", null ], + [ "spi_master_handle_t", "a00019.html#gafb311d8a8b7de084d82915f5a003a300", null ], [ "spi_slave_handle_t", "a00019.html#gad267cfee3a876b2860217ff94f03f574", null ], [ "spi_master_callback_t", "a00019.html#gae9bd140aeb645efab6c7552b3994e01a", null ], [ "spi_slave_callback_t", "a00019.html#ga86b45b85e036adc762eed5bcd2a0491d", null ], @@ -55,36 +66,36 @@ var a00019 = [ "kSPI_EndOfTransfer", "a00019.html#ggaaa2e2f2efa7be228c775fa239ab5ea03a857a31be854542eafecfea1484ad38b5", null ], [ "kSPI_ReceiveIgnore", "a00019.html#ggaaa2e2f2efa7be228c775fa239ab5ea03a2616295b1939805764ffb815876b9eea", null ] ] ], - [ "spi_shift_direction_t", "a00019.html#gaa68518c16202382c2e1f1c7c66a9d53d", [ - [ "kSPI_MsbFirst", "a00019.html#ggaa68518c16202382c2e1f1c7c66a9d53dae7b997e8cff761aab865cbd42c4c3989", null ], - [ "kSPI_LsbFirst", "a00019.html#ggaa68518c16202382c2e1f1c7c66a9d53da20ac53684c3e1ad338553cecbfab94a9", null ] + [ "_spi_shift_direction", "a00019.html#ga1737fd82344e0800f66b541342894b85", [ + [ "kSPI_MsbFirst", "a00019.html#gga1737fd82344e0800f66b541342894b85ae7b997e8cff761aab865cbd42c4c3989", null ], + [ "kSPI_LsbFirst", "a00019.html#gga1737fd82344e0800f66b541342894b85a20ac53684c3e1ad338553cecbfab94a9", null ] ] ], - [ "spi_clock_polarity_t", "a00019.html#ga3e5a7cd043c9596779bc23b34cb3d1f9", [ - [ "kSPI_ClockPolarityActiveHigh", "a00019.html#gga3e5a7cd043c9596779bc23b34cb3d1f9a031c0ee2693cf1fd621e8b8cba676629", null ], - [ "kSPI_ClockPolarityActiveLow", "a00019.html#gga3e5a7cd043c9596779bc23b34cb3d1f9acfc8257079c9604086622df6de326aea", null ] + [ "_spi_clock_polarity", "a00019.html#ga17f9450af8f63de3fa2b3b105eeec38d", [ + [ "kSPI_ClockPolarityActiveHigh", "a00019.html#gga17f9450af8f63de3fa2b3b105eeec38da031c0ee2693cf1fd621e8b8cba676629", null ], + [ "kSPI_ClockPolarityActiveLow", "a00019.html#gga17f9450af8f63de3fa2b3b105eeec38dacfc8257079c9604086622df6de326aea", null ] ] ], - [ "spi_clock_phase_t", "a00019.html#ga9ad313685ade497f5cbcb71c74a1b4dc", [ - [ "kSPI_ClockPhaseFirstEdge", "a00019.html#gga9ad313685ade497f5cbcb71c74a1b4dcad15d61c6fd642f13101edbf401d0c72e", null ], - [ "kSPI_ClockPhaseSecondEdge", "a00019.html#gga9ad313685ade497f5cbcb71c74a1b4dca18a98985c1f7dd56175e4a2724db3675", null ] + [ "_spi_clock_phase", "a00019.html#ga76b6ec98408fdaf2e9133952eefa1baa", [ + [ "kSPI_ClockPhaseFirstEdge", "a00019.html#gga76b6ec98408fdaf2e9133952eefa1baaad15d61c6fd642f13101edbf401d0c72e", null ], + [ "kSPI_ClockPhaseSecondEdge", "a00019.html#gga76b6ec98408fdaf2e9133952eefa1baaa18a98985c1f7dd56175e4a2724db3675", null ] ] ], - [ "spi_ssel_t", "a00019.html#ga420a3d3f841957068648585b89fa66d1", [ - [ "kSPI_Ssel0Assert", "a00019.html#gga420a3d3f841957068648585b89fa66d1af64655214a47d11f960f633b1478b117", null ] + [ "_spi_ssel", "a00019.html#ga6cb1b64722deb9a98a4f1a442f58f8a9", [ + [ "kSPI_Ssel0Assert", "a00019.html#gga6cb1b64722deb9a98a4f1a442f58f8a9af64655214a47d11f960f633b1478b117", null ] ] ], - [ "spi_spol_t", "a00019.html#gafd7e7bd8e060742346806ed8c8f1db4c", null ], - [ "spi_data_width_t", "a00019.html#gafa691c5329a7325ee57c9f06fa295731", [ - [ "kSPI_Data4Bits", "a00019.html#ggafa691c5329a7325ee57c9f06fa295731a0cb8b30c67c53c6d53712d5bacc818ce", null ], - [ "kSPI_Data5Bits", "a00019.html#ggafa691c5329a7325ee57c9f06fa295731a7232b4afb5bd82503252ce608638e6eb", null ], - [ "kSPI_Data6Bits", "a00019.html#ggafa691c5329a7325ee57c9f06fa295731a6604fcf5c8bebf904c5b072295027bba", null ], - [ "kSPI_Data7Bits", "a00019.html#ggafa691c5329a7325ee57c9f06fa295731a2c62d3d7d92866c97bb513d4e603518e", null ], - [ "kSPI_Data8Bits", "a00019.html#ggafa691c5329a7325ee57c9f06fa295731ad6b98474a7f14ae7665b7b6d3355657c", null ], - [ "kSPI_Data9Bits", "a00019.html#ggafa691c5329a7325ee57c9f06fa295731a4b864a74545165c2cf2dd74134fdadd1", null ], - [ "kSPI_Data10Bits", "a00019.html#ggafa691c5329a7325ee57c9f06fa295731a2b2887663c9d025a4137263fc7984024", null ], - [ "kSPI_Data11Bits", "a00019.html#ggafa691c5329a7325ee57c9f06fa295731a91e908a7a4725ab9df05549a2b034dec", null ], - [ "kSPI_Data12Bits", "a00019.html#ggafa691c5329a7325ee57c9f06fa295731ad1cfe18fd912c0d25dc32e6ca9fa8f97", null ], - [ "kSPI_Data13Bits", "a00019.html#ggafa691c5329a7325ee57c9f06fa295731ad8c2fa2e2f5bf137176dc736cc6a2531", null ], - [ "kSPI_Data14Bits", "a00019.html#ggafa691c5329a7325ee57c9f06fa295731ae83435f494d1b9f3ce2f2da0ac03fc38", null ], - [ "kSPI_Data15Bits", "a00019.html#ggafa691c5329a7325ee57c9f06fa295731add11012a8887066fad29e23c20d66af8", null ], - [ "kSPI_Data16Bits", "a00019.html#ggafa691c5329a7325ee57c9f06fa295731abbc2566ba4eb2b5e5dc259a7c4f80bcf", null ], + [ "_spi_spol", "a00019.html#gaace382ece8210631749c404f115f2998", null ], + [ "_spi_data_width", "a00019.html#ga63554b1f1f01b827bf6449b55ca80280", [ + [ "kSPI_Data4Bits", "a00019.html#gga63554b1f1f01b827bf6449b55ca80280a0cb8b30c67c53c6d53712d5bacc818ce", null ], + [ "kSPI_Data5Bits", "a00019.html#gga63554b1f1f01b827bf6449b55ca80280a7232b4afb5bd82503252ce608638e6eb", null ], + [ "kSPI_Data6Bits", "a00019.html#gga63554b1f1f01b827bf6449b55ca80280a6604fcf5c8bebf904c5b072295027bba", null ], + [ "kSPI_Data7Bits", "a00019.html#gga63554b1f1f01b827bf6449b55ca80280a2c62d3d7d92866c97bb513d4e603518e", null ], + [ "kSPI_Data8Bits", "a00019.html#gga63554b1f1f01b827bf6449b55ca80280ad6b98474a7f14ae7665b7b6d3355657c", null ], + [ "kSPI_Data9Bits", "a00019.html#gga63554b1f1f01b827bf6449b55ca80280a4b864a74545165c2cf2dd74134fdadd1", null ], + [ "kSPI_Data10Bits", "a00019.html#gga63554b1f1f01b827bf6449b55ca80280a2b2887663c9d025a4137263fc7984024", null ], + [ "kSPI_Data11Bits", "a00019.html#gga63554b1f1f01b827bf6449b55ca80280a91e908a7a4725ab9df05549a2b034dec", null ], + [ "kSPI_Data12Bits", "a00019.html#gga63554b1f1f01b827bf6449b55ca80280ad1cfe18fd912c0d25dc32e6ca9fa8f97", null ], + [ "kSPI_Data13Bits", "a00019.html#gga63554b1f1f01b827bf6449b55ca80280ad8c2fa2e2f5bf137176dc736cc6a2531", null ], + [ "kSPI_Data14Bits", "a00019.html#gga63554b1f1f01b827bf6449b55ca80280ae83435f494d1b9f3ce2f2da0ac03fc38", null ], + [ "kSPI_Data15Bits", "a00019.html#gga63554b1f1f01b827bf6449b55ca80280add11012a8887066fad29e23c20d66af8", null ], + [ "kSPI_Data16Bits", "a00019.html#gga63554b1f1f01b827bf6449b55ca80280abbc2566ba4eb2b5e5dc259a7c4f80bcf", null ], [ "kStatus_SPI_Busy", "a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a703abdf7900047c4d13536480f3463ab", null ], [ "kStatus_SPI_Idle", "a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a4e32c5b06dccaf4b81e2fd1679e1b560", null ], [ "kStatus_SPI_Error", "a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a2ff91d774e93aed936b87ffaa18aaf9e", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00020.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00020.html index 8a7aa41..69c7a84 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00020.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00020.html @@ -32,7 +32,7 @@ @@ -111,15 +111,15 @@ $(document).ready(function(){initNavTree('a00020.html','');});
const spi_slave_config_tconst spi_slave_config_t config 

The configuration structure can be filled by user from scratch or be set with default values by SPI_SlaveGetDefaultConfig(). After calling this API, the slave is ready to transfer. Example

-
- - -
.direction = kSPI_MsbFirst;
+
+ + +
.direction = kSPI_MsbFirst;
...
};
SPI_SlaveInit(SPI0, &config);
@@ -1604,7 +1714,7 @@ uint8_t 
const spi_delay_config_tconst spi_delay_config_t config 
Parameters
- +
baseSPI base pointer
configconfiguration for delay option spi_delay_config_t.
configconfiguration for delay option spi_delay_config_t.
@@ -1672,7 +1782,7 @@ uint8_t 
spi_transfer_tspi_transfer_t xfer 
spi_master_handle_t * spi_master_handle_t handle,
spi_master_handle_t * spi_master_handle_t handle,
spi_transfer_tspi_transfer_t xfer 
spi_master_handle_t * spi_master_handle_t handle,
spi_master_handle_t * spi_master_handle_t handle 
spi_master_handle_t * spi_master_handle_t handle 
spi_transfer_tspi_transfer_t xfer 
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
- - - - + + + + - - - + + +

Data Structures

struct  usart_config_t
 USART configuration structure. More...
 
struct  usart_transfer_t
struct  _usart_config
 USART configuration structure. More...
 
struct  _usart_transfer
 USART transfer structure. More...
 
struct  usart_handle_t
 USART handle structure. More...
 
struct  _usart_handle
 USART handle structure. More...
 
@@ -135,7 +135,28 @@ Macros

Macros

- + + + + + + + + + + + + + + + + + + + + + +

Typedefs

typedef void(* usart_transfer_callback_t )(USART_Type *base, usart_handle_t *handle, status_t status, void *userData)
typedef enum _usart_parity_mode usart_parity_mode_t
 USART parity mode. More...
 
typedef enum _usart_sync_mode usart_sync_mode_t
 USART synchronous mode. More...
 
typedef enum _usart_stop_bit_count usart_stop_bit_count_t
 USART stop bit count. More...
 
typedef enum _usart_data_len usart_data_len_t
 USART data size. More...
 
typedef enum _usart_clock_polarity usart_clock_polarity_t
 USART clock polarity configuration, used in sync mode. More...
 
typedef struct _usart_config usart_config_t
 USART configuration structure. More...
 
typedef struct _usart_transfer usart_transfer_t
 USART transfer structure. More...
 
typedef void(* usart_transfer_callback_t )(USART_Type *base, usart_handle_t *handle, status_t status, void *userData)
 USART transfer callback function. More...
 
@@ -171,55 +192,57 @@ Enumerations } - - - - + + - - - + + - - - + + - - - + + - - + +
  kUSART_RxNoiseInterruptEnable = (USART_INTENSET_RXNOISEEN_MASK),
+  kUSART_AutoBaudErrorInterruptEnable = (USART_INTENSET_ABERREN_MASK), +
  kUSART_AllInterruptEnable
} @@ -266,7 +291,9 @@ Enumerations
  kUSART_ParityErrorFlag = (USART_STAT_PARITYERRINT_MASK),
-  kUSART_RxNoiseFlag = (USART_STAT_RXNOISEINT_MASK) +  kUSART_RxNoiseFlag = (USART_STAT_RXNOISEINT_MASK), +
+  kUSART_AutoBaudErrorFlag = (USART_STAT_ABERR_MASK)
} @@ -274,7 +301,7 @@ Enumerations
 Error codes for the USART driver. More...
 
enum  usart_parity_mode_t {
-  kUSART_ParityDisabled = 0x0U, +
enum  _usart_parity_mode {
+  kUSART_ParityDisabled = 0x0U,
-  kUSART_ParityEven = 0x2U, +  kUSART_ParityEven = 0x2U,
-  kUSART_ParityOdd = 0x3U +  kUSART_ParityOdd = 0x3U
}
 USART parity mode. More...
 
enum  usart_sync_mode_t {
-  kUSART_SyncModeDisabled = 0x0U, +
 USART parity mode. More...
 
enum  _usart_sync_mode {
+  kUSART_SyncModeDisabled = 0x0U,
-  kUSART_SyncModeSlave = 0x2U, +  kUSART_SyncModeSlave = 0x2U,
-  kUSART_SyncModeMaster = 0x3U +  kUSART_SyncModeMaster = 0x3U
}
 USART synchronous mode. More...
 
enum  usart_stop_bit_count_t {
-  kUSART_OneStopBit = 0U, +
 USART synchronous mode. More...
 
enum  _usart_stop_bit_count {
+  kUSART_OneStopBit = 0U,
-  kUSART_TwoStopBit = 1U +  kUSART_TwoStopBit = 1U
}
 USART stop bit count. More...
 
enum  usart_data_len_t {
-  kUSART_7BitsPerChar = 0U, +
 USART stop bit count. More...
 
enum  _usart_data_len {
+  kUSART_7BitsPerChar = 0U,
-  kUSART_8BitsPerChar = 1U +  kUSART_8BitsPerChar = 1U
}
 USART data size. More...
 
enum  usart_clock_polarity_t {
-  kUSART_RxSampleOnFallingEdge = 0x0U, +
 USART data size. More...
 
enum  _usart_clock_polarity {
+  kUSART_RxSampleOnFallingEdge = 0x0U,
-  kUSART_RxSampleOnRisingEdge = 0x1U +  kUSART_RxSampleOnRisingEdge = 0x1U
}
 USART clock polarity configuration, used in sync mode. More...
 
 USART clock polarity configuration, used in sync mode. More...
 
enum  _usart_interrupt_enable {
  kUSART_RxReadyInterruptEnable = (USART_INTENSET_RXRDYEN_MASK),
  kUSART_TxReadyInterruptEnable = (USART_INTENSET_TXRDYEN_MASK),
+  kUSART_TxIdleInterruptEnable = (USART_INTENSET_TXIDLEEN_MASK), +
  kUSART_DeltaCtsInterruptEnable = (USART_INTENSET_DELTACTSEN_MASK),
  kUSART_TxDisableInterruptEnable = (USART_INTENSET_TXDISEN_MASK), @@ -236,6 +259,8 @@ Enumerations
 USART status flags. More...
- +

Driver version

#define FSL_USART_DRIVER_VERSION   (MAKE_VERSION(2, 5, 0))
#define FSL_USART_DRIVER_VERSION   (MAKE_VERSION(2, 5, 1))
 USART driver version. More...
 
@@ -286,13 +313,13 @@ Get the instance of USART
- + - + @@ -352,147 +379,147 @@ Bus Operations

Initialization and deinitialization

status_t USART_Init (USART_Type *base, const usart_config_t *config, uint32_t srcClock_Hz)
status_t USART_Init (USART_Type *base, const usart_config_t *config, uint32_t srcClock_Hz)
 Initializes a USART instance with user configuration structure and peripheral clock. More...
 
void USART_Deinit (USART_Type *base)
 Deinitializes a USART instance. More...
 
void USART_GetDefaultConfig (usart_config_t *config)
void USART_GetDefaultConfig (usart_config_t *config)
 Gets the default configuration structure. More...
 
status_t USART_SetBaudRate (USART_Type *base, uint32_t baudrate_Bps, uint32_t srcClock_Hz)
- + - + - + - + - + - + - + - + - + - + - +

Transactional

status_t USART_TransferCreateHandle (USART_Type *base, usart_handle_t *handle, usart_transfer_callback_t callback, void *userData)
status_t USART_TransferCreateHandle (USART_Type *base, usart_handle_t *handle, usart_transfer_callback_t callback, void *userData)
 Initializes the USART handle. More...
 
status_t USART_TransferSendNonBlocking (USART_Type *base, usart_handle_t *handle, usart_transfer_t *xfer)
status_t USART_TransferSendNonBlocking (USART_Type *base, usart_handle_t *handle, usart_transfer_t *xfer)
 Transmits a buffer of data using the interrupt method. More...
 
void USART_TransferStartRingBuffer (USART_Type *base, usart_handle_t *handle, uint8_t *ringBuffer, size_t ringBufferSize)
void USART_TransferStartRingBuffer (USART_Type *base, usart_handle_t *handle, uint8_t *ringBuffer, size_t ringBufferSize)
 Sets up the RX ring buffer. More...
 
void USART_TransferStopRingBuffer (USART_Type *base, usart_handle_t *handle)
void USART_TransferStopRingBuffer (USART_Type *base, usart_handle_t *handle)
 Aborts the background transfer and uninstalls the ring buffer. More...
 
size_t USART_TransferGetRxRingBufferLength (usart_handle_t *handle)
size_t USART_TransferGetRxRingBufferLength (usart_handle_t *handle)
 Get the length of received data in RX ring buffer. More...
 
void USART_TransferAbortSend (USART_Type *base, usart_handle_t *handle)
void USART_TransferAbortSend (USART_Type *base, usart_handle_t *handle)
 Aborts the interrupt-driven data transmit. More...
 
status_t USART_TransferGetSendCount (USART_Type *base, usart_handle_t *handle, uint32_t *count)
status_t USART_TransferGetSendCount (USART_Type *base, usart_handle_t *handle, uint32_t *count)
 Get the number of bytes that have been written to USART TX register. More...
 
status_t USART_TransferReceiveNonBlocking (USART_Type *base, usart_handle_t *handle, usart_transfer_t *xfer, size_t *receivedBytes)
status_t USART_TransferReceiveNonBlocking (USART_Type *base, usart_handle_t *handle, usart_transfer_t *xfer, size_t *receivedBytes)
 Receives a buffer of data using an interrupt method. More...
 
void USART_TransferAbortReceive (USART_Type *base, usart_handle_t *handle)
void USART_TransferAbortReceive (USART_Type *base, usart_handle_t *handle)
 Aborts the interrupt-driven data receiving. More...
 
status_t USART_TransferGetReceiveCount (USART_Type *base, usart_handle_t *handle, uint32_t *count)
status_t USART_TransferGetReceiveCount (USART_Type *base, usart_handle_t *handle, uint32_t *count)
 Get the number of bytes that have been received. More...
 
void USART_TransferHandleIRQ (USART_Type *base, usart_handle_t *handle)
void USART_TransferHandleIRQ (USART_Type *base, usart_handle_t *handle)
 USART IRQ handle function. More...
 

Data Structure Documentation

- +
- +
struct usart_config_tstruct _usart_config
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Data Fields

-uint32_t baudRate_Bps
 USART baud rate.
 
bool enableRx
 USART receive enable. More...
 
bool enableTx
 USART transmit enable. More...
 
-bool loopback
 Enable peripheral loopback.
 
bool enableContinuousSCLK
 USART continuous Clock generation enable in synchronous master mode. More...
 
-bool enableHardwareFlowControl
 Enable hardware control RTS/CTS.
 
-usart_parity_mode_t parityMode
 Parity mode, disabled (default), even, odd.
 
-usart_stop_bit_count_t stopBitCount
 Number of stop bits, 1 stop bit (default) or 2 stop bits.
 
-usart_data_len_t bitCountPerChar
 Data length - 7 bit, 8 bit.
 
usart_sync_mode_t syncMode
 Transfer mode - asynchronous, synchronous master, synchronous slave. More...
 
usart_clock_polarity_t clockPolarity
 Selects the clock polarity and sampling edge in sync mode. More...
 
+uint32_t baudRate_Bps
 USART baud rate.
 
bool enableRx
 USART receive enable. More...
 
bool enableTx
 USART transmit enable. More...
 
+bool loopback
 Enable peripheral loopback.
 
bool enableContinuousSCLK
 USART continuous Clock generation enable in synchronous master mode. More...
 
+bool enableHardwareFlowControl
 Enable hardware control RTS/CTS.
 
+usart_parity_mode_t parityMode
 Parity mode, disabled (default), even, odd.
 
+usart_stop_bit_count_t stopBitCount
 Number of stop bits, 1 stop bit (default) or 2 stop bits.
 
+usart_data_len_t bitCountPerChar
 Data length - 7 bit, 8 bit.
 
usart_sync_mode_t syncMode
 Transfer mode - asynchronous, synchronous master, synchronous slave. More...
 
usart_clock_polarity_t clockPolarity
 Selects the clock polarity and sampling edge in sync mode. More...
 

Field Documentation

- +
- +
bool usart_config_t::enableRxbool _usart_config::enableRx
- +
- +
bool usart_config_t::enableTxbool _usart_config::enableTx
- +
- +
bool usart_config_t::enableContinuousSCLKbool _usart_config::enableContinuousSCLK
- +
- +
usart_sync_mode_t usart_config_t::syncModeusart_sync_mode_t _usart_config::syncMode
- +
- +
usart_clock_polarity_t usart_config_t::clockPolarityusart_clock_polarity_t _usart_config::clockPolarity
- - - - - - - - - - - - + + + + + + + + + + + +

Data Fields

size_t dataSize
 The byte count to be transfer. More...
 
uint8_t * data
 The buffer of data to be transfer. More...
 
uint8_t * rxData
 The buffer to receive data. More...
 
const uint8_t * txData
 The buffer of data to be sent. More...
 
size_t dataSize
 The byte count to be transfer. More...
 
uint8_t * data
 The buffer of data to be transfer. More...
 
uint8_t * rxData
 The buffer to receive data. More...
 
const uint8_t * txData
 The buffer of data to be sent. More...
 

Field Documentation

-
+
- +
uint8_t* usart_transfer_t::datauint8_t* _usart_transfer::data
- +
- +
uint8_t* usart_transfer_t::rxDatauint8_t* _usart_transfer::rxData
- +
- +
const uint8_t* usart_transfer_t::txDataconst uint8_t* _usart_transfer::txData
- +
- +
size_t usart_transfer_t::dataSizesize_t _usart_transfer::dataSize
@@ -578,7 +605,7 @@ bool  +
@@ -589,201 +616,201 @@ bool  + @@ -1058,6 +1172,9 @@ volatile uint8_t  + @@ -1115,6 +1232,9 @@ volatile uint8_t  +
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Data Fields

const uint8_t *volatile txData
 Address of remaining data to send. More...
 
volatile size_t txDataSize
 Size of the remaining data to send. More...
 
size_t txDataSizeAll
 Size of the data to send out. More...
 
uint8_t *volatile rxData
 Address of remaining data to receive. More...
 
volatile size_t rxDataSize
 Size of the remaining data to receive. More...
 
size_t rxDataSizeAll
 Size of the data to receive. More...
 
uint8_t * rxRingBuffer
 Start address of the receiver ring buffer. More...
 
size_t rxRingBufferSize
 Size of the ring buffer. More...
 
volatile uint16_t rxRingBufferHead
 Index for the driver to store received data into ring buffer. More...
 
volatile uint16_t rxRingBufferTail
 Index for the user to get data from the ring buffer. More...
 
usart_transfer_callback_t callback
 Callback function. More...
 
void * userData
 USART callback function parameter. More...
 
volatile uint8_t txState
 TX transfer state. More...
 
-volatile uint8_t rxState
 RX transfer state.
 
const uint8_t *volatile txData
 Address of remaining data to send. More...
 
volatile size_t txDataSize
 Size of the remaining data to send. More...
 
size_t txDataSizeAll
 Size of the data to send out. More...
 
uint8_t *volatile rxData
 Address of remaining data to receive. More...
 
volatile size_t rxDataSize
 Size of the remaining data to receive. More...
 
size_t rxDataSizeAll
 Size of the data to receive. More...
 
uint8_t * rxRingBuffer
 Start address of the receiver ring buffer. More...
 
size_t rxRingBufferSize
 Size of the ring buffer. More...
 
volatile uint16_t rxRingBufferHead
 Index for the driver to store received data into ring buffer. More...
 
volatile uint16_t rxRingBufferTail
 Index for the user to get data from the ring buffer. More...
 
usart_transfer_callback_t callback
 Callback function. More...
 
void * userData
 USART callback function parameter. More...
 
volatile uint8_t txState
 TX transfer state. More...
 
+volatile uint8_t rxState
 RX transfer state.
 

Field Documentation

-
+
- +
const uint8_t* volatile usart_handle_t::txDataconst uint8_t* volatile _usart_handle::txData
- +
- +
volatile size_t usart_handle_t::txDataSizevolatile size_t _usart_handle::txDataSize
- +
- +
size_t usart_handle_t::txDataSizeAllsize_t _usart_handle::txDataSizeAll
- +
- +
uint8_t* volatile usart_handle_t::rxDatauint8_t* volatile _usart_handle::rxData
- +
- +
volatile size_t usart_handle_t::rxDataSizevolatile size_t _usart_handle::rxDataSize
- +
- +
size_t usart_handle_t::rxDataSizeAllsize_t _usart_handle::rxDataSizeAll
- +
- +
uint8_t* usart_handle_t::rxRingBufferuint8_t* _usart_handle::rxRingBuffer
- +
- +
size_t usart_handle_t::rxRingBufferSizesize_t _usart_handle::rxRingBufferSize
- +
- +
volatile uint16_t usart_handle_t::rxRingBufferHeadvolatile uint16_t _usart_handle::rxRingBufferHead
- +
- +
volatile uint16_t usart_handle_t::rxRingBufferTailvolatile uint16_t _usart_handle::rxRingBufferTail
- +
- +
usart_transfer_callback_t usart_handle_t::callbackusart_transfer_callback_t _usart_handle::callback
- +
- +
void* usart_handle_t::userDatavoid* _usart_handle::userData
- +
- +
volatile uint8_t usart_handle_t::txStatevolatile uint8_t _usart_handle::txState
@@ -799,7 +826,7 @@ volatile uint8_t 
- +
#define FSL_USART_DRIVER_VERSION   (MAKE_VERSION(2, 5, 0))#define FSL_USART_DRIVER_VERSION   (MAKE_VERSION(2, 5, 1))
@@ -846,12 +873,96 @@ volatile uint8_t 
Typedef Documentation + +
+
+ + + + +
typedef enum _usart_parity_mode usart_parity_mode_t
+
+ +
+
+ +
+
+ + + + +
typedef enum _usart_sync_mode usart_sync_mode_t
+
+ +
+
+ +
+
+ +
+
+ +
+
+ + + + +
typedef enum _usart_data_len usart_data_len_t
+
+ +
+
+ +
+
+ +
+
+ +
+
+ + + + +
typedef struct _usart_config usart_config_t
+
+ +
+
+ +
+
+ + + + +
typedef struct _usart_transfer usart_transfer_t
+
+ +
+
- +
typedef void(* usart_transfer_callback_t)(USART_Type *base, usart_handle_t *handle, status_t status, void *userData)typedef void(* usart_transfer_callback_t)(USART_Type *base, usart_handle_t *handle, status_t status, void *userData)
@@ -912,106 +1023,106 @@ volatile uint8_t 
+
- - -
Enumerator
kUSART_ParityDisabled  +
Enumerator
kUSART_ParityDisabled 

Parity disabled.

kUSART_ParityEven  +
kUSART_ParityEven 

Parity enabled, type even, bit setting: PARITYSEL = 10.

kUSART_ParityOdd  +
kUSART_ParityOdd 

Parity enabled, type odd, bit setting: PARITYSEL = 11.

- +
- - -
Enumerator
kUSART_SyncModeDisabled  +
Enumerator
kUSART_SyncModeDisabled 

Asynchronous mode.

kUSART_SyncModeSlave  +
kUSART_SyncModeSlave 

Synchronous slave mode.

kUSART_SyncModeMaster  +
kUSART_SyncModeMaster 

Synchronous master mode.

- +
- -
Enumerator
kUSART_OneStopBit  +
Enumerator
kUSART_OneStopBit 

One stop bit.

kUSART_TwoStopBit  +
kUSART_TwoStopBit 

Two stop bits.

- +
- -
Enumerator
kUSART_7BitsPerChar  +
Enumerator
kUSART_7BitsPerChar 

Seven bit mode.

kUSART_8BitsPerChar  +
kUSART_8BitsPerChar 

Eight bit mode.

- +
- -
Enumerator
kUSART_RxSampleOnFallingEdge  +
Enumerator
kUSART_RxSampleOnFallingEdge 

Un_RXD is sampled on the falling edge of SCLK.

kUSART_RxSampleOnRisingEdge  +
kUSART_RxSampleOnRisingEdge 

Un_RXD is sampled on the rising edge of SCLK.

@@ -1034,6 +1145,9 @@ volatile uint8_t 
kUSART_TxReadyInterruptEnable 

Transmit ready interrupt.

kUSART_TxIdleInterruptEnable  +

Transmit idle interrupt.

+
kUSART_DeltaCtsInterruptEnable 

Cts pin change interrupt.

kUSART_RxNoiseInterruptEnable 

Receive noise error interrupt.

kUSART_AutoBaudErrorInterruptEnable  +

Receive auto baud error interrupt.

+
kUSART_AllInterruptEnable 

All interrupt.

kUSART_RxNoiseFlag 

Receive noise flag.

kUSART_AutoBaudErrorFlag  +

Auto baud error flag.

+
@@ -1149,7 +1269,7 @@ volatile uint8_t  - const usart_config_t *  + const usart_config_tconfig, @@ -1166,10 +1286,10 @@ volatile uint8_t 

This function configures the USART module with the user-defined settings. The user can configure the configuration structure and also get the default configuration by using the USART_GetDefaultConfig() function. Example below shows how to use this API to configure USART.

-
* usart_config_t usartConfig;
-
* usartConfig.baudRate_Bps = 115200U;
- - +
* usart_config_t usartConfig;
+
* usartConfig.baudRate_Bps = 115200U;
+ +
* USART_Init(USART1, &usartConfig, 20000000U);
*
Parameters
@@ -1221,7 +1341,7 @@ volatile uint8_t void USART_GetDefaultConfig ( - usart_config_t *  + usart_config_tconfig) @@ -1885,7 +2005,7 @@ volatile uint8_t  - usart_handle_t *  + usart_handle_thandle, @@ -1933,13 +2053,13 @@ volatile uint8_t  - usart_handle_t *  + usart_handle_thandle, - usart_transfer_t *  + usart_transfer_txfer  @@ -1955,7 +2075,7 @@ volatile uint8_t  baseUSART peripheral base address. handleUSART handle pointer. - xferUSART transfer structure. See usart_transfer_t. + xferUSART transfer structure. See usart_transfer_t.
@@ -1983,7 +2103,7 @@ volatile uint8_t  - usart_handle_t *  + usart_handle_thandle, @@ -2033,7 +2153,7 @@ volatile uint8_t  - usart_handle_t *  + usart_handle_thandle  @@ -2061,7 +2181,7 @@ volatile uint8_t size_t USART_TransferGetRxRingBufferLength ( - usart_handle_t *  + usart_handle_thandle) @@ -2090,7 +2210,7 @@ volatile uint8_t  - usart_handle_t *  + usart_handle_thandle  @@ -2124,7 +2244,7 @@ volatile uint8_t  - usart_handle_t *  + usart_handle_thandle, @@ -2173,13 +2293,13 @@ volatile uint8_t  - usart_handle_t *  + usart_handle_thandle, - usart_transfer_t *  + usart_transfer_txfer, @@ -2200,7 +2320,7 @@ volatile uint8_t  baseUSART peripheral base address. handleUSART handle pointer. - xferUSART transfer structure, see usart_transfer_t. + xferUSART transfer structure, see usart_transfer_t. receivedBytesBytes received from the ring buffer directly.
@@ -2229,7 +2349,7 @@ volatile uint8_t  - usart_handle_t *  + usart_handle_thandle  @@ -2263,7 +2383,7 @@ volatile uint8_t  - usart_handle_t *  + usart_handle_thandle, @@ -2312,7 +2432,7 @@ volatile uint8_t  - usart_handle_t *  + usart_handle_thandle  diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00020.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00020.js index 3fae303..bc52b35 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00020.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00020.js @@ -1,44 +1,51 @@ var a00020 = [ - [ "usart_config_t", "a00020.html#a00123", [ - [ "baudRate_Bps", "a00020.html#a5d2631bc772901b4114b01770f9bb337", null ], - [ "enableRx", "a00020.html#a8b9813693fdfd2116e0d6019ea39b41d", null ], - [ "enableTx", "a00020.html#a2c3b5faf44be34a152232b9dfaaf064d", null ], - [ "loopback", "a00020.html#a9892d7a138f2245bc9b7fe4e6c1652fb", null ], - [ "enableContinuousSCLK", "a00020.html#a8d618d37f6867480bd0e1fcd9e5b50a5", null ], - [ "enableHardwareFlowControl", "a00020.html#ac34e16abe408d6e9daa37741420f7ec0", null ], - [ "parityMode", "a00020.html#aff1d7e368b35ae89db8aa393207e7ccc", null ], - [ "stopBitCount", "a00020.html#aeb0b8a38f5d7e0def2aa1b079643682f", null ], - [ "bitCountPerChar", "a00020.html#ab964b3fbce4b824beff770a138fd4b6e", null ], - [ "syncMode", "a00020.html#ad5debb2aa90fc8fa732edd1ab4bdbbea", null ], - [ "clockPolarity", "a00020.html#ac808e4908f1d6f9ca78fec3d0b8f118a", null ] + [ "_usart_config", "a00020.html#a00122", [ + [ "baudRate_Bps", "a00020.html#a80339c90b740ca4d4417a97328641ec5", null ], + [ "enableRx", "a00020.html#a370b6e0a9f1c05e037f9e940d7e36694", null ], + [ "enableTx", "a00020.html#a1d7ec8bb576610aa864cbc0107349a21", null ], + [ "loopback", "a00020.html#a36c8c2286aaeb9a7c0e2fc5e716b1230", null ], + [ "enableContinuousSCLK", "a00020.html#a691ca4c00ee5bd1bde98fd7c6b63a131", null ], + [ "enableHardwareFlowControl", "a00020.html#a4d3990d1b607803e6f17c97678de7883", null ], + [ "parityMode", "a00020.html#a596335f12cc74301076951ce438b29e8", null ], + [ "stopBitCount", "a00020.html#a68387d7059ba0187baaab53ae2a4ff45", null ], + [ "bitCountPerChar", "a00020.html#a610524a1732fca3c58c7f109f1a11ea6", null ], + [ "syncMode", "a00020.html#a25c70030145b41ac1f4030ebdc4390b1", null ], + [ "clockPolarity", "a00020.html#aad8518580e6b01e84394fda5aaaac498", null ] ] ], - [ "usart_transfer_t", "a00020.html#a00124", [ - [ "data", "a00020.html#acad21ced979ce0c2af98f0b1837863c9", null ], - [ "rxData", "a00020.html#a44bd38d7d89b7e185ac9bb49bd7214ea", null ], - [ "txData", "a00020.html#ad081b93c86f16dedd57dfc105a71e7fa", null ], - [ "dataSize", "a00020.html#a605c0a56df2815ffb3461aaaf116752a", null ] + [ "_usart_transfer", "a00020.html#a00124", [ + [ "data", "a00020.html#af4347f3022beb6cb6d930582eaa7d284", null ], + [ "rxData", "a00020.html#aaebd685f866e8426d8ac31f80e9c5ac0", null ], + [ "txData", "a00020.html#a348d1c6d67a97dfd5d80cd4abbb5133b", null ], + [ "dataSize", "a00020.html#a772fe840060516d61371497eeda93bca", null ] ] ], - [ "usart_handle_t", "a00020.html#a00100", [ - [ "txData", "a00020.html#a2e0eada6edeef87ca5609381a495caa0", null ], - [ "txDataSize", "a00020.html#aafb090dd1831c9e83df2d60cf3cd25f0", null ], - [ "txDataSizeAll", "a00020.html#add7cbfa096ebb8bfe5084c9940d0a9d8", null ], - [ "rxData", "a00020.html#a7fcedbe0ea6e380084a05ba1bb06e38d", null ], - [ "rxDataSize", "a00020.html#a94a60ffa711d28e3c9151c9990a9145c", null ], - [ "rxDataSizeAll", "a00020.html#a35109a019b73257d24021ad79d951e64", null ], - [ "rxRingBuffer", "a00020.html#a2cac1523ac58da64d4076c6155309d2f", null ], - [ "rxRingBufferSize", "a00020.html#ae1c5e6a8ccdd56a678e8dda316e43845", null ], - [ "rxRingBufferHead", "a00020.html#afb838e91205d1aa1a2b064591bc11c4e", null ], - [ "rxRingBufferTail", "a00020.html#a197ce470fd9b42e53a867ef84eb2b6dd", null ], - [ "callback", "a00020.html#a50fd5afc23c86d872ee2a1d46bd4145e", null ], - [ "userData", "a00020.html#adbecb8574e5d62ee38761a3b4c30e4c3", null ], - [ "txState", "a00020.html#a30a2856c0e736ad39fe44c015bd54ca4", null ], - [ "rxState", "a00020.html#a7f621935f46f5f1bdd10ca755e1b51a7", null ] + [ "_usart_handle", "a00020.html#a00123", [ + [ "txData", "a00020.html#a1c1a5d272a1b95815904f86a75aa1d3b", null ], + [ "txDataSize", "a00020.html#ab7313fa622967f06f722c54c294bc884", null ], + [ "txDataSizeAll", "a00020.html#a0fdc2991f592938454d6fca89d51c048", null ], + [ "rxData", "a00020.html#ab542745215695fe8ec1fe961eb279b03", null ], + [ "rxDataSize", "a00020.html#a56f610440fdc4468294c0b1a88cbb40f", null ], + [ "rxDataSizeAll", "a00020.html#aeb56ce65366b01bc8fd035dd500faf5e", null ], + [ "rxRingBuffer", "a00020.html#a330deecdce9b4d973e43b38e7f1b81ec", null ], + [ "rxRingBufferSize", "a00020.html#a22acf3b7ea83a9b701ec5ac7819ac538", null ], + [ "rxRingBufferHead", "a00020.html#abf7d0609ce46c8738aec656fbbf0305c", null ], + [ "rxRingBufferTail", "a00020.html#a047780bd1268a676f4ebb16c306cd75a", null ], + [ "callback", "a00020.html#ac9be0049f0ca8da0b38a0bb9d09d541c", null ], + [ "userData", "a00020.html#a1fafdad864492cb0f78a2a312759a515", null ], + [ "txState", "a00020.html#a7f8a78e459b75948a84f665fd3092ded", null ], + [ "rxState", "a00020.html#a05861925b5c798c477a57e10acfa054d", null ] ] ], [ "FSL_USART_DRIVER_VERSION", "a00020.html#gad737c0eee502276b7615e83ec3a79be4", null ], [ "FSL_SDK_ENABLE_USART_DRIVER_TRANSACTIONAL_APIS", "a00020.html#gaf7814290d5f1317f0b5dbe97712c3cb7", null ], [ "FSL_SDK_USART_DRIVER_ENABLE_BAUDRATE_AUTO_GENERATE", "a00020.html#ga855e54d43b294857434ce0d82a6b56cb", null ], [ "UART_RETRY_TIMES", "a00020.html#gac0f88b67f77fd05f186a5ec940c340bd", null ], + [ "usart_parity_mode_t", "a00020.html#gafd66e4e7133327cb2a1df4e24d8f4cb6", null ], + [ "usart_sync_mode_t", "a00020.html#gafadd2dad2820634ecf4663e0273a178b", null ], + [ "usart_stop_bit_count_t", "a00020.html#ga4b8e5ed1a787d428e84a5a550fedc417", null ], + [ "usart_data_len_t", "a00020.html#ga8fb417dc9cfa4304cefe7bec12479a8e", null ], + [ "usart_clock_polarity_t", "a00020.html#ga804d7ffe69bedc08ea1d10829b33be28", null ], + [ "usart_config_t", "a00020.html#ga6e64075cc12bfd8c2cefe6a24a210145", null ], + [ "usart_transfer_t", "a00020.html#ga5f095da5c95e5137fd47b284217dbe74", null ], [ "usart_transfer_callback_t", "a00020.html#ga9688f27725349ed0dd7a37c9a75eccc0", [ [ "kStatus_USART_TxBusy", "a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca78157ec0658118f9205521c03da99093", null ], [ "kStatus_USART_RxBusy", "a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca38225397e3744ef1b4995041ce80d839", null ], @@ -54,31 +61,32 @@ var a00020 = [ "kStatus_USART_BaudrateNotSupport", "a00020.html#ggadc29c2ff13d900c2f185ee95427fb06cae427c8491ce7294dfeaa3b87e506bd81", null ], [ "kStatus_USART_Timeout", "a00020.html#ggadc29c2ff13d900c2f185ee95427fb06cad434a2fb3d728550dd2e784ce0a3e14c", null ] ] ], - [ "usart_parity_mode_t", "a00020.html#ga9b5ca9521874092ccb637a02d7b26ba2", [ - [ "kUSART_ParityDisabled", "a00020.html#gga9b5ca9521874092ccb637a02d7b26ba2a46309b174047a84a78c77b7648bdf21b", null ], - [ "kUSART_ParityEven", "a00020.html#gga9b5ca9521874092ccb637a02d7b26ba2aad8d786301da1bb92e2b911c386d39eb", null ], - [ "kUSART_ParityOdd", "a00020.html#gga9b5ca9521874092ccb637a02d7b26ba2a64df3b823d2ab5f3f56ffb3f520eafb1", null ] + [ "_usart_parity_mode", "a00020.html#ga8725fe345ac7bb48cc48f9553212f5db", [ + [ "kUSART_ParityDisabled", "a00020.html#gga8725fe345ac7bb48cc48f9553212f5dba46309b174047a84a78c77b7648bdf21b", null ], + [ "kUSART_ParityEven", "a00020.html#gga8725fe345ac7bb48cc48f9553212f5dbaad8d786301da1bb92e2b911c386d39eb", null ], + [ "kUSART_ParityOdd", "a00020.html#gga8725fe345ac7bb48cc48f9553212f5dba64df3b823d2ab5f3f56ffb3f520eafb1", null ] ] ], - [ "usart_sync_mode_t", "a00020.html#ga7ecd603d2579abbe714d58eb582821b8", [ - [ "kUSART_SyncModeDisabled", "a00020.html#gga7ecd603d2579abbe714d58eb582821b8af7a378247f82677090007d0c38be78f2", null ], - [ "kUSART_SyncModeSlave", "a00020.html#gga7ecd603d2579abbe714d58eb582821b8a71df5907ecd16c5f08fe1e6673064958", null ], - [ "kUSART_SyncModeMaster", "a00020.html#gga7ecd603d2579abbe714d58eb582821b8af279132afb0bee88bae3065c20c79ab2", null ] + [ "_usart_sync_mode", "a00020.html#gab3b6a5d80be9940d58f739ded5962a59", [ + [ "kUSART_SyncModeDisabled", "a00020.html#ggab3b6a5d80be9940d58f739ded5962a59af7a378247f82677090007d0c38be78f2", null ], + [ "kUSART_SyncModeSlave", "a00020.html#ggab3b6a5d80be9940d58f739ded5962a59a71df5907ecd16c5f08fe1e6673064958", null ], + [ "kUSART_SyncModeMaster", "a00020.html#ggab3b6a5d80be9940d58f739ded5962a59af279132afb0bee88bae3065c20c79ab2", null ] ] ], - [ "usart_stop_bit_count_t", "a00020.html#ga58ab07609b094f719f903475de6e57b4", [ - [ "kUSART_OneStopBit", "a00020.html#gga58ab07609b094f719f903475de6e57b4aa637b8f5f0edd262181a20c1fbed7c12", null ], - [ "kUSART_TwoStopBit", "a00020.html#gga58ab07609b094f719f903475de6e57b4a3aee3195dc850778e33f2ebacf8847d3", null ] + [ "_usart_stop_bit_count", "a00020.html#gaeeaf3b2b6394d3a656342cfbce0e39ee", [ + [ "kUSART_OneStopBit", "a00020.html#ggaeeaf3b2b6394d3a656342cfbce0e39eeaa637b8f5f0edd262181a20c1fbed7c12", null ], + [ "kUSART_TwoStopBit", "a00020.html#ggaeeaf3b2b6394d3a656342cfbce0e39eea3aee3195dc850778e33f2ebacf8847d3", null ] ] ], - [ "usart_data_len_t", "a00020.html#ga28e46a3538cf5f5140523132a963283c", [ - [ "kUSART_7BitsPerChar", "a00020.html#gga28e46a3538cf5f5140523132a963283ca9562d6cdd240e9808a9a1d1946f400b7", null ], - [ "kUSART_8BitsPerChar", "a00020.html#gga28e46a3538cf5f5140523132a963283cad71aee19329a4127614132d30e50ad8a", null ] + [ "_usart_data_len", "a00020.html#gaba5e41db910ac7f4e3a9732b1bfa002e", [ + [ "kUSART_7BitsPerChar", "a00020.html#ggaba5e41db910ac7f4e3a9732b1bfa002ea9562d6cdd240e9808a9a1d1946f400b7", null ], + [ "kUSART_8BitsPerChar", "a00020.html#ggaba5e41db910ac7f4e3a9732b1bfa002ead71aee19329a4127614132d30e50ad8a", null ] ] ], - [ "usart_clock_polarity_t", "a00020.html#ga786ba5b98195c3df810a061b6c0cca91", [ - [ "kUSART_RxSampleOnFallingEdge", "a00020.html#gga786ba5b98195c3df810a061b6c0cca91a40fdc56c60a07652a4283491087eed6b", null ], - [ "kUSART_RxSampleOnRisingEdge", "a00020.html#gga786ba5b98195c3df810a061b6c0cca91aea6908db956ab3f686c7ee54d68d401c", null ] + [ "_usart_clock_polarity", "a00020.html#ga148de18c5f1501903739e858695dc1bd", [ + [ "kUSART_RxSampleOnFallingEdge", "a00020.html#gga148de18c5f1501903739e858695dc1bda40fdc56c60a07652a4283491087eed6b", null ], + [ "kUSART_RxSampleOnRisingEdge", "a00020.html#gga148de18c5f1501903739e858695dc1bdaea6908db956ab3f686c7ee54d68d401c", null ] ] ], [ "_usart_interrupt_enable", "a00020.html#ga78b340bf3b25bfae957d0c5532b9b853", [ [ "kUSART_RxReadyInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853addb8c45f45a91da558ae553a72557445", null ], [ "kUSART_TxReadyInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a42c8946331d417404af48aed9fe53f88", null ], + [ "kUSART_TxIdleInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ac5611ab6b973e6777a7d7db8321f62f2", null ], [ "kUSART_DeltaCtsInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ac01c5ca3a2671c568e35d196ea223ada", null ], [ "kUSART_TxDisableInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a2dae162d4f0509695fce62b0ed1b9e4b", null ], [ "kUSART_HardwareOverRunInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853afb9ef5dc29f02f42773fb5b7fcda2e3e", null ], @@ -87,6 +95,7 @@ var a00020 = [ "kUSART_FramErrorInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853acc912dfbb3e827b37e9a942bf601672d", null ], [ "kUSART_ParityErrorInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a3dc275bab22f77a1182bbab5fd5cea3b", null ], [ "kUSART_RxNoiseInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a7d342596a68c59d4e38bfe33c60f53c9", null ], + [ "kUSART_AutoBaudErrorInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a7154c6ede60243bf5f9e727a5cb18ce5", null ], [ "kUSART_AllInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ad97d89d6e3a853cc07c854838a4f31f9", null ] ] ], [ "_usart_flags", "a00020.html#gaa6a81e7e82e236bb1c3c5ba306466610", [ @@ -102,7 +111,8 @@ var a00020 = [ "kUSART_RxStartFlag", "a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a2fab8368a6a3246714c83405202626b3", null ], [ "kUSART_FramErrorFlag", "a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a2187b4e63a575e94e6d3a1b7a5ce51d7", null ], [ "kUSART_ParityErrorFlag", "a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a46d487d50d8392d25c5c4e76cdfb6674", null ], - [ "kUSART_RxNoiseFlag", "a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610aef9ca7e74e386c6a6668cc2a0f43c9cd", null ] + [ "kUSART_RxNoiseFlag", "a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610aef9ca7e74e386c6a6668cc2a0f43c9cd", null ], + [ "kUSART_AutoBaudErrorFlag", "a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610aa655bdfd278003a00cf5195986a38892", null ] ] ], [ "USART_GetInstance", "a00020.html#ga0433386bbc6c2ac7e2ec7925fea263db", null ], [ "USART_Init", "a00020.html#ga2aeb4e11fdf0eb515d090865ffcf2ba2", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00021.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00021.html index 9b183df..4d2fc5a 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00021.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00021.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
@@ -101,6 +101,7 @@ $(document).ready(function(){initNavTree('a00021.html','');});
WKT: Self-wake-up Timer
@@ -138,26 +139,39 @@ Files - +

Data Structures

struct  wkt_config_t
struct  _wkt_config
 Describes WKT configuration structure. More...
 
+ + + + + + + + + + +

+Typedefs

typedef enum _wkt_clock_source wkt_clock_source_t
 Describes WKT clock source. More...
 
typedef struct _wkt_config wkt_config_t
 Describes WKT configuration structure. More...
 
+typedef enum _wkt_status_flags wkt_status_flags_t
 List of WKT flags.
 
- - - - + + - - + +

Enumerations

enum  wkt_clock_source_t {
-  kWKT_DividedFROClockSource = 0U, +
enum  _wkt_clock_source {
+  kWKT_DividedFROClockSource = 0U,
-  kWKT_LowPowerClockSource = 1U, +  kWKT_LowPowerClockSource = 1U,
-  kWKT_ExternalClockSource = 2U +  kWKT_ExternalClockSource = 2U
}
 Describes WKT clock source. More...
 
enum  wkt_status_flags_t { kWKT_AlarmFlag = WKT_CTRL_ALARMFLAG_MASK +
 Describes WKT clock source. More...
 
enum  _wkt_status_flags { kWKT_AlarmFlag = WKT_CTRL_ALARMFLAG_MASK }
 List of WKT flags. More...
 
 List of WKT flags. More...
 
@@ -168,13 +182,13 @@ Driver version

Driver version

- + - +

Initialization and deinitialization

void WKT_Init (WKT_Type *base, const wkt_config_t *config)
void WKT_Init (WKT_Type *base, const wkt_config_t *config)
 Ungates the WKT clock and configures the peripheral for basic operation. More...
 
void WKT_Deinit (WKT_Type *base)
 Gate the WKT clock. More...
 
static void WKT_GetDefaultConfig (wkt_config_t *config)
static void WKT_GetDefaultConfig (wkt_config_t *config)
 Initializes the WKT configuration structure. More...
 
@@ -208,55 +222,80 @@ Timer Start and Stop
- +
struct wkt_config_tstruct _wkt_config
- - - + + +

Data Fields

-wkt_clock_source_t clockSource
 External or internal clock source select.
 
+wkt_clock_source_t clockSource
 External or internal clock source select.
 
-

Enumeration Type Documentation

- +

Typedef Documentation

+
+ +
+
+ +
+
+ + + + +
typedef struct _wkt_config wkt_config_t
+
+ +
+
+

Enumeration Type Documentation

+ +
+
+ + +
enum _wkt_clock_source
- - -
Enumerator
kWKT_DividedFROClockSource  +
Enumerator
kWKT_DividedFROClockSource 

WKT clock sourced from the divided FRO clock.

kWKT_LowPowerClockSource  +
kWKT_LowPowerClockSource 

WKT clock sourced from the Low power clock Use this clock, LPOSCEN bit of DPDCTRL register must be enabled.

kWKT_ExternalClockSource  +
kWKT_ExternalClockSource 

WKT clock sourced from the Low power clock Use this clock, WAKECLKPAD_DISABLE bit of DPDCTRL register must be enabled.

- +
-
Enumerator
kWKT_AlarmFlag  +
Enumerator
kWKT_AlarmFlag 

Alarm flag.

@@ -277,7 +316,7 @@ Timer Start and Stop - const wkt_config_t *  + const wkt_config_tconfig  @@ -330,7 +369,7 @@ Timer Start and Stop static void WKT_GetDefaultConfig ( - wkt_config_t *  + wkt_config_tconfig) @@ -342,7 +381,7 @@ Timer Start and Stop

This function initializes the WKT configuration structure to default values. The default values are as follows.

-
* config->clockSource = kWKT_DividedFROClockSource;
+
* config->clockSource = kWKT_DividedFROClockSource;
*
Parameters
@@ -350,7 +389,7 @@ Timer Start and Stop
-
See Also
wkt_config_t
+
See Also
wkt_config_t
@@ -411,7 +450,7 @@ Timer Start and Stop -
Returns
The status flags. This is the logical OR of members of the enumeration wkt_status_flags_t
+
Returns
The status flags. This is the logical OR of members of the enumeration wkt_status_flags_t
@@ -449,7 +488,7 @@ Timer Start and Stop
Parameters
- +
baseWKT peripheral base address
maskThe status flags to clear. This is a logical OR of members of the enumeration wkt_status_flags_t
maskThe status flags to clear. This is a logical OR of members of the enumeration wkt_status_flags_t
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00021.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00021.js index 3786d12..6982516 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00021.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00021.js @@ -1,16 +1,19 @@ var a00021 = [ - [ "wkt_config_t", "a00021.html#a00125", [ - [ "clockSource", "a00021.html#ae5078ce05e0689966c2c5c49f9aaf89a", null ] + [ "_wkt_config", "a00021.html#a00125", [ + [ "clockSource", "a00021.html#a661c018494a522eba0c6bf127bd684ab", null ] ] ], [ "FSL_WKT_DRIVER_VERSION", "a00021.html#ga0411f3587cc78cbde38b765e8bf3ba0c", null ], - [ "wkt_clock_source_t", "a00021.html#gaf985ebf5e165e2fbda510cac71cad222", [ - [ "kWKT_DividedFROClockSource", "a00021.html#ggaf985ebf5e165e2fbda510cac71cad222a22e7e8fe1fd3cc9d4d3278e0fb7bae32", null ], - [ "kWKT_LowPowerClockSource", "a00021.html#ggaf985ebf5e165e2fbda510cac71cad222a3c617873be9cb696f53cca9529ca6dfc", null ], - [ "kWKT_ExternalClockSource", "a00021.html#ggaf985ebf5e165e2fbda510cac71cad222afe5c2695869b965f7833386743cc1147", null ] + [ "wkt_clock_source_t", "a00021.html#ga2f8189bd165f45c4b6952003f74f4b27", null ], + [ "wkt_config_t", "a00021.html#ga778acc4799cf97bf558e543417e03772", null ], + [ "wkt_status_flags_t", "a00021.html#ga2ab1ca4acd02e743300c0d79758c2759", null ], + [ "_wkt_clock_source", "a00021.html#ga9f55ad94f6b9b9906690399c088b82b6", [ + [ "kWKT_DividedFROClockSource", "a00021.html#gga9f55ad94f6b9b9906690399c088b82b6a22e7e8fe1fd3cc9d4d3278e0fb7bae32", null ], + [ "kWKT_LowPowerClockSource", "a00021.html#gga9f55ad94f6b9b9906690399c088b82b6a3c617873be9cb696f53cca9529ca6dfc", null ], + [ "kWKT_ExternalClockSource", "a00021.html#gga9f55ad94f6b9b9906690399c088b82b6afe5c2695869b965f7833386743cc1147", null ] ] ], - [ "wkt_status_flags_t", "a00021.html#ga0c4c3a2aa689d7cdd0608eb1076d83aa", [ - [ "kWKT_AlarmFlag", "a00021.html#gga0c4c3a2aa689d7cdd0608eb1076d83aaaf60149e2b9f22db27ef273f412e86150", null ] + [ "_wkt_status_flags", "a00021.html#gab79bd4bf294072d42f3eb1006503df5e", [ + [ "kWKT_AlarmFlag", "a00021.html#ggab79bd4bf294072d42f3eb1006503df5eaf60149e2b9f22db27ef273f412e86150", null ] ] ], [ "WKT_Init", "a00021.html#gab3a332ac3545c7280fe2e03785736340", null ], [ "WKT_Deinit", "a00021.html#gac9fb07a0516fdfdbb40129dd85f82b4b", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00022.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00022.html index 3eac492..16c38a7 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00022.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00022.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
@@ -101,6 +101,7 @@ $(document).ready(function(){initNavTree('a00022.html','');});
WWDT: Windowed Watchdog Timer Driver
@@ -134,10 +135,16 @@ Files - +

Data Structures

struct  wwdt_config_t
struct  _wwdt_config
 Describes WWDT configuration structure. More...
 
+ + + + +

+Typedefs

typedef struct _wwdt_config wwdt_config_t
 Describes WWDT configuration structure. More...
 

Enumerations

enum  _wwdt_status_flags_t {
@@ -168,10 +175,10 @@ Refresh sequence
- + - + @@ -211,50 +218,50 @@ WWDT Functional Operation

WWDT Initialization and De-initialization

void WWDT_GetDefaultConfig (wwdt_config_t *config)
void WWDT_GetDefaultConfig (wwdt_config_t *config)
 Initializes WWDT configure structure. More...
 
void WWDT_Init (WWDT_Type *base, const wwdt_config_t *config)
void WWDT_Init (WWDT_Type *base, const wwdt_config_t *config)
 Initializes the WWDT. More...
 
void WWDT_Deinit (WWDT_Type *base)
- +
struct wwdt_config_tstruct _wwdt_config
- - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + +

Data Fields

-bool enableWwdt
 Enables or disables WWDT.
 
-bool enableWatchdogReset
 true: Watchdog timeout will cause a chip reset false: Watchdog timeout will not cause a chip reset
 
-bool enableWatchdogProtect
 true: Enable watchdog protect i.e timeout value can only be changed after counter is below warning & window values false: Disable watchdog protect; timeout value can be changed at any time
 
-bool enableLockOscillator
 true: Disabling or powering down the watchdog oscillator is prevented Once set, this bit can only be cleared by a reset false: Do not lock oscillator
 
-uint32_t windowValue
 Window value, set this to 0xFFFFFF if windowing is not in effect.
 
-uint32_t timeoutValue
 Timeout value.
 
uint32_t warningValue
 Watchdog time counter value that will generate a warning interrupt. More...
 
uint32_t clockFreq_Hz
 Watchdog clock source frequency. More...
 
+bool enableWwdt
 Enables or disables WWDT.
 
+bool enableWatchdogReset
 true: Watchdog timeout will cause a chip reset false: Watchdog timeout will not cause a chip reset
 
+bool enableWatchdogProtect
 true: Enable watchdog protect i.e timeout value can only be changed after counter is below warning & window values false: Disable watchdog protect; timeout value can be changed at any time
 
+bool enableLockOscillator
 true: Disabling or powering down the watchdog oscillator is prevented Once set, this bit can only be cleared by a reset false: Do not lock oscillator
 
+uint32_t windowValue
 Window value, set this to 0xFFFFFF if windowing is not in effect.
 
+uint32_t timeoutValue
 Timeout value.
 
uint32_t warningValue
 Watchdog time counter value that will generate a warning interrupt. More...
 
uint32_t clockFreq_Hz
 Watchdog clock source frequency. More...
 

Field Documentation

- +
- +
uint32_t wwdt_config_t::warningValueuint32_t _wwdt_config::warningValue
@@ -262,12 +269,12 @@ uint32_t  +
- +
uint32_t wwdt_config_t::clockFreq_Hzuint32_t _wwdt_config::clockFreq_Hz
@@ -288,6 +295,19 @@ uint32_t  +
+
+

Typedef Documentation

+
+
+
+ + + + +
typedef struct _wwdt_config wwdt_config_t
+
+

Enumeration Type Documentation

@@ -320,7 +340,7 @@ uint32_t void WWDT_GetDefaultConfig ( - wwdt_config_t *  + wwdt_config_tconfig) @@ -341,7 +361,7 @@ uint32_t 
See Also
wwdt_config_t
+
See Also
wwdt_config_t
@@ -358,7 +378,7 @@ uint32_t  - const wwdt_config_t *  + const wwdt_config_tconfig  @@ -372,7 +392,7 @@ uint32_t  -
* config.timeoutValue = 0x7ffU;
+
* config.timeoutValue = 0x7ffU;
* WWDT_Init(wwdt_base,&config);
*
Parameters
@@ -617,7 +637,7 @@ uint32_t  -

This function sets the timeout value. Every time a feed sequence occurs the value in the TC register is loaded into the Watchdog timer. Writing a value below 0xFF will cause 0xFF to be loaded into the TC register. Thus the minimum time-out interval is TWDCLK*256*4. If enableWatchdogProtect flag is true in wwdt_config_t config structure, any attempt to change the timeout value before the watchdog counter is below the warning and window values will cause a watchdog reset and set the WDTOF flag.

+

This function sets the timeout value. Every time a feed sequence occurs the value in the TC register is loaded into the Watchdog timer. Writing a value below 0xFF will cause 0xFF to be loaded into the TC register. Thus the minimum time-out interval is TWDCLK*256*4. If enableWatchdogProtect flag is true in wwdt_config_t config structure, any attempt to change the timeout value before the watchdog counter is below the warning and window values will cause a watchdog reset and set the WDTOF flag.

Parameters
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00022.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00022.js index 615114b..a7bacc9 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00022.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00022.js @@ -1,18 +1,19 @@ var a00022 = [ - [ "wwdt_config_t", "a00022.html#a00126", [ - [ "enableWwdt", "a00022.html#a405b63e15703dc92b3179b5089319e38", null ], - [ "enableWatchdogReset", "a00022.html#a78ce1ec4de049becaae7e3be51772313", null ], - [ "enableWatchdogProtect", "a00022.html#adc32c01dcffb7f140c8e1fd049862928", null ], - [ "enableLockOscillator", "a00022.html#ac0e6a4a8be4bd468fdb082a156219a44", null ], - [ "windowValue", "a00022.html#a6ec33e8656fe2cfc997634b348ca2cfa", null ], - [ "timeoutValue", "a00022.html#a9ada6867151ee688d47176cbe771cf2d", null ], - [ "warningValue", "a00022.html#a449186b990027ae1e7543458e2f8714a", null ], - [ "clockFreq_Hz", "a00022.html#a15e2f3ecd343280edfd8fbaa0bf8c9f4", null ] + [ "_wwdt_config", "a00022.html#a00126", [ + [ "enableWwdt", "a00022.html#a4a2401c8e97f8eced0fdca7ffdabacbc", null ], + [ "enableWatchdogReset", "a00022.html#a6ff22b44e352c0182bdd87b9ff61ee2e", null ], + [ "enableWatchdogProtect", "a00022.html#adf57c281f83db946ed4553f513f00061", null ], + [ "enableLockOscillator", "a00022.html#aa664f6d30e5b267bf960ad09fb36275f", null ], + [ "windowValue", "a00022.html#ad7c0d3a959ecac990eed2c9cfc1a0f09", null ], + [ "timeoutValue", "a00022.html#ab55d5525745d39713c954d1296e2a9df", null ], + [ "warningValue", "a00022.html#a350a5289fd77fc6f5b2834a66726d80e", null ], + [ "clockFreq_Hz", "a00022.html#acbab700e360be852f9b80ab4a37d8f68", null ] ] ], [ "FSL_WWDT_DRIVER_VERSION", "a00022.html#gaf661d1e3e19a80b873c54fdbad5e966a", null ], [ "WWDT_FIRST_WORD_OF_REFRESH", "a00022.html#ga56228a5472034e453003e7f375c824ab", null ], [ "WWDT_SECOND_WORD_OF_REFRESH", "a00022.html#gab483f5384985a23bb28889b3c31da9ee", null ], + [ "wwdt_config_t", "a00022.html#gade4f744368e9723e83fdefb72e88e692", null ], [ "_wwdt_status_flags_t", "a00022.html#gaca0e9724bd1f25336527ea66c77e476f", [ [ "kWWDT_TimeoutFlag", "a00022.html#ggaca0e9724bd1f25336527ea66c77e476faea9db9afc1f9c70872a755eaa24a4184", null ], [ "kWWDT_WarningFlag", "a00022.html#ggaca0e9724bd1f25336527ea66c77e476fa825be03d771f06e1d6cf947ca78f857e", null ] diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00079.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00079.html index af1b9db..4f2728f 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00079.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00079.html @@ -32,7 +32,7 @@ @@ -101,6 +101,7 @@ $(document).ready(function(){initNavTree('a00079.html','');});
@@ -220,178 +221,200 @@ Macros
baseWWDT peripheral base address
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
 Internal used Clock definition only. More...
 
+ + + + + + + + + + + + + + + + + + + +

+Typedefs

typedef enum _clock_ip_name clock_ip_name_t
 Clock gate name used for CLOCK_EnableClock/CLOCK_DisableClock. More...
 
typedef enum _clock_name clock_name_t
 Clock name used to get clock frequency. More...
 
typedef enum _clock_select clock_select_t
 Clock Mux Switches CLK_MUX_DEFINE(reg, mux) reg is used to define the mux register mux is used to define the mux value. More...
 
+typedef enum _clock_divider clock_divider_t
 Clock divider.
 
typedef enum _clock_fro_osc_freq clock_fro_osc_freq_t
 fro output frequency source definition More...
 
typedef enum _clock_main_clk_src clock_main_clk_src_t
 PLL clock definition. More...
 
- - - - + + - - - + + - - - + + - - - + + - - - + + - - + +

Enumerations

enum  clock_ip_name_t {
-  kCLOCK_IpInvalid = 0U, +
enum  _clock_ip_name {
+  kCLOCK_IpInvalid = 0U,
-  kCLOCK_Sys = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 0U), +  kCLOCK_Sys = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 0U),
-  kCLOCK_Rom = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 1U), +  kCLOCK_Rom = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 1U),
-  kCLOCK_Ram0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 2U), +  kCLOCK_Ram0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 2U),
-  kCLOCK_Flash = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 4U), +  kCLOCK_Flash = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 4U),
-  kCLOCK_I2c0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 5U), +  kCLOCK_I2c0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 5U),
-  kCLOCK_Gpio0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 6U), +  kCLOCK_Gpio0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 6U),
-  kCLOCK_Swm = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 7U), +  kCLOCK_Swm = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 7U),
-  kCLOCK_Wkt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 9U), +  kCLOCK_Wkt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 9U),
-  kCLOCK_Mrt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 10U), +  kCLOCK_Mrt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 10U),
-  kCLOCK_Spi0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 11U), +  kCLOCK_Spi0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 11U),
-  kCLOCK_Crc = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 13U), +  kCLOCK_Crc = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 13U),
-  kCLOCK_Uart0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 14U), +  kCLOCK_Uart0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 14U),
-  kCLOCK_Uart1 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 15U), +  kCLOCK_Uart1 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 15U),
-  kCLOCK_Wwdt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 17U), +  kCLOCK_Wwdt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 17U),
-  kCLOCK_Iocon = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 18U), +  kCLOCK_Iocon = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 18U),
-  kCLOCK_Acmp = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 19U), +  kCLOCK_Acmp = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 19U),
-  kCLOCK_I2c1 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 21U), +  kCLOCK_I2c1 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 21U),
-  kCLOCK_Adc = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 24U), +  kCLOCK_Adc = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 24U),
-  kCLOCK_Ctimer0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 25U), +  kCLOCK_Ctimer0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 25U),
-  kCLOCK_Dac = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 27U), +  kCLOCK_Dac = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 27U),
-  kCLOCK_GpioInt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 28U), +  kCLOCK_GpioInt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 28U),
-  kCLOCK_Capt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL1, 0U), +  kCLOCK_Capt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL1, 0U),
-  kCLOCK_PLU = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL1, 5U) +  kCLOCK_PLU = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL1, 5U)
}
 Clock gate name used for CLOCK_EnableClock/CLOCK_DisableClock. More...
 
enum  clock_name_t {
-  kCLOCK_CoreSysClk, +
 Clock gate name used for CLOCK_EnableClock/CLOCK_DisableClock. More...
 
enum  _clock_name {
+  kCLOCK_CoreSysClk,
-  kCLOCK_MainClk, +  kCLOCK_MainClk,
-  kCLOCK_Fro, +  kCLOCK_Fro,
-  kCLOCK_FroDiv, +  kCLOCK_FroDiv,
-  kCLOCK_ExtClk, +  kCLOCK_ExtClk,
-  kCLOCK_LPOsc, +  kCLOCK_LPOsc,
-  kCLOCK_Frg0 +  kCLOCK_Frg0
}
 Clock name used to get clock frequency. More...
 
enum  clock_select_t {
-  kCAPT_Clk_From_Fro = CLK_MUX_DEFINE(CAPTCLKSEL, 0U), +
 Clock name used to get clock frequency. More...
 
enum  _clock_select {
+  kCAPT_Clk_From_Fro = CLK_MUX_DEFINE(CAPTCLKSEL, 0U),
-  kCAPT_Clk_From_MainClk = CLK_MUX_DEFINE(CAPTCLKSEL, 1U), +  kCAPT_Clk_From_MainClk = CLK_MUX_DEFINE(CAPTCLKSEL, 1U),
-  kCAPT_Clk_From_Fro_Div = CLK_MUX_DEFINE(CAPTCLKSEL, 3U), +  kCAPT_Clk_From_Fro_Div = CLK_MUX_DEFINE(CAPTCLKSEL, 3U),
-  kCAPT_Clk_From_LPOsc = CLK_MUX_DEFINE(CAPTCLKSEL, 4U), +  kCAPT_Clk_From_LPOsc = CLK_MUX_DEFINE(CAPTCLKSEL, 4U),
-  kADC_Clk_From_Fro = CLK_MUX_DEFINE(ADCCLKSEL, 0U), +  kADC_Clk_From_Fro = CLK_MUX_DEFINE(ADCCLKSEL, 0U),
-  kADC_Clk_From_Extclk = CLK_MUX_DEFINE(ADCCLKSEL, 1U), +  kADC_Clk_From_Extclk = CLK_MUX_DEFINE(ADCCLKSEL, 1U),
-  kUART0_Clk_From_Fro = CLK_MUX_DEFINE(UART0CLKSEL, 0U), +  kUART0_Clk_From_Fro = CLK_MUX_DEFINE(UART0CLKSEL, 0U),
-  kUART0_Clk_From_MainClk = CLK_MUX_DEFINE(UART0CLKSEL, 1U), +  kUART0_Clk_From_MainClk = CLK_MUX_DEFINE(UART0CLKSEL, 1U),
-  kUART0_Clk_From_Frg0Clk = CLK_MUX_DEFINE(UART0CLKSEL, 2U), +  kUART0_Clk_From_Frg0Clk = CLK_MUX_DEFINE(UART0CLKSEL, 2U),
-  kUART0_Clk_From_Fro_Div = CLK_MUX_DEFINE(UART0CLKSEL, 4U), +  kUART0_Clk_From_Fro_Div = CLK_MUX_DEFINE(UART0CLKSEL, 4U),
-  kUART1_Clk_From_Fro = CLK_MUX_DEFINE(UART1CLKSEL, 0U), +  kUART1_Clk_From_Fro = CLK_MUX_DEFINE(UART1CLKSEL, 0U),
-  kUART1_Clk_From_MainClk = CLK_MUX_DEFINE(UART1CLKSEL, 1U), +  kUART1_Clk_From_MainClk = CLK_MUX_DEFINE(UART1CLKSEL, 1U),
-  kUART1_Clk_From_Frg0Clk = CLK_MUX_DEFINE(UART1CLKSEL, 2U), +  kUART1_Clk_From_Frg0Clk = CLK_MUX_DEFINE(UART1CLKSEL, 2U),
-  kUART1_Clk_From_Fro_Div = CLK_MUX_DEFINE(UART1CLKSEL, 4U), +  kUART1_Clk_From_Fro_Div = CLK_MUX_DEFINE(UART1CLKSEL, 4U),
-  kI2C0_Clk_From_Fro = CLK_MUX_DEFINE(I2C0CLKSEL, 0U), +  kI2C0_Clk_From_Fro = CLK_MUX_DEFINE(I2C0CLKSEL, 0U),
-  kI2C0_Clk_From_MainClk = CLK_MUX_DEFINE(I2C0CLKSEL, 1U), +  kI2C0_Clk_From_MainClk = CLK_MUX_DEFINE(I2C0CLKSEL, 1U),
-  kI2C0_Clk_From_Frg0Clk = CLK_MUX_DEFINE(I2C0CLKSEL, 2U), +  kI2C0_Clk_From_Frg0Clk = CLK_MUX_DEFINE(I2C0CLKSEL, 2U),
-  kI2C0_Clk_From_Fro_Div = CLK_MUX_DEFINE(I2C0CLKSEL, 4U), +  kI2C0_Clk_From_Fro_Div = CLK_MUX_DEFINE(I2C0CLKSEL, 4U),
-  kI2C1_Clk_From_Fro = CLK_MUX_DEFINE(I2C1CLKSEL, 0U), +  kI2C1_Clk_From_Fro = CLK_MUX_DEFINE(I2C1CLKSEL, 0U),
-  kI2C1_Clk_From_MainClk = CLK_MUX_DEFINE(I2C1CLKSEL, 1U), +  kI2C1_Clk_From_MainClk = CLK_MUX_DEFINE(I2C1CLKSEL, 1U),
-  kI2C1_Clk_From_Frg0Clk = CLK_MUX_DEFINE(I2C1CLKSEL, 2U), +  kI2C1_Clk_From_Frg0Clk = CLK_MUX_DEFINE(I2C1CLKSEL, 2U),
-  kI2C1_Clk_From_Fro_Div = CLK_MUX_DEFINE(I2C1CLKSEL, 4U), +  kI2C1_Clk_From_Fro_Div = CLK_MUX_DEFINE(I2C1CLKSEL, 4U),
-  kSPI0_Clk_From_Fro = CLK_MUX_DEFINE(SPI0CLKSEL, 0U), +  kSPI0_Clk_From_Fro = CLK_MUX_DEFINE(SPI0CLKSEL, 0U),
-  kSPI0_Clk_From_MainClk = CLK_MUX_DEFINE(SPI0CLKSEL, 1U), +  kSPI0_Clk_From_MainClk = CLK_MUX_DEFINE(SPI0CLKSEL, 1U),
-  kSPI0_Clk_From_Frg0Clk = CLK_MUX_DEFINE(SPI0CLKSEL, 2U), +  kSPI0_Clk_From_Frg0Clk = CLK_MUX_DEFINE(SPI0CLKSEL, 2U),
-  kSPI0_Clk_From_Fro_Div = CLK_MUX_DEFINE(SPI0CLKSEL, 4U), +  kSPI0_Clk_From_Fro_Div = CLK_MUX_DEFINE(SPI0CLKSEL, 4U),
-  kFRG0_Clk_From_Fro = CLK_MUX_DEFINE(FRG[0].FRGCLKSEL, 0U), +  kFRG0_Clk_From_Fro = CLK_MUX_DEFINE(FRG[0].FRGCLKSEL, 0U),
-  kFRG0_Clk_From_MainClk = CLK_MUX_DEFINE(FRG[0].FRGCLKSEL, 1U), +  kFRG0_Clk_From_MainClk = CLK_MUX_DEFINE(FRG[0].FRGCLKSEL, 1U),
-  kCLKOUT_From_Fro = CLK_MUX_DEFINE(CLKOUTSEL, 0U), +  kCLKOUT_From_Fro = CLK_MUX_DEFINE(CLKOUTSEL, 0U),
-  kCLKOUT_From_MainClk = CLK_MUX_DEFINE(CLKOUTSEL, 1U), +  kCLKOUT_From_MainClk = CLK_MUX_DEFINE(CLKOUTSEL, 1U),
-  kCLKOUT_From_ExtClk = CLK_MUX_DEFINE(CLKOUTSEL, 3U), +  kCLKOUT_From_ExtClk = CLK_MUX_DEFINE(CLKOUTSEL, 3U),
-  kCLKOUT_From_Lposc = CLK_MUX_DEFINE(CLKOUTSEL, 4U) +  kCLKOUT_From_Lposc = CLK_MUX_DEFINE(CLKOUTSEL, 4U)
}
 Clock Mux Switches CLK_MUX_DEFINE(reg, mux) reg is used to define the mux register mux is used to define the mux value. More...
 
enum  clock_divider_t {
-  kCLOCK_DivAhbClk = CLK_DIV_DEFINE(SYSAHBCLKDIV), +
 Clock Mux Switches CLK_MUX_DEFINE(reg, mux) reg is used to define the mux register mux is used to define the mux value. More...
 
enum  _clock_divider {
+  kCLOCK_DivAhbClk = CLK_DIV_DEFINE(SYSAHBCLKDIV),
-  kCLOCK_DivAdcClk = CLK_DIV_DEFINE(ADCCLKDIV), +  kCLOCK_DivAdcClk = CLK_DIV_DEFINE(ADCCLKDIV),
-  kCLOCK_DivClkOut = CLK_DIV_DEFINE(CLKOUTDIV) +  kCLOCK_DivClkOut = CLK_DIV_DEFINE(CLKOUTDIV)
}
 Clock divider. More...
 
enum  clock_fro_osc_freq_t {
-  kCLOCK_FroOscOut18M = 18000U, +
 Clock divider. More...
 
enum  _clock_fro_osc_freq {
+  kCLOCK_FroOscOut18M = 18000U,
-  kCLOCK_FroOscOut24M = 24000U, +  kCLOCK_FroOscOut24M = 24000U,
-  kCLOCK_FroOscOut30M = 30000U +  kCLOCK_FroOscOut30M = 30000U
}
 fro output frequency source definition More...
 
enum  clock_main_clk_src_t {
-  kCLOCK_MainClkSrcFro = CLK_MAIN_CLK_MUX_DEFINE(0U, 0U), +
 fro output frequency source definition More...
 
enum  _clock_main_clk_src {
+  kCLOCK_MainClkSrcFro = CLK_MAIN_CLK_MUX_DEFINE(0U, 0U),
-  kCLOCK_MainClkSrcExtClk = CLK_MAIN_CLK_MUX_DEFINE(1U, 0U), +  kCLOCK_MainClkSrcExtClk = CLK_MAIN_CLK_MUX_DEFINE(1U, 0U),
-  kCLOCK_MainClkSrcLPOsc = CLK_MAIN_CLK_MUX_DEFINE(2U, 0U), +  kCLOCK_MainClkSrcLPOsc = CLK_MAIN_CLK_MUX_DEFINE(2U, 0U),
-  kCLOCK_MainClkSrcFroDiv = CLK_MAIN_CLK_MUX_DEFINE(3U, 0U) +  kCLOCK_MainClkSrcFroDiv = CLK_MAIN_CLK_MUX_DEFINE(3U, 0U)
}
 PLL clock definition. More...
 
 PLL clock definition. More...
 
@@ -416,24 +439,24 @@ Clock gate, mux, and divider. +static void  +static void  +static void  +static void  +static uint32_t  - + - + @@ -504,7 +527,7 @@ External/internal oscillator clock operations static void  - +

Variables

PLL configuration structure

-static void CLOCK_EnableClock (clock_ip_name_t clk)
CLOCK_EnableClock (clock_ip_name_t clk)
 
-static void CLOCK_DisableClock (clock_ip_name_t clk)
CLOCK_DisableClock (clock_ip_name_t clk)
 
-static void CLOCK_Select (clock_select_t sel)
CLOCK_Select (clock_select_t sel)
 
-static void CLOCK_SetClkDivider (clock_divider_t name, uint32_t value)
CLOCK_SetClkDivider (clock_divider_t name, uint32_t value)
 
-static uint32_t CLOCK_GetClkDivider (clock_divider_t name)
CLOCK_GetClkDivider (clock_divider_t name)
 
static void CLOCK_SetCoreSysClkDiv (uint32_t value)
 
void CLOCK_SetMainClkSrc (clock_main_clk_src_t src)
void CLOCK_SetMainClkSrc (clock_main_clk_src_t src)
 Set main clock reference source. More...
 
@@ -471,7 +494,7 @@ Get frequency
uint32_t CLOCK_GetUart1ClkFreq (void)
 Get UART1 frequency. More...
 
uint32_t CLOCK_GetFreq (clock_name_t clockName)
uint32_t CLOCK_GetFreq (clock_name_t clockName)
 Return Frequency of selected clock. More...
 
static uint32_t CLOCK_GetLPOscFreq (void)
CLOCK_DeinitLpOsc (void)
 Deinit watch dog OSC.
 
void CLOCK_SetFroOscFreq (clock_fro_osc_freq_t freq)
void CLOCK_SetFroOscFreq (clock_fro_osc_freq_t freq)
 Set FRO oscillator output frequency. More...
 
@@ -542,10 +565,10 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: Adc.
Definition: fsl_clock.h:209
+
Clock gate name: Adc.
Definition: fsl_clock.h:209
@@ -558,10 +581,10 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: Acmp.
Definition: fsl_clock.h:207
+
Clock gate name: Acmp.
Definition: fsl_clock.h:207
@@ -574,10 +597,10 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: Dac.
Definition: fsl_clock.h:211
+
Clock gate name: Dac.
Definition: fsl_clock.h:211
@@ -590,10 +613,10 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: Swm.
Definition: fsl_clock.h:198
+
Clock gate name: Swm.
Definition: fsl_clock.h:198
@@ -606,10 +629,10 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: Rom.
Definition: fsl_clock.h:193
+
Clock gate name: Rom.
Definition: fsl_clock.h:193
@@ -622,10 +645,10 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: Ram0.
Definition: fsl_clock.h:194
+
Clock gate name: Ram0.
Definition: fsl_clock.h:194
@@ -638,10 +661,10 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: Iocon.
Definition: fsl_clock.h:206
+
Clock gate name: Iocon.
Definition: fsl_clock.h:206
@@ -654,10 +677,10 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: Gpio0.
Definition: fsl_clock.h:197
+
Clock gate name: Gpio0.
Definition: fsl_clock.h:197
@@ -670,10 +693,10 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: GpioInt.
Definition: fsl_clock.h:212
+
Clock gate name: GpioInt.
Definition: fsl_clock.h:212
@@ -686,10 +709,10 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: Crc.
Definition: fsl_clock.h:202
+
Clock gate name: Crc.
Definition: fsl_clock.h:202
@@ -702,10 +725,10 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: Wwdt.
Definition: fsl_clock.h:205
+
Clock gate name: Wwdt.
Definition: fsl_clock.h:205
@@ -733,11 +756,11 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: I2c1.
Definition: fsl_clock.h:208
-
Clock gate name: I2c0.
Definition: fsl_clock.h:196
+
Clock gate name: I2c0.
Definition: fsl_clock.h:196
+
Clock gate name: I2c1.
Definition: fsl_clock.h:208
@@ -750,11 +773,11 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: Uart0.
Definition: fsl_clock.h:203
-
Clock gate name: Uart1.
Definition: fsl_clock.h:204
+
Clock gate name: Uart0.
Definition: fsl_clock.h:203
+
Clock gate name: Uart1.
Definition: fsl_clock.h:204
@@ -767,10 +790,10 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: Spi0.
Definition: fsl_clock.h:201
+
Clock gate name: Spi0.
Definition: fsl_clock.h:201
@@ -783,10 +806,10 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: Capt.
Definition: fsl_clock.h:213
+
Clock gate name: Capt.
Definition: fsl_clock.h:213
@@ -799,10 +822,10 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: Ctimer0.
Definition: fsl_clock.h:210
+
Clock gate name: Ctimer0.
Definition: fsl_clock.h:210
@@ -815,10 +838,10 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: Mrt.
Definition: fsl_clock.h:200
+
Clock gate name: Mrt.
Definition: fsl_clock.h:200
@@ -831,10 +854,10 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: Wkt.
Definition: fsl_clock.h:199
+
Clock gate name: Wkt.
Definition: fsl_clock.h:199
@@ -847,10 +870,10 @@ static void 
-Value:
- +Value:
{ \
+
}
-
Clock gate name: PLU.
Definition: fsl_clock.h:214
+
Clock gate name: PLU.
Definition: fsl_clock.h:214
@@ -880,306 +903,369 @@ static void  -

Enumeration Type Documentation

-
+

Typedef Documentation

+
- + + +
enum clock_ip_name_ttypedef enum _clock_ip_name clock_ip_name_t
+
+ +
+
+ +
+
+ + + + +
typedef enum _clock_name clock_name_t
+
+ +
+
+ +
+
+ + + + +
typedef enum _clock_select clock_select_t
+
+ +
+
+ +
+
+ + + + +
typedef enum _clock_fro_osc_freq clock_fro_osc_freq_t
+
+

fro oscillator output frequency value definition

+ +
+
+ +
+
+ + + + +
typedef enum _clock_main_clk_src clock_main_clk_src_t
+
+

< Main clock source definition

+ +
+
+

Enumeration Type Documentation

+ +
+
+ + +
enum _clock_ip_name
- - - - - - - - - - - - - - - - - - - - - - - -
Enumerator
kCLOCK_IpInvalid  +
Enumerator
kCLOCK_IpInvalid 

Invalid Ip Name.

kCLOCK_Sys  +
kCLOCK_Sys 

Clock gate name: Sys.

kCLOCK_Rom  +
kCLOCK_Rom 

Clock gate name: Rom.

kCLOCK_Ram0  +
kCLOCK_Ram0 

Clock gate name: Ram0.

kCLOCK_Flash  +
kCLOCK_Flash 

Clock gate name: Flash.

kCLOCK_I2c0  +
kCLOCK_I2c0 

Clock gate name: I2c0.

kCLOCK_Gpio0  +
kCLOCK_Gpio0 

Clock gate name: Gpio0.

kCLOCK_Swm  +
kCLOCK_Swm 

Clock gate name: Swm.

kCLOCK_Wkt  +
kCLOCK_Wkt 

Clock gate name: Wkt.

kCLOCK_Mrt  +
kCLOCK_Mrt 

Clock gate name: Mrt.

kCLOCK_Spi0  +
kCLOCK_Spi0 

Clock gate name: Spi0.

kCLOCK_Crc  +
kCLOCK_Crc 

Clock gate name: Crc.

kCLOCK_Uart0  +
kCLOCK_Uart0 

Clock gate name: Uart0.

kCLOCK_Uart1  +
kCLOCK_Uart1 

Clock gate name: Uart1.

kCLOCK_Wwdt  +
kCLOCK_Wwdt 

Clock gate name: Wwdt.

kCLOCK_Iocon  +
kCLOCK_Iocon 

Clock gate name: Iocon.

kCLOCK_Acmp  +
kCLOCK_Acmp 

Clock gate name: Acmp.

kCLOCK_I2c1  +
kCLOCK_I2c1 

Clock gate name: I2c1.

kCLOCK_Adc  +
kCLOCK_Adc 

Clock gate name: Adc.

kCLOCK_Ctimer0  +
kCLOCK_Ctimer0 

Clock gate name: Ctimer0.

kCLOCK_Dac  +
kCLOCK_Dac 

Clock gate name: Dac.

kCLOCK_GpioInt  +
kCLOCK_GpioInt 

Clock gate name: GpioInt.

kCLOCK_Capt  +
kCLOCK_Capt 

Clock gate name: Capt.

kCLOCK_PLU  +
kCLOCK_PLU 

Clock gate name: PLU.

- +
- +
enum clock_name_tenum _clock_name
- - - - - - -
Enumerator
kCLOCK_CoreSysClk  +
Enumerator
kCLOCK_CoreSysClk 

Cpu/AHB/AHB matrix/Memories,etc.

kCLOCK_MainClk  +
kCLOCK_MainClk 

Main clock.

kCLOCK_Fro  +
kCLOCK_Fro 

FRO18/24/30.

kCLOCK_FroDiv  +
kCLOCK_FroDiv 

FRO div clock.

kCLOCK_ExtClk  +
kCLOCK_ExtClk 

External Clock.

kCLOCK_LPOsc  +
kCLOCK_LPOsc 

Watchdog Oscillator.

kCLOCK_Frg0  +
kCLOCK_Frg0 

fractional rate0

- +
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Enumerator
kCAPT_Clk_From_Fro  +
Enumerator
kCAPT_Clk_From_Fro 

Mux CAPT_Clk from Fro.

kCAPT_Clk_From_MainClk  +
kCAPT_Clk_From_MainClk 

Mux CAPT_Clk from MainClk.

kCAPT_Clk_From_Fro_Div  +
kCAPT_Clk_From_Fro_Div 

Mux CAPT_Clk from Fro_Div.

kCAPT_Clk_From_LPOsc  +
kCAPT_Clk_From_LPOsc 

Mux CAPT_Clk from LPOsc.

kADC_Clk_From_Fro  +
kADC_Clk_From_Fro 

Mux ADC_Clk from Fro.

kADC_Clk_From_Extclk  +
kADC_Clk_From_Extclk 

Mux ADC_Clk from Extclk.

kUART0_Clk_From_Fro  +
kUART0_Clk_From_Fro 

Mux UART0_Clk from Fro.

kUART0_Clk_From_MainClk  +
kUART0_Clk_From_MainClk 

Mux UART0_Clk from MainClk.

kUART0_Clk_From_Frg0Clk  +
kUART0_Clk_From_Frg0Clk 

Mux UART0_Clk from Frg0Clk.

kUART0_Clk_From_Fro_Div  +
kUART0_Clk_From_Fro_Div 

Mux UART0_Clk from Fro_Div.

kUART1_Clk_From_Fro  +
kUART1_Clk_From_Fro 

Mux UART1_Clk from Fro.

kUART1_Clk_From_MainClk  +
kUART1_Clk_From_MainClk 

Mux UART1_Clk from MainClk.

kUART1_Clk_From_Frg0Clk  +
kUART1_Clk_From_Frg0Clk 

Mux UART1_Clk from Frg0Clk.

kUART1_Clk_From_Fro_Div  +
kUART1_Clk_From_Fro_Div 

Mux UART1_Clk from Fro_Div.

kI2C0_Clk_From_Fro  +
kI2C0_Clk_From_Fro 

Mux I2C0_Clk from Fro.

kI2C0_Clk_From_MainClk  +
kI2C0_Clk_From_MainClk 

Mux I2C0_Clk from MainClk.

kI2C0_Clk_From_Frg0Clk  +
kI2C0_Clk_From_Frg0Clk 

Mux I2C0_Clk from Frg0Clk.

kI2C0_Clk_From_Fro_Div  +
kI2C0_Clk_From_Fro_Div 

Mux I2C0_Clk from Fro_Div.

kI2C1_Clk_From_Fro  +
kI2C1_Clk_From_Fro 

Mux I2C1_Clk from Fro.

kI2C1_Clk_From_MainClk  +
kI2C1_Clk_From_MainClk 

Mux I2C1_Clk from MainClk.

kI2C1_Clk_From_Frg0Clk  +
kI2C1_Clk_From_Frg0Clk 

Mux I2C1_Clk from Frg0Clk.

kI2C1_Clk_From_Fro_Div  +
kI2C1_Clk_From_Fro_Div 

Mux I2C1_Clk from Fro_Div.

kSPI0_Clk_From_Fro  +
kSPI0_Clk_From_Fro 

Mux SPI0_Clk from Fro.

kSPI0_Clk_From_MainClk  +
kSPI0_Clk_From_MainClk 

Mux SPI0_Clk from MainClk.

kSPI0_Clk_From_Frg0Clk  +
kSPI0_Clk_From_Frg0Clk 

Mux SPI0_Clk from Frg0Clk.

kSPI0_Clk_From_Fro_Div  +
kSPI0_Clk_From_Fro_Div 

Mux SPI0_Clk from Fro_Div.

kFRG0_Clk_From_Fro  +
kFRG0_Clk_From_Fro 

Mux FRG0_Clk from Fro.

kFRG0_Clk_From_MainClk  +
kFRG0_Clk_From_MainClk 

Mux FRG0_Clk from MainClk.

kCLKOUT_From_Fro  +
kCLKOUT_From_Fro 

Mux CLKOUT from Fro.

kCLKOUT_From_MainClk  +
kCLKOUT_From_MainClk 

Mux CLKOUT from MainClk.

kCLKOUT_From_ExtClk  +
kCLKOUT_From_ExtClk 

Mux CLKOUT from ExtClk.

kCLKOUT_From_Lposc  +
kCLKOUT_From_Lposc 

Mux CLKOUT from Lposc.

- +
- - -
Enumerator
kCLOCK_DivAhbClk  +
Enumerator
kCLOCK_DivAhbClk 

Ahb Clock Divider.

kCLOCK_DivAdcClk  +
kCLOCK_DivAdcClk 

Adc Clock Divider.

kCLOCK_DivClkOut  +
kCLOCK_DivClkOut 

Clk Out Divider.

- +

fro oscillator output frequency value definition

- - -
Enumerator
kCLOCK_FroOscOut18M  +
Enumerator
kCLOCK_FroOscOut18M 

FRO oscillator output 18M.

kCLOCK_FroOscOut24M  +
kCLOCK_FroOscOut24M 

FRO oscillator output 24M.

kCLOCK_FroOscOut30M  +
kCLOCK_FroOscOut30M 

FRO oscillator output 30M.

- +

< Main clock source definition

- - - -
Enumerator
kCLOCK_MainClkSrcFro  +
Enumerator
kCLOCK_MainClkSrcFro 

main clock source from FRO

kCLOCK_MainClkSrcExtClk  +
kCLOCK_MainClkSrcExtClk 

main clock source from Ext clock

kCLOCK_MainClkSrcLPOsc  +
kCLOCK_MainClkSrcLPOsc 

main clock source from lower power oscillator

kCLOCK_MainClkSrcFroDiv  +
kCLOCK_MainClkSrcFroDiv 

main clock source from FRO Div

@@ -1194,7 +1280,7 @@ static void  void CLOCK_SetMainClkSrc ( - clock_main_clk_src_t  + clock_main_clk_src_t  src) @@ -1353,7 +1439,7 @@ static void  uint32_t CLOCK_GetFreq ( - clock_name_t  + clock_name_t  clockName) @@ -1480,7 +1566,7 @@ static void  void CLOCK_SetFroOscFreq ( - clock_fro_osc_freq_t  + clock_fro_osc_freq_t  freq) diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00079.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00079.js index 89fa20c..26f0edd 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00079.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00079.js @@ -24,90 +24,96 @@ var a00079 = [ "WKT_CLOCKS", "a00079.html#ga24f65b321ca1b862cf159bec396453bd", null ], [ "PLU_CLOCKS", "a00079.html#gadfccab39614758cd5e147aafb5157610", null ], [ "CLK_GATE_DEFINE", "a00079.html#gaea083b34e0ae608a94fce91da973a544", null ], - [ "clock_ip_name_t", "a00079.html#ga23c8b3ae62f7865b2e228408be95946d", [ - [ "kCLOCK_IpInvalid", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da11dd047399d3cf7893682897e34682de", null ], - [ "kCLOCK_Sys", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da0a7890c63167a690ed5199ec17d46670", null ], - [ "kCLOCK_Rom", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da1b9f348e8d5bc1715af7366be3ca87c7", null ], - [ "kCLOCK_Ram0", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da89556150c0329c54c4b6150030e416ff", null ], - [ "kCLOCK_Flash", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da00b88c0e9bb08d8b622140c46416d4b9", null ], - [ "kCLOCK_I2c0", "a00079.html#gga23c8b3ae62f7865b2e228408be95946dac6e6ee6e9f7b28e5c3818324c852b05f", null ], - [ "kCLOCK_Gpio0", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da9ec19029dc1ad34cb7ae0091e97b7f7d", null ], - [ "kCLOCK_Swm", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da63bcbbef2627c667b204eae91c3a0718", null ], - [ "kCLOCK_Wkt", "a00079.html#gga23c8b3ae62f7865b2e228408be95946dad386313192805ba225b0da24e078d387", null ], - [ "kCLOCK_Mrt", "a00079.html#gga23c8b3ae62f7865b2e228408be95946daace73b709cda5c34ba5bdf6888605f1f", null ], - [ "kCLOCK_Spi0", "a00079.html#gga23c8b3ae62f7865b2e228408be95946dac6997ec12e66b5e4fad9aa52ef6a2db0", null ], - [ "kCLOCK_Crc", "a00079.html#gga23c8b3ae62f7865b2e228408be95946daa1cfbe8497997fc6dff0d7f0c070aa5a", null ], - [ "kCLOCK_Uart0", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da26876b4bdecc15c46c379a289441b3c6", null ], - [ "kCLOCK_Uart1", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da1d4898f8634e2f18e6a154e2b1a4120e", null ], - [ "kCLOCK_Wwdt", "a00079.html#gga23c8b3ae62f7865b2e228408be95946daf1e8b91e80a3a9a4db038c0b4cf7e381", null ], - [ "kCLOCK_Iocon", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da909ccfe84f4275dd7b6ba09235583230", null ], - [ "kCLOCK_Acmp", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da5c44f9347603d8c7fd8eda74e3b3e40f", null ], - [ "kCLOCK_I2c1", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da689e957d82ca723a1a0cc671ba216bf5", null ], - [ "kCLOCK_Adc", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da2bc16e3ee343b4abfc46ae9506461e97", null ], - [ "kCLOCK_Ctimer0", "a00079.html#gga23c8b3ae62f7865b2e228408be95946dad2e9ebc3825a65fae3c13efbc805a128", null ], - [ "kCLOCK_Dac", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da32dc8a8723c16dbf0af95927f54a4dcc", null ], - [ "kCLOCK_GpioInt", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da3922459ccb3f2fd90ac39813c0dc39f0", null ], - [ "kCLOCK_Capt", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da0ffae7aa0d9dbc52524beb5f82ced038", null ], - [ "kCLOCK_PLU", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da9facf6722503308f657e1d1da679065a", null ] + [ "clock_ip_name_t", "a00079.html#gaf3dd76fcb181c1abd9eff8ddfc4f1c13", null ], + [ "clock_name_t", "a00079.html#gacb9ec0a8b3aa569692b550ebdcac7b3c", null ], + [ "clock_select_t", "a00079.html#gac1d9d53822e555ef06047c649968efc3", null ], + [ "clock_divider_t", "a00079.html#gafb07cc54075c6d7c97989dc0765a60f0", null ], + [ "clock_fro_osc_freq_t", "a00079.html#gaf6439c85442d8b622ae5932d89cee7c1", null ], + [ "clock_main_clk_src_t", "a00079.html#gaaeb9d8a57f6119f6c1cf201205a72767", null ], + [ "_clock_ip_name", "a00079.html#ga0d01cff2c331cdc2703b798930b1c9cd", [ + [ "kCLOCK_IpInvalid", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda11dd047399d3cf7893682897e34682de", null ], + [ "kCLOCK_Sys", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda0a7890c63167a690ed5199ec17d46670", null ], + [ "kCLOCK_Rom", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda1b9f348e8d5bc1715af7366be3ca87c7", null ], + [ "kCLOCK_Ram0", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda89556150c0329c54c4b6150030e416ff", null ], + [ "kCLOCK_Flash", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda00b88c0e9bb08d8b622140c46416d4b9", null ], + [ "kCLOCK_I2c0", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdac6e6ee6e9f7b28e5c3818324c852b05f", null ], + [ "kCLOCK_Gpio0", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda9ec19029dc1ad34cb7ae0091e97b7f7d", null ], + [ "kCLOCK_Swm", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda63bcbbef2627c667b204eae91c3a0718", null ], + [ "kCLOCK_Wkt", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdad386313192805ba225b0da24e078d387", null ], + [ "kCLOCK_Mrt", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdaace73b709cda5c34ba5bdf6888605f1f", null ], + [ "kCLOCK_Spi0", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdac6997ec12e66b5e4fad9aa52ef6a2db0", null ], + [ "kCLOCK_Crc", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdaa1cfbe8497997fc6dff0d7f0c070aa5a", null ], + [ "kCLOCK_Uart0", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda26876b4bdecc15c46c379a289441b3c6", null ], + [ "kCLOCK_Uart1", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda1d4898f8634e2f18e6a154e2b1a4120e", null ], + [ "kCLOCK_Wwdt", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdaf1e8b91e80a3a9a4db038c0b4cf7e381", null ], + [ "kCLOCK_Iocon", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda909ccfe84f4275dd7b6ba09235583230", null ], + [ "kCLOCK_Acmp", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda5c44f9347603d8c7fd8eda74e3b3e40f", null ], + [ "kCLOCK_I2c1", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda689e957d82ca723a1a0cc671ba216bf5", null ], + [ "kCLOCK_Adc", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda2bc16e3ee343b4abfc46ae9506461e97", null ], + [ "kCLOCK_Ctimer0", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdad2e9ebc3825a65fae3c13efbc805a128", null ], + [ "kCLOCK_Dac", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda32dc8a8723c16dbf0af95927f54a4dcc", null ], + [ "kCLOCK_GpioInt", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda3922459ccb3f2fd90ac39813c0dc39f0", null ], + [ "kCLOCK_Capt", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda0ffae7aa0d9dbc52524beb5f82ced038", null ], + [ "kCLOCK_PLU", "a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda9facf6722503308f657e1d1da679065a", null ] ] ], - [ "clock_name_t", "a00079.html#gaf74854e9bcee544d7646c5bafdc00bd3", [ - [ "kCLOCK_CoreSysClk", "a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a1de4a40f5399eb025d5faefda7491918", null ], - [ "kCLOCK_MainClk", "a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a86d48329b3492ab94a5da3925c73622f", null ], - [ "kCLOCK_Fro", "a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01a946b874db04d12aba4c3bbe461580", null ], - [ "kCLOCK_FroDiv", "a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01d0c099adda9e435281c887b12095a8", null ], - [ "kCLOCK_ExtClk", "a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a30e6333c132740b84bf2ce94b8c44a8f", null ], - [ "kCLOCK_LPOsc", "a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3aab25a81f1aefcd33b6de1e10e3169c41", null ], - [ "kCLOCK_Frg0", "a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3aa27972710b7df62d66918584843aee06", null ] + [ "_clock_name", "a00079.html#ga10eb499a97d1bd20020841220bb5de74", [ + [ "kCLOCK_CoreSysClk", "a00079.html#gga10eb499a97d1bd20020841220bb5de74a1de4a40f5399eb025d5faefda7491918", null ], + [ "kCLOCK_MainClk", "a00079.html#gga10eb499a97d1bd20020841220bb5de74a86d48329b3492ab94a5da3925c73622f", null ], + [ "kCLOCK_Fro", "a00079.html#gga10eb499a97d1bd20020841220bb5de74a01a946b874db04d12aba4c3bbe461580", null ], + [ "kCLOCK_FroDiv", "a00079.html#gga10eb499a97d1bd20020841220bb5de74a01d0c099adda9e435281c887b12095a8", null ], + [ "kCLOCK_ExtClk", "a00079.html#gga10eb499a97d1bd20020841220bb5de74a30e6333c132740b84bf2ce94b8c44a8f", null ], + [ "kCLOCK_LPOsc", "a00079.html#gga10eb499a97d1bd20020841220bb5de74aab25a81f1aefcd33b6de1e10e3169c41", null ], + [ "kCLOCK_Frg0", "a00079.html#gga10eb499a97d1bd20020841220bb5de74aa27972710b7df62d66918584843aee06", null ] ] ], - [ "clock_select_t", "a00079.html#ga59a363f0296ea51011dd86b405d5db58", [ - [ "kCAPT_Clk_From_Fro", "a00079.html#gga59a363f0296ea51011dd86b405d5db58af1d8576caccfd1e48d121fae4315c10d", null ], - [ "kCAPT_Clk_From_MainClk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a28b61eb46fb752a05934e2d44450acc0", null ], - [ "kCAPT_Clk_From_Fro_Div", "a00079.html#gga59a363f0296ea51011dd86b405d5db58abae02f94616cc17800067d5601943b5e", null ], - [ "kCAPT_Clk_From_LPOsc", "a00079.html#gga59a363f0296ea51011dd86b405d5db58aea7f16ca2ff13032c4cc33a2c45332ae", null ], - [ "kADC_Clk_From_Fro", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a91f272ba2ca7171ce0689d39f9a894af", null ], - [ "kADC_Clk_From_Extclk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a62d1ae5b5b1bb400be6618e4e2ad45ea", null ], - [ "kUART0_Clk_From_Fro", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a67d2eb5235a0677d1ef161e5e1c2b340", null ], - [ "kUART0_Clk_From_MainClk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a841785f980e4c406eeecdcf07559fe66", null ], - [ "kUART0_Clk_From_Frg0Clk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58ad0784125581fd7bf09f4b3bab3af725d", null ], - [ "kUART0_Clk_From_Fro_Div", "a00079.html#gga59a363f0296ea51011dd86b405d5db58af06770587aa45bbb62d1e4fe36d03d81", null ], - [ "kUART1_Clk_From_Fro", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a9fbd759cc60932bb0896625543477290", null ], - [ "kUART1_Clk_From_MainClk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a066679b9e0a01ccd0aad6e6caa643f2f", null ], - [ "kUART1_Clk_From_Frg0Clk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58af45a833dead43235df03a982dc25ea33", null ], - [ "kUART1_Clk_From_Fro_Div", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a1b183d67629d90ddc1a9b9baf2ebc285", null ], - [ "kI2C0_Clk_From_Fro", "a00079.html#gga59a363f0296ea51011dd86b405d5db58ab8065d6f5e9b16e9410feccef7f1c10b", null ], - [ "kI2C0_Clk_From_MainClk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58ab434281b6471a116a444172bf8a7bf91", null ], - [ "kI2C0_Clk_From_Frg0Clk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a92f67286aebd8e0fde633cf4b3bd8b57", null ], - [ "kI2C0_Clk_From_Fro_Div", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a9fe34f41e6cfa3cd3a0ef15ba9184bb1", null ], - [ "kI2C1_Clk_From_Fro", "a00079.html#gga59a363f0296ea51011dd86b405d5db58ae19e4e49559079c2f95f998c37029642", null ], - [ "kI2C1_Clk_From_MainClk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a5cff4ddf26d639e435ce79fe5e83d866", null ], - [ "kI2C1_Clk_From_Frg0Clk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58ac891ded4c265bfab790d733acbacb753", null ], - [ "kI2C1_Clk_From_Fro_Div", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a8670e7d6a9f2afb045fbf54dc13c4bb2", null ], - [ "kSPI0_Clk_From_Fro", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a225c7ee90596ed70ba883f4db61aa8a7", null ], - [ "kSPI0_Clk_From_MainClk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58ae27646652709428349bea6c7044c070e", null ], - [ "kSPI0_Clk_From_Frg0Clk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a845c271da53362455548e3a2d785e46f", null ], - [ "kSPI0_Clk_From_Fro_Div", "a00079.html#gga59a363f0296ea51011dd86b405d5db58aad0657c34aef515cd39d693c8a7896b2", null ], - [ "kFRG0_Clk_From_Fro", "a00079.html#gga59a363f0296ea51011dd86b405d5db58afa871e16cf926d8a08dce1a52f418066", null ], - [ "kFRG0_Clk_From_MainClk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a1fe8170ca9a5fcee3e0b3fa1453eb481", null ], - [ "kCLKOUT_From_Fro", "a00079.html#gga59a363f0296ea51011dd86b405d5db58af2ea89b865003dc40f8923f67d7bb366", null ], - [ "kCLKOUT_From_MainClk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a20d47beaa61ee59b61c34fa4f7e30d21", null ], - [ "kCLKOUT_From_ExtClk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a8671f2c92f6e049ed8b2f3b7a5b9b296", null ], - [ "kCLKOUT_From_Lposc", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a34737a1ca71167db472e07d6d9bd4b39", null ] + [ "_clock_select", "a00079.html#gaf6cf35ae56aa98ed8ff20eeecbcdfb85", [ + [ "kCAPT_Clk_From_Fro", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85af1d8576caccfd1e48d121fae4315c10d", null ], + [ "kCAPT_Clk_From_MainClk", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a28b61eb46fb752a05934e2d44450acc0", null ], + [ "kCAPT_Clk_From_Fro_Div", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85abae02f94616cc17800067d5601943b5e", null ], + [ "kCAPT_Clk_From_LPOsc", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85aea7f16ca2ff13032c4cc33a2c45332ae", null ], + [ "kADC_Clk_From_Fro", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a91f272ba2ca7171ce0689d39f9a894af", null ], + [ "kADC_Clk_From_Extclk", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a62d1ae5b5b1bb400be6618e4e2ad45ea", null ], + [ "kUART0_Clk_From_Fro", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a67d2eb5235a0677d1ef161e5e1c2b340", null ], + [ "kUART0_Clk_From_MainClk", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a841785f980e4c406eeecdcf07559fe66", null ], + [ "kUART0_Clk_From_Frg0Clk", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ad0784125581fd7bf09f4b3bab3af725d", null ], + [ "kUART0_Clk_From_Fro_Div", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85af06770587aa45bbb62d1e4fe36d03d81", null ], + [ "kUART1_Clk_From_Fro", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a9fbd759cc60932bb0896625543477290", null ], + [ "kUART1_Clk_From_MainClk", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a066679b9e0a01ccd0aad6e6caa643f2f", null ], + [ "kUART1_Clk_From_Frg0Clk", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85af45a833dead43235df03a982dc25ea33", null ], + [ "kUART1_Clk_From_Fro_Div", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a1b183d67629d90ddc1a9b9baf2ebc285", null ], + [ "kI2C0_Clk_From_Fro", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ab8065d6f5e9b16e9410feccef7f1c10b", null ], + [ "kI2C0_Clk_From_MainClk", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ab434281b6471a116a444172bf8a7bf91", null ], + [ "kI2C0_Clk_From_Frg0Clk", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a92f67286aebd8e0fde633cf4b3bd8b57", null ], + [ "kI2C0_Clk_From_Fro_Div", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a9fe34f41e6cfa3cd3a0ef15ba9184bb1", null ], + [ "kI2C1_Clk_From_Fro", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ae19e4e49559079c2f95f998c37029642", null ], + [ "kI2C1_Clk_From_MainClk", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a5cff4ddf26d639e435ce79fe5e83d866", null ], + [ "kI2C1_Clk_From_Frg0Clk", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ac891ded4c265bfab790d733acbacb753", null ], + [ "kI2C1_Clk_From_Fro_Div", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a8670e7d6a9f2afb045fbf54dc13c4bb2", null ], + [ "kSPI0_Clk_From_Fro", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a225c7ee90596ed70ba883f4db61aa8a7", null ], + [ "kSPI0_Clk_From_MainClk", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ae27646652709428349bea6c7044c070e", null ], + [ "kSPI0_Clk_From_Frg0Clk", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a845c271da53362455548e3a2d785e46f", null ], + [ "kSPI0_Clk_From_Fro_Div", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85aad0657c34aef515cd39d693c8a7896b2", null ], + [ "kFRG0_Clk_From_Fro", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85afa871e16cf926d8a08dce1a52f418066", null ], + [ "kFRG0_Clk_From_MainClk", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a1fe8170ca9a5fcee3e0b3fa1453eb481", null ], + [ "kCLKOUT_From_Fro", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85af2ea89b865003dc40f8923f67d7bb366", null ], + [ "kCLKOUT_From_MainClk", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a20d47beaa61ee59b61c34fa4f7e30d21", null ], + [ "kCLKOUT_From_ExtClk", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a8671f2c92f6e049ed8b2f3b7a5b9b296", null ], + [ "kCLKOUT_From_Lposc", "a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a34737a1ca71167db472e07d6d9bd4b39", null ] ] ], - [ "clock_divider_t", "a00079.html#gac344a8334a482bcbcbdf0c4828db872c", [ - [ "kCLOCK_DivAhbClk", "a00079.html#ggac344a8334a482bcbcbdf0c4828db872caf4f25357ef9794d7d72417977d27ffb2", null ], - [ "kCLOCK_DivAdcClk", "a00079.html#ggac344a8334a482bcbcbdf0c4828db872ca7b3998fdf96eb884e35ff56f40feffbd", null ], - [ "kCLOCK_DivClkOut", "a00079.html#ggac344a8334a482bcbcbdf0c4828db872caa7236a2c00237ca7ee5b2ff55058ded6", null ] + [ "_clock_divider", "a00079.html#gaa690e42cbb0b0bc43944d28dfb89b9ba", [ + [ "kCLOCK_DivAhbClk", "a00079.html#ggaa690e42cbb0b0bc43944d28dfb89b9baaf4f25357ef9794d7d72417977d27ffb2", null ], + [ "kCLOCK_DivAdcClk", "a00079.html#ggaa690e42cbb0b0bc43944d28dfb89b9baa7b3998fdf96eb884e35ff56f40feffbd", null ], + [ "kCLOCK_DivClkOut", "a00079.html#ggaa690e42cbb0b0bc43944d28dfb89b9baaa7236a2c00237ca7ee5b2ff55058ded6", null ] ] ], - [ "clock_fro_osc_freq_t", "a00079.html#ga85bf7440c0d77269e3db764083110334", [ - [ "kCLOCK_FroOscOut18M", "a00079.html#gga85bf7440c0d77269e3db764083110334a60f89d729c96295d1aaa741a412d58ab", null ], - [ "kCLOCK_FroOscOut24M", "a00079.html#gga85bf7440c0d77269e3db764083110334a01135115df0c0b0525f2b1391ee9d9ca", null ], - [ "kCLOCK_FroOscOut30M", "a00079.html#gga85bf7440c0d77269e3db764083110334a29c4fdcb48fba40928b278765d0e3843", null ] + [ "_clock_fro_osc_freq", "a00079.html#gabc4db77825e6eebefc0b80a4e5b9bdc7", [ + [ "kCLOCK_FroOscOut18M", "a00079.html#ggabc4db77825e6eebefc0b80a4e5b9bdc7a60f89d729c96295d1aaa741a412d58ab", null ], + [ "kCLOCK_FroOscOut24M", "a00079.html#ggabc4db77825e6eebefc0b80a4e5b9bdc7a01135115df0c0b0525f2b1391ee9d9ca", null ], + [ "kCLOCK_FroOscOut30M", "a00079.html#ggabc4db77825e6eebefc0b80a4e5b9bdc7a29c4fdcb48fba40928b278765d0e3843", null ] ] ], - [ "clock_main_clk_src_t", "a00079.html#gab68022e8d8f60a72f86a6a6c13b6e2f4", [ - [ "kCLOCK_MainClkSrcFro", "a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a0aecd9451c5bf4525fe17a7b4734f58f", null ], - [ "kCLOCK_MainClkSrcExtClk", "a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a85dbf0a96c672abcfbc08dfc231088d6", null ], - [ "kCLOCK_MainClkSrcLPOsc", "a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4acb9810ab5676cca7da756b2c28205c12", null ], - [ "kCLOCK_MainClkSrcFroDiv", "a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4afa92bbf8fcfb74ceea172667409f7643", null ] + [ "_clock_main_clk_src", "a00079.html#ga87881cb2f1147cca2f3b2b1f718565f5", [ + [ "kCLOCK_MainClkSrcFro", "a00079.html#gga87881cb2f1147cca2f3b2b1f718565f5a0aecd9451c5bf4525fe17a7b4734f58f", null ], + [ "kCLOCK_MainClkSrcExtClk", "a00079.html#gga87881cb2f1147cca2f3b2b1f718565f5a85dbf0a96c672abcfbc08dfc231088d6", null ], + [ "kCLOCK_MainClkSrcLPOsc", "a00079.html#gga87881cb2f1147cca2f3b2b1f718565f5acb9810ab5676cca7da756b2c28205c12", null ], + [ "kCLOCK_MainClkSrcFroDiv", "a00079.html#gga87881cb2f1147cca2f3b2b1f718565f5afa92bbf8fcfb74ceea172667409f7643", null ] ] ], [ "CLOCK_SetMainClkSrc", "a00079.html#gaa6be3b84fd2a57ec1165954d6c4564e8", null ], [ "CLOCK_GetFRG0ClkFreq", "a00079.html#ga7dd0bd48c4a5a68fef7f9524d8999b4b", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00080.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00080.html index a027916..7268171 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00080.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00080.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
@@ -100,6 +100,7 @@ $(document).ready(function(){initNavTree('a00080.html','');});
Power Driver
@@ -115,6 +116,22 @@ Macros  PMU PCON reserved mask, used to clear reserved field which should not write 1.
  + + + + + + + + + + +

+Typedefs

+typedef enum _power_gen_reg power_gen_reg_t
 pmu general purpose register index
 
+typedef enum _power_bod_reset_level power_bod_reset_level_t
 BOD reset level, if VDD below reset level value, the reset will be asserted.
 
+typedef enum
+_power_bod_interrupt_level 
power_bod_interrupt_level_t
 BOD interrupt level, if VDD below interrupt level value, the BOD interrupt will be asserted.
 
@@ -126,36 +143,36 @@ Enumerations - - - - + + - - - + + - - + +

Enumerations

enum  _power_wakeup
enum  _power_deep_sleep_active
 Deep sleep/power down mode active part.
 
enum  power_gen_reg_t {
-  kPmu_GenReg0 = 0U, +
enum  _power_gen_reg {
+  kPmu_GenReg0 = 0U,
-  kPmu_GenReg1 = 1U, +  kPmu_GenReg1 = 1U,
-  kPmu_GenReg2 = 2U, +  kPmu_GenReg2 = 2U,
-  kPmu_GenReg3 = 3U, +  kPmu_GenReg3 = 3U,
-  kPmu_GenReg4 = 4U +  kPmu_GenReg4 = 4U
}
 pmu general purpose register index More...
 
enum  power_bod_reset_level_t { kBod_ResetLevel0 = 0U +
 pmu general purpose register index More...
 
enum  _power_bod_reset_level { kBod_ResetLevel0 = 0U }
 BOD reset level, if VDD below reset level value, the reset will be asserted. More...
 
enum  power_bod_interrupt_level_t {
-  kBod_InterruptLevelReserved = 0U, +
 BOD reset level, if VDD below reset level value, the reset will be asserted. More...
 
enum  _power_bod_interrupt_level {
+  kBod_InterruptLevelReserved = 0U,
-  kBod_InterruptLevel1, +  kBod_InterruptLevel1,
-  kBod_InterruptLevel2, +  kBod_InterruptLevel2,
-  kBod_InterruptLevel3 +  kBod_InterruptLevel3
}
 BOD interrupt level, if VDD below interrupt level value, the BOD interrupt will be asserted. More...
 
 BOD interrupt level, if VDD below interrupt level value, the BOD interrupt will be asserted. More...
 
@@ -240,13 +257,13 @@ API to enable wake up pin for deep power down mode - + - + - +

Driver version

static void POWER_DeepPowerDownWakeupSourceSelect (uint32_t wakeup_pin)
 
static void POWER_SetRetainData (power_gen_reg_t index, uint32_t data)
static void POWER_SetRetainData (power_gen_reg_t index, uint32_t data)
 API to retore data to general purpose register which can be retain during deep power down mode. More...
 
static uint32_t POWER_GetRetainData (power_gen_reg_t index)
static uint32_t POWER_GetRetainData (power_gen_reg_t index)
 API to get data from general purpose register which retain during deep power down mode. More...
 
static void POWER_SetBodLevel (power_bod_reset_level_t resetLevel, power_bod_interrupt_level_t interruptLevel, bool enable)
static void POWER_SetBodLevel (power_bod_reset_level_t resetLevel, power_bod_interrupt_level_t interruptLevel, bool enable)
 Set Bod interrupt level and reset level. More...
 
@@ -264,72 +281,72 @@ static void POWER_DeepPowe

Enumeration Type Documentation

- +
- - - - -
Enumerator
kPmu_GenReg0  +
Enumerator
kPmu_GenReg0 

general purpose register0

kPmu_GenReg1  +
kPmu_GenReg1 

general purpose register1

kPmu_GenReg2  +
kPmu_GenReg2 

general purpose register2

kPmu_GenReg3  +
kPmu_GenReg3 

general purpose register3

kPmu_GenReg4  +
kPmu_GenReg4 

general purpose reguster4

- +
-
Enumerator
kBod_ResetLevel0  +
Enumerator
kBod_ResetLevel0 

BOD Reset Level0: 1.51V.

- +
- - - -
Enumerator
kBod_InterruptLevelReserved  +
Enumerator
kBod_InterruptLevelReserved 

BOD interrupt level reserved.

kBod_InterruptLevel1  +
kBod_InterruptLevel1 

BOD interrupt level1: 2.24V.

kBod_InterruptLevel2  +
kBod_InterruptLevel2 

BOD interrupt level2: 2.52V.

kBod_InterruptLevel3  +
kBod_InterruptLevel3 

BOD interrupt level3: 2.81V.

@@ -733,7 +750,7 @@ static void POWER_DeepPowe static void POWER_SetRetainData ( - power_gen_reg_t  + power_gen_reg_t  index, @@ -774,7 +791,7 @@ static void POWER_DeepPowe static uint32_t POWER_GetRetainData ( - power_gen_reg_t  + power_gen_reg_t  index) @@ -805,13 +822,13 @@ static void POWER_DeepPowe static void POWER_SetBodLevel ( - power_bod_reset_level_t  + power_bod_reset_level_t  resetLevel, - power_bod_interrupt_level_t  + power_bod_interrupt_level_t  interruptLevel, @@ -834,8 +851,8 @@ static void POWER_DeepPowe
Parameters
- - + +
resetLevelBOD reset threshold level, please refer to power_bod_reset_level_t.
interruptLevelBOD interrupt threshold level, please refer to power_bod_interrupt_level_t.
resetLevelBOD reset threshold level, please refer to power_bod_reset_level_t.
interruptLevelBOD interrupt threshold level, please refer to power_bod_interrupt_level_t.
enableUsed to enable/disable the BOD interrupt and BOD reset.
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00080.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00080.js index 4674657..e07e990 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00080.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00080.js @@ -2,24 +2,27 @@ var a00080 = [ [ "FSL_POWER_DRIVER_VERSION", "a00080.html#ga566dde551cccfbff838b7f6f474e525b", null ], [ "PMUC_PCON_RESERVED_MASK", "a00080.html#gacc5b8fefeacc0f1909d657be9cedddf3", null ], + [ "power_gen_reg_t", "a00080.html#ga16a5fe9d2ffb61e894ea9bb158d6b714", null ], + [ "power_bod_reset_level_t", "a00080.html#ga0c729a296e2df1f011235215479452d4", null ], + [ "power_bod_interrupt_level_t", "a00080.html#ga4611c10843d1988b1cf63c49897ae3cb", null ], [ "_power_wakeup", "a00080.html#ga1680834b74ccfaca0cc98b954cfef0ec", null ], [ "_power_dpd_wakeup_pin", "a00080.html#ga774c3c091d12f8f4ca768d112a7fda8d", null ], [ "_power_deep_sleep_active", "a00080.html#gab5d33167d8a4c9d9a407cc1bdd6346a9", null ], - [ "power_gen_reg_t", "a00080.html#gaacea8a22b7f4706814e61973550d3492", [ - [ "kPmu_GenReg0", "a00080.html#ggaacea8a22b7f4706814e61973550d3492a80dcccd621286706e1c8a4ebe9c10f0a", null ], - [ "kPmu_GenReg1", "a00080.html#ggaacea8a22b7f4706814e61973550d3492a740c74b8de11afea3adf94099430d43f", null ], - [ "kPmu_GenReg2", "a00080.html#ggaacea8a22b7f4706814e61973550d3492ad0fed29c216cb44973a5720f27c25b57", null ], - [ "kPmu_GenReg3", "a00080.html#ggaacea8a22b7f4706814e61973550d3492a8464e8acd4980abf527cdc08a1039f03", null ], - [ "kPmu_GenReg4", "a00080.html#ggaacea8a22b7f4706814e61973550d3492ad41dcf0263e8b148f96f850b2be396ac", null ] + [ "_power_gen_reg", "a00080.html#gae01dae3d7bbcc338031dfd019f93681b", [ + [ "kPmu_GenReg0", "a00080.html#ggae01dae3d7bbcc338031dfd019f93681ba80dcccd621286706e1c8a4ebe9c10f0a", null ], + [ "kPmu_GenReg1", "a00080.html#ggae01dae3d7bbcc338031dfd019f93681ba740c74b8de11afea3adf94099430d43f", null ], + [ "kPmu_GenReg2", "a00080.html#ggae01dae3d7bbcc338031dfd019f93681bad0fed29c216cb44973a5720f27c25b57", null ], + [ "kPmu_GenReg3", "a00080.html#ggae01dae3d7bbcc338031dfd019f93681ba8464e8acd4980abf527cdc08a1039f03", null ], + [ "kPmu_GenReg4", "a00080.html#ggae01dae3d7bbcc338031dfd019f93681bad41dcf0263e8b148f96f850b2be396ac", null ] ] ], - [ "power_bod_reset_level_t", "a00080.html#gad4414c07be8e296fdf41c256e605c161", [ - [ "kBod_ResetLevel0", "a00080.html#ggad4414c07be8e296fdf41c256e605c161a6767e4aee5d7fbe50704f21d413f5442", null ] + [ "_power_bod_reset_level", "a00080.html#gac443df372898826727572d3025ae104e", [ + [ "kBod_ResetLevel0", "a00080.html#ggac443df372898826727572d3025ae104ea6767e4aee5d7fbe50704f21d413f5442", null ] ] ], - [ "power_bod_interrupt_level_t", "a00080.html#gad856ab8003eb9953525518cddbc7814c", [ - [ "kBod_InterruptLevelReserved", "a00080.html#ggad856ab8003eb9953525518cddbc7814cabc8bc042a952213b5364fcc75fb2e459", null ], - [ "kBod_InterruptLevel1", "a00080.html#ggad856ab8003eb9953525518cddbc7814ca186e757d5a202f806afd889315af3639", null ], - [ "kBod_InterruptLevel2", "a00080.html#ggad856ab8003eb9953525518cddbc7814ca2094c3f450488ea077bf45edabd227ba", null ], - [ "kBod_InterruptLevel3", "a00080.html#ggad856ab8003eb9953525518cddbc7814cab28fa5a4937806aefbc4d259a419d354", null ] + [ "_power_bod_interrupt_level", "a00080.html#gaeadfcaceef8506b5b8fff8c2f1ff2908", [ + [ "kBod_InterruptLevelReserved", "a00080.html#ggaeadfcaceef8506b5b8fff8c2f1ff2908abc8bc042a952213b5364fcc75fb2e459", null ], + [ "kBod_InterruptLevel1", "a00080.html#ggaeadfcaceef8506b5b8fff8c2f1ff2908a186e757d5a202f806afd889315af3639", null ], + [ "kBod_InterruptLevel2", "a00080.html#ggaeadfcaceef8506b5b8fff8c2f1ff2908a2094c3f450488ea077bf45edabd227ba", null ], + [ "kBod_InterruptLevel3", "a00080.html#ggaeadfcaceef8506b5b8fff8c2f1ff2908ab28fa5a4937806aefbc4d259a419d354", null ] ] ], [ "POWER_EnablePD", "a00080.html#gacf3a138e73725bb8c50290295259bfa4", null ], [ "POWER_DisablePD", "a00080.html#ga40a1d0c80f5189e9c56133a2bc15a4c2", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00081.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00081.html index 4857eb8..3f64e15 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00081.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00081.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
@@ -100,6 +100,7 @@ $(document).ready(function(){initNavTree('a00081.html','');});
@@ -114,78 +115,82 @@ Macros #define FLASH_RSTS_N   + + + + +

+Typedefs

typedef enum _SYSCON_RSTn SYSCON_RSTn_t
 Enumeration for peripheral reset control bits. More...
 
- - - + +

Enumerations

enum  SYSCON_RSTn_t {
-  kFLASH_RST_N_SHIFT_RSTn = 0 | 4U, +
enum  _SYSCON_RSTn {
+  kFLASH_RST_N_SHIFT_RSTn = 0 | 4U,
-  kI2C0_RST_N_SHIFT_RSTn = 0 | 5U, +  kI2C0_RST_N_SHIFT_RSTn = 0 | 5U,
-  kGPIO0_RST_N_SHIFT_RSTn = 0 | 6U, +  kGPIO0_RST_N_SHIFT_RSTn = 0 | 6U,
-  kSWM_RST_N_SHIFT_RSTn = 0 | 7U, +  kSWM_RST_N_SHIFT_RSTn = 0 | 7U,
-  kWKT_RST_N_SHIFT_RSTn = 0 | 9U, +  kWKT_RST_N_SHIFT_RSTn = 0 | 9U,
-  kMRT_RST_N_SHIFT_RSTn = 0 | 10U, +  kMRT_RST_N_SHIFT_RSTn = 0 | 10U,
-  kSPI0_RST_N_SHIFT_RSTn = 0 | 11U, +  kSPI0_RST_N_SHIFT_RSTn = 0 | 11U,
-  kCRC_RST_SHIFT_RSTn = 0 | 13U, +  kCRC_RST_SHIFT_RSTn = 0 | 13U,
-  kUART0_RST_N_SHIFT_RSTn = 0 | 14U, +  kUART0_RST_N_SHIFT_RSTn = 0 | 14U,
-  kUART1_RST_N_SHIFT_RSTn = 0 | 15U, +  kUART1_RST_N_SHIFT_RSTn = 0 | 15U,
-  kIOCON_RST_N_SHIFT_RSTn = 0 | 18U, +  kIOCON_RST_N_SHIFT_RSTn = 0 | 18U,
-  kACMP_RST_N_SHIFT_RSTn = 0 | 19U, +  kACMP_RST_N_SHIFT_RSTn = 0 | 19U,
-  kI2C1_RST_N_SHIFT_RSTn = 0 | 21U, +  kI2C1_RST_N_SHIFT_RSTn = 0 | 21U,
-  kADC_RST_N_SHIFT_RSTn = 0 | 24U, +  kADC_RST_N_SHIFT_RSTn = 0 | 24U,
-  kCTIMER0_RST_N_SHIFT_RSTn = 0 | 25U, +  kCTIMER0_RST_N_SHIFT_RSTn = 0 | 25U,
-  kDAC0_RST_N_SHIFT_RSTn = 0 | 27U, +  kDAC0_RST_N_SHIFT_RSTn = 0 | 27U,
-  kGPIOINT_RST_N_SHIFT_RSTn = 0 | 28U, +  kGPIOINT_RST_N_SHIFT_RSTn = 0 | 28U,
-  kCAPT_RST_N_SHIFT_RSTn = 65536 | 0U, +  kCAPT_RST_N_SHIFT_RSTn = 65536 | 0U,
-  kFRG0_RST_N_SHIFT_RSTn = 65536 | 3U, +  kFRG0_RST_N_SHIFT_RSTn = 65536 | 3U,
-  kPLU_RST_N_SHIFT_RSTn = 65536 | 5U +  kPLU_RST_N_SHIFT_RSTn = 65536 | 5U
}
 Enumeration for peripheral reset control bits. More...
 
 Enumeration for peripheral reset control bits. More...
 
- + + + + + + + + + +

Functions

void RESET_PeripheralReset (reset_ip_name_t peripheral)
void RESET_SetPeripheralReset (reset_ip_name_t peripheral)
 Assert reset to peripheral. More...
 
void RESET_ClearPeripheralReset (reset_ip_name_t peripheral)
 Clear reset to peripheral. More...
 
void RESET_PeripheralReset (reset_ip_name_t peripheral)
 Reset peripheral module. More...
 
static void RESET_ReleasePeripheralReset (reset_ip_name_t peripheral)
 Release peripheral module. More...
 
- - + +

Driver version

#define FSL_RESET_DRIVER_VERSION   (MAKE_VERSION(2, 0, 1))
 reset driver version 2.0.1. More...
+#define FSL_RESET_DRIVER_VERSION   (MAKE_VERSION(2, 4, 0))
 reset driver version 2.4.0
 

Macro Definition Documentation

- -
-
- - - - -
#define FSL_RESET_DRIVER_VERSION   (MAKE_VERSION(2, 0, 1))
-
- -
-
@@ -195,84 +200,98 @@ Driver version
-Value:
{ \
- +Value:
{ \
+
} /* Reset bits for Flash peripheral */
-
Definition: fsl_reset.h:39
+
Definition: fsl_reset.h:39

Array initializers with peripheral reset bits

-

Enumeration Type Documentation

- +

Typedef Documentation

+
- + + +
enum SYSCON_RSTn_ttypedef enum _SYSCON_RSTn SYSCON_RSTn_t
+
+

Defines the enumeration for peripheral reset control bits in PRESETCTRL/ASYNCPRESETCTRL registers

+ +
+
+

Enumeration Type Documentation

+ +
+
+ + +
enum _SYSCON_RSTn

Defines the enumeration for peripheral reset control bits in PRESETCTRL/ASYNCPRESETCTRL registers

- - - - - - - - - - - - - - - - - - - -
Enumerator
kFLASH_RST_N_SHIFT_RSTn  +
Enumerator
kFLASH_RST_N_SHIFT_RSTn 

Flash controller reset control

kI2C0_RST_N_SHIFT_RSTn  +
kI2C0_RST_N_SHIFT_RSTn 

I2C0 reset control

kGPIO0_RST_N_SHIFT_RSTn  +
kGPIO0_RST_N_SHIFT_RSTn 

GPIO0 reset control

kSWM_RST_N_SHIFT_RSTn  +
kSWM_RST_N_SHIFT_RSTn 

SWM reset control

kWKT_RST_N_SHIFT_RSTn  +
kWKT_RST_N_SHIFT_RSTn 

Self-wake-up timer(WKT) reset control

kMRT_RST_N_SHIFT_RSTn  +
kMRT_RST_N_SHIFT_RSTn 

Multi-rate timer(MRT) reset control

kSPI0_RST_N_SHIFT_RSTn  +
kSPI0_RST_N_SHIFT_RSTn 

SPI0 reset control.

kCRC_RST_SHIFT_RSTn  +
kCRC_RST_SHIFT_RSTn 

CRC reset control

kUART0_RST_N_SHIFT_RSTn  +
kUART0_RST_N_SHIFT_RSTn 

UART0 reset control

kUART1_RST_N_SHIFT_RSTn  +
kUART1_RST_N_SHIFT_RSTn 

UART1 reset control

kIOCON_RST_N_SHIFT_RSTn  +
kIOCON_RST_N_SHIFT_RSTn 

IOCON reset control

kACMP_RST_N_SHIFT_RSTn  +
kACMP_RST_N_SHIFT_RSTn 

Analog comparator reset control

kI2C1_RST_N_SHIFT_RSTn  +
kI2C1_RST_N_SHIFT_RSTn 

I2C1 reset control

kADC_RST_N_SHIFT_RSTn  +
kADC_RST_N_SHIFT_RSTn 

ADC reset control

kCTIMER0_RST_N_SHIFT_RSTn  +
kCTIMER0_RST_N_SHIFT_RSTn 

CTIMER0 reset control

kDAC0_RST_N_SHIFT_RSTn  +
kDAC0_RST_N_SHIFT_RSTn 

DAC0 reset control

kGPIOINT_RST_N_SHIFT_RSTn  +
kGPIOINT_RST_N_SHIFT_RSTn 

GPIOINT reset control

kCAPT_RST_N_SHIFT_RSTn  +
kCAPT_RST_N_SHIFT_RSTn 

Capacitive Touch reset control

kFRG0_RST_N_SHIFT_RSTn  +
kFRG0_RST_N_SHIFT_RSTn 

Fractional baud rate generator 0 reset control

kPLU_RST_N_SHIFT_RSTn  +
kPLU_RST_N_SHIFT_RSTn 

PLU reset control

@@ -280,6 +299,52 @@ Driver version

Function Documentation

+ +
+
+ + + + + + + + +
void RESET_SetPeripheralReset (reset_ip_name_t peripheral)
+
+

Asserts reset signal to specified peripheral module.

+
Parameters
+ + +
peripheralAssert reset to this peripheral. The enum argument contains encoding of reset register and reset bit position in the reset register.
+
+
+ +
+
+ +
+
+ + + + + + + + +
void RESET_ClearPeripheralReset (reset_ip_name_t peripheral)
+
+

Clears reset signal to specified peripheral module, allows it to operate.

+
Parameters
+ + +
peripheralClear reset to this peripheral. The enum argument contains encoding of reset register and reset bit position in the reset register.
+
+
+ +
+
@@ -287,7 +352,7 @@ Driver version void RESET_PeripheralReset ( - reset_ip_name_t  + reset_ip_name_t  peripheral) @@ -301,6 +366,37 @@ Driver version
+
+
+ +
+
+ + + + + +
+ + + + + + + + +
static void RESET_ReleasePeripheralReset (reset_ip_name_t peripheral)
+
+inlinestatic
+
+

Release peripheral module.

+
Parameters
+ + +
peripheralPeripheral to release. The enum argument contains encoding of reset register and reset bit position in the reset register.
+
+
+
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00081.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00081.js index 2344aa2..2ed00d4 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00081.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00081.js @@ -2,27 +2,31 @@ var a00081 = [ [ "FSL_RESET_DRIVER_VERSION", "a00081.html#gaf2dd9adbeea910141dc143654a1065db", null ], [ "FLASH_RSTS_N", "a00081.html#ga337911597d5b1d45ac9ac6abb705a5ef", null ], - [ "SYSCON_RSTn_t", "a00081.html#gac287530f011b42355162470f09975770", [ - [ "kFLASH_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770ac1601058bee0888d89675b528432a496", null ], - [ "kI2C0_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770aee6d4f65797043b10be7d4a7ae95d206", null ], - [ "kGPIO0_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a244e5cce727a529563a83a1a78f8b3f1", null ], - [ "kSWM_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770abbd5b2eb886157d35a4ff88077fa192b", null ], - [ "kWKT_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a80aae2b47fdc2701cb923d27ca9e5a7a", null ], - [ "kMRT_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a81a00b3196e6197af1ca45b8a5bac450", null ], - [ "kSPI0_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770ac5dc2b0f880a50d33e7d79f7af4c4f33", null ], - [ "kCRC_RST_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a194baa96cd93ef108f2724fa98352387", null ], - [ "kUART0_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770aa294a3c09c1565947ebcd78694acff24", null ], - [ "kUART1_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a8bc751e4927f0c20a0695b284fc40c38", null ], - [ "kIOCON_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770ab95a1dd8a7fbc479365344d58ac55737", null ], - [ "kACMP_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770ac1a3b1156e6dbc9d6fecb867f1486c63", null ], - [ "kI2C1_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770ad1ef18ed84027fdc4d41567ae894ea10", null ], - [ "kADC_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a99e18503426294e439f4bb181a451999", null ], - [ "kCTIMER0_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a900b1deecf2f2316b30e40ff81330499", null ], - [ "kDAC0_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a25d5f742c3cbcf85a4e9c388c5c9e708", null ], - [ "kGPIOINT_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a20fd1ac8739a143dddb40a6349a758a6", null ], - [ "kCAPT_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a6946809531736fcf4d7067e31f73813b", null ], - [ "kFRG0_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a7a5b1b185218feb35ce91ce8f77b2106", null ], - [ "kPLU_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770ac0e9dc6f492774ceef33623e62882da5", null ] + [ "SYSCON_RSTn_t", "a00081.html#ga4f45cdcdc4d2507fffd4e8742fa7dac6", null ], + [ "_SYSCON_RSTn", "a00081.html#gad4aceeed7467f943d313d51ec38a1a20", [ + [ "kFLASH_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ac1601058bee0888d89675b528432a496", null ], + [ "kI2C0_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20aee6d4f65797043b10be7d4a7ae95d206", null ], + [ "kGPIO0_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a244e5cce727a529563a83a1a78f8b3f1", null ], + [ "kSWM_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20abbd5b2eb886157d35a4ff88077fa192b", null ], + [ "kWKT_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a80aae2b47fdc2701cb923d27ca9e5a7a", null ], + [ "kMRT_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a81a00b3196e6197af1ca45b8a5bac450", null ], + [ "kSPI0_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ac5dc2b0f880a50d33e7d79f7af4c4f33", null ], + [ "kCRC_RST_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a194baa96cd93ef108f2724fa98352387", null ], + [ "kUART0_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20aa294a3c09c1565947ebcd78694acff24", null ], + [ "kUART1_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a8bc751e4927f0c20a0695b284fc40c38", null ], + [ "kIOCON_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ab95a1dd8a7fbc479365344d58ac55737", null ], + [ "kACMP_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ac1a3b1156e6dbc9d6fecb867f1486c63", null ], + [ "kI2C1_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ad1ef18ed84027fdc4d41567ae894ea10", null ], + [ "kADC_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a99e18503426294e439f4bb181a451999", null ], + [ "kCTIMER0_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a900b1deecf2f2316b30e40ff81330499", null ], + [ "kDAC0_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a25d5f742c3cbcf85a4e9c388c5c9e708", null ], + [ "kGPIOINT_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a20fd1ac8739a143dddb40a6349a758a6", null ], + [ "kCAPT_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a6946809531736fcf4d7067e31f73813b", null ], + [ "kFRG0_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a7a5b1b185218feb35ce91ce8f77b2106", null ], + [ "kPLU_RST_N_SHIFT_RSTn", "a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ac0e9dc6f492774ceef33623e62882da5", null ] ] ], - [ "RESET_PeripheralReset", "a00081.html#gac33f3428cb55ce07ae67802e5f534ffc", null ] + [ "RESET_SetPeripheralReset", "a00081.html#gad9df042d0736710d46dcdd0d52d80f85", null ], + [ "RESET_ClearPeripheralReset", "a00081.html#ga3f9c431d066e700ee9e2ab83c11aaed8", null ], + [ "RESET_PeripheralReset", "a00081.html#gac33f3428cb55ce07ae67802e5f534ffc", null ], + [ "RESET_ReleasePeripheralReset", "a00081.html#gadbdb012f4a805a6117f76cbcaf378aa3", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00082.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00082.html index 5ec64f0..9dd9f36 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00082.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00082.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
@@ -121,42 +121,12 @@ Macros #define MAKE_VERSION(major, minor, bugfix)   (((major)*65536L) + ((minor)*256L) + (bugfix))  Construct the version number for drivers. More...
  -#define DEBUG_CONSOLE_DEVICE_TYPE_NONE   0U - No debug console. More...
-  -#define DEBUG_CONSOLE_DEVICE_TYPE_UART   1U - Debug console based on UART. More...
-  -#define DEBUG_CONSOLE_DEVICE_TYPE_LPUART   2U - Debug console based on LPUART. More...
-  -#define DEBUG_CONSOLE_DEVICE_TYPE_LPSCI   3U - Debug console based on LPSCI. More...
-  -#define DEBUG_CONSOLE_DEVICE_TYPE_USBCDC   4U - Debug console based on USBCDC. More...
-  -#define DEBUG_CONSOLE_DEVICE_TYPE_FLEXCOMM   5U - Debug console based on FLEXCOMM. More...
-  -#define DEBUG_CONSOLE_DEVICE_TYPE_IUART   6U - Debug console based on i.MX UART. More...
-  -#define DEBUG_CONSOLE_DEVICE_TYPE_VUSART   7U - Debug console based on LPC_VUSART. More...
-  -#define DEBUG_CONSOLE_DEVICE_TYPE_MINI_USART   8U - Debug console based on LPC_USART. More...
-  -#define DEBUG_CONSOLE_DEVICE_TYPE_SWO   9U - Debug console based on SWO. More...
-  -#define DEBUG_CONSOLE_DEVICE_TYPE_QSCI   10U - Debug console based on QSCI. More...
-  #define ARRAY_SIZE(x)   (sizeof(x) / sizeof((x)[0]))  Computes the number of elements in an array. More...
  +#define SUPPRESS_FALL_THROUGH_WARNING() + For switch case code block, if case section ends without "break;" statement, there wil be fallthrough warning with compiler flag -Wextra or -Wimplicit-fallthrough=n when using armgcc. More...
+  @@ -387,7 +357,7 @@ Enumerations
  kStatusGroup_QSCI = 156,
-  kStatusGroup_SNT = 157, +  kStatusGroup_ELEMU = 157,
  kStatusGroup_QUEUEDSPI = 158,
@@ -405,7 +375,9 @@ Enumerations
  kStatusGroup_BMA = 165,
-  kStatusGroup_NETC = 166 +  kStatusGroup_NETC = 166, +
+  kStatusGroup_ELE = 167
} @@ -465,6 +437,12 @@ Functions + + + + + +

Typedefs

 Status group numbers. More...
static void EnableGlobalIRQ (uint32_t primask)
 Enable the global IRQ. More...
 
void EnableDeepSleepIRQ (IRQn_Type interrupt)
 Enable specific interrupt for wake-up from deep-sleep mode. More...
 
void DisableDeepSleepIRQ (IRQn_Type interrupt)
 Disable specific interrupt for wake-up from deep-sleep mode. More...
 
@@ -473,65 +451,94 @@ Driver version

Driver version

 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

+Debug console type definition.

#define DEBUG_CONSOLE_DEVICE_TYPE_NONE   0U
 No debug console. More...
 
#define DEBUG_CONSOLE_DEVICE_TYPE_UART   1U
 Debug console based on UART. More...
 
#define DEBUG_CONSOLE_DEVICE_TYPE_LPUART   2U
 Debug console based on LPUART. More...
 
#define DEBUG_CONSOLE_DEVICE_TYPE_LPSCI   3U
 Debug console based on LPSCI. More...
 
#define DEBUG_CONSOLE_DEVICE_TYPE_USBCDC   4U
 Debug console based on USBCDC. More...
 
#define DEBUG_CONSOLE_DEVICE_TYPE_FLEXCOMM   5U
 Debug console based on FLEXCOMM. More...
 
#define DEBUG_CONSOLE_DEVICE_TYPE_IUART   6U
 Debug console based on i.MX UART. More...
 
#define DEBUG_CONSOLE_DEVICE_TYPE_VUSART   7U
 Debug console based on LPC_VUSART. More...
 
#define DEBUG_CONSOLE_DEVICE_TYPE_MINI_USART   8U
 Debug console based on LPC_USART. More...
 
#define DEBUG_CONSOLE_DEVICE_TYPE_SWO   9U
 Debug console based on SWO. More...
 
#define DEBUG_CONSOLE_DEVICE_TYPE_QSCI   10U
 Debug console based on QSCI. More...
 
+ - + + - + +

Min/max macros

-#define MIN(a, b)   (((a) < (b)) ? (a) : (b))
#define MIN(a, b)   (((a) < (b)) ? (a) : (b))
 Computes the minimum of a and b. More...
 
-#define MAX(a, b)   (((a) > (b)) ? (a) : (b))
#define MAX(a, b)   (((a) > (b)) ? (a) : (b))
 Computes the maximum of a and b. More...
 
- + + - + +

UINT16_MAX/UINT32_MAX value

-#define UINT16_MAX   ((uint16_t)-1)
#define UINT16_MAX   ((uint16_t)-1)
 Max value of uint16_t type. More...
 
-#define UINT32_MAX   ((uint32_t)-1)
#define UINT32_MAX   ((uint32_t)-1)
 Max value of uint32_t type. More...
 
- - -

-Suppress fallthrough warning macro

-#define SUPPRESS_FALL_THROUGH_WARNING()
 
- +#define  + +#define  + +#define  + +#define  + +#define  + +#define  +

Atomic modification

These macros are used for atomic access, such as read-modify-write to the peripheral registers.

-
    -
  • SDK_ATOMIC_LOCAL_ADD
  • -
  • SDK_ATOMIC_LOCAL_SET
  • -
  • SDK_ATOMIC_LOCAL_CLEAR
  • -
  • SDK_ATOMIC_LOCAL_TOGGLE
  • -
  • SDK_ATOMIC_LOCAL_CLEAR_AND_SET
  • -
-

Take SDK_ATOMIC_LOCAL_CLEAR_AND_SET as an example: the parameter addr means the address of the peripheral register or variable you want to modify atomically, the parameter clearBits is the bits to clear, the parameter setBits it the bits to set. For example, to set a 32-bit register bit1:bit0 to 0b10, use like this:

+

Take SDK_ATOMIC_LOCAL_CLEAR_AND_SET as an example: the parameter addr means the address of the peripheral register or variable you want to modify atomically, the parameter clearBits is the bits to clear, the parameter setBits it the bits to set. For example, to set a 32-bit register bit1:bit0 to 0b10, use like this:

volatile uint32_t * reg = (volatile uint32_t *)REG_ADDR;
-
SDK_ATOMIC_LOCAL_CLEAR_AND_SET(reg, 0x03, 0x02);
+

In this example, the register bit1:bit0 are cleared and bit1 is set, as a result, register bit1:bit0 = 0b10.

Note
For the platforms don't support exclusive load and store, these macros disable the global interrupt to pretect the modification.
These macros only guarantee the local processor atomic operations. For the multi-processor devices, use hardware semaphore such as SEMA42 to guarantee exclusive access if necessary.
-#define SDK_ATOMIC_LOCAL_ADD(addr, val)
SDK_ATOMIC_LOCAL_ADD(addr, val)
 Add value val from the variable at address address.
 
-#define SDK_ATOMIC_LOCAL_SUB(addr, val)
SDK_ATOMIC_LOCAL_SUB(addr, val)
 Subtract value val to the variable at address address.
 
-#define SDK_ATOMIC_LOCAL_SET(addr, bits)
SDK_ATOMIC_LOCAL_SET(addr, bits)
 Set the bits specifiled by bits to the variable at address address.
 
-#define SDK_ATOMIC_LOCAL_CLEAR(addr, bits)
SDK_ATOMIC_LOCAL_CLEAR(addr, bits)
 Clear the bits specifiled by bits to the variable at address address.
 
-#define SDK_ATOMIC_LOCAL_TOGGLE(addr, bits)
SDK_ATOMIC_LOCAL_TOGGLE(addr, bits)
 Toggle the bits specifiled by bits to the variable at address address.
 
-#define SDK_ATOMIC_LOCAL_CLEAR_AND_SET(addr, clearBits, setBits)
SDK_ATOMIC_LOCAL_CLEAR_AND_SET(addr, clearBits, setBits)
 For the variable at address address, clear the bits specifiled by clearBits and set the bits specifiled by setBits.
 

@@ -555,13 +562,58 @@ Timer utilities

- - - + + + - + +

Alignment variable definition macros

-#define SDK_L1DCACHE_ALIGN(var)   SDK_ALIGN(var, FSL_FEATURE_L1DCACHE_LINESIZE_BYTE)
 Macro to define a variable with L1 d-cache line size alignment.
 
+#define SDK_ALIGN(var, alignbytes)   var __attribute__((aligned(alignbytes)))
 Macro to define a variable with alignbytes alignment.
 
#define SDK_SIZEALIGN(var, alignbytes)   ((unsigned int)((var) + ((alignbytes)-1U)) & (unsigned int)(~(unsigned int)((alignbytes)-1U)))
 Macro to define a variable with L2 cache line size alignment. More...
 Macro to define a variable with L1 d-cache line size alignment. More...
 
+ + + + + + + + + + + + + + +

+Non-cacheable region definition macros

For initialized non-zero non-cacheable variables, please use "AT_NONCACHEABLE_SECTION_INIT(var) ={xx};" or "AT_NONCACHEABLE_SECTION_ALIGN_INIT(var) ={xx};" in your projects to define them.

+

For zero-inited non-cacheable variables, please use "AT_NONCACHEABLE_SECTION(var);" or "AT_NONCACHEABLE_SECTION_ALIGN(var);" to define them, these zero-inited variables will be initialized to zero in system startup.

+
Note
For GCC, when the non-cacheable section is required, please define "__STARTUP_INITIALIZE_NONCACHEDATA" in your projects to make sure the non-cacheable section variables will be initialized in system startup.
+
+#define AT_NONCACHEABLE_SECTION(var)   var
 Define a variable var, and place it in non-cacheable section.
 
+#define AT_NONCACHEABLE_SECTION_ALIGN(var, alignbytes)   SDK_ALIGN(var, alignbytes)
 Define a variable var, and place it in non-cacheable section, the start address of the variable is aligned to alignbytes.
 
+#define AT_NONCACHEABLE_SECTION_INIT(var)   var
 Define a variable var with initial value, and place it in non-cacheable section.
 
+#define AT_NONCACHEABLE_SECTION_ALIGN_INIT(var, alignbytes)   SDK_ALIGN(var, alignbytes)
 Define a variable var with initial value, and place it in non-cacheable section, the start address of the variable is aligned to alignbytes.
 
+ + + + + + + + + + +

+Time sensitive region

+#define AT_QUICKACCESS_SECTION_CODE(func)   __attribute__((section("CodeQuickAccess"), __noinline__)) func
 Place function in a section which can be accessed quickly by core.
 
+#define AT_QUICKACCESS_SECTION_DATA(var)   __attribute__((section("DataQuickAccess"))) var
 Place data in a section which can be accessed quickly by core.
 
+#define AT_QUICKACCESS_SECTION_DATA_ALIGN(var, alignbytes)   __attribute__((section("DataQuickAccess"))) var __attribute__((aligned(alignbytes)))
 Place data in a section which can be accessed quickly by core, and the variable address is set to align with alignbytes.
 
+ + + +

+Ram Function

+#define RAMFUNCTION_SECTION_CODE(func)   __attribute__((section("RamFunction"))) func
 Place function in ram.
 

Macro Definition Documentation

@@ -778,6 +830,58 @@ Alignment variable definition macros
+
+ + +
+
+ + + + + + + + + + + + + + + + + + +
#define MIN( a,
 
)   (((a) < (b)) ? (a) : (b))
+
+ +
+
+ +
+
+ + + + + + + + + + + + + + + + + + +
#define MAX( a,
 
)   (((a) > (b)) ? (a) : (b))
+
+
@@ -794,6 +898,46 @@ Alignment variable definition macros
+
+ + +
+
+ + + + +
#define UINT16_MAX   ((uint16_t)-1)
+
+ +
+
+ +
+
+ + + + +
#define UINT32_MAX   ((uint32_t)-1)
+
+ +
+
+ +
+
+ + + + + + + +
#define SUPPRESS_FALL_THROUGH_WARNING()
+
+

To suppress this warning, "SUPPRESS_FALL_THROUGH_WARNING();" need to be added at the end of each case section which misses "break;"statement.

+
@@ -819,6 +963,7 @@ Alignment variable definition macros
+

Macro to define a variable with L2 cache line size alignment

Macro to change a value to a given size aligned value

@@ -1177,8 +1322,8 @@ Alignment variable definition macros kStatusGroup_QSCI 

Group number for QSCI status codes.

-kStatusGroup_SNT  -

Group number for SNT status codes.

+kStatusGroup_ELEMU  +

Group number for ELEMU status codes.

kStatusGroup_QUEUEDSPI 

Group number for QSPI status codes.

@@ -1207,6 +1352,9 @@ Alignment variable definition macros kStatusGroup_NETC 

Group number for NETC status codes.

+kStatusGroup_ELE  +

Group number for ELE status codes.

+ @@ -1621,6 +1769,54 @@ Alignment variable definition macros + + + +
+
+ + + + + + + + +
void EnableDeepSleepIRQ (IRQn_Type interrupt)
+
+

Enable the interrupt for wake-up from deep sleep mode. Some interrupts are typically used in sleep mode only and will not occur during deep-sleep mode because relevant clocks are stopped. However, it is possible to enable those clocks (significantly increasing power consumption in the reduced power mode), making these wake-ups possible.

+
Note
This function also enables the interrupt in the NVIC (EnableIRQ() is called internaly).
+
Parameters
+ + +
interruptThe IRQ number.
+
+
+ +
+
+ +
+
+ + + + + + + + +
void DisableDeepSleepIRQ (IRQn_Type interrupt)
+
+

Disable the interrupt for wake-up from deep sleep mode. Some interrupts are typically used in sleep mode only and will not occur during deep-sleep mode because relevant clocks are stopped. However, it is possible to enable those clocks (significantly increasing power consumption in the reduced power mode), making these wake-ups possible.

+
Note
This function also disables the interrupt in the NVIC (DisableIRQ() is called internaly).
+
Parameters
+ + +
interruptThe IRQ number.
+
+
+
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00082.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00082.js index 860c744..3a2d388 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00082.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00082.js @@ -15,13 +15,32 @@ var a00082 = [ "DEBUG_CONSOLE_DEVICE_TYPE_MINI_USART", "a00082.html#ga5a951951cdb6063d949523052aa5b5e4", null ], [ "DEBUG_CONSOLE_DEVICE_TYPE_SWO", "a00082.html#ga9d142691c3a4d810f8bdabc41e89dfd7", null ], [ "DEBUG_CONSOLE_DEVICE_TYPE_QSCI", "a00082.html#ga74389c7c04a9f53548d05287c7c665cd", null ], + [ "MIN", "a00082.html#ga3acffbd305ee72dcd4593c0d8af64a4f", null ], + [ "MAX", "a00082.html#gafa99ec4acc4ecb2dc3c2d05da15d0e3f", null ], [ "ARRAY_SIZE", "a00082.html#ga6242a25f9d996f0cc4f4cdb911218b75", null ], + [ "UINT16_MAX", "a00082.html#ga3ea490c9b3617d4479bd80ef93cd5602", null ], + [ "UINT32_MAX", "a00082.html#gab5eb23180f7cc12b7d6c04a8ec067fdd", null ], + [ "SUPPRESS_FALL_THROUGH_WARNING", "a00082.html#ga0b47cb52d1ceba88ee3e6cd19820ecfc", null ], + [ "SDK_ATOMIC_LOCAL_ADD", "a00082.html#ga957fd03848a6eb9dbca45a30dcdcc139", null ], + [ "SDK_ATOMIC_LOCAL_SUB", "a00082.html#gae82c4d49594c973ce454a8c03cdf7d88", null ], + [ "SDK_ATOMIC_LOCAL_SET", "a00082.html#gade7ec3533b9f508554ee1535b7b8bc8c", null ], + [ "SDK_ATOMIC_LOCAL_CLEAR", "a00082.html#ga50f13aaa6905b92a83c762e1513471c8", null ], + [ "SDK_ATOMIC_LOCAL_TOGGLE", "a00082.html#ga022210ec2f02da145599baef78fbeb5a", null ], + [ "SDK_ATOMIC_LOCAL_CLEAR_AND_SET", "a00082.html#ga2bfce8f92b8539d9b2eedc4d52b35dd1", null ], [ "USEC_TO_COUNT", "a00082.html#gad5639aadf668899775fc7506a5e19dc1", null ], [ "COUNT_TO_USEC", "a00082.html#ga601b69842ec962d1babd627e5a3541fd", null ], [ "MSEC_TO_COUNT", "a00082.html#gaa9fb2136029d807bd7c349c30348b52a", null ], [ "COUNT_TO_MSEC", "a00082.html#gafa0fe6bd95d5455c99aee949cb285b20", null ], - [ "SDK_L1DCACHE_ALIGN", "a00082.html#ga870e52d54fc8ec35f89fc99ca656fb0b", null ], + [ "SDK_ALIGN", "a00082.html#gade00270528e66e9f091cc49d1ac2212c", null ], [ "SDK_SIZEALIGN", "a00082.html#ga44d24ac5229dbbd054f046331b58d43b", null ], + [ "AT_NONCACHEABLE_SECTION", "a00082.html#gad98e0c70f9195609e3c3d9e635bc207d", null ], + [ "AT_NONCACHEABLE_SECTION_ALIGN", "a00082.html#ga545036768d164aad61b71502371e7165", null ], + [ "AT_NONCACHEABLE_SECTION_INIT", "a00082.html#ga0e6dbf5a33f2390db8f141f2df5055aa", null ], + [ "AT_NONCACHEABLE_SECTION_ALIGN_INIT", "a00082.html#ga5861db7ec245a076dbb525bb75255cf0", null ], + [ "AT_QUICKACCESS_SECTION_CODE", "a00082.html#ga467c6a1ad5af6f887127bb059beb5369", null ], + [ "AT_QUICKACCESS_SECTION_DATA", "a00082.html#ga02126e90add2f23cf21504de04412d1a", null ], + [ "AT_QUICKACCESS_SECTION_DATA_ALIGN", "a00082.html#ga53074a5c435105610c452d6ac9d71ad8", null ], + [ "RAMFUNCTION_SECTION_CODE", "a00082.html#ga0cc30b1528f944524f009ada9c85e897", null ], [ "status_t", "a00082.html#gaaabdaf7ee58ca7269bd4bf24efcde092", null ], [ "_status_groups", "a00082.html#ga7ff0b98bb1341c07acefb1473b6eda29", [ [ "kStatusGroup_Generic", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7c95e11ceb8067c9c2703f96e51aca7", null ], @@ -134,7 +153,7 @@ var a00082 = [ "kStatusGroup_LOG", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2af6421e7b473bd882f5372ad1fa6d0e", null ], [ "kStatusGroup_I3CBUS", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae28000753ef8046bc9b08e03fc8a1899", null ], [ "kStatusGroup_QSCI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a025ed41827a0bd0b7bf60b853a921c7d", null ], - [ "kStatusGroup_SNT", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae67462f0d7dbac886d8a1272a29b846c", null ], + [ "kStatusGroup_ELEMU", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae9d67092ecc6b4f406fc0fb862174476", null ], [ "kStatusGroup_QUEUEDSPI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7712fcacc6d5e9698366daafc59ea644", null ], [ "kStatusGroup_POWER_MANAGER", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6c52b0b8b2d2ca670a5f8eca66212f54", null ], [ "kStatusGroup_IPED", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a79d16666510da650e32b1499cf102aa5", null ], @@ -144,6 +163,7 @@ var a00082 = [ "kStatusGroup_CLIF", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a50aada436b514e0056476e275e1fd203", null ], [ "kStatusGroup_BMA", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af5e618b56733c41397941d8dec581030", null ], [ "kStatusGroup_NETC", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e0ac8d81af0520d13c650cd3a3bcdde", null ], + [ "kStatusGroup_ELE", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a446c74416be882daecb3038dbe42e9de", null ], [ "kStatus_Success", "a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba829bee76c6b02225d9c891ae8ef70881", null ], [ "kStatus_Fail", "a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba8692e71089c7e81bd5f4503ff55035db", null ], [ "kStatus_ReadOnly", "a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba69927473662d55dfe6a4b8b1ea529f67", null ], @@ -163,5 +183,7 @@ var a00082 = [ "IRQ_SetPriority", "a00082.html#ga9d1df086c696c7864d98ea6ec28846f3", null ], [ "IRQ_ClearPendingIRQ", "a00082.html#gac9b0f720fcb617b3aa9cb554d87dbc55", null ], [ "DisableGlobalIRQ", "a00082.html#ga4f63764780e8eae7726d7a7e10f4b2a1", null ], - [ "EnableGlobalIRQ", "a00082.html#gacca38e4e11db8e795201c82f6ce4c9d5", null ] + [ "EnableGlobalIRQ", "a00082.html#gacca38e4e11db8e795201c82f6ce4c9d5", null ], + [ "EnableDeepSleepIRQ", "a00082.html#ga00a1c5f2555215dc1ac509052e0f3376", null ], + [ "DisableDeepSleepIRQ", "a00082.html#ga73a306a0d86cc31334f1825593c613a1", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00083.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00083.html index 491fc8a..fd30255 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00083.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00083.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
@@ -119,10 +119,10 @@ Basic operations

The function IAP_ReadFactorySettings() reads the factory settings.

Flash operations

-

The function IAP_PrepareSectorForWrite() prepares a sector for write or erase operation. Then, the function IAP_CopyRamToFlash() programs the flash memory.

-

The function IAP_EraseSector() erases a flash sector while the function IAP_ErasePage() erases a flash page.

-

The function IAP_BlankCheckSector() is used to blank check a sector or multiple sectors of on-chip flash memory.

-

The function IAP_Compare() is used to compare the memory contents at two locations. The user can compare several bytes (must be a multiple of 4) content in two different flash locations.

+

The function IAP_PrepareSectorForWrite() prepares a sector for write or erase operation. Then, the function IAP_CopyRamToFlash() programs the flash memory.

+

The function IAP_EraseSector() erases a flash sector while the function IAP_ErasePage() erases a flash page.

+

The function IAP_BlankCheckSector() is used to blank check a sector or multiple sectors of on-chip flash memory.

+

The function IAP_Compare() is used to compare the memory contents at two locations. The user can compare several bytes (must be a multiple of 4) content in two different flash locations.

The function IAP_ReadFlashSignature() can get the 32-bits signature of the entire flash and the function IAP_ExtendedFlashSignatureRead() can calculate the signature of one or more flash pages.

EEPROM operations

@@ -255,6 +255,27 @@ Basic operations status_t IAP_ReadUniqueID (uint32_t *uniqueID)  Read unique identification. More...
  + + + + + + + + + + + + + + + + + + + +

+Flash operations

status_t IAP_PrepareSectorForWrite (uint32_t startSector, uint32_t endSector)
 Prepare sector for write operation. More...
 
status_t IAP_CopyRamToFlash (uint32_t dstAddr, uint32_t *srcAddr, uint32_t numOfBytes, uint32_t systemCoreClock)
 Copy RAM to flash. More...
 
status_t IAP_EraseSector (uint32_t startSector, uint32_t endSector, uint32_t systemCoreClock)
 Erase sector. More...
 
status_t IAP_ErasePage (uint32_t startPage, uint32_t endPage, uint32_t systemCoreClock)
 Erase page. More...
 
status_t IAP_BlankCheckSector (uint32_t startSector, uint32_t endSector)
 Blank check sector(s) More...
 
status_t IAP_Compare (uint32_t dstAddr, uint32_t *srcAddr, uint32_t numOfBytes)
 Compare memory contents of flash with ram. More...
 

Enumeration Type Documentation

@@ -532,6 +553,313 @@ Basic operations + + + +
+
+ + + + + + + + + + + + + + + + + + +
status_t IAP_PrepareSectorForWrite (uint32_t startSector,
uint32_t endSector 
)
+
+

This function prepares sector(s) for write/erase operation. This function must be called before calling the IAP_CopyRamToFlash() or IAP_EraseSector() or IAP_ErasePage() function. The end sector number must be greater than or equal to the start sector number.

+
Parameters
+ + + +
startSectorStart sector number.
endSectorEnd sector number.
+
+
+
Return values
+ + + + + + +
kStatus_IAP_SuccessApi has been executed successfully.
kStatus_IAP_NoPowerFlash memory block is powered down.
kStatus_IAP_NoClockFlash memory block or controller is not clocked.
kStatus_IAP_InvalidSectorSector number is invalid or end sector number is greater than start sector number.
kStatus_IAP_BusyFlash programming hardware interface is busy.
+
+
+ +
+
+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
status_t IAP_CopyRamToFlash (uint32_t dstAddr,
uint32_t * srcAddr,
uint32_t numOfBytes,
uint32_t systemCoreClock 
)
+
+

This function programs the flash memory. Corresponding sectors must be prepared via IAP_PrepareSectorForWrite before calling this function.

+
Parameters
+ + + + + +
dstAddrDestination flash address where data bytes are to be written, the address should be multiples of FSL_FEATURE_SYSCON_FLASH_PAGE_SIZE_BYTES boundary.
srcAddrSource ram address from where data bytes are to be read.
numOfBytesNumber of bytes to be written, it should be multiples of FSL_FEATURE_SYSCON_FLASH_PAGE_SIZE_BYTES, and ranges from FSL_FEATURE_SYSCON_FLASH_PAGE_SIZE_BYTES to FSL_FEATURE_SYSCON_FLASH_SECTOR_SIZE_BYTES.
systemCoreClockSystemCoreClock in Hz. It is converted to KHz before calling the rom IAP function. When the flash controller has a fixed reference clock, this parameter is bypassed.
+
+
+
Return values
+ + + + + + + + + + + +
kStatus_IAP_SuccessApi has been executed successfully.
kStatus_IAP_NoPowerFlash memory block is powered down.
kStatus_IAP_NoClockFlash memory block or controller is not clocked.
kStatus_IAP_SrcAddrErrorSource address is not on word boundary.
kStatus_IAP_DstAddrErrorDestination address is not on a correct boundary.
kStatus_IAP_SrcAddrNotMappedSource address is not mapped in the memory map.
kStatus_IAP_DstAddrNotMappedDestination address is not mapped in the memory map.
kStatus_IAP_CountErrorByte count is not multiple of 4 or is not a permitted value.
kStatus_IAP_NotPreparedCommand to prepare sector for write operation has not been executed.
kStatus_IAP_BusyFlash programming hardware interface is busy.
+
+
+ +
+
+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + +
status_t IAP_EraseSector (uint32_t startSector,
uint32_t endSector,
uint32_t systemCoreClock 
)
+
+

This function erases sector(s). The end sector number must be greater than or equal to the start sector number.

+
Parameters
+ + + + +
startSectorStart sector number.
endSectorEnd sector number.
systemCoreClockSystemCoreClock in Hz. It is converted to KHz before calling the rom IAP function. When the flash controller has a fixed reference clock, this parameter is bypassed.
+
+
+
Return values
+ + + + + + + +
kStatus_IAP_SuccessApi has been executed successfully.
kStatus_IAP_NoPowerFlash memory block is powered down.
kStatus_IAP_NoClockFlash memory block or controller is not clocked.
kStatus_IAP_InvalidSectorSector number is invalid or end sector number is greater than start sector number.
kStatus_IAP_NotPreparedCommand to prepare sector for write operation has not been executed.
kStatus_IAP_BusyFlash programming hardware interface is busy.
+
+
+ +
+
+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + +
status_t IAP_ErasePage (uint32_t startPage,
uint32_t endPage,
uint32_t systemCoreClock 
)
+
+

This function erases page(s). The end page number must be greater than or equal to the start page number.

+
Parameters
+ + + + +
startPageStart page number.
endPageEnd page number.
systemCoreClockSystemCoreClock in Hz. It is converted to KHz before calling the rom IAP function. When the flash controller has a fixed reference clock, this parameter is bypassed.
+
+
+
Return values
+ + + + + + + +
kStatus_IAP_SuccessApi has been executed successfully.
kStatus_IAP_NoPowerFlash memory block is powered down.
kStatus_IAP_NoClockFlash memory block or controller is not clocked.
kStatus_IAP_InvalidSectorPage number is invalid or end page number is greater than start page number.
kStatus_IAP_NotPreparedCommand to prepare sector for write operation has not been executed.
kStatus_IAP_BusyFlash programming hardware interface is busy.
+
+
+ +
+
+ +
+
+ + + + + + + + + + + + + + + + + + +
status_t IAP_BlankCheckSector (uint32_t startSector,
uint32_t endSector 
)
+
+

Blank check single or multiples sectors of flash memory. The end sector number must be greater than or equal to the start sector number. It can be used to verify the sector erasure after IAP_EraseSector call.

+
Parameters
+ + + +
startSectorStart sector number.
endSectorEnd sector number.
+
+
+
Return values
+ + + + + +
kStatus_IAP_SuccessOne or more sectors are in erased state.
kStatus_IAP_NoPowerFlash memory block is powered down.
kStatus_IAP_NoClockFlash memory block or controller is not clocked.
kStatus_IAP_SectorNotblankOne or more sectors are not blank.
+
+
+ +
+
+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + +
status_t IAP_Compare (uint32_t dstAddr,
uint32_t * srcAddr,
uint32_t numOfBytes 
)
+
+

This function compares the contents of flash and ram. It can be used to verify the flash memory contents after IAP_CopyRamToFlash call.

+
Parameters
+ + + + +
dstAddrDestination flash address.
srcAddrSource ram address.
numOfBytesNumber of bytes to be compared.
+
+
+
Return values
+ + + + + + + + +
kStatus_IAP_SuccessContents of flash and ram match.
kStatus_IAP_NoPowerFlash memory block is powered down.
kStatus_IAP_NoClockFlash memory block or controller is not clocked.
kStatus_IAP_AddrErrorAddress is not on word boundary.
kStatus_IAP_AddrNotMappedAddress is not mapped in the memory map.
kStatus_IAP_CountErrorByte count is not multiple of 4 or is not a permitted value.
kStatus_IAP_CompareErrorDestination and source memory contents do not match.
+
+
+
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00083.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00083.js index 7e053fb..21c85a4 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00083.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00083.js @@ -42,5 +42,11 @@ var a00083 = [ "IAP_ReadPartID", "a00083.html#ga916f8b461de93f08044df1724290dcbd", null ], [ "IAP_ReadBootCodeVersion", "a00083.html#ga747cfb2ed95cefa6f39ae2ad089f8ade", null ], [ "IAP_ReinvokeISP", "a00083.html#ga98407c578584b1d88d7be1b3e6d9656a", null ], - [ "IAP_ReadUniqueID", "a00083.html#ga258d8c49d494cbd0d18321af56e11440", null ] + [ "IAP_ReadUniqueID", "a00083.html#ga258d8c49d494cbd0d18321af56e11440", null ], + [ "IAP_PrepareSectorForWrite", "a00083.html#ga361041aa626a50391c40c9d6936ba2c0", null ], + [ "IAP_CopyRamToFlash", "a00083.html#ga6b58df04b8aae97c7e31884f3fe3413f", null ], + [ "IAP_EraseSector", "a00083.html#ga0093db979d7b9afca6dca250f42b2eef", null ], + [ "IAP_ErasePage", "a00083.html#ga93474c7fb9be2c15eabc9dbf73c99bdb", null ], + [ "IAP_BlankCheckSector", "a00083.html#ga93a68a9ca640a51b56350d59cac4dd7c", null ], + [ "IAP_Compare", "a00083.html#ga48b79c8dc56de9714927e70ad230fa0b", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00084.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00084.html index f2e23d5..9c64307 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00084.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00084.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00085.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00085.html index 407de20..baf18f1 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00085.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00085.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00086.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00086.html index 265cb52..54b6bbd 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00086.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00086.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00087.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00087.html index e07c134..4fd12ac 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00087.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00087.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00088.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00088.html index 798c331..f42a3f4 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00088.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00088.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
@@ -130,96 +130,149 @@ Files - + + + + + + + + + + + + + + + +

Typedefs

typedef void(* pint_cb_t )(pint_pin_int_t pintr, uint32_t pmatch_status)
+typedef enum _pint_pin_enable pint_pin_enable_t
 PINT Pin Interrupt enable type.
 
+typedef enum _pint_int pint_pin_int_t
 PINT Pin Interrupt type.
 
+typedef enum _pint_pmatch_input_src pint_pmatch_input_src_t
 PINT Pattern Match bit slice input source type.
 
+typedef enum _pint_pmatch_bslice pint_pmatch_bslice_t
 PINT Pattern Match bit slice type.
 
+typedef enum
+_pint_pmatch_bslice_cfg 
pint_pmatch_bslice_cfg_t
 PINT Pattern Match configuration type.
 
typedef void(* pint_cb_t )(pint_pin_int_t pintr, uint32_t pmatch_status)
 PINT Callback function. More...
 
- - - - - - - + + - - - - - - + + - - + + + + + + + +

Enumerations

enum  pint_pin_enable_t {
-  kPINT_PinIntEnableNone = 0U, +
enum  _pint_pin_enable {
+  kPINT_PinIntEnableNone = 0U,
-  kPINT_PinIntEnableRiseEdge = PINT_PIN_RISE_EDGE, +  kPINT_PinIntEnableRiseEdge = PINT_PIN_RISE_EDGE,
-  kPINT_PinIntEnableFallEdge = PINT_PIN_FALL_EDGE, +  kPINT_PinIntEnableFallEdge = PINT_PIN_FALL_EDGE,
-  kPINT_PinIntEnableBothEdges = PINT_PIN_BOTH_EDGE, +  kPINT_PinIntEnableBothEdges = PINT_PIN_BOTH_EDGE,
-  kPINT_PinIntEnableLowLevel = PINT_PIN_LOW_LEVEL, +  kPINT_PinIntEnableLowLevel = PINT_PIN_LOW_LEVEL,
-  kPINT_PinIntEnableHighLevel = PINT_PIN_HIGH_LEVEL +  kPINT_PinIntEnableHighLevel = PINT_PIN_HIGH_LEVEL
}
 PINT Pin Interrupt enable type. More...
 
enum  pint_pin_int_t { kPINT_PinInt0 = 0U - }
 PINT Pin Interrupt type. More...
 
enum  pint_pmatch_input_src_t {
-  kPINT_PatternMatchInp0Src = 0U, +
 PINT Pin Interrupt enable type. More...
 
enum  _pint_int {
+  kPINT_PinInt0 = 0U,
-  kPINT_PatternMatchInp1Src = 1U, +  kPINT_PinInt1 = 1U,
-  kPINT_PatternMatchInp2Src = 2U, +  kPINT_PinInt2 = 2U,
-  kPINT_PatternMatchInp3Src = 3U, +  kPINT_PinInt3 = 3U,
-  kPINT_PatternMatchInp4Src = 4U, +  kPINT_PinInt4 = 4U,
-  kPINT_PatternMatchInp5Src = 5U, +  kPINT_PinInt5 = 5U,
-  kPINT_PatternMatchInp6Src = 6U, +  kPINT_PinInt6 = 6U,
-  kPINT_PatternMatchInp7Src = 7U, -
-  kPINT_SecPatternMatchInp0Src = 0U, -
-  kPINT_SecPatternMatchInp1Src = 1U +  kPINT_PinInt7 = 7U
}
 PINT Pattern Match bit slice input source type. More...
 
enum  pint_pmatch_bslice_t { kPINT_PatternMatchBSlice0 = 0U - }
 PINT Pattern Match bit slice type. More...
 
enum  pint_pmatch_bslice_cfg_t {
-  kPINT_PatternMatchAlways = 0U, +
 PINT Pin Interrupt type. More...
 
enum  _pint_pmatch_input_src {
+  kPINT_PatternMatchInp0Src = 0U,
-  kPINT_PatternMatchStickyRise = 1U, +  kPINT_PatternMatchInp1Src = 1U,
-  kPINT_PatternMatchStickyFall = 2U, +  kPINT_PatternMatchInp2Src = 2U,
-  kPINT_PatternMatchStickyBothEdges = 3U, +  kPINT_PatternMatchInp3Src = 3U,
-  kPINT_PatternMatchHigh = 4U, +  kPINT_PatternMatchInp4Src = 4U,
-  kPINT_PatternMatchLow = 5U, +  kPINT_PatternMatchInp5Src = 5U,
-  kPINT_PatternMatchNever = 6U, +  kPINT_PatternMatchInp6Src = 6U,
-  kPINT_PatternMatchBothEdges = 7U +  kPINT_PatternMatchInp7Src = 7U, +
+  kPINT_SecPatternMatchInp0Src = 0U, +
+  kPINT_SecPatternMatchInp1Src = 1U
}
 PINT Pattern Match configuration type. More...
 
 PINT Pattern Match bit slice input source type. More...
 
enum  _pint_pmatch_bslice {
+  kPINT_PatternMatchBSlice0 = 0U, +
+  kPINT_PatternMatchBSlice1 = 1U, +
+  kPINT_PatternMatchBSlice2 = 2U, +
+  kPINT_PatternMatchBSlice3 = 3U, +
+  kPINT_PatternMatchBSlice4 = 4U, +
+  kPINT_PatternMatchBSlice5 = 5U, +
+  kPINT_PatternMatchBSlice6 = 6U, +
+  kPINT_PatternMatchBSlice7 = 7U +
+ }
 PINT Pattern Match bit slice type. More...
 
enum  _pint_pmatch_bslice_cfg {
+  kPINT_PatternMatchAlways = 0U, +
+  kPINT_PatternMatchStickyRise = 1U, +
+  kPINT_PatternMatchStickyFall = 2U, +
+  kPINT_PatternMatchStickyBothEdges = 3U, +
+  kPINT_PatternMatchHigh = 4U, +
+  kPINT_PatternMatchLow = 5U, +
+  kPINT_PatternMatchNever = 6U, +
+  kPINT_PatternMatchBothEdges = 7U +
+ }
 PINT Pattern Match configuration type. More...
 
- + - + - + - + @@ -228,10 +281,10 @@ Functions - + - + @@ -240,10 +293,10 @@ Functions - + - + @@ -252,13 +305,13 @@ Functions - + - + - + @@ -288,17 +341,17 @@ Functions - + - +

Functions

void PINT_Init (PINT_Type *base)
 Initialize PINT peripheral. More...
 
void PINT_PinInterruptConfig (PINT_Type *base, pint_pin_int_t intr, pint_pin_enable_t enable, pint_cb_t callback)
void PINT_PinInterruptConfig (PINT_Type *base, pint_pin_int_t intr, pint_pin_enable_t enable, pint_cb_t callback)
 Configure PINT peripheral pin interrupt. More...
 
void PINT_PinInterruptGetConfig (PINT_Type *base, pint_pin_int_t pintr, pint_pin_enable_t *enable, pint_cb_t *callback)
void PINT_PinInterruptGetConfig (PINT_Type *base, pint_pin_int_t pintr, pint_pin_enable_t *enable, pint_cb_t *callback)
 Get PINT peripheral pin interrupt configuration. More...
 
void PINT_PinInterruptClrStatus (PINT_Type *base, pint_pin_int_t pintr)
void PINT_PinInterruptClrStatus (PINT_Type *base, pint_pin_int_t pintr)
 Clear Selected pin interrupt status only when the pin was triggered by edge-sensitive. More...
 
static uint32_t PINT_PinInterruptGetStatus (PINT_Type *base, pint_pin_int_t pintr)
static uint32_t PINT_PinInterruptGetStatus (PINT_Type *base, pint_pin_int_t pintr)
 Get Selected pin interrupt status. More...
 
void PINT_PinInterruptClrStatusAll (PINT_Type *base)
static uint32_t PINT_PinInterruptGetStatusAll (PINT_Type *base)
 Get all pin interrupts status. More...
 
static void PINT_PinInterruptClrFallFlag (PINT_Type *base, pint_pin_int_t pintr)
static void PINT_PinInterruptClrFallFlag (PINT_Type *base, pint_pin_int_t pintr)
 Clear Selected pin interrupt fall flag. More...
 
static uint32_t PINT_PinInterruptGetFallFlag (PINT_Type *base, pint_pin_int_t pintr)
static uint32_t PINT_PinInterruptGetFallFlag (PINT_Type *base, pint_pin_int_t pintr)
 Get selected pin interrupt fall flag. More...
 
static void PINT_PinInterruptClrFallFlagAll (PINT_Type *base)
static uint32_t PINT_PinInterruptGetFallFlagAll (PINT_Type *base)
 Get all pin interrupt fall flags. More...
 
static void PINT_PinInterruptClrRiseFlag (PINT_Type *base, pint_pin_int_t pintr)
static void PINT_PinInterruptClrRiseFlag (PINT_Type *base, pint_pin_int_t pintr)
 Clear Selected pin interrupt rise flag. More...
 
static uint32_t PINT_PinInterruptGetRiseFlag (PINT_Type *base, pint_pin_int_t pintr)
static uint32_t PINT_PinInterruptGetRiseFlag (PINT_Type *base, pint_pin_int_t pintr)
 Get selected pin interrupt rise flag. More...
 
static void PINT_PinInterruptClrRiseFlagAll (PINT_Type *base)
static uint32_t PINT_PinInterruptGetRiseFlagAll (PINT_Type *base)
 Get all pin interrupt rise flags. More...
 
void PINT_PatternMatchConfig (PINT_Type *base, pint_pmatch_bslice_t bslice, pint_pmatch_cfg_t *cfg)
void PINT_PatternMatchConfig (PINT_Type *base, pint_pmatch_bslice_t bslice, pint_pmatch_cfg_t *cfg)
 Configure PINT pattern match. More...
 
void PINT_PatternMatchGetConfig (PINT_Type *base, pint_pmatch_bslice_t bslice, pint_pmatch_cfg_t *cfg)
void PINT_PatternMatchGetConfig (PINT_Type *base, pint_pmatch_bslice_t bslice, pint_pmatch_cfg_t *cfg)
 Get PINT pattern match configuration. More...
 
static uint32_t PINT_PatternMatchGetStatus (PINT_Type *base, pint_pmatch_bslice_t bslice)
static uint32_t PINT_PatternMatchGetStatus (PINT_Type *base, pint_pmatch_bslice_t bslice)
 Get pattern match bit slice status. More...
 
static uint32_t PINT_PatternMatchGetStatusAll (PINT_Type *base)
void PINT_Deinit (PINT_Type *base)
 Deinitialize PINT peripheral. More...
 
void PINT_EnableCallbackByIndex (PINT_Type *base, pint_pin_int_t pintIdx)
void PINT_EnableCallbackByIndex (PINT_Type *base, pint_pin_int_t pintIdx)
 enable callback by pin index. More...
 
void PINT_DisableCallbackByIndex (PINT_Type *base, pint_pin_int_t pintIdx)
void PINT_DisableCallbackByIndex (PINT_Type *base, pint_pin_int_t pintIdx)
 disable callback by pin index. More...
 
+#define 

Driver version

-#define FSL_PINT_DRIVER_VERSION   (MAKE_VERSION(2, 1, 11))
FSL_PINT_DRIVER_VERSION   (MAKE_VERSION(2, 1, 12))
 

Typedef Documentation

@@ -307,7 +360,7 @@ Driver version
- +
typedef void(* pint_cb_t)(pint_pin_int_t pintr, uint32_t pmatch_status)typedef void(* pint_cb_t)(pint_pin_int_t pintr, uint32_t pmatch_status)
@@ -315,148 +368,190 @@ Driver version

Enumeration Type Documentation

- +
- - - - - -
Enumerator
kPINT_PinIntEnableNone  +
Enumerator
kPINT_PinIntEnableNone 

Do not generate Pin Interrupt.

kPINT_PinIntEnableRiseEdge  +
kPINT_PinIntEnableRiseEdge 

Generate Pin Interrupt on rising edge.

kPINT_PinIntEnableFallEdge  +
kPINT_PinIntEnableFallEdge 

Generate Pin Interrupt on falling edge.

kPINT_PinIntEnableBothEdges  +
kPINT_PinIntEnableBothEdges 

Generate Pin Interrupt on both edges.

kPINT_PinIntEnableLowLevel  +
kPINT_PinIntEnableLowLevel 

Generate Pin Interrupt on low level.

kPINT_PinIntEnableHighLevel  +
kPINT_PinIntEnableHighLevel 

Generate Pin Interrupt on high level.

- +
- +
enum pint_pin_int_tenum _pint_int
- + + + + + + +
Enumerator
kPINT_PinInt0  +
Enumerator
kPINT_PinInt0 

Pin Interrupt 0.

kPINT_PinInt1  +

Pin Interrupt 1.

+
kPINT_PinInt2  +

Pin Interrupt 2.

+
kPINT_PinInt3  +

Pin Interrupt 3.

+
kPINT_PinInt4  +

Pin Interrupt 4.

+
kPINT_PinInt5  +

Pin Interrupt 5.

+
kPINT_PinInt6  +

Pin Interrupt 6.

+
kPINT_PinInt7  +

Pin Interrupt 7.

+
- +
- - - - - - - - - -
Enumerator
kPINT_PatternMatchInp0Src  +
Enumerator
kPINT_PatternMatchInp0Src 

Input source 0.

kPINT_PatternMatchInp1Src  +
kPINT_PatternMatchInp1Src 

Input source 1.

kPINT_PatternMatchInp2Src  +
kPINT_PatternMatchInp2Src 

Input source 2.

kPINT_PatternMatchInp3Src  +
kPINT_PatternMatchInp3Src 

Input source 3.

kPINT_PatternMatchInp4Src  +
kPINT_PatternMatchInp4Src 

Input source 4.

kPINT_PatternMatchInp5Src  +
kPINT_PatternMatchInp5Src 

Input source 5.

kPINT_PatternMatchInp6Src  +
kPINT_PatternMatchInp6Src 

Input source 6.

kPINT_PatternMatchInp7Src  +
kPINT_PatternMatchInp7Src 

Input source 7.

kPINT_SecPatternMatchInp0Src  +
kPINT_SecPatternMatchInp0Src 

Input source 0.

kPINT_SecPatternMatchInp1Src  +
kPINT_SecPatternMatchInp1Src 

Input source 1.

- +
- + + + + + + +
Enumerator
kPINT_PatternMatchBSlice0  +
Enumerator
kPINT_PatternMatchBSlice0 

Bit slice 0.

kPINT_PatternMatchBSlice1  +

Bit slice 1.

+
kPINT_PatternMatchBSlice2  +

Bit slice 2.

+
kPINT_PatternMatchBSlice3  +

Bit slice 3.

+
kPINT_PatternMatchBSlice4  +

Bit slice 4.

+
kPINT_PatternMatchBSlice5  +

Bit slice 5.

+
kPINT_PatternMatchBSlice6  +

Bit slice 6.

+
kPINT_PatternMatchBSlice7  +

Bit slice 7.

+
- +
- - - - - - - -
Enumerator
kPINT_PatternMatchAlways  +
Enumerator
kPINT_PatternMatchAlways 

Always Contributes to product term match.

kPINT_PatternMatchStickyRise  +
kPINT_PatternMatchStickyRise 

Sticky Rising edge.

kPINT_PatternMatchStickyFall  +
kPINT_PatternMatchStickyFall 

Sticky Falling edge.

kPINT_PatternMatchStickyBothEdges  +
kPINT_PatternMatchStickyBothEdges 

Sticky Rising or Falling edge.

kPINT_PatternMatchHigh  +
kPINT_PatternMatchHigh 

High level.

kPINT_PatternMatchLow  +
kPINT_PatternMatchLow 

Low level.

kPINT_PatternMatchNever  +
kPINT_PatternMatchNever 

Never contributes to product term match.

kPINT_PatternMatchBothEdges  +
kPINT_PatternMatchBothEdges 

Either rising or falling edge.

@@ -506,13 +601,13 @@ Driver version - pint_pin_int_t  + pint_pin_int_t  intr, - pint_pin_enable_t  + pint_pin_enable_t  enable, @@ -560,13 +655,13 @@ Driver version - pint_pin_int_t  + pint_pin_int_t  pintr, - pint_pin_enable_t *  + pint_pin_enable_tenable, @@ -614,7 +709,7 @@ Driver version - pint_pin_int_t  + pint_pin_int_t  pintr  @@ -657,7 +752,7 @@ Driver version - pint_pin_int_t  + pint_pin_int_t  pintr  @@ -771,7 +866,7 @@ Driver version - pint_pin_int_t  + pint_pin_int_t  pintr  @@ -819,7 +914,7 @@ Driver version - pint_pin_int_t  + pint_pin_int_t  pintr  @@ -941,7 +1036,7 @@ Driver version - pint_pin_int_t  + pint_pin_int_t  pintr  @@ -989,7 +1084,7 @@ Driver version - pint_pin_int_t  + pint_pin_int_t  pintr  @@ -1108,7 +1203,7 @@ Driver version - pint_pmatch_bslice_t  + pint_pmatch_bslice_t  bslice, @@ -1155,7 +1250,7 @@ Driver version - pint_pmatch_bslice_t  + pint_pmatch_bslice_t  bslice, @@ -1205,7 +1300,7 @@ Driver version - pint_pmatch_bslice_t  + pint_pmatch_bslice_t  bslice  @@ -1551,7 +1646,7 @@ Driver version - pint_pin_int_t  + pint_pin_int_t  pintIdx  @@ -1591,7 +1686,7 @@ Driver version - pint_pin_int_t  + pint_pin_int_t  pintIdx  diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00088.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00088.js index 0b0bd61..10dae36 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00088.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00088.js @@ -1,41 +1,60 @@ var a00088 = [ + [ "pint_pin_enable_t", "a00088.html#ga1444166a5b3130cdd3f3c5a40f102ff3", null ], + [ "pint_pin_int_t", "a00088.html#gaa230ebd4a048282d15d3ce2d9fa9deac", null ], + [ "pint_pmatch_input_src_t", "a00088.html#ga69c6555d76c0c009931e669464c9be5d", null ], + [ "pint_pmatch_bslice_t", "a00088.html#ga859582ee39bfbd70c9305dbd2d68459d", null ], + [ "pint_pmatch_bslice_cfg_t", "a00088.html#ga17c131767c557e4e95809612c27fa2b5", null ], [ "pint_cb_t", "a00088.html#ga262ac9596c0926fbe5f346e0f6aaf9f5", null ], - [ "pint_pin_enable_t", "a00088.html#ga6a17e5c52721f6eb754f54cc72b58c91", [ - [ "kPINT_PinIntEnableNone", "a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91aa48de84b39b48759086f2d7ae0c8091e", null ], - [ "kPINT_PinIntEnableRiseEdge", "a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91ae873d30aec2ca54de88c69f55ea42a07", null ], - [ "kPINT_PinIntEnableFallEdge", "a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a6f54d55ac52e8e697f83637109560e89", null ], - [ "kPINT_PinIntEnableBothEdges", "a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a58ac8e76b409274b7d02ef6e9805dcef", null ], - [ "kPINT_PinIntEnableLowLevel", "a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a3bd6a570eee5c1fddd95ef2411b35a7e", null ], - [ "kPINT_PinIntEnableHighLevel", "a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91affc9b2756b7642ddb60ea78f4bbbd592", null ] + [ "_pint_pin_enable", "a00088.html#ga2e08ec6acfb4d114d470ff86a0bef497", [ + [ "kPINT_PinIntEnableNone", "a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497aa48de84b39b48759086f2d7ae0c8091e", null ], + [ "kPINT_PinIntEnableRiseEdge", "a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497ae873d30aec2ca54de88c69f55ea42a07", null ], + [ "kPINT_PinIntEnableFallEdge", "a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497a6f54d55ac52e8e697f83637109560e89", null ], + [ "kPINT_PinIntEnableBothEdges", "a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497a58ac8e76b409274b7d02ef6e9805dcef", null ], + [ "kPINT_PinIntEnableLowLevel", "a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497a3bd6a570eee5c1fddd95ef2411b35a7e", null ], + [ "kPINT_PinIntEnableHighLevel", "a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497affc9b2756b7642ddb60ea78f4bbbd592", null ] ] ], - [ "pint_pin_int_t", "a00088.html#ga15da1f70e8d0a05e9d492d01ceca7da8", [ - [ "kPINT_PinInt0", "a00088.html#gga15da1f70e8d0a05e9d492d01ceca7da8a5df52c4fbb437e61b638d35cbc550162", null ] + [ "_pint_int", "a00088.html#gaf3fe032c283828db1b5790b3922be911", [ + [ "kPINT_PinInt0", "a00088.html#ggaf3fe032c283828db1b5790b3922be911a5df52c4fbb437e61b638d35cbc550162", null ], + [ "kPINT_PinInt1", "a00088.html#ggaf3fe032c283828db1b5790b3922be911ab62e1c2d3cdccef05e45ae9028cecbb4", null ], + [ "kPINT_PinInt2", "a00088.html#ggaf3fe032c283828db1b5790b3922be911a81913bc912b5164bb749b6a2e8823808", null ], + [ "kPINT_PinInt3", "a00088.html#ggaf3fe032c283828db1b5790b3922be911a751ce5a16f196ca04d08d2e5d65af47c", null ], + [ "kPINT_PinInt4", "a00088.html#ggaf3fe032c283828db1b5790b3922be911acf173e0dc3d44c77bb08146494a0249b", null ], + [ "kPINT_PinInt5", "a00088.html#ggaf3fe032c283828db1b5790b3922be911a45fc443b2ec03c89708ac897fc3fc902", null ], + [ "kPINT_PinInt6", "a00088.html#ggaf3fe032c283828db1b5790b3922be911adf92ec20d1fa6a12bda6c42e5304cf46", null ], + [ "kPINT_PinInt7", "a00088.html#ggaf3fe032c283828db1b5790b3922be911aae1e0da9add6e3e1dd57705a682d65fa", null ] ] ], - [ "pint_pmatch_input_src_t", "a00088.html#ga2e05f827d6a43eade4c22e9d75bc5d76", [ - [ "kPINT_PatternMatchInp0Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a51f543e6f680df9beb5841231b7b3a96", null ], - [ "kPINT_PatternMatchInp1Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6fc5c9dbee30b375feb9ce6c86b224c2", null ], - [ "kPINT_PatternMatchInp2Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a41b057b493937cd87bc212cb2e316f8a", null ], - [ "kPINT_PatternMatchInp3Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6be4432cb9e687d043a2c25c1af48869", null ], - [ "kPINT_PatternMatchInp4Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76aabc93fc28498f5577939f38e0513304c", null ], - [ "kPINT_PatternMatchInp5Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a78ff6e40ab17d81181284c56b62b77aa", null ], - [ "kPINT_PatternMatchInp6Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a9b2f5abc74e498a599f5c005a6cc911f", null ], - [ "kPINT_PatternMatchInp7Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a2c93115b816dc676460cfc1be85778f0", null ], - [ "kPINT_SecPatternMatchInp0Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a1f7f561990336a81a46f22395820907d", null ], - [ "kPINT_SecPatternMatchInp1Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a8cc05c9aec163085b7a2b4481fdc971d", null ] + [ "_pint_pmatch_input_src", "a00088.html#ga7adaf153a42d62fb9b02d6468f7279a9", [ + [ "kPINT_PatternMatchInp0Src", "a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a51f543e6f680df9beb5841231b7b3a96", null ], + [ "kPINT_PatternMatchInp1Src", "a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a6fc5c9dbee30b375feb9ce6c86b224c2", null ], + [ "kPINT_PatternMatchInp2Src", "a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a41b057b493937cd87bc212cb2e316f8a", null ], + [ "kPINT_PatternMatchInp3Src", "a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a6be4432cb9e687d043a2c25c1af48869", null ], + [ "kPINT_PatternMatchInp4Src", "a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9aabc93fc28498f5577939f38e0513304c", null ], + [ "kPINT_PatternMatchInp5Src", "a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a78ff6e40ab17d81181284c56b62b77aa", null ], + [ "kPINT_PatternMatchInp6Src", "a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a9b2f5abc74e498a599f5c005a6cc911f", null ], + [ "kPINT_PatternMatchInp7Src", "a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a2c93115b816dc676460cfc1be85778f0", null ], + [ "kPINT_SecPatternMatchInp0Src", "a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a1f7f561990336a81a46f22395820907d", null ], + [ "kPINT_SecPatternMatchInp1Src", "a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a8cc05c9aec163085b7a2b4481fdc971d", null ] ] ], - [ "pint_pmatch_bslice_t", "a00088.html#ga048bc24e58d7df40af2a45efaabeea9b", [ - [ "kPINT_PatternMatchBSlice0", "a00088.html#gga048bc24e58d7df40af2a45efaabeea9ba106986aeb5e12c2b76e070def3b37d95", null ] + [ "_pint_pmatch_bslice", "a00088.html#ga67c1b06ba8a5e6faf0af44c2bfeccc47", [ + [ "kPINT_PatternMatchBSlice0", "a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a106986aeb5e12c2b76e070def3b37d95", null ], + [ "kPINT_PatternMatchBSlice1", "a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a0d3bf0a5022325acaae66e172c984db0", null ], + [ "kPINT_PatternMatchBSlice2", "a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47af00e092237b1b299bdfdd901f207c468", null ], + [ "kPINT_PatternMatchBSlice3", "a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47ae5db81838d55c8a8839b1dd47954d865", null ], + [ "kPINT_PatternMatchBSlice4", "a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47ac0ce3f2fbc216bc3cec68c47263530c5", null ], + [ "kPINT_PatternMatchBSlice5", "a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a1ca87ca7db6ec59b675c6e70abc45da0", null ], + [ "kPINT_PatternMatchBSlice6", "a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a86a79a77b44e91f432bb8ee9070f9602", null ], + [ "kPINT_PatternMatchBSlice7", "a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a3a75ed56ef8a3429c01fc686f22b8e8a", null ] ] ], - [ "pint_pmatch_bslice_cfg_t", "a00088.html#gae1e5bfc17515fab76a1deab955203c6a", [ - [ "kPINT_PatternMatchAlways", "a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa128703d2c2175a315aaffad869ed6a32", null ], - [ "kPINT_PatternMatchStickyRise", "a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa654c70eeed38b0be2271dc51d7cc04f5", null ], - [ "kPINT_PatternMatchStickyFall", "a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa9d2a3e9717c34de3a884b695f35a6c37", null ], - [ "kPINT_PatternMatchStickyBothEdges", "a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa059c3472509304186252b655cf486d8b", null ], - [ "kPINT_PatternMatchHigh", "a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa7dc0770cf7ffe184788c30bd1ac9c515", null ], - [ "kPINT_PatternMatchLow", "a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa3b4af984526871b66489b0eb294d2df5", null ], - [ "kPINT_PatternMatchNever", "a00088.html#ggae1e5bfc17515fab76a1deab955203c6aab5630ebfbc6e52c9b04f659918c094f2", null ], - [ "kPINT_PatternMatchBothEdges", "a00088.html#ggae1e5bfc17515fab76a1deab955203c6aaac967156901735a43316f7f1c4720328", null ] + [ "_pint_pmatch_bslice_cfg", "a00088.html#ga395ddf0144533abe3773a44dfc6a8a28", [ + [ "kPINT_PatternMatchAlways", "a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a128703d2c2175a315aaffad869ed6a32", null ], + [ "kPINT_PatternMatchStickyRise", "a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a654c70eeed38b0be2271dc51d7cc04f5", null ], + [ "kPINT_PatternMatchStickyFall", "a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a9d2a3e9717c34de3a884b695f35a6c37", null ], + [ "kPINT_PatternMatchStickyBothEdges", "a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a059c3472509304186252b655cf486d8b", null ], + [ "kPINT_PatternMatchHigh", "a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a7dc0770cf7ffe184788c30bd1ac9c515", null ], + [ "kPINT_PatternMatchLow", "a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a3b4af984526871b66489b0eb294d2df5", null ], + [ "kPINT_PatternMatchNever", "a00088.html#gga395ddf0144533abe3773a44dfc6a8a28ab5630ebfbc6e52c9b04f659918c094f2", null ], + [ "kPINT_PatternMatchBothEdges", "a00088.html#gga395ddf0144533abe3773a44dfc6a8a28aac967156901735a43316f7f1c4720328", null ] ] ], [ "PINT_Init", "a00088.html#gaf043d142dac622bedd50b736b52ac6e9", null ], [ "PINT_PinInterruptConfig", "a00088.html#ga363edbba9536380728e44bd7d1a0e7df", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00089.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00089.html index 436429f..dd13d50 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00089.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00089.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
@@ -99,6 +99,7 @@ $(document).ready(function(){initNavTree('a00089.html','');});
PLU: Programmable Logic Unit
@@ -131,238 +132,260 @@ Typical use case PLU combination example

Create a simple combinatorial logic network to control the LED. Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/plu/combination

+ + + + + + + + + + + + + + + + +

+Typedefs

+typedef enum _plu_lut_index plu_lut_index_t
 Index of LUT.
 
typedef enum _plu_lut_in_index plu_lut_in_index_t
 Inputs of LUT. More...
 
+typedef enum _plu_lut_input_source plu_lut_input_source_t
 Available sources of LUT input.
 
+typedef enum _plu_output_index plu_output_index_t
 PLU output multiplexer registers.
 
+typedef enum _plu_output_source plu_output_source_t
 Available sources of PLU output.
 
- - - - + + - - - + + - - - + + - - - + + - - + +

Enumerations

enum  plu_lut_index_t {
-  kPLU_LUT_0 = 0U, +
enum  _plu_lut_index {
+  kPLU_LUT_0 = 0U,
-  kPLU_LUT_1 = 1U, +  kPLU_LUT_1 = 1U,
-  kPLU_LUT_2 = 2U, +  kPLU_LUT_2 = 2U,
-  kPLU_LUT_3 = 3U, +  kPLU_LUT_3 = 3U,
-  kPLU_LUT_4 = 4U, +  kPLU_LUT_4 = 4U,
-  kPLU_LUT_5 = 5U, +  kPLU_LUT_5 = 5U,
-  kPLU_LUT_6 = 6U, +  kPLU_LUT_6 = 6U,
-  kPLU_LUT_7 = 7U, +  kPLU_LUT_7 = 7U,
-  kPLU_LUT_8 = 8U, +  kPLU_LUT_8 = 8U,
-  kPLU_LUT_9 = 9U, +  kPLU_LUT_9 = 9U,
-  kPLU_LUT_10 = 10U, +  kPLU_LUT_10 = 10U,
-  kPLU_LUT_11 = 11U, +  kPLU_LUT_11 = 11U,
-  kPLU_LUT_12 = 12U, +  kPLU_LUT_12 = 12U,
-  kPLU_LUT_13 = 13U, +  kPLU_LUT_13 = 13U,
-  kPLU_LUT_14 = 14U, +  kPLU_LUT_14 = 14U,
-  kPLU_LUT_15 = 15U, +  kPLU_LUT_15 = 15U,
-  kPLU_LUT_16 = 16U, +  kPLU_LUT_16 = 16U,
-  kPLU_LUT_17 = 17U, +  kPLU_LUT_17 = 17U,
-  kPLU_LUT_18 = 18U, +  kPLU_LUT_18 = 18U,
-  kPLU_LUT_19 = 19U, +  kPLU_LUT_19 = 19U,
-  kPLU_LUT_20 = 20U, +  kPLU_LUT_20 = 20U,
-  kPLU_LUT_21 = 21U, +  kPLU_LUT_21 = 21U,
-  kPLU_LUT_22 = 22U, +  kPLU_LUT_22 = 22U,
-  kPLU_LUT_23 = 23U, +  kPLU_LUT_23 = 23U,
-  kPLU_LUT_24 = 24U, +  kPLU_LUT_24 = 24U,
-  kPLU_LUT_25 = 25U +  kPLU_LUT_25 = 25U
}
 Index of LUT. More...
 
enum  plu_lut_in_index_t {
-  kPLU_LUT_IN_0 = 0U, +
 Index of LUT. More...
 
enum  _plu_lut_in_index {
+  kPLU_LUT_IN_0 = 0U,
-  kPLU_LUT_IN_1 = 1U, +  kPLU_LUT_IN_1 = 1U,
-  kPLU_LUT_IN_2 = 2U, +  kPLU_LUT_IN_2 = 2U,
-  kPLU_LUT_IN_3 = 3U, +  kPLU_LUT_IN_3 = 3U,
-  kPLU_LUT_IN_4 = 4U +  kPLU_LUT_IN_4 = 4U
}
 Inputs of LUT. More...
 
enum  plu_lut_input_source_t {
-  kPLU_LUT_IN_SRC_PLU_IN_0 = 0U, +
 Inputs of LUT. More...
 
enum  _plu_lut_input_source {
+  kPLU_LUT_IN_SRC_PLU_IN_0 = 0U,
-  kPLU_LUT_IN_SRC_PLU_IN_1 = 1U, +  kPLU_LUT_IN_SRC_PLU_IN_1 = 1U,
-  kPLU_LUT_IN_SRC_PLU_IN_2 = 2U, +  kPLU_LUT_IN_SRC_PLU_IN_2 = 2U,
-  kPLU_LUT_IN_SRC_PLU_IN_3 = 3U, +  kPLU_LUT_IN_SRC_PLU_IN_3 = 3U,
-  kPLU_LUT_IN_SRC_PLU_IN_4 = 4U, +  kPLU_LUT_IN_SRC_PLU_IN_4 = 4U,
-  kPLU_LUT_IN_SRC_PLU_IN_5 = 5U, +  kPLU_LUT_IN_SRC_PLU_IN_5 = 5U,
-  kPLU_LUT_IN_SRC_LUT_OUT_0 = 6U, +  kPLU_LUT_IN_SRC_LUT_OUT_0 = 6U,
-  kPLU_LUT_IN_SRC_LUT_OUT_1 = 7U, +  kPLU_LUT_IN_SRC_LUT_OUT_1 = 7U,
-  kPLU_LUT_IN_SRC_LUT_OUT_2 = 8U, +  kPLU_LUT_IN_SRC_LUT_OUT_2 = 8U,
-  kPLU_LUT_IN_SRC_LUT_OUT_3 = 9U, +  kPLU_LUT_IN_SRC_LUT_OUT_3 = 9U,
-  kPLU_LUT_IN_SRC_LUT_OUT_4 = 10U, +  kPLU_LUT_IN_SRC_LUT_OUT_4 = 10U,
-  kPLU_LUT_IN_SRC_LUT_OUT_5 = 11U, +  kPLU_LUT_IN_SRC_LUT_OUT_5 = 11U,
-  kPLU_LUT_IN_SRC_LUT_OUT_6 = 12U, +  kPLU_LUT_IN_SRC_LUT_OUT_6 = 12U,
-  kPLU_LUT_IN_SRC_LUT_OUT_7 = 13U, +  kPLU_LUT_IN_SRC_LUT_OUT_7 = 13U,
-  kPLU_LUT_IN_SRC_LUT_OUT_8 = 14U, +  kPLU_LUT_IN_SRC_LUT_OUT_8 = 14U,
-  kPLU_LUT_IN_SRC_LUT_OUT_9 = 15U, +  kPLU_LUT_IN_SRC_LUT_OUT_9 = 15U,
-  kPLU_LUT_IN_SRC_LUT_OUT_10 = 16U, +  kPLU_LUT_IN_SRC_LUT_OUT_10 = 16U,
-  kPLU_LUT_IN_SRC_LUT_OUT_11 = 17U, +  kPLU_LUT_IN_SRC_LUT_OUT_11 = 17U,
-  kPLU_LUT_IN_SRC_LUT_OUT_12 = 18U, +  kPLU_LUT_IN_SRC_LUT_OUT_12 = 18U,
-  kPLU_LUT_IN_SRC_LUT_OUT_13 = 19U, +  kPLU_LUT_IN_SRC_LUT_OUT_13 = 19U,
-  kPLU_LUT_IN_SRC_LUT_OUT_14 = 20U, +  kPLU_LUT_IN_SRC_LUT_OUT_14 = 20U,
-  kPLU_LUT_IN_SRC_LUT_OUT_15 = 21U, +  kPLU_LUT_IN_SRC_LUT_OUT_15 = 21U,
-  kPLU_LUT_IN_SRC_LUT_OUT_16 = 22U, +  kPLU_LUT_IN_SRC_LUT_OUT_16 = 22U,
-  kPLU_LUT_IN_SRC_LUT_OUT_17 = 23U, +  kPLU_LUT_IN_SRC_LUT_OUT_17 = 23U,
-  kPLU_LUT_IN_SRC_LUT_OUT_18 = 24U, +  kPLU_LUT_IN_SRC_LUT_OUT_18 = 24U,
-  kPLU_LUT_IN_SRC_LUT_OUT_19 = 25U, +  kPLU_LUT_IN_SRC_LUT_OUT_19 = 25U,
-  kPLU_LUT_IN_SRC_LUT_OUT_20 = 26U, +  kPLU_LUT_IN_SRC_LUT_OUT_20 = 26U,
-  kPLU_LUT_IN_SRC_LUT_OUT_21 = 27U, +  kPLU_LUT_IN_SRC_LUT_OUT_21 = 27U,
-  kPLU_LUT_IN_SRC_LUT_OUT_22 = 28U, +  kPLU_LUT_IN_SRC_LUT_OUT_22 = 28U,
-  kPLU_LUT_IN_SRC_LUT_OUT_23 = 29U, +  kPLU_LUT_IN_SRC_LUT_OUT_23 = 29U,
-  kPLU_LUT_IN_SRC_LUT_OUT_24 = 30U, +  kPLU_LUT_IN_SRC_LUT_OUT_24 = 30U,
-  kPLU_LUT_IN_SRC_LUT_OUT_25 = 31U, +  kPLU_LUT_IN_SRC_LUT_OUT_25 = 31U,
-  kPLU_LUT_IN_SRC_FLIPFLOP_0 = 32U, +  kPLU_LUT_IN_SRC_FLIPFLOP_0 = 32U,
-  kPLU_LUT_IN_SRC_FLIPFLOP_1 = 33U, +  kPLU_LUT_IN_SRC_FLIPFLOP_1 = 33U,
-  kPLU_LUT_IN_SRC_FLIPFLOP_2 = 34U, +  kPLU_LUT_IN_SRC_FLIPFLOP_2 = 34U,
-  kPLU_LUT_IN_SRC_FLIPFLOP_3 = 35U +  kPLU_LUT_IN_SRC_FLIPFLOP_3 = 35U
}
 Available sources of LUT input. More...
 
enum  plu_output_index_t {
-  kPLU_OUTPUT_0 = 0U, +
 Available sources of LUT input. More...
 
enum  _plu_output_index {
+  kPLU_OUTPUT_0 = 0U,
-  kPLU_OUTPUT_1 = 1U, +  kPLU_OUTPUT_1 = 1U,
-  kPLU_OUTPUT_2 = 2U, +  kPLU_OUTPUT_2 = 2U,
-  kPLU_OUTPUT_3 = 3U, +  kPLU_OUTPUT_3 = 3U,
-  kPLU_OUTPUT_4 = 4U, +  kPLU_OUTPUT_4 = 4U,
-  kPLU_OUTPUT_5 = 5U, +  kPLU_OUTPUT_5 = 5U,
-  kPLU_OUTPUT_6 = 6U, +  kPLU_OUTPUT_6 = 6U,
-  kPLU_OUTPUT_7 = 7U +  kPLU_OUTPUT_7 = 7U
}
 PLU output multiplexer registers. More...
 
enum  plu_output_source_t {
-  kPLU_OUT_SRC_LUT_0 = 0U, +
 PLU output multiplexer registers. More...
 
enum  _plu_output_source {
+  kPLU_OUT_SRC_LUT_0 = 0U,
-  kPLU_OUT_SRC_LUT_1 = 1U, +  kPLU_OUT_SRC_LUT_1 = 1U,
-  kPLU_OUT_SRC_LUT_2 = 2U, +  kPLU_OUT_SRC_LUT_2 = 2U,
-  kPLU_OUT_SRC_LUT_3 = 3U, +  kPLU_OUT_SRC_LUT_3 = 3U,
-  kPLU_OUT_SRC_LUT_4 = 4U, +  kPLU_OUT_SRC_LUT_4 = 4U,
-  kPLU_OUT_SRC_LUT_5 = 5U, +  kPLU_OUT_SRC_LUT_5 = 5U,
-  kPLU_OUT_SRC_LUT_6 = 6U, +  kPLU_OUT_SRC_LUT_6 = 6U,
-  kPLU_OUT_SRC_LUT_7 = 7U, +  kPLU_OUT_SRC_LUT_7 = 7U,
-  kPLU_OUT_SRC_LUT_8 = 8U, +  kPLU_OUT_SRC_LUT_8 = 8U,
-  kPLU_OUT_SRC_LUT_9 = 9U, +  kPLU_OUT_SRC_LUT_9 = 9U,
-  kPLU_OUT_SRC_LUT_10 = 10U, +  kPLU_OUT_SRC_LUT_10 = 10U,
-  kPLU_OUT_SRC_LUT_11 = 11U, +  kPLU_OUT_SRC_LUT_11 = 11U,
-  kPLU_OUT_SRC_LUT_12 = 12U, +  kPLU_OUT_SRC_LUT_12 = 12U,
-  kPLU_OUT_SRC_LUT_13 = 13U, +  kPLU_OUT_SRC_LUT_13 = 13U,
-  kPLU_OUT_SRC_LUT_14 = 14U, +  kPLU_OUT_SRC_LUT_14 = 14U,
-  kPLU_OUT_SRC_LUT_15 = 15U, +  kPLU_OUT_SRC_LUT_15 = 15U,
-  kPLU_OUT_SRC_LUT_16 = 16U, +  kPLU_OUT_SRC_LUT_16 = 16U,
-  kPLU_OUT_SRC_LUT_17 = 17U, +  kPLU_OUT_SRC_LUT_17 = 17U,
-  kPLU_OUT_SRC_LUT_18 = 18U, +  kPLU_OUT_SRC_LUT_18 = 18U,
-  kPLU_OUT_SRC_LUT_19 = 19U, +  kPLU_OUT_SRC_LUT_19 = 19U,
-  kPLU_OUT_SRC_LUT_20 = 20U, +  kPLU_OUT_SRC_LUT_20 = 20U,
-  kPLU_OUT_SRC_LUT_21 = 21U, +  kPLU_OUT_SRC_LUT_21 = 21U,
-  kPLU_OUT_SRC_LUT_22 = 22U, +  kPLU_OUT_SRC_LUT_22 = 22U,
-  kPLU_OUT_SRC_LUT_23 = 23U, +  kPLU_OUT_SRC_LUT_23 = 23U,
-  kPLU_OUT_SRC_LUT_24 = 24U, +  kPLU_OUT_SRC_LUT_24 = 24U,
-  kPLU_OUT_SRC_LUT_25 = 25U, +  kPLU_OUT_SRC_LUT_25 = 25U,
-  kPLU_OUT_SRC_FLIPFLOP_0 = 26U, +  kPLU_OUT_SRC_FLIPFLOP_0 = 26U,
-  kPLU_OUT_SRC_FLIPFLOP_1 = 27U, +  kPLU_OUT_SRC_FLIPFLOP_1 = 27U,
-  kPLU_OUT_SRC_FLIPFLOP_2 = 28U, +  kPLU_OUT_SRC_FLIPFLOP_2 = 28U,
-  kPLU_OUT_SRC_FLIPFLOP_3 = 29U +  kPLU_OUT_SRC_FLIPFLOP_3 = 29U
}
 Available sources of PLU output. More...
 
 Available sources of PLU output. More...
 
@@ -382,13 +405,13 @@ Initialization and deinitialization

Driver version

- + - + - +

Set input/output source and Truth Table

static void PLU_SetLutInputSource (PLU_Type *base, plu_lut_index_t lutIndex, plu_lut_in_index_t lutInIndex, plu_lut_input_source_t inputSrc)
static void PLU_SetLutInputSource (PLU_Type *base, plu_lut_index_t lutIndex, plu_lut_in_index_t lutInIndex, plu_lut_input_source_t inputSrc)
 Set Input source of LUT. More...
 
static void PLU_SetOutputSource (PLU_Type *base, plu_output_index_t outputIndex, plu_output_source_t outputSrc)
static void PLU_SetOutputSource (PLU_Type *base, plu_output_index_t outputIndex, plu_output_source_t outputSrc)
 Set Output source of PLU. More...
 
static void PLU_SetLutTruthTable (PLU_Type *base, plu_lut_index_t lutIndex, uint32_t truthTable)
static void PLU_SetLutTruthTable (PLU_Type *base, plu_lut_index_t lutIndex, uint32_t truthTable)
 Set Truth Table of LUT. More...
 
@@ -398,387 +421,401 @@ Read current Output State
 Read the current state of the 8 designated PLU Outputs. More...
 
-

Enumeration Type Documentation

- +

Typedef Documentation

+
+

5 input present for each LUT.

+ +
+
+

Enumeration Type Documentation

+ +
+
+ + +
enum _plu_lut_index
- - - - - - - - - - - - - - - - - - - - - - - - - -
Enumerator
kPLU_LUT_0  +
Enumerator
kPLU_LUT_0 

5-input Look-up Table 0

kPLU_LUT_1  +
kPLU_LUT_1 

5-input Look-up Table 1

kPLU_LUT_2  +
kPLU_LUT_2 

5-input Look-up Table 2

kPLU_LUT_3  +
kPLU_LUT_3 

5-input Look-up Table 3

kPLU_LUT_4  +
kPLU_LUT_4 

5-input Look-up Table 4

kPLU_LUT_5  +
kPLU_LUT_5 

5-input Look-up Table 5

kPLU_LUT_6  +
kPLU_LUT_6 

5-input Look-up Table 6

kPLU_LUT_7  +
kPLU_LUT_7 

5-input Look-up Table 7

kPLU_LUT_8  +
kPLU_LUT_8 

5-input Look-up Table 8

kPLU_LUT_9  +
kPLU_LUT_9 

5-input Look-up Table 9

kPLU_LUT_10  +
kPLU_LUT_10 

5-input Look-up Table 10

kPLU_LUT_11  +
kPLU_LUT_11 

5-input Look-up Table 11

kPLU_LUT_12  +
kPLU_LUT_12 

5-input Look-up Table 12

kPLU_LUT_13  +
kPLU_LUT_13 

5-input Look-up Table 13

kPLU_LUT_14  +
kPLU_LUT_14 

5-input Look-up Table 14

kPLU_LUT_15  +
kPLU_LUT_15 

5-input Look-up Table 15

kPLU_LUT_16  +
kPLU_LUT_16 

5-input Look-up Table 16

kPLU_LUT_17  +
kPLU_LUT_17 

5-input Look-up Table 17

kPLU_LUT_18  +
kPLU_LUT_18 

5-input Look-up Table 18

kPLU_LUT_19  +
kPLU_LUT_19 

5-input Look-up Table 19

kPLU_LUT_20  +
kPLU_LUT_20 

5-input Look-up Table 20

kPLU_LUT_21  +
kPLU_LUT_21 

5-input Look-up Table 21

kPLU_LUT_22  +
kPLU_LUT_22 

5-input Look-up Table 22

kPLU_LUT_23  +
kPLU_LUT_23 

5-input Look-up Table 23

kPLU_LUT_24  +
kPLU_LUT_24 

5-input Look-up Table 24

kPLU_LUT_25  +
kPLU_LUT_25 

5-input Look-up Table 25

- +

5 input present for each LUT.

- - - - -
Enumerator
kPLU_LUT_IN_0  +
Enumerator
kPLU_LUT_IN_0 

LUT input 0.

kPLU_LUT_IN_1  +
kPLU_LUT_IN_1 

LUT input 1.

kPLU_LUT_IN_2  +
kPLU_LUT_IN_2 

LUT input 2.

kPLU_LUT_IN_3  +
kPLU_LUT_IN_3 

LUT input 3.

kPLU_LUT_IN_4  +
kPLU_LUT_IN_4 

LUT input 4.

- +
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Enumerator
kPLU_LUT_IN_SRC_PLU_IN_0  +
Enumerator
kPLU_LUT_IN_SRC_PLU_IN_0 

Select PLU input 0 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_PLU_IN_1  +
kPLU_LUT_IN_SRC_PLU_IN_1 

Select PLU input 1 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_PLU_IN_2  +
kPLU_LUT_IN_SRC_PLU_IN_2 

Select PLU input 2 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_PLU_IN_3  +
kPLU_LUT_IN_SRC_PLU_IN_3 

Select PLU input 3 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_PLU_IN_4  +
kPLU_LUT_IN_SRC_PLU_IN_4 

Select PLU input 4 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_PLU_IN_5  +
kPLU_LUT_IN_SRC_PLU_IN_5 

Select PLU input 5 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_0  +
kPLU_LUT_IN_SRC_LUT_OUT_0 

Select LUT output 0 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_1  +
kPLU_LUT_IN_SRC_LUT_OUT_1 

Select LUT output 1 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_2  +
kPLU_LUT_IN_SRC_LUT_OUT_2 

Select LUT output 2 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_3  +
kPLU_LUT_IN_SRC_LUT_OUT_3 

Select LUT output 3 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_4  +
kPLU_LUT_IN_SRC_LUT_OUT_4 

Select LUT output 4 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_5  +
kPLU_LUT_IN_SRC_LUT_OUT_5 

Select LUT output 5 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_6  +
kPLU_LUT_IN_SRC_LUT_OUT_6 

Select LUT output 6 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_7  +
kPLU_LUT_IN_SRC_LUT_OUT_7 

Select LUT output 7 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_8  +
kPLU_LUT_IN_SRC_LUT_OUT_8 

Select LUT output 8 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_9  +
kPLU_LUT_IN_SRC_LUT_OUT_9 

Select LUT output 9 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_10  +
kPLU_LUT_IN_SRC_LUT_OUT_10 

Select LUT output 10 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_11  +
kPLU_LUT_IN_SRC_LUT_OUT_11 

Select LUT output 11 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_12  +
kPLU_LUT_IN_SRC_LUT_OUT_12 

Select LUT output 12 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_13  +
kPLU_LUT_IN_SRC_LUT_OUT_13 

Select LUT output 13 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_14  +
kPLU_LUT_IN_SRC_LUT_OUT_14 

Select LUT output 14 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_15  +
kPLU_LUT_IN_SRC_LUT_OUT_15 

Select LUT output 15 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_16  +
kPLU_LUT_IN_SRC_LUT_OUT_16 

Select LUT output 16 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_17  +
kPLU_LUT_IN_SRC_LUT_OUT_17 

Select LUT output 17 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_18  +
kPLU_LUT_IN_SRC_LUT_OUT_18 

Select LUT output 18 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_19  +
kPLU_LUT_IN_SRC_LUT_OUT_19 

Select LUT output 19 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_20  +
kPLU_LUT_IN_SRC_LUT_OUT_20 

Select LUT output 20 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_21  +
kPLU_LUT_IN_SRC_LUT_OUT_21 

Select LUT output 21 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_22  +
kPLU_LUT_IN_SRC_LUT_OUT_22 

Select LUT output 22 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_23  +
kPLU_LUT_IN_SRC_LUT_OUT_23 

Select LUT output 23 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_24  +
kPLU_LUT_IN_SRC_LUT_OUT_24 

Select LUT output 24 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_LUT_OUT_25  +
kPLU_LUT_IN_SRC_LUT_OUT_25 

Select LUT output 25 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_FLIPFLOP_0  +
kPLU_LUT_IN_SRC_FLIPFLOP_0 

Select Flip-Flops state 0 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_FLIPFLOP_1  +
kPLU_LUT_IN_SRC_FLIPFLOP_1 

Select Flip-Flops state 1 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_FLIPFLOP_2  +
kPLU_LUT_IN_SRC_FLIPFLOP_2 

Select Flip-Flops state 2 to be connected to LUTn Input x.

kPLU_LUT_IN_SRC_FLIPFLOP_3  +
kPLU_LUT_IN_SRC_FLIPFLOP_3 

Select Flip-Flops state 3 to be connected to LUTn Input x.

- +
- - - - - - - -
Enumerator
kPLU_OUTPUT_0  +
Enumerator
kPLU_OUTPUT_0 

PLU OUTPUT 0.

kPLU_OUTPUT_1  +
kPLU_OUTPUT_1 

PLU OUTPUT 1.

kPLU_OUTPUT_2  +
kPLU_OUTPUT_2 

PLU OUTPUT 2.

kPLU_OUTPUT_3  +
kPLU_OUTPUT_3 

PLU OUTPUT 3.

kPLU_OUTPUT_4  +
kPLU_OUTPUT_4 

PLU OUTPUT 4.

kPLU_OUTPUT_5  +
kPLU_OUTPUT_5 

PLU OUTPUT 5.

kPLU_OUTPUT_6  +
kPLU_OUTPUT_6 

PLU OUTPUT 6.

kPLU_OUTPUT_7  +
kPLU_OUTPUT_7 

PLU OUTPUT 7.

- +
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Enumerator
kPLU_OUT_SRC_LUT_0  +
Enumerator
kPLU_OUT_SRC_LUT_0 

Select LUT0 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_1  +
kPLU_OUT_SRC_LUT_1 

Select LUT1 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_2  +
kPLU_OUT_SRC_LUT_2 

Select LUT2 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_3  +
kPLU_OUT_SRC_LUT_3 

Select LUT3 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_4  +
kPLU_OUT_SRC_LUT_4 

Select LUT4 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_5  +
kPLU_OUT_SRC_LUT_5 

Select LUT5 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_6  +
kPLU_OUT_SRC_LUT_6 

Select LUT6 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_7  +
kPLU_OUT_SRC_LUT_7 

Select LUT7 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_8  +
kPLU_OUT_SRC_LUT_8 

Select LUT8 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_9  +
kPLU_OUT_SRC_LUT_9 

Select LUT9 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_10  +
kPLU_OUT_SRC_LUT_10 

Select LUT10 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_11  +
kPLU_OUT_SRC_LUT_11 

Select LUT11 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_12  +
kPLU_OUT_SRC_LUT_12 

Select LUT12 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_13  +
kPLU_OUT_SRC_LUT_13 

Select LUT13 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_14  +
kPLU_OUT_SRC_LUT_14 

Select LUT14 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_15  +
kPLU_OUT_SRC_LUT_15 

Select LUT15 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_16  +
kPLU_OUT_SRC_LUT_16 

Select LUT16 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_17  +
kPLU_OUT_SRC_LUT_17 

Select LUT17 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_18  +
kPLU_OUT_SRC_LUT_18 

Select LUT18 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_19  +
kPLU_OUT_SRC_LUT_19 

Select LUT19 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_20  +
kPLU_OUT_SRC_LUT_20 

Select LUT20 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_21  +
kPLU_OUT_SRC_LUT_21 

Select LUT21 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_22  +
kPLU_OUT_SRC_LUT_22 

Select LUT22 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_23  +
kPLU_OUT_SRC_LUT_23 

Select LUT23 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_24  +
kPLU_OUT_SRC_LUT_24 

Select LUT24 output to be connected to PLU output.

kPLU_OUT_SRC_LUT_25  +
kPLU_OUT_SRC_LUT_25 

Select LUT25 output to be connected to PLU output.

kPLU_OUT_SRC_FLIPFLOP_0  +
kPLU_OUT_SRC_FLIPFLOP_0 

Select Flip-Flops state(0) to be connected to PLU output.

kPLU_OUT_SRC_FLIPFLOP_1  +
kPLU_OUT_SRC_FLIPFLOP_1 

Select Flip-Flops state(1) to be connected to PLU output.

kPLU_OUT_SRC_FLIPFLOP_2  +
kPLU_OUT_SRC_FLIPFLOP_2 

Select Flip-Flops state(2) to be connected to PLU output.

kPLU_OUT_SRC_FLIPFLOP_3  +
kPLU_OUT_SRC_FLIPFLOP_3 

Select Flip-Flops state(3) to be connected to PLU output.

@@ -847,19 +884,19 @@ Read current Output State - plu_lut_index_t  + plu_lut_index_t  lutIndex, - plu_lut_in_index_t  + plu_lut_in_index_t  lutInIndex, - plu_lut_input_source_t  + plu_lut_input_source_t  inputSrc  @@ -878,9 +915,9 @@ Read current Output State
Parameters
- - - + + +
basePLU peripheral base address.
lutIndexLUT index (see plu_lut_index_t typedef enumeration).
lutInIndexLUT input index (see plu_lut_in_index_t typedef enumeration).
inputSrcLUT input source (see plu_lut_input_source_t typedef enumeration).
lutIndexLUT index (see plu_lut_index_t typedef enumeration).
lutInIndexLUT input index (see plu_lut_in_index_t typedef enumeration).
inputSrcLUT input source (see plu_lut_input_source_t typedef enumeration).
@@ -903,13 +940,13 @@ Read current Output State - plu_output_index_t  + plu_output_index_t  outputIndex, - plu_output_source_t  + plu_output_source_t  outputSrc  @@ -928,8 +965,8 @@ Read current Output State
Parameters
- - + +
basePLU peripheral base address.
outputIndexPLU output index (see plu_output_index_t typedef enumeration).
outputSrcPLU output source (see plu_output_source_t typedef enumeration).
outputIndexPLU output index (see plu_output_index_t typedef enumeration).
outputSrcPLU output source (see plu_output_source_t typedef enumeration).
@@ -952,7 +989,7 @@ Read current Output State - plu_lut_index_t  + plu_lut_index_t  lutIndex, @@ -976,7 +1013,7 @@ Read current Output State
Parameters
- +
basePLU peripheral base address.
lutIndexLUT index (see plu_lut_index_t typedef enumeration).
lutIndexLUT index (see plu_lut_index_t typedef enumeration).
truthTableTruth Table value.
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00089.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00089.js index 66eceb3..ed17e6e 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00089.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00089.js @@ -1,120 +1,125 @@ var a00089 = [ [ "FSL_PLU_DRIVER_VERSION", "a00089.html#ga399c1d55619ddf6310e44d679b3afaf0", null ], - [ "plu_lut_index_t", "a00089.html#gafe6cff20e6fc0c79d076a7b878f875a1", [ - [ "kPLU_LUT_0", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab2e2c007b30459eb00fedba56e37da25", null ], - [ "kPLU_LUT_1", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0784ad660fcb92a3859ed2c0efa77819", null ], - [ "kPLU_LUT_2", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5e95a4db197106de75dfccf6e5504364", null ], - [ "kPLU_LUT_3", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7f11a678cf930523e06f52b367f2eca5", null ], - [ "kPLU_LUT_4", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab8a42508ab4be0320796b2eba3b57a7d", null ], - [ "kPLU_LUT_5", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a60ff9ca06daaf5b4f945b6e2e89f4b36", null ], - [ "kPLU_LUT_6", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ae68d03749187a90877d1742a3d61e4a1", null ], - [ "kPLU_LUT_7", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a98359b577ce66e191e23d776d0d0628f", null ], - [ "kPLU_LUT_8", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa5bff988a77fc711fd0c7b84c1fb105c", null ], - [ "kPLU_LUT_9", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a518c8602828f0e104a12623958f587fc", null ], - [ "kPLU_LUT_10", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a9beff09b11808602b2120b42d9ab724e", null ], - [ "kPLU_LUT_11", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a63e2b4a4b53cde3b148d52f26d92e1d3", null ], - [ "kPLU_LUT_12", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a15bd1d988c6677a6a41edc0846851338", null ], - [ "kPLU_LUT_13", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a30bd16853ab1723b58f1747def9e4252", null ], - [ "kPLU_LUT_14", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7ccad915ba0e8df2a152409690994f16", null ], - [ "kPLU_LUT_15", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1af09d4068b7ef636c55a7ab0feb950a36", null ], - [ "kPLU_LUT_16", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1abcda2f4fbf266f73a80298ae2d090e47", null ], - [ "kPLU_LUT_17", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a6529478ac43b74b509ff0c2cd437e495", null ], - [ "kPLU_LUT_18", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7a4daf25836c5010a6b9ccc29f159091", null ], - [ "kPLU_LUT_19", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ada22615f50454e9ebd246a18a985f016", null ], - [ "kPLU_LUT_20", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0646ea5aa4c85d9c19a43f8211d0ffeb", null ], - [ "kPLU_LUT_21", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a31c3637504bb180309ccf71210ff6fd7", null ], - [ "kPLU_LUT_22", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5f6d7c46d68bd39e999c53ffabfa8372", null ], - [ "kPLU_LUT_23", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a55b6304360a86b29fb90a42da5aaf493", null ], - [ "kPLU_LUT_24", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa6e7a35876133d26d47ce9f76b72d520", null ], - [ "kPLU_LUT_25", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1afff7e144292e1eb0a47774207f42a102", null ] + [ "plu_lut_index_t", "a00089.html#gad3a004561355b02dc5e6a9ec1c040c92", null ], + [ "plu_lut_in_index_t", "a00089.html#gab0cc888efc0344da5974c118746a7fb8", null ], + [ "plu_lut_input_source_t", "a00089.html#gab860a724cb4676ddc81bde871a35b103", null ], + [ "plu_output_index_t", "a00089.html#ga8663a2681037005a1d56cd859c442553", null ], + [ "plu_output_source_t", "a00089.html#ga16eadf55ac766f6510cbb9adc02fe504", null ], + [ "_plu_lut_index", "a00089.html#ga53709dc3cc8b1891ebc99b4b606d49d6", [ + [ "kPLU_LUT_0", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6ab2e2c007b30459eb00fedba56e37da25", null ], + [ "kPLU_LUT_1", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a0784ad660fcb92a3859ed2c0efa77819", null ], + [ "kPLU_LUT_2", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a5e95a4db197106de75dfccf6e5504364", null ], + [ "kPLU_LUT_3", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a7f11a678cf930523e06f52b367f2eca5", null ], + [ "kPLU_LUT_4", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6ab8a42508ab4be0320796b2eba3b57a7d", null ], + [ "kPLU_LUT_5", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a60ff9ca06daaf5b4f945b6e2e89f4b36", null ], + [ "kPLU_LUT_6", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6ae68d03749187a90877d1742a3d61e4a1", null ], + [ "kPLU_LUT_7", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a98359b577ce66e191e23d776d0d0628f", null ], + [ "kPLU_LUT_8", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6aa5bff988a77fc711fd0c7b84c1fb105c", null ], + [ "kPLU_LUT_9", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a518c8602828f0e104a12623958f587fc", null ], + [ "kPLU_LUT_10", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a9beff09b11808602b2120b42d9ab724e", null ], + [ "kPLU_LUT_11", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a63e2b4a4b53cde3b148d52f26d92e1d3", null ], + [ "kPLU_LUT_12", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a15bd1d988c6677a6a41edc0846851338", null ], + [ "kPLU_LUT_13", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a30bd16853ab1723b58f1747def9e4252", null ], + [ "kPLU_LUT_14", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a7ccad915ba0e8df2a152409690994f16", null ], + [ "kPLU_LUT_15", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6af09d4068b7ef636c55a7ab0feb950a36", null ], + [ "kPLU_LUT_16", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6abcda2f4fbf266f73a80298ae2d090e47", null ], + [ "kPLU_LUT_17", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a6529478ac43b74b509ff0c2cd437e495", null ], + [ "kPLU_LUT_18", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a7a4daf25836c5010a6b9ccc29f159091", null ], + [ "kPLU_LUT_19", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6ada22615f50454e9ebd246a18a985f016", null ], + [ "kPLU_LUT_20", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a0646ea5aa4c85d9c19a43f8211d0ffeb", null ], + [ "kPLU_LUT_21", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a31c3637504bb180309ccf71210ff6fd7", null ], + [ "kPLU_LUT_22", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a5f6d7c46d68bd39e999c53ffabfa8372", null ], + [ "kPLU_LUT_23", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a55b6304360a86b29fb90a42da5aaf493", null ], + [ "kPLU_LUT_24", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6aa6e7a35876133d26d47ce9f76b72d520", null ], + [ "kPLU_LUT_25", "a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6afff7e144292e1eb0a47774207f42a102", null ] ] ], - [ "plu_lut_in_index_t", "a00089.html#gab4037d3c440abe260269986fbfd2087f", [ - [ "kPLU_LUT_IN_0", "a00089.html#ggab4037d3c440abe260269986fbfd2087fa648d32891b76f5ea3e6e5cf8a296c01b", null ], - [ "kPLU_LUT_IN_1", "a00089.html#ggab4037d3c440abe260269986fbfd2087fa62c7580fd67b1ffa1385d370a5d3a4e6", null ], - [ "kPLU_LUT_IN_2", "a00089.html#ggab4037d3c440abe260269986fbfd2087fa8587d933d69f3c3bfe42e848db879a20", null ], - [ "kPLU_LUT_IN_3", "a00089.html#ggab4037d3c440abe260269986fbfd2087fa06891c0dcbd6029f027b2d6398a447df", null ], - [ "kPLU_LUT_IN_4", "a00089.html#ggab4037d3c440abe260269986fbfd2087fa6b62d55b282bfe85f5de3d7d38c8c5fa", null ] + [ "_plu_lut_in_index", "a00089.html#gaf04e2722181b97d14b151b43716647fd", [ + [ "kPLU_LUT_IN_0", "a00089.html#ggaf04e2722181b97d14b151b43716647fda648d32891b76f5ea3e6e5cf8a296c01b", null ], + [ "kPLU_LUT_IN_1", "a00089.html#ggaf04e2722181b97d14b151b43716647fda62c7580fd67b1ffa1385d370a5d3a4e6", null ], + [ "kPLU_LUT_IN_2", "a00089.html#ggaf04e2722181b97d14b151b43716647fda8587d933d69f3c3bfe42e848db879a20", null ], + [ "kPLU_LUT_IN_3", "a00089.html#ggaf04e2722181b97d14b151b43716647fda06891c0dcbd6029f027b2d6398a447df", null ], + [ "kPLU_LUT_IN_4", "a00089.html#ggaf04e2722181b97d14b151b43716647fda6b62d55b282bfe85f5de3d7d38c8c5fa", null ] ] ], - [ "plu_lut_input_source_t", "a00089.html#gaec74828294222d85c4341013b842eb05", [ - [ "kPLU_LUT_IN_SRC_PLU_IN_0", "a00089.html#ggaec74828294222d85c4341013b842eb05a8de9649d2a2fb07fe4e675f6401869d2", null ], - [ "kPLU_LUT_IN_SRC_PLU_IN_1", "a00089.html#ggaec74828294222d85c4341013b842eb05a70ba87af436721a2783e56f3117594ce", null ], - [ "kPLU_LUT_IN_SRC_PLU_IN_2", "a00089.html#ggaec74828294222d85c4341013b842eb05a1b56e9b3c1c5e46478eb5673c5bddaed", null ], - [ "kPLU_LUT_IN_SRC_PLU_IN_3", "a00089.html#ggaec74828294222d85c4341013b842eb05a45f568953423b0976250843eade62716", null ], - [ "kPLU_LUT_IN_SRC_PLU_IN_4", "a00089.html#ggaec74828294222d85c4341013b842eb05a6b32cf2706b79fff3a7fc2a1d1159e1b", null ], - [ "kPLU_LUT_IN_SRC_PLU_IN_5", "a00089.html#ggaec74828294222d85c4341013b842eb05ac68302205f055d68cd0833e6ec89cacb", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_0", "a00089.html#ggaec74828294222d85c4341013b842eb05a2e915ea983c34dc1e202a3ea99ece27b", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_1", "a00089.html#ggaec74828294222d85c4341013b842eb05ad79e240fc201ef98da1a4e217968f087", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_2", "a00089.html#ggaec74828294222d85c4341013b842eb05ad0c5942c2fb503a4561cb64b1b8b2ba5", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_3", "a00089.html#ggaec74828294222d85c4341013b842eb05a7d02ada60d9a59c5bba04cd6a2ca146c", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_4", "a00089.html#ggaec74828294222d85c4341013b842eb05a7701a2d64699c85a464acfe6d6f3ec21", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_5", "a00089.html#ggaec74828294222d85c4341013b842eb05abb535644479d02d916b2d2606934e28f", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_6", "a00089.html#ggaec74828294222d85c4341013b842eb05af941e0debe79fb78f1fdcf24068298b3", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_7", "a00089.html#ggaec74828294222d85c4341013b842eb05aa7ca2e6b97d2947ae2c9781eb66d9a38", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_8", "a00089.html#ggaec74828294222d85c4341013b842eb05a279388c181b6df93831630b7b3748fcc", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_9", "a00089.html#ggaec74828294222d85c4341013b842eb05a7cbee20d065a1de8eeb2e842fdca95f2", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_10", "a00089.html#ggaec74828294222d85c4341013b842eb05a5e28e0b496b69e7a57f43e810d1179b9", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_11", "a00089.html#ggaec74828294222d85c4341013b842eb05a19ecdb96a7c9b797b938851a9f22611c", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_12", "a00089.html#ggaec74828294222d85c4341013b842eb05a315a9f58216b1ac361dc5452805f4cb9", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_13", "a00089.html#ggaec74828294222d85c4341013b842eb05a23c7b1eac9733cda25f050d543111d89", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_14", "a00089.html#ggaec74828294222d85c4341013b842eb05a0e731c7f1daf5be7aa344b9f793ef02c", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_15", "a00089.html#ggaec74828294222d85c4341013b842eb05ae8e8fa7853444eb933183fd905b9ce9d", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_16", "a00089.html#ggaec74828294222d85c4341013b842eb05a8ba7dca9c3475364351932fc1a248ffc", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_17", "a00089.html#ggaec74828294222d85c4341013b842eb05a357a302e7e9f65b3c24b32b3348a18b1", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_18", "a00089.html#ggaec74828294222d85c4341013b842eb05a9b1b21d8bd068e9cb385406f5d2ae0f1", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_19", "a00089.html#ggaec74828294222d85c4341013b842eb05af73267254ab79f85cbbd45b698baf790", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_20", "a00089.html#ggaec74828294222d85c4341013b842eb05a2a7360dbf2b1ce3c37fec99ab6ea95c2", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_21", "a00089.html#ggaec74828294222d85c4341013b842eb05aea4c749172f50d02938115e943b1a62f", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_22", "a00089.html#ggaec74828294222d85c4341013b842eb05a2206f6b0a4c280e058652b183d193ad7", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_23", "a00089.html#ggaec74828294222d85c4341013b842eb05a7a4eb0b7daa528b354646ae876fdbc7d", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_24", "a00089.html#ggaec74828294222d85c4341013b842eb05a42744ca56fd5b26094f7f7ebc8142518", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_25", "a00089.html#ggaec74828294222d85c4341013b842eb05a0866c5dfcf79f24a034e5487325ad089", null ], - [ "kPLU_LUT_IN_SRC_FLIPFLOP_0", "a00089.html#ggaec74828294222d85c4341013b842eb05ad7617c8c6cd8f49c832f501129279b8e", null ], - [ "kPLU_LUT_IN_SRC_FLIPFLOP_1", "a00089.html#ggaec74828294222d85c4341013b842eb05ac741b79171d673ec73a93a7c5e77cb10", null ], - [ "kPLU_LUT_IN_SRC_FLIPFLOP_2", "a00089.html#ggaec74828294222d85c4341013b842eb05ab46ce34ffd4906d48a7f1829861ff193", null ], - [ "kPLU_LUT_IN_SRC_FLIPFLOP_3", "a00089.html#ggaec74828294222d85c4341013b842eb05a26b5bf6af4b2b774757fc7fa42cdebdf", null ] + [ "_plu_lut_input_source", "a00089.html#ga8b57cb85ad1b1f1685bbb8b85ce4e843", [ + [ "kPLU_LUT_IN_SRC_PLU_IN_0", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a8de9649d2a2fb07fe4e675f6401869d2", null ], + [ "kPLU_LUT_IN_SRC_PLU_IN_1", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a70ba87af436721a2783e56f3117594ce", null ], + [ "kPLU_LUT_IN_SRC_PLU_IN_2", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a1b56e9b3c1c5e46478eb5673c5bddaed", null ], + [ "kPLU_LUT_IN_SRC_PLU_IN_3", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a45f568953423b0976250843eade62716", null ], + [ "kPLU_LUT_IN_SRC_PLU_IN_4", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a6b32cf2706b79fff3a7fc2a1d1159e1b", null ], + [ "kPLU_LUT_IN_SRC_PLU_IN_5", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ac68302205f055d68cd0833e6ec89cacb", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_0", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a2e915ea983c34dc1e202a3ea99ece27b", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_1", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ad79e240fc201ef98da1a4e217968f087", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_2", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ad0c5942c2fb503a4561cb64b1b8b2ba5", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_3", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a7d02ada60d9a59c5bba04cd6a2ca146c", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_4", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a7701a2d64699c85a464acfe6d6f3ec21", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_5", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843abb535644479d02d916b2d2606934e28f", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_6", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843af941e0debe79fb78f1fdcf24068298b3", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_7", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843aa7ca2e6b97d2947ae2c9781eb66d9a38", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_8", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a279388c181b6df93831630b7b3748fcc", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_9", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a7cbee20d065a1de8eeb2e842fdca95f2", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_10", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a5e28e0b496b69e7a57f43e810d1179b9", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_11", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a19ecdb96a7c9b797b938851a9f22611c", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_12", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a315a9f58216b1ac361dc5452805f4cb9", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_13", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a23c7b1eac9733cda25f050d543111d89", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_14", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a0e731c7f1daf5be7aa344b9f793ef02c", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_15", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ae8e8fa7853444eb933183fd905b9ce9d", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_16", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a8ba7dca9c3475364351932fc1a248ffc", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_17", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a357a302e7e9f65b3c24b32b3348a18b1", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_18", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a9b1b21d8bd068e9cb385406f5d2ae0f1", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_19", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843af73267254ab79f85cbbd45b698baf790", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_20", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a2a7360dbf2b1ce3c37fec99ab6ea95c2", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_21", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843aea4c749172f50d02938115e943b1a62f", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_22", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a2206f6b0a4c280e058652b183d193ad7", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_23", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a7a4eb0b7daa528b354646ae876fdbc7d", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_24", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a42744ca56fd5b26094f7f7ebc8142518", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_25", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a0866c5dfcf79f24a034e5487325ad089", null ], + [ "kPLU_LUT_IN_SRC_FLIPFLOP_0", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ad7617c8c6cd8f49c832f501129279b8e", null ], + [ "kPLU_LUT_IN_SRC_FLIPFLOP_1", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ac741b79171d673ec73a93a7c5e77cb10", null ], + [ "kPLU_LUT_IN_SRC_FLIPFLOP_2", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ab46ce34ffd4906d48a7f1829861ff193", null ], + [ "kPLU_LUT_IN_SRC_FLIPFLOP_3", "a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a26b5bf6af4b2b774757fc7fa42cdebdf", null ] ] ], - [ "plu_output_index_t", "a00089.html#gab2d50bbe43b91c74ff406a8d4c359f40", [ - [ "kPLU_OUTPUT_0", "a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a40255673c924ddc3a47215102db19c23", null ], - [ "kPLU_OUTPUT_1", "a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab977a1ed5f8dda05f237315bdacbfdce", null ], - [ "kPLU_OUTPUT_2", "a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a7f7830f2f3a70270d451466e7fd81b8e", null ], - [ "kPLU_OUTPUT_3", "a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a05f4915e3590779c64072c567ea8ef77", null ], - [ "kPLU_OUTPUT_4", "a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3b4542ce1acdb7bd4c87e184129a1cd2", null ], - [ "kPLU_OUTPUT_5", "a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3db75ac7e6eb4bd49bc6dfcbdca28bab", null ], - [ "kPLU_OUTPUT_6", "a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a42d0169861f7e6874c6cc1cfd38cfdee", null ], - [ "kPLU_OUTPUT_7", "a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab84844ba156c357257d0be920c79459d", null ] + [ "_plu_output_index", "a00089.html#gafab1f9e477a3f88661e3f54189ac52ff", [ + [ "kPLU_OUTPUT_0", "a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa40255673c924ddc3a47215102db19c23", null ], + [ "kPLU_OUTPUT_1", "a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffab977a1ed5f8dda05f237315bdacbfdce", null ], + [ "kPLU_OUTPUT_2", "a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa7f7830f2f3a70270d451466e7fd81b8e", null ], + [ "kPLU_OUTPUT_3", "a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa05f4915e3590779c64072c567ea8ef77", null ], + [ "kPLU_OUTPUT_4", "a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa3b4542ce1acdb7bd4c87e184129a1cd2", null ], + [ "kPLU_OUTPUT_5", "a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa3db75ac7e6eb4bd49bc6dfcbdca28bab", null ], + [ "kPLU_OUTPUT_6", "a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa42d0169861f7e6874c6cc1cfd38cfdee", null ], + [ "kPLU_OUTPUT_7", "a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffab84844ba156c357257d0be920c79459d", null ] ] ], - [ "plu_output_source_t", "a00089.html#ga3ebfc228971e1d13f03847952605c2d2", [ - [ "kPLU_OUT_SRC_LUT_0", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a4ce7c06a3aa62401716670839ee2db9d", null ], - [ "kPLU_OUT_SRC_LUT_1", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a7ee81d7d75ed79784d00718ee8b52883", null ], - [ "kPLU_OUT_SRC_LUT_2", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a71f80a9c0585243f033e80575cc9ef71", null ], - [ "kPLU_OUT_SRC_LUT_3", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a318c6f61334de6ee3dfdfedd85c892aa", null ], - [ "kPLU_OUT_SRC_LUT_4", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2af58d23d9fe1120ca0bd0c9832b9c81df", null ], - [ "kPLU_OUT_SRC_LUT_5", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a3e4635d41b82d9da053cda8b53b16237", null ], - [ "kPLU_OUT_SRC_LUT_6", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae8a09b9553f3e191688cfe6844bc26b9", null ], - [ "kPLU_OUT_SRC_LUT_7", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2ac5055185f27452b220491009e47a8843", null ], - [ "kPLU_OUT_SRC_LUT_8", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2ab1a914c426c7434b66a2a70e28c91d6d", null ], - [ "kPLU_OUT_SRC_LUT_9", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a1bfd5fb60cb03e1ec3ff1cc2b859205d", null ], - [ "kPLU_OUT_SRC_LUT_10", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2aa0c5d92b16c617f2c77ab0b2e2e6ebb1", null ], - [ "kPLU_OUT_SRC_LUT_11", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a6c8dcd271aa50f8847c21031ca1651cc", null ], - [ "kPLU_OUT_SRC_LUT_12", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a5b731b787441958b61eb1ef6f13c0a8c", null ], - [ "kPLU_OUT_SRC_LUT_13", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a55478a1fa529d12411191d3b57b6aa6f", null ], - [ "kPLU_OUT_SRC_LUT_14", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2acf68ff6a086185f803b22698327e3109", null ], - [ "kPLU_OUT_SRC_LUT_15", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2afa8b125d171fda1128d55ee1ce6b1bb8", null ], - [ "kPLU_OUT_SRC_LUT_16", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2acb147f2edce9b8da4c454f80f94ac43c", null ], - [ "kPLU_OUT_SRC_LUT_17", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a9dca2593aa3f9571111177158871a171", null ], - [ "kPLU_OUT_SRC_LUT_18", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a74581067715a2b1448ded8335f1618a2", null ], - [ "kPLU_OUT_SRC_LUT_19", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2ad50df8fa854b16d2945d2e98dceb167d", null ], - [ "kPLU_OUT_SRC_LUT_20", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae6dd471565ca87404e3e950f0b4896ec", null ], - [ "kPLU_OUT_SRC_LUT_21", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a3cffbba2da3be0f386061cdc43c5c58a", null ], - [ "kPLU_OUT_SRC_LUT_22", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2af659716e11aa0911a531d2cdc19bf3ea", null ], - [ "kPLU_OUT_SRC_LUT_23", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a47395d18c548dff7cf1e82891808ecc6", null ], - [ "kPLU_OUT_SRC_LUT_24", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae648baa1c80d2711d1bb2bb4fc077827", null ], - [ "kPLU_OUT_SRC_LUT_25", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a0912636699433338f1c5db68c7bbec7f", null ], - [ "kPLU_OUT_SRC_FLIPFLOP_0", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a22a26e5d5f65d4ac8491ad2c87ebeef3", null ], - [ "kPLU_OUT_SRC_FLIPFLOP_1", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a1afdc6f86b2c95d086b0c7d2d60d26cc", null ], - [ "kPLU_OUT_SRC_FLIPFLOP_2", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a117f999dffa45b676b077d373cb2e086", null ], - [ "kPLU_OUT_SRC_FLIPFLOP_3", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a2a0154f5345c71bae6f44244fcb30d46", null ] + [ "_plu_output_source", "a00089.html#ga3eadd99eeb98ae312c02a884168b2ac0", [ + [ "kPLU_OUT_SRC_LUT_0", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a4ce7c06a3aa62401716670839ee2db9d", null ], + [ "kPLU_OUT_SRC_LUT_1", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a7ee81d7d75ed79784d00718ee8b52883", null ], + [ "kPLU_OUT_SRC_LUT_2", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a71f80a9c0585243f033e80575cc9ef71", null ], + [ "kPLU_OUT_SRC_LUT_3", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a318c6f61334de6ee3dfdfedd85c892aa", null ], + [ "kPLU_OUT_SRC_LUT_4", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0af58d23d9fe1120ca0bd0c9832b9c81df", null ], + [ "kPLU_OUT_SRC_LUT_5", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a3e4635d41b82d9da053cda8b53b16237", null ], + [ "kPLU_OUT_SRC_LUT_6", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ae8a09b9553f3e191688cfe6844bc26b9", null ], + [ "kPLU_OUT_SRC_LUT_7", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ac5055185f27452b220491009e47a8843", null ], + [ "kPLU_OUT_SRC_LUT_8", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ab1a914c426c7434b66a2a70e28c91d6d", null ], + [ "kPLU_OUT_SRC_LUT_9", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a1bfd5fb60cb03e1ec3ff1cc2b859205d", null ], + [ "kPLU_OUT_SRC_LUT_10", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0aa0c5d92b16c617f2c77ab0b2e2e6ebb1", null ], + [ "kPLU_OUT_SRC_LUT_11", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a6c8dcd271aa50f8847c21031ca1651cc", null ], + [ "kPLU_OUT_SRC_LUT_12", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a5b731b787441958b61eb1ef6f13c0a8c", null ], + [ "kPLU_OUT_SRC_LUT_13", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a55478a1fa529d12411191d3b57b6aa6f", null ], + [ "kPLU_OUT_SRC_LUT_14", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0acf68ff6a086185f803b22698327e3109", null ], + [ "kPLU_OUT_SRC_LUT_15", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0afa8b125d171fda1128d55ee1ce6b1bb8", null ], + [ "kPLU_OUT_SRC_LUT_16", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0acb147f2edce9b8da4c454f80f94ac43c", null ], + [ "kPLU_OUT_SRC_LUT_17", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a9dca2593aa3f9571111177158871a171", null ], + [ "kPLU_OUT_SRC_LUT_18", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a74581067715a2b1448ded8335f1618a2", null ], + [ "kPLU_OUT_SRC_LUT_19", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ad50df8fa854b16d2945d2e98dceb167d", null ], + [ "kPLU_OUT_SRC_LUT_20", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ae6dd471565ca87404e3e950f0b4896ec", null ], + [ "kPLU_OUT_SRC_LUT_21", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a3cffbba2da3be0f386061cdc43c5c58a", null ], + [ "kPLU_OUT_SRC_LUT_22", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0af659716e11aa0911a531d2cdc19bf3ea", null ], + [ "kPLU_OUT_SRC_LUT_23", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a47395d18c548dff7cf1e82891808ecc6", null ], + [ "kPLU_OUT_SRC_LUT_24", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ae648baa1c80d2711d1bb2bb4fc077827", null ], + [ "kPLU_OUT_SRC_LUT_25", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a0912636699433338f1c5db68c7bbec7f", null ], + [ "kPLU_OUT_SRC_FLIPFLOP_0", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a22a26e5d5f65d4ac8491ad2c87ebeef3", null ], + [ "kPLU_OUT_SRC_FLIPFLOP_1", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a1afdc6f86b2c95d086b0c7d2d60d26cc", null ], + [ "kPLU_OUT_SRC_FLIPFLOP_2", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a117f999dffa45b676b077d373cb2e086", null ], + [ "kPLU_OUT_SRC_FLIPFLOP_3", "a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a2a0154f5345c71bae6f44244fcb30d46", null ] ] ], [ "PLU_Init", "a00089.html#ga9223774c024634ea6aef20ccd4768810", null ], [ "PLU_Deinit", "a00089.html#ga4d562c154da2a9152f224e0dbeddb82c", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00090.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00090.html index ce44d9f..d723236 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00090.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00090.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
@@ -112,7 +112,7 @@ SWM: Switch Matrix Module

SWM Operations

The function SWM_SetMovablePinSelect() will selects a movable pin designated by its GPIO port and bit numbers to a function.

-

The function SWM_SetFixedMovablePinSelect() will selects a fixed movable pin designated by its GPIO port and bit numbers to a function.

+

The function SWM_SetFixedMovablePinSelect() will selects a fixed movable pin designated by its GPIO port and bit numbers to a function.

The function SWM_SetFixedPinSelect() will enables a fixed-pin function in PINENABLE0 or PINENABLE1.

@@ -122,345 +122,371 @@ Files

- + - + + + +

Functions

void SWM_SetMovablePinSelect (SWM_Type *base, swm_select_movable_t func, swm_port_pin_type_t swm_port_pin)
void SWM_SetMovablePinSelect (SWM_Type *base, swm_select_movable_t func, swm_port_pin_type_t swm_port_pin)
 Assignment of digital peripheral functions to pins. More...
 
void SWM_SetFixedPinSelect (SWM_Type *base, swm_select_fixed_pin_t func, bool enable)
void SWM_SetFixedMovablePinSelect (SWM_Type *base, swm_select_fixed_movable_t func, swm_fixed_port_pin_type_t swm_port_pin)
 Assignment of digital peripheral functions to pins. More...
 
void SWM_SetFixedPinSelect (SWM_Type *base, swm_select_fixed_pin_t func, bool enable)
 Enable the fixed-pin function. More...
 
- - - - + + - - - + + - - - + + - - - + + - - + + + + + + + + + + + + + + + + +

swm connections

enum  swm_fixed_port_pin_type_t {
-  kSWM_PLU_INPUT0_PortPin_P0_0 = 0x00U, +
enum  _swm_pinassignfixed_port_pin_type_t {
+  kSWM_PLU_INPUT0_PortPin_P0_0 = 0x00U,
-  kSWM_PLU_INPUT0_PortPin_P0_8 = 0x01U, +  kSWM_PLU_INPUT0_PortPin_P0_8 = 0x01U,
-  kSWM_PLU_INPUT0_PortPin_P0_17 = 0x02U, +  kSWM_PLU_INPUT0_PortPin_P0_17 = 0x02U,
-  kSWM_PLU_INPUT1_PortPin_P0_1 = 0x00U, +  kSWM_PLU_INPUT1_PortPin_P0_1 = 0x00U,
-  kSWM_PLU_INPUT1_PortPin_P0_9 = 0x01U, +  kSWM_PLU_INPUT1_PortPin_P0_9 = 0x01U,
-  kSWM_PLU_INPUT1_PortPin_P0_18 = 0x02U, +  kSWM_PLU_INPUT1_PortPin_P0_18 = 0x02U,
-  kSWM_PLU_INPUT2_PortPin_P0_2 = 0x00U, +  kSWM_PLU_INPUT2_PortPin_P0_2 = 0x00U,
-  kSWM_PLU_INPUT2_PortPin_P0_10 = 0x01U, +  kSWM_PLU_INPUT2_PortPin_P0_10 = 0x01U,
-  kSWM_PLU_INPUT2_PortPin_P0_19 = 0x02U, +  kSWM_PLU_INPUT2_PortPin_P0_19 = 0x02U,
-  kSWM_PLU_INPUT3_PortPin_P0_3 = 0x00U, +  kSWM_PLU_INPUT3_PortPin_P0_3 = 0x00U,
-  kSWM_PLU_INPUT3_PortPin_P0_11 = 0x01U, +  kSWM_PLU_INPUT3_PortPin_P0_11 = 0x01U,
-  kSWM_PLU_INPUT3_PortPin_P0_20 = 0x02U, +  kSWM_PLU_INPUT3_PortPin_P0_20 = 0x02U,
-  kSWM_PLU_INPUT4_PortPin_P0_4 = 0x00U, +  kSWM_PLU_INPUT4_PortPin_P0_4 = 0x00U,
-  kSWM_PLU_INPUT4_PortPin_P0_12 = 0x01U, +  kSWM_PLU_INPUT4_PortPin_P0_12 = 0x01U,
-  kSWM_PLU_INPUT4_PortPin_P0_21 = 0x02U, +  kSWM_PLU_INPUT4_PortPin_P0_21 = 0x02U,
-  kSWM_PLU_INPUT5_PortPin_P0_5 = 0x00U, +  kSWM_PLU_INPUT5_PortPin_P0_5 = 0x00U,
-  kSWM_PLU_INPUT5_PortPin_P0_13 = 0x01U, +  kSWM_PLU_INPUT5_PortPin_P0_13 = 0x01U,
-  kSWM_PLU_INPUT5_PortPin_P0_22 = 0x02U, +  kSWM_PLU_INPUT5_PortPin_P0_22 = 0x02U,
-  kSWM_PLU_OUT0_PortPin_P0_7 = 0x00U, +  kSWM_PLU_OUT0_PortPin_P0_7 = 0x00U,
-  kSWM_PLU_OUT0_PortPin_P0_14 = 0x01U, +  kSWM_PLU_OUT0_PortPin_P0_14 = 0x01U,
-  kSWM_PLU_OUT0_PortPin_P0_23 = 0x02U, +  kSWM_PLU_OUT0_PortPin_P0_23 = 0x02U,
-  kSWM_PLU_OUT1_PortPin_P0_8 = 0x00U, +  kSWM_PLU_OUT1_PortPin_P0_8 = 0x00U,
-  kSWM_PLU_OUT1_PortPin_P0_15 = 0x01U, +  kSWM_PLU_OUT1_PortPin_P0_15 = 0x01U,
-  kSWM_PLU_OUT1_PortPin_P0_24 = 0x02U, +  kSWM_PLU_OUT1_PortPin_P0_24 = 0x02U,
-  kSWM_PLU_OUT2_PortPin_P0_9 = 0x00U, +  kSWM_PLU_OUT2_PortPin_P0_9 = 0x00U,
-  kSWM_PLU_OUT2_PortPin_P0_16 = 0x01U, +  kSWM_PLU_OUT2_PortPin_P0_16 = 0x01U,
-  kSWM_PLU_OUT2_PortPin_P0_25 = 0x02U, +  kSWM_PLU_OUT2_PortPin_P0_25 = 0x02U,
-  kSWM_PLU_OUT3_PortPin_P0_10 = 0x00U, +  kSWM_PLU_OUT3_PortPin_P0_10 = 0x00U,
-  kSWM_PLU_OUT3_PortPin_P0_17 = 0x01U, +  kSWM_PLU_OUT3_PortPin_P0_17 = 0x01U,
-  kSWM_PLU_OUT3_PortPin_P0_26 = 0x02U, +  kSWM_PLU_OUT3_PortPin_P0_26 = 0x02U,
-  kSWM_PLU_OUT4_PortPin_P0_11 = 0x00U, +  kSWM_PLU_OUT4_PortPin_P0_11 = 0x00U,
-  kSWM_PLU_OUT4_PortPin_P0_18 = 0x01U, +  kSWM_PLU_OUT4_PortPin_P0_18 = 0x01U,
-  kSWM_PLU_OUT4_PortPin_P0_27 = 0x02U, +  kSWM_PLU_OUT4_PortPin_P0_27 = 0x02U,
-  kSWM_PLU_OUT5_PortPin_P0_12 = 0x00U, +  kSWM_PLU_OUT5_PortPin_P0_12 = 0x00U,
-  kSWM_PLU_OUT5_PortPin_P0_19 = 0x01U, +  kSWM_PLU_OUT5_PortPin_P0_19 = 0x01U,
-  kSWM_PLU_OUT5_PortPin_P0_28 = 0x02U, +  kSWM_PLU_OUT5_PortPin_P0_28 = 0x02U,
-  kSWM_PLU_OUT6_PortPin_P0_13 = 0x00U, +  kSWM_PLU_OUT6_PortPin_P0_13 = 0x00U,
-  kSWM_PLU_OUT6_PortPin_P0_20 = 0x01U, +  kSWM_PLU_OUT6_PortPin_P0_20 = 0x01U,
-  kSWM_PLU_OUT6_PortPin_P0_29 = 0x02U, +  kSWM_PLU_OUT6_PortPin_P0_29 = 0x02U,
-  kSWM_PLU_OUT7_PortPin_P0_14 = 0x00U, +  kSWM_PLU_OUT7_PortPin_P0_14 = 0x00U,
-  kSWM_PLU_OUT7_PortPin_P0_21 = 0x01U, +  kSWM_PLU_OUT7_PortPin_P0_21 = 0x01U,
-  kSWM_PLU_OUT7_PortPin_P0_30 = 0x02U +  kSWM_PLU_OUT7_PortPin_P0_30 = 0x02U
}
 SWM pinassignfixed_port_pin number. More...
 
enum  swm_port_pin_type_t {
-  kSWM_PortPin_P0_0 = 0U, +
 SWM pinassignfixed_port_pin number. More...
 
enum  _swm_port_pin_type_t {
+  kSWM_PortPin_P0_0 = 0U,
-  kSWM_PortPin_P0_1 = 1U, +  kSWM_PortPin_P0_1 = 1U,
-  kSWM_PortPin_P0_2 = 2U, +  kSWM_PortPin_P0_2 = 2U,
-  kSWM_PortPin_P0_3 = 3U, +  kSWM_PortPin_P0_3 = 3U,
-  kSWM_PortPin_P0_4 = 4U, +  kSWM_PortPin_P0_4 = 4U,
-  kSWM_PortPin_P0_5 = 5U, +  kSWM_PortPin_P0_5 = 5U,
-  kSWM_PortPin_P0_6 = 6U, +  kSWM_PortPin_P0_6 = 6U,
-  kSWM_PortPin_P0_7 = 7U, +  kSWM_PortPin_P0_7 = 7U,
-  kSWM_PortPin_P0_8 = 8U, +  kSWM_PortPin_P0_8 = 8U,
-  kSWM_PortPin_P0_9 = 9U, +  kSWM_PortPin_P0_9 = 9U,
-  kSWM_PortPin_P0_10 = 10U, +  kSWM_PortPin_P0_10 = 10U,
-  kSWM_PortPin_P0_11 = 11U, +  kSWM_PortPin_P0_11 = 11U,
-  kSWM_PortPin_P0_12 = 12U, +  kSWM_PortPin_P0_12 = 12U,
-  kSWM_PortPin_P0_13 = 13U, +  kSWM_PortPin_P0_13 = 13U,
-  kSWM_PortPin_P0_14 = 14U, +  kSWM_PortPin_P0_14 = 14U,
-  kSWM_PortPin_P0_15 = 15U, +  kSWM_PortPin_P0_15 = 15U,
-  kSWM_PortPin_P0_16 = 16U, +  kSWM_PortPin_P0_16 = 16U,
-  kSWM_PortPin_P0_17 = 17U, +  kSWM_PortPin_P0_17 = 17U,
-  kSWM_PortPin_P0_18 = 18U, +  kSWM_PortPin_P0_18 = 18U,
-  kSWM_PortPin_P0_19 = 19U, +  kSWM_PortPin_P0_19 = 19U,
-  kSWM_PortPin_P0_20 = 20U, +  kSWM_PortPin_P0_20 = 20U,
-  kSWM_PortPin_P0_21 = 21U, +  kSWM_PortPin_P0_21 = 21U,
-  kSWM_PortPin_P0_22 = 22U, +  kSWM_PortPin_P0_22 = 22U,
-  kSWM_PortPin_P0_23 = 23U, +  kSWM_PortPin_P0_23 = 23U,
-  kSWM_PortPin_P0_24 = 24U, +  kSWM_PortPin_P0_24 = 24U,
-  kSWM_PortPin_P0_25 = 25U, +  kSWM_PortPin_P0_25 = 25U,
-  kSWM_PortPin_P0_26 = 26U, +  kSWM_PortPin_P0_26 = 26U,
-  kSWM_PortPin_P0_27 = 27U, +  kSWM_PortPin_P0_27 = 27U,
-  kSWM_PortPin_P0_28 = 28U, +  kSWM_PortPin_P0_28 = 28U,
-  kSWM_PortPin_P0_29 = 29U, +  kSWM_PortPin_P0_29 = 29U,
-  kSWM_PortPin_P0_30 = 30U, +  kSWM_PortPin_P0_30 = 30U,
-  kSWM_PortPin_P0_31 = 31U, +  kSWM_PortPin_P0_31 = 31U,
-  kSWM_PortPin_Reset = 0xffU +  kSWM_PortPin_Reset = 0xffU
}
 SWM port_pin number. More...
 
enum  swm_select_fixed_movable_t {
-  kSWM_PLU_INPUT0 = 0U, +
 SWM port_pin number. More...
 
enum  _swm_pinassignfixed_select_movable_t {
+  kSWM_PLU_INPUT0 = 0U,
-  kSWM_PLU_INPUT1 = 1U, +  kSWM_PLU_INPUT1 = 1U,
-  kSWM_PLU_INPUT2 = 2U, +  kSWM_PLU_INPUT2 = 2U,
-  kSWM_PLU_INPUT3 = 3U, +  kSWM_PLU_INPUT3 = 3U,
-  kSWM_PLU_INPUT4 = 4U, +  kSWM_PLU_INPUT4 = 4U,
-  kSWM_PLU_INPUT5 = 5U, +  kSWM_PLU_INPUT5 = 5U,
-  kSWM_PLU_OUT0 = 6U, +  kSWM_PLU_OUT0 = 6U,
-  kSWM_PLU_OUT1 = 7U, +  kSWM_PLU_OUT1 = 7U,
-  kSWM_PLU_OUT2 = 8U, +  kSWM_PLU_OUT2 = 8U,
-  kSWM_PLU_OUT3 = 9U, +  kSWM_PLU_OUT3 = 9U,
-  kSWM_PLU_OUT4 = 10U, +  kSWM_PLU_OUT4 = 10U,
-  kSWM_PLU_OUT5 = 11U, +  kSWM_PLU_OUT5 = 11U,
-  kSWM_PLU_OUT6 = 12U, +  kSWM_PLU_OUT6 = 12U,
-  kSWM_PLU_OUT7 = 13U, +  kSWM_PLU_OUT7 = 13U,
-  kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS = 14U +  kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS = 14U
}
 SWM pinassignfixed movable selection. More...
 
enum  swm_select_movable_t {
-  kSWM_USART0_TXD = 0U, +
 SWM pinassignfixed movable selection. More...
 
enum  _swm_select_movable_t {
+  kSWM_USART0_TXD = 0U,
-  kSWM_USART0_RXD = 1U, +  kSWM_USART0_RXD = 1U,
-  kSWM_USART0_RTS = 2U, +  kSWM_USART0_RTS = 2U,
-  kSWM_USART0_CTS = 3U, +  kSWM_USART0_CTS = 3U,
-  kSWM_USART0_SCLK = 4U, +  kSWM_USART0_SCLK = 4U,
-  kSWM_USART1_TXD = 5U, +  kSWM_USART1_TXD = 5U,
-  kSWM_USART1_RXD = 6U, +  kSWM_USART1_RXD = 6U,
-  kSWM_USART1_SCLK = 7U, +  kSWM_USART1_SCLK = 7U,
-  kSWM_SPI0_SCK = 8U, +  kSWM_SPI0_SCK = 8U,
-  kSWM_SPI0_MOSI = 9U, +  kSWM_SPI0_MOSI = 9U,
-  kSWM_SPI0_MISO = 10U, +  kSWM_SPI0_MISO = 10U,
-  kSWM_SPI0_SSEL0 = 11U, +  kSWM_SPI0_SSEL0 = 11U,
-  kSWM_SPI0_SSEL1 = 12U, +  kSWM_SPI0_SSEL1 = 12U,
-  kSWM_T0_CAP_CHN0 = 13U, +  kSWM_T0_CAP_CHN0 = 13U,
-  kSWM_T0_CAP_CHN1 = 14U, +  kSWM_T0_CAP_CHN1 = 14U,
-  kSWM_T0_CAP_CHN2 = 15U, +  kSWM_T0_CAP_CHN2 = 15U,
-  kSWM_T0_MAT_CHN0 = 16U, +  kSWM_T0_MAT_CHN0 = 16U,
-  kSWM_T0_MAT_CHN1 = 17U, +  kSWM_T0_MAT_CHN1 = 17U,
-  kSWM_T0_MAT_CHN2 = 18U, +  kSWM_T0_MAT_CHN2 = 18U,
-  kSWM_T0_MAT_CHN3 = 19U, +  kSWM_T0_MAT_CHN3 = 19U,
-  kSWM_I2C0_SDA = 20U, +  kSWM_I2C0_SDA = 20U,
-  kSWM_I2C0_SCL = 21U, +  kSWM_I2C0_SCL = 21U,
-  kSWM_ACMP_OUT = 22U, +  kSWM_ACMP_OUT = 22U,
-  kSWM_CLKOUT = 23U, +  kSWM_CLKOUT = 23U,
-  kSWM_GPIO_INT_BMAT = 24U, +  kSWM_GPIO_INT_BMAT = 24U,
-  kSWM_LVLSHFT_IN0 = 25U, +  kSWM_LVLSHFT_IN0 = 25U,
-  kSWM_LVLSHFT_IN1 = 26U, +  kSWM_LVLSHFT_IN1 = 26U,
-  kSWM_LVLSHFT_OUT0 = 27U, +  kSWM_LVLSHFT_OUT0 = 27U,
-  kSWM_LVLSHFT_OUT1 = 28U, +  kSWM_LVLSHFT_OUT1 = 28U,
-  kSWM_I2C1_SDA = 29U, +  kSWM_I2C1_SDA = 29U,
-  kSWM_I2C1_SCL = 30U, +  kSWM_I2C1_SCL = 30U,
-  kSWM_PLU_CLKIN_IN = 31U, +  kSWM_PLU_CLKIN_IN = 31U,
-  kSWM_CAPT_X0 = 32U, +  kSWM_CAPT_X0 = 32U,
-  kSWM_CAPT_X1 = 33U, +  kSWM_CAPT_X1 = 33U,
-  kSWM_CAPT_X2 = 34U, +  kSWM_CAPT_X2 = 34U,
-  kSWM_CAPT_X3 = 35U, +  kSWM_CAPT_X3 = 35U,
-  kSWM_CAPT_X4 = 36U, +  kSWM_CAPT_X4 = 36U,
-  kSWM_CAPT_YL = 37U, +  kSWM_CAPT_YL = 37U,
-  kSWM_CAPT_YH = 38U, +  kSWM_CAPT_YH = 38U,
-  kSWM_MOVABLE_NUM_FUNCS = 39U +  kSWM_MOVABLE_NUM_FUNCS = 39U
}
 SWM movable selection. More...
 
enum  swm_select_fixed_pin_t {
-  kSWM_ACMP_INPUT1 = SWM_PINENABLE0_ACMP_I1_MASK, +
 SWM movable selection. More...
 
enum  _swm_select_fixed_pin_t {
+  kSWM_ACMP_INPUT1 = SWM_PINENABLE0_ACMP_I1_MASK,
-  kSWM_ACMP_INPUT2 = SWM_PINENABLE0_ACMP_I2_MASK, +  kSWM_ACMP_INPUT2 = SWM_PINENABLE0_ACMP_I2_MASK,
-  kSWM_ACMP_INPUT3 = SWM_PINENABLE0_ACMP_I3_MASK, +  kSWM_ACMP_INPUT3 = SWM_PINENABLE0_ACMP_I3_MASK,
-  kSWM_ACMP_INPUT4 = SWM_PINENABLE0_ACMP_I4_MASK, +  kSWM_ACMP_INPUT4 = SWM_PINENABLE0_ACMP_I4_MASK,
-  kSWM_SWCLK = SWM_PINENABLE0_SWCLK_MASK, +  kSWM_SWCLK = SWM_PINENABLE0_SWCLK_MASK,
-  kSWM_SWDIO = SWM_PINENABLE0_SWDIO_MASK, +  kSWM_SWDIO = SWM_PINENABLE0_SWDIO_MASK,
-  kSWM_RESETN = SWM_PINENABLE0_RESETN_MASK, +  kSWM_RESETN = SWM_PINENABLE0_RESETN_MASK,
-  kSWM_CLKIN = SWM_PINENABLE0_CLKIN_MASK, +  kSWM_CLKIN = SWM_PINENABLE0_CLKIN_MASK,
-  kSWM_WKCLKIN = SWM_PINENABLE0_WKCLKIN_MASK, +  kSWM_WKCLKIN = SWM_PINENABLE0_WKCLKIN_MASK,
-  kSWM_VDDCMP = SWM_PINENABLE0_VDDCMP_MASK, +  kSWM_VDDCMP = SWM_PINENABLE0_VDDCMP_MASK,
-  kSWM_ADC_CHN0 = SWM_PINENABLE0_ADC_0_MASK, +  kSWM_ADC_CHN0 = SWM_PINENABLE0_ADC_0_MASK,
-  kSWM_ADC_CHN1 = SWM_PINENABLE0_ADC_1_MASK, +  kSWM_ADC_CHN1 = SWM_PINENABLE0_ADC_1_MASK,
-  kSWM_ADC_CHN2 = SWM_PINENABLE0_ADC_2_MASK, +  kSWM_ADC_CHN2 = SWM_PINENABLE0_ADC_2_MASK,
-  kSWM_ADC_CHN3 = SWM_PINENABLE0_ADC_3_MASK, +  kSWM_ADC_CHN3 = SWM_PINENABLE0_ADC_3_MASK,
-  kSWM_ADC_CHN4 = SWM_PINENABLE0_ADC_4_MASK, +  kSWM_ADC_CHN4 = SWM_PINENABLE0_ADC_4_MASK,
-  kSWM_ADC_CHN5 = SWM_PINENABLE0_ADC_5_MASK, +  kSWM_ADC_CHN5 = SWM_PINENABLE0_ADC_5_MASK,
-  kSWM_ADC_CHN6 = SWM_PINENABLE0_ADC_6_MASK, +  kSWM_ADC_CHN6 = SWM_PINENABLE0_ADC_6_MASK,
-  kSWM_ADC_CHN7 = SWM_PINENABLE0_ADC_7_MASK, +  kSWM_ADC_CHN7 = SWM_PINENABLE0_ADC_7_MASK,
-  kSWM_ADC_CHN8 = SWM_PINENABLE0_ADC_8_MASK, +  kSWM_ADC_CHN8 = SWM_PINENABLE0_ADC_8_MASK,
-  kSWM_ADC_CHN9 = SWM_PINENABLE0_ADC_9_MASK, +  kSWM_ADC_CHN9 = SWM_PINENABLE0_ADC_9_MASK,
-  kSWM_ADC_CHN10 = SWM_PINENABLE0_ADC_10_MASK, +  kSWM_ADC_CHN10 = SWM_PINENABLE0_ADC_10_MASK,
-  kSWM_ADC_CHN11 = SWM_PINENABLE0_ADC_11_MASK, +  kSWM_ADC_CHN11 = SWM_PINENABLE0_ADC_11_MASK,
-  kSWM_ACMP_INPUT5 = SWM_PINENABLE0_ACMP_I5_MASK, +  kSWM_ACMP_INPUT5 = SWM_PINENABLE0_ACMP_I5_MASK,
-  kSWM_DAC_OUT0 = SWM_PINENABLE0_DACOUT0_MASK, +  kSWM_DAC_OUT0 = SWM_PINENABLE0_DACOUT0_MASK,
-  kSWM_FIXEDPIN_NUM_FUNCS = (int)0x80000001U +  kSWM_FIXEDPIN_NUM_FUNCS = (int)0x80000001U
}
 SWM fixed pin selection. More...
 
 SWM fixed pin selection. More...
 
+typedef enum
+_swm_pinassignfixed_port_pin_type_t 
swm_fixed_port_pin_type_t
 SWM pinassignfixed_port_pin number.
 
+typedef enum _swm_port_pin_type_t swm_port_pin_type_t
 SWM port_pin number.
 
+typedef enum
+_swm_pinassignfixed_select_movable_t 
swm_select_fixed_movable_t
 SWM pinassignfixed movable selection.
 
+typedef enum _swm_select_movable_t swm_select_movable_t
 SWM movable selection.
 
+typedef enum
+_swm_select_fixed_pin_t 
swm_select_fixed_pin_t
 SWM fixed pin selection.
 
@@ -482,535 +508,535 @@ Driver version

Enumeration Type Documentation

- +

Driver version

- +
enum swm_fixed_port_pin_type_tenum _swm_pinassignfixed_port_pin_type_t
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Enumerator
kSWM_PLU_INPUT0_PortPin_P0_0  +
Enumerator
kSWM_PLU_INPUT0_PortPin_P0_0 

port_pin number P0_0.

kSWM_PLU_INPUT0_PortPin_P0_8  +
kSWM_PLU_INPUT0_PortPin_P0_8 

port_pin number P0_8.

kSWM_PLU_INPUT0_PortPin_P0_17  +
kSWM_PLU_INPUT0_PortPin_P0_17 

port_pin number P0_17.

kSWM_PLU_INPUT1_PortPin_P0_1  +
kSWM_PLU_INPUT1_PortPin_P0_1 

port_pin number P0_1.

kSWM_PLU_INPUT1_PortPin_P0_9  +
kSWM_PLU_INPUT1_PortPin_P0_9 

port_pin number P0_9.

kSWM_PLU_INPUT1_PortPin_P0_18  +
kSWM_PLU_INPUT1_PortPin_P0_18 

port_pin number P0_18.

kSWM_PLU_INPUT2_PortPin_P0_2  +
kSWM_PLU_INPUT2_PortPin_P0_2 

port_pin number P0_2.

kSWM_PLU_INPUT2_PortPin_P0_10  +
kSWM_PLU_INPUT2_PortPin_P0_10 

port_pin number P0_10.

kSWM_PLU_INPUT2_PortPin_P0_19  +
kSWM_PLU_INPUT2_PortPin_P0_19 

port_pin number P0_19.

kSWM_PLU_INPUT3_PortPin_P0_3  +
kSWM_PLU_INPUT3_PortPin_P0_3 

port_pin number P0_3.

kSWM_PLU_INPUT3_PortPin_P0_11  +
kSWM_PLU_INPUT3_PortPin_P0_11 

port_pin number P0_11.

kSWM_PLU_INPUT3_PortPin_P0_20  +
kSWM_PLU_INPUT3_PortPin_P0_20 

port_pin number P0_20.

kSWM_PLU_INPUT4_PortPin_P0_4  +
kSWM_PLU_INPUT4_PortPin_P0_4 

port_pin number P0_4.

kSWM_PLU_INPUT4_PortPin_P0_12  +
kSWM_PLU_INPUT4_PortPin_P0_12 

port_pin number P0_12.

kSWM_PLU_INPUT4_PortPin_P0_21  +
kSWM_PLU_INPUT4_PortPin_P0_21 

port_pin number P0_21.

kSWM_PLU_INPUT5_PortPin_P0_5  +
kSWM_PLU_INPUT5_PortPin_P0_5 

port_pin number P0_5.

kSWM_PLU_INPUT5_PortPin_P0_13  +
kSWM_PLU_INPUT5_PortPin_P0_13 

port_pin number P0_13.

kSWM_PLU_INPUT5_PortPin_P0_22  +
kSWM_PLU_INPUT5_PortPin_P0_22 

port_pin number P0_22.

kSWM_PLU_OUT0_PortPin_P0_7  +
kSWM_PLU_OUT0_PortPin_P0_7 

port_pin number P0_7.

kSWM_PLU_OUT0_PortPin_P0_14  +
kSWM_PLU_OUT0_PortPin_P0_14 

port_pin number P0_14.

kSWM_PLU_OUT0_PortPin_P0_23  +
kSWM_PLU_OUT0_PortPin_P0_23 

port_pin number P0_23.

kSWM_PLU_OUT1_PortPin_P0_8  +
kSWM_PLU_OUT1_PortPin_P0_8 

port_pin number P0_8.

kSWM_PLU_OUT1_PortPin_P0_15  +
kSWM_PLU_OUT1_PortPin_P0_15 

port_pin number P0_15.

kSWM_PLU_OUT1_PortPin_P0_24  +
kSWM_PLU_OUT1_PortPin_P0_24 

port_pin number P0_24.

kSWM_PLU_OUT2_PortPin_P0_9  +
kSWM_PLU_OUT2_PortPin_P0_9 

port_pin number P0_9.

kSWM_PLU_OUT2_PortPin_P0_16  +
kSWM_PLU_OUT2_PortPin_P0_16 

port_pin number P0_16.

kSWM_PLU_OUT2_PortPin_P0_25  +
kSWM_PLU_OUT2_PortPin_P0_25 

port_pin number P0_25.

kSWM_PLU_OUT3_PortPin_P0_10  +
kSWM_PLU_OUT3_PortPin_P0_10 

port_pin number P0_10.

kSWM_PLU_OUT3_PortPin_P0_17  +
kSWM_PLU_OUT3_PortPin_P0_17 

port_pin number P0_17.

kSWM_PLU_OUT3_PortPin_P0_26  +
kSWM_PLU_OUT3_PortPin_P0_26 

port_pin number P0_26.

kSWM_PLU_OUT4_PortPin_P0_11  +
kSWM_PLU_OUT4_PortPin_P0_11 

port_pin number P0_11.

kSWM_PLU_OUT4_PortPin_P0_18  +
kSWM_PLU_OUT4_PortPin_P0_18 

port_pin number P0_18.

kSWM_PLU_OUT4_PortPin_P0_27  +
kSWM_PLU_OUT4_PortPin_P0_27 

port_pin number P0_27.

kSWM_PLU_OUT5_PortPin_P0_12  +
kSWM_PLU_OUT5_PortPin_P0_12 

port_pin number P0_12.

kSWM_PLU_OUT5_PortPin_P0_19  +
kSWM_PLU_OUT5_PortPin_P0_19 

port_pin number P0_19.

kSWM_PLU_OUT5_PortPin_P0_28  +
kSWM_PLU_OUT5_PortPin_P0_28 

port_pin number P0_28.

kSWM_PLU_OUT6_PortPin_P0_13  +
kSWM_PLU_OUT6_PortPin_P0_13 

port_pin number P0_13.

kSWM_PLU_OUT6_PortPin_P0_20  +
kSWM_PLU_OUT6_PortPin_P0_20 

port_pin number P0_20.

kSWM_PLU_OUT6_PortPin_P0_29  +
kSWM_PLU_OUT6_PortPin_P0_29 

port_pin number P0_29.

kSWM_PLU_OUT7_PortPin_P0_14  +
kSWM_PLU_OUT7_PortPin_P0_14 

port_pin number P0_14.

kSWM_PLU_OUT7_PortPin_P0_21  +
kSWM_PLU_OUT7_PortPin_P0_21 

port_pin number P0_21.

kSWM_PLU_OUT7_PortPin_P0_30  +
kSWM_PLU_OUT7_PortPin_P0_30 

port_pin number P0_30.

- +
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Enumerator
kSWM_PortPin_P0_0  +
Enumerator
kSWM_PortPin_P0_0 

port_pin number P0_0.

kSWM_PortPin_P0_1  +
kSWM_PortPin_P0_1 

port_pin number P0_1.

kSWM_PortPin_P0_2  +
kSWM_PortPin_P0_2 

port_pin number P0_2.

kSWM_PortPin_P0_3  +
kSWM_PortPin_P0_3 

port_pin number P0_3.

kSWM_PortPin_P0_4  +
kSWM_PortPin_P0_4 

port_pin number P0_4.

kSWM_PortPin_P0_5  +
kSWM_PortPin_P0_5 

port_pin number P0_5.

kSWM_PortPin_P0_6  +
kSWM_PortPin_P0_6 

port_pin number P0_6.

kSWM_PortPin_P0_7  +
kSWM_PortPin_P0_7 

port_pin number P0_7.

kSWM_PortPin_P0_8  +
kSWM_PortPin_P0_8 

port_pin number P0_8.

kSWM_PortPin_P0_9  +
kSWM_PortPin_P0_9 

port_pin number P0_9.

kSWM_PortPin_P0_10  +
kSWM_PortPin_P0_10 

port_pin number P0_10.

kSWM_PortPin_P0_11  +
kSWM_PortPin_P0_11 

port_pin number P0_11.

kSWM_PortPin_P0_12  +
kSWM_PortPin_P0_12 

port_pin number P0_12.

kSWM_PortPin_P0_13  +
kSWM_PortPin_P0_13 

port_pin number P0_13.

kSWM_PortPin_P0_14  +
kSWM_PortPin_P0_14 

port_pin number P0_14.

kSWM_PortPin_P0_15  +
kSWM_PortPin_P0_15 

port_pin number P0_15.

kSWM_PortPin_P0_16  +
kSWM_PortPin_P0_16 

port_pin number P0_16.

kSWM_PortPin_P0_17  +
kSWM_PortPin_P0_17 

port_pin number P0_17.

kSWM_PortPin_P0_18  +
kSWM_PortPin_P0_18 

port_pin number P0_18.

kSWM_PortPin_P0_19  +
kSWM_PortPin_P0_19 

port_pin number P0_19.

kSWM_PortPin_P0_20  +
kSWM_PortPin_P0_20 

port_pin number P0_20.

kSWM_PortPin_P0_21  +
kSWM_PortPin_P0_21 

port_pin number P0_21.

kSWM_PortPin_P0_22  +
kSWM_PortPin_P0_22 

port_pin number P0_22.

kSWM_PortPin_P0_23  +
kSWM_PortPin_P0_23 

port_pin number P0_23.

kSWM_PortPin_P0_24  +
kSWM_PortPin_P0_24 

port_pin number P0_24.

kSWM_PortPin_P0_25  +
kSWM_PortPin_P0_25 

port_pin number P0_25.

kSWM_PortPin_P0_26  +
kSWM_PortPin_P0_26 

port_pin number P0_26.

kSWM_PortPin_P0_27  +
kSWM_PortPin_P0_27 

port_pin number P0_27.

kSWM_PortPin_P0_28  +
kSWM_PortPin_P0_28 

port_pin number P0_28.

kSWM_PortPin_P0_29  +
kSWM_PortPin_P0_29 

port_pin number P0_29.

kSWM_PortPin_P0_30  +
kSWM_PortPin_P0_30 

port_pin number P0_30.

kSWM_PortPin_P0_31  +
kSWM_PortPin_P0_31 

port_pin number P0_31.

kSWM_PortPin_Reset  +
kSWM_PortPin_Reset 

port_pin reset number.

- +
- - - - - - - - - - - - - - -
Enumerator
kSWM_PLU_INPUT0  +
Enumerator
kSWM_PLU_INPUT0 

Movable function as PLU_INPUT0.

kSWM_PLU_INPUT1  +
kSWM_PLU_INPUT1 

Movable function as PLU_INPUT1.

kSWM_PLU_INPUT2  +
kSWM_PLU_INPUT2 

Movable function as PLU_INPUT2.

kSWM_PLU_INPUT3  +
kSWM_PLU_INPUT3 

Movable function as PLU_INPUT3.

kSWM_PLU_INPUT4  +
kSWM_PLU_INPUT4 

Movable function as PLU_INPUT4.

kSWM_PLU_INPUT5  +
kSWM_PLU_INPUT5 

Movable function as PLU_INPUT5.

kSWM_PLU_OUT0  +
kSWM_PLU_OUT0 

Movable function as PLU_OUT0.

kSWM_PLU_OUT1  +
kSWM_PLU_OUT1 

Movable function as PLU_OUT1.

kSWM_PLU_OUT2  +
kSWM_PLU_OUT2 

Movable function as PLU_OUT2.

kSWM_PLU_OUT3  +
kSWM_PLU_OUT3 

Movable function as PLU_OUT3.

kSWM_PLU_OUT4  +
kSWM_PLU_OUT4 

Movable function as PLU_OUT4.

kSWM_PLU_OUT5  +
kSWM_PLU_OUT5 

Movable function as PLU_OUT5.

kSWM_PLU_OUT6  +
kSWM_PLU_OUT6 

Movable function as PLU_OUT6.

kSWM_PLU_OUT7  +
kSWM_PLU_OUT7 

Movable function as PLU_OUT7.

kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS  +
kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS 

Movable function number.

- +
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Enumerator
kSWM_USART0_TXD  +
Enumerator
kSWM_USART0_TXD 

Movable function as USART0_TXD.

kSWM_USART0_RXD  +
kSWM_USART0_RXD 

Movable function as USART0_RXD.

kSWM_USART0_RTS  +
kSWM_USART0_RTS 

Movable function as USART0_RTS.

kSWM_USART0_CTS  +
kSWM_USART0_CTS 

Movable function as USART0_CTS.

kSWM_USART0_SCLK  +
kSWM_USART0_SCLK 

Movable function as USART0_SCLK.

kSWM_USART1_TXD  +
kSWM_USART1_TXD 

Movable function as USART1_TXD.

kSWM_USART1_RXD  +
kSWM_USART1_RXD 

Movable function as USART1_RXD.

kSWM_USART1_SCLK  +
kSWM_USART1_SCLK 

Movable function as USART1_SCLK.

kSWM_SPI0_SCK  +
kSWM_SPI0_SCK 

Movable function as SPI0_SCK.

kSWM_SPI0_MOSI  +
kSWM_SPI0_MOSI 

Movable function as SPI0_MOSI.

kSWM_SPI0_MISO  +
kSWM_SPI0_MISO 

Movable function as SPI0_MISO.

kSWM_SPI0_SSEL0  +
kSWM_SPI0_SSEL0 

Movable function as SPI0_SSEL0.

kSWM_SPI0_SSEL1  +
kSWM_SPI0_SSEL1 

Movable function as SPI0_SSEL1.

kSWM_T0_CAP_CHN0  +
kSWM_T0_CAP_CHN0 

Movable function as Timer Capture Channel 0.

kSWM_T0_CAP_CHN1  +
kSWM_T0_CAP_CHN1 

Movable function as Timer Capture Channel 1.

kSWM_T0_CAP_CHN2  +
kSWM_T0_CAP_CHN2 

Movable function as Timer Capture Channel 2.

kSWM_T0_MAT_CHN0  +
kSWM_T0_MAT_CHN0 

Movable function as Timer Match Channel 0.

kSWM_T0_MAT_CHN1  +
kSWM_T0_MAT_CHN1 

Movable function as Timer Match Channel 1.

kSWM_T0_MAT_CHN2  +
kSWM_T0_MAT_CHN2 

Movable function as Timer Match Channel 2.

kSWM_T0_MAT_CHN3  +
kSWM_T0_MAT_CHN3 

Movable function as Timer Match Channel 3.

kSWM_I2C0_SDA  +
kSWM_I2C0_SDA 

Movable function as I2C0_SDA.

kSWM_I2C0_SCL  +
kSWM_I2C0_SCL 

Movable function as I2C0_SCL.

kSWM_ACMP_OUT  +
kSWM_ACMP_OUT 

Movable function as ACMP_OUT.

kSWM_CLKOUT  +
kSWM_CLKOUT 

Movable function as CLKOUT.

kSWM_GPIO_INT_BMAT  +
kSWM_GPIO_INT_BMAT 

Movable function as GPIO_INT_BMAT.

kSWM_LVLSHFT_IN0  +
kSWM_LVLSHFT_IN0 

Movable function as LVLSHFT_IN0.

kSWM_LVLSHFT_IN1  +
kSWM_LVLSHFT_IN1 

Movable function as LVLSHFT_IN1.

kSWM_LVLSHFT_OUT0  +
kSWM_LVLSHFT_OUT0 

Movable function as LVLSHFT_OUT0.

kSWM_LVLSHFT_OUT1  +
kSWM_LVLSHFT_OUT1 

Movable function as LVLSHFT_OUT1.

kSWM_I2C1_SDA  +
kSWM_I2C1_SDA 

Movable function as I2C1_SDA.

kSWM_I2C1_SCL  +
kSWM_I2C1_SCL 

Movable function as I2C1_SCL.

kSWM_PLU_CLKIN_IN  +
kSWM_PLU_CLKIN_IN 

Movable function as PLU_CLKIN_IN.

kSWM_CAPT_X0  +
kSWM_CAPT_X0 

Movable function as CAPT_X0.

kSWM_CAPT_X1  +
kSWM_CAPT_X1 

Movable function as CAPT_X1.

kSWM_CAPT_X2  +
kSWM_CAPT_X2 

Movable function as CAPT_X2.

kSWM_CAPT_X3  +
kSWM_CAPT_X3 

Movable function as CAPT_X3.

kSWM_CAPT_X4  +
kSWM_CAPT_X4 

Movable function as CAPT_X4.

kSWM_CAPT_YL  +
kSWM_CAPT_YL 

Movable function as CAPT_YL.

kSWM_CAPT_YH  +
kSWM_CAPT_YH 

Movable function as CAPT_YH.

kSWM_MOVABLE_NUM_FUNCS  +
kSWM_MOVABLE_NUM_FUNCS 

Movable function number.

- +
- - - - - - - - - - - - - - - - - - - - - - - - -
Enumerator
kSWM_ACMP_INPUT1  +
Enumerator
kSWM_ACMP_INPUT1 

Fixed-pin function as ACMP_INPUT1.

kSWM_ACMP_INPUT2  +
kSWM_ACMP_INPUT2 

Fixed-pin function as ACMP_INPUT2.

kSWM_ACMP_INPUT3  +
kSWM_ACMP_INPUT3 

Fixed-pin function as ACMP_INPUT3.

kSWM_ACMP_INPUT4  +
kSWM_ACMP_INPUT4 

Fixed-pin function as ACMP_INPUT4.

kSWM_SWCLK  +
kSWM_SWCLK 

Fixed-pin function as SWCLK.

kSWM_SWDIO  +
kSWM_SWDIO 

Fixed-pin function as SWDIO.

kSWM_RESETN  +
kSWM_RESETN 

Fixed-pin function as RESETN.

kSWM_CLKIN  +
kSWM_CLKIN 

Fixed-pin function as CLKIN.

kSWM_WKCLKIN  +
kSWM_WKCLKIN 

Fixed-pin function as WKCLKIN.

kSWM_VDDCMP  +
kSWM_VDDCMP 

Fixed-pin function as VDDCMP.

kSWM_ADC_CHN0  +
kSWM_ADC_CHN0 

Fixed-pin function as ADC_CHN0.

kSWM_ADC_CHN1  +
kSWM_ADC_CHN1 

Fixed-pin function as ADC_CHN1.

kSWM_ADC_CHN2  +
kSWM_ADC_CHN2 

Fixed-pin function as ADC_CHN2.

kSWM_ADC_CHN3  +
kSWM_ADC_CHN3 

Fixed-pin function as ADC_CHN3.

kSWM_ADC_CHN4  +
kSWM_ADC_CHN4 

Fixed-pin function as ADC_CHN4.

kSWM_ADC_CHN5  +
kSWM_ADC_CHN5 

Fixed-pin function as ADC_CHN5.

kSWM_ADC_CHN6  +
kSWM_ADC_CHN6 

Fixed-pin function as ADC_CHN6.

kSWM_ADC_CHN7  +
kSWM_ADC_CHN7 

Fixed-pin function as ADC_CHN7.

kSWM_ADC_CHN8  +
kSWM_ADC_CHN8 

Fixed-pin function as ADC_CHN8.

kSWM_ADC_CHN9  +
kSWM_ADC_CHN9 

Fixed-pin function as ADC_CHN9.

kSWM_ADC_CHN10  +
kSWM_ADC_CHN10 

Fixed-pin function as ADC_CHN10.

kSWM_ADC_CHN11  +
kSWM_ADC_CHN11 

Fixed-pin function as ADC_CHN11.

kSWM_ACMP_INPUT5  +
kSWM_ACMP_INPUT5 

Fixed-pin function as ACMP_INPUT5.

kSWM_DAC_OUT0  +
kSWM_DAC_OUT0 

Fixed-pin function as DACOUT0.

kSWM_FIXEDPIN_NUM_FUNCS  +
kSWM_FIXEDPIN_NUM_FUNCS 

Fixed-pin function number.

@@ -1031,13 +1057,54 @@ Driver version - swm_select_movable_t  + swm_select_movable_t  func, - swm_port_pin_type_t  + swm_port_pin_type_t  + swm_port_pin  + + + + ) + + + +
+

This function will selects a pin (designated by its GPIO port and bit numbers) to a function.

+
Parameters
+ + + + +
baseSWM peripheral base address.
funcany function name that is movable.
swm_port_pinany pin which has a GPIO port number and bit number.
+
+
+ +
+
+ +
+
+ + + + + + + + + + + + + + + + + @@ -1072,7 +1139,7 @@ Driver version - + diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00090.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00090.js index c1a1e1b..dd261f6 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00090.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00090.js @@ -1,171 +1,177 @@ var a00090 = [ [ "FSL_SWM_DRIVER_VERSION", "a00090.html#gafa0f32bbd70b73222cc401d997b1f243", null ], - [ "swm_fixed_port_pin_type_t", "a00090.html#gabf427dd8dd6c86d0fac4f05b30e54475", [ - [ "kSWM_PLU_INPUT0_PortPin_P0_0", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9238a533be8bd8e0955b7a90f5ae4d44", null ], - [ "kSWM_PLU_INPUT0_PortPin_P0_8", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ad0716ea2f10ff5fbd04234889b1e53ed", null ], - [ "kSWM_PLU_INPUT0_PortPin_P0_17", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a34bca304086fb621de87b04528f8164b", null ], - [ "kSWM_PLU_INPUT1_PortPin_P0_1", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af15b31098ffc1b3561d7ffbfd191c2e3", null ], - [ "kSWM_PLU_INPUT1_PortPin_P0_9", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa54388872ede616ae8431f22adf9b883", null ], - [ "kSWM_PLU_INPUT1_PortPin_P0_18", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475afa22c48b958dcc1d146a381ceb411dc6", null ], - [ "kSWM_PLU_INPUT2_PortPin_P0_2", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a7ac7b3d83eff1aca3ca60bf8102dac", null ], - [ "kSWM_PLU_INPUT2_PortPin_P0_10", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2e20c680e47cfed45e9ccd1462b921a1", null ], - [ "kSWM_PLU_INPUT2_PortPin_P0_19", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a576c74aa596dfebd41123a123b1e086f", null ], - [ "kSWM_PLU_INPUT3_PortPin_P0_3", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ae557054f417e495346ddcc3e7f7162ba", null ], - [ "kSWM_PLU_INPUT3_PortPin_P0_11", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0ff213062c17f56f0bd74cf6510b1ee", null ], - [ "kSWM_PLU_INPUT3_PortPin_P0_20", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af6c85c442a0709477e5729c9dde36fdc", null ], - [ "kSWM_PLU_INPUT4_PortPin_P0_4", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a88cbbe93397f750184a74a2fb1635441", null ], - [ "kSWM_PLU_INPUT4_PortPin_P0_12", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a09729e747b6419e05e6b80eecd08b380", null ], - [ "kSWM_PLU_INPUT4_PortPin_P0_21", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af8ebc1a1ac7242706e0a4d3254de8a1a", null ], - [ "kSWM_PLU_INPUT5_PortPin_P0_5", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a4de20ff3218f9af0175dfba0a1aaa38d", null ], - [ "kSWM_PLU_INPUT5_PortPin_P0_13", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a30425caf231771228aa99f2871efa005", null ], - [ "kSWM_PLU_INPUT5_PortPin_P0_22", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a78528eef89bede351c7f85b2de156747", null ], - [ "kSWM_PLU_OUT0_PortPin_P0_7", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475acf4d35c0b2416225ace1794d2316d78b", null ], - [ "kSWM_PLU_OUT0_PortPin_P0_14", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa99b5d54d06869f8977cf41527daf915", null ], - [ "kSWM_PLU_OUT0_PortPin_P0_23", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a8b81353af093a8df62363344a1359c42", null ], - [ "kSWM_PLU_OUT1_PortPin_P0_8", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ac53270179d009a402596effdc9ebabdc", null ], - [ "kSWM_PLU_OUT1_PortPin_P0_15", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0c66d3751768e52c7b283f7c94b17bce", null ], - [ "kSWM_PLU_OUT1_PortPin_P0_24", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a1b0e5339f4df77ac5085a16d8d0ff7", null ], - [ "kSWM_PLU_OUT2_PortPin_P0_9", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af3bbdfacb373ba7dbcbedde68406d03f", null ], - [ "kSWM_PLU_OUT2_PortPin_P0_16", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475acc1e82634c86fc3ee7c0a50026e901ad", null ], - [ "kSWM_PLU_OUT2_PortPin_P0_25", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2d217651e60f137293707c19d717af37", null ], - [ "kSWM_PLU_OUT3_PortPin_P0_10", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa9aeb1c6417d18b72b3d94aec5ac7431", null ], - [ "kSWM_PLU_OUT3_PortPin_P0_17", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a77450c6220c5a32fde70c7e91d3edbb0", null ], - [ "kSWM_PLU_OUT3_PortPin_P0_26", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0c298ece28ee4367783dcf472fe20b6", null ], - [ "kSWM_PLU_OUT4_PortPin_P0_11", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2da86e45befea6a916816c5e523daad5", null ], - [ "kSWM_PLU_OUT4_PortPin_P0_18", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a73d53097414e5fc5f4cbe2bcdc5b16d1", null ], - [ "kSWM_PLU_OUT4_PortPin_P0_27", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a58d7c20b50aaa229094c5dc60a0cb14b", null ], - [ "kSWM_PLU_OUT5_PortPin_P0_12", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9c78a8bb274fb2604cb4e80af4da988c", null ], - [ "kSWM_PLU_OUT5_PortPin_P0_19", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa4096fcdb3eb88b567870cf2f250208e", null ], - [ "kSWM_PLU_OUT5_PortPin_P0_28", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a31d722ef719c89924cd70efe94723ad3", null ], - [ "kSWM_PLU_OUT6_PortPin_P0_13", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a7ea7748ab2a2bb448eca9daab449a9fa", null ], - [ "kSWM_PLU_OUT6_PortPin_P0_20", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a89ea9c75bd1ef71e7f1d4c52544dad9f", null ], - [ "kSWM_PLU_OUT6_PortPin_P0_29", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2b352e3ffe67686dac289a8f8d86fba0", null ], - [ "kSWM_PLU_OUT7_PortPin_P0_14", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0038fe47f7308af0fb046b6977b5928e", null ], - [ "kSWM_PLU_OUT7_PortPin_P0_21", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af1e2e2ccc38fec30ae5b3e617524cdab", null ], - [ "kSWM_PLU_OUT7_PortPin_P0_30", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0ead9e203d786e410f1d21f19f02aed2", null ] + [ "swm_fixed_port_pin_type_t", "a00090.html#ga3d2fde56893738e6acf749dddcc0465d", null ], + [ "swm_port_pin_type_t", "a00090.html#ga8c2cb512971948e5b2bfb39cd2bb6b2e", null ], + [ "swm_select_fixed_movable_t", "a00090.html#ga7a807bf49269e8123e7bf48eae6f087c", null ], + [ "swm_select_movable_t", "a00090.html#gaa8cb4270c47608c85b36f9bca1b6c154", null ], + [ "swm_select_fixed_pin_t", "a00090.html#gac13cd10cc57b9f6378016c5bba9163f1", null ], + [ "_swm_pinassignfixed_port_pin_type_t", "a00090.html#ga0330b1b5a30c88e7988cab35982afa79", [ + [ "kSWM_PLU_INPUT0_PortPin_P0_0", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a9238a533be8bd8e0955b7a90f5ae4d44", null ], + [ "kSWM_PLU_INPUT0_PortPin_P0_8", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79ad0716ea2f10ff5fbd04234889b1e53ed", null ], + [ "kSWM_PLU_INPUT0_PortPin_P0_17", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a34bca304086fb621de87b04528f8164b", null ], + [ "kSWM_PLU_INPUT1_PortPin_P0_1", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79af15b31098ffc1b3561d7ffbfd191c2e3", null ], + [ "kSWM_PLU_INPUT1_PortPin_P0_9", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa54388872ede616ae8431f22adf9b883", null ], + [ "kSWM_PLU_INPUT1_PortPin_P0_18", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79afa22c48b958dcc1d146a381ceb411dc6", null ], + [ "kSWM_PLU_INPUT2_PortPin_P0_2", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a5a7ac7b3d83eff1aca3ca60bf8102dac", null ], + [ "kSWM_PLU_INPUT2_PortPin_P0_10", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a2e20c680e47cfed45e9ccd1462b921a1", null ], + [ "kSWM_PLU_INPUT2_PortPin_P0_19", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a576c74aa596dfebd41123a123b1e086f", null ], + [ "kSWM_PLU_INPUT3_PortPin_P0_3", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79ae557054f417e495346ddcc3e7f7162ba", null ], + [ "kSWM_PLU_INPUT3_PortPin_P0_11", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa0ff213062c17f56f0bd74cf6510b1ee", null ], + [ "kSWM_PLU_INPUT3_PortPin_P0_20", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79af6c85c442a0709477e5729c9dde36fdc", null ], + [ "kSWM_PLU_INPUT4_PortPin_P0_4", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a88cbbe93397f750184a74a2fb1635441", null ], + [ "kSWM_PLU_INPUT4_PortPin_P0_12", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a09729e747b6419e05e6b80eecd08b380", null ], + [ "kSWM_PLU_INPUT4_PortPin_P0_21", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79af8ebc1a1ac7242706e0a4d3254de8a1a", null ], + [ "kSWM_PLU_INPUT5_PortPin_P0_5", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a4de20ff3218f9af0175dfba0a1aaa38d", null ], + [ "kSWM_PLU_INPUT5_PortPin_P0_13", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a30425caf231771228aa99f2871efa005", null ], + [ "kSWM_PLU_INPUT5_PortPin_P0_22", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a78528eef89bede351c7f85b2de156747", null ], + [ "kSWM_PLU_OUT0_PortPin_P0_7", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79acf4d35c0b2416225ace1794d2316d78b", null ], + [ "kSWM_PLU_OUT0_PortPin_P0_14", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa99b5d54d06869f8977cf41527daf915", null ], + [ "kSWM_PLU_OUT0_PortPin_P0_23", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a8b81353af093a8df62363344a1359c42", null ], + [ "kSWM_PLU_OUT1_PortPin_P0_8", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79ac53270179d009a402596effdc9ebabdc", null ], + [ "kSWM_PLU_OUT1_PortPin_P0_15", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a0c66d3751768e52c7b283f7c94b17bce", null ], + [ "kSWM_PLU_OUT1_PortPin_P0_24", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a5a1b0e5339f4df77ac5085a16d8d0ff7", null ], + [ "kSWM_PLU_OUT2_PortPin_P0_9", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79af3bbdfacb373ba7dbcbedde68406d03f", null ], + [ "kSWM_PLU_OUT2_PortPin_P0_16", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79acc1e82634c86fc3ee7c0a50026e901ad", null ], + [ "kSWM_PLU_OUT2_PortPin_P0_25", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a2d217651e60f137293707c19d717af37", null ], + [ "kSWM_PLU_OUT3_PortPin_P0_10", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa9aeb1c6417d18b72b3d94aec5ac7431", null ], + [ "kSWM_PLU_OUT3_PortPin_P0_17", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a77450c6220c5a32fde70c7e91d3edbb0", null ], + [ "kSWM_PLU_OUT3_PortPin_P0_26", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa0c298ece28ee4367783dcf472fe20b6", null ], + [ "kSWM_PLU_OUT4_PortPin_P0_11", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a2da86e45befea6a916816c5e523daad5", null ], + [ "kSWM_PLU_OUT4_PortPin_P0_18", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a73d53097414e5fc5f4cbe2bcdc5b16d1", null ], + [ "kSWM_PLU_OUT4_PortPin_P0_27", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a58d7c20b50aaa229094c5dc60a0cb14b", null ], + [ "kSWM_PLU_OUT5_PortPin_P0_12", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a9c78a8bb274fb2604cb4e80af4da988c", null ], + [ "kSWM_PLU_OUT5_PortPin_P0_19", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa4096fcdb3eb88b567870cf2f250208e", null ], + [ "kSWM_PLU_OUT5_PortPin_P0_28", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a31d722ef719c89924cd70efe94723ad3", null ], + [ "kSWM_PLU_OUT6_PortPin_P0_13", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a7ea7748ab2a2bb448eca9daab449a9fa", null ], + [ "kSWM_PLU_OUT6_PortPin_P0_20", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a89ea9c75bd1ef71e7f1d4c52544dad9f", null ], + [ "kSWM_PLU_OUT6_PortPin_P0_29", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a2b352e3ffe67686dac289a8f8d86fba0", null ], + [ "kSWM_PLU_OUT7_PortPin_P0_14", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a0038fe47f7308af0fb046b6977b5928e", null ], + [ "kSWM_PLU_OUT7_PortPin_P0_21", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79af1e2e2ccc38fec30ae5b3e617524cdab", null ], + [ "kSWM_PLU_OUT7_PortPin_P0_30", "a00090.html#gga0330b1b5a30c88e7988cab35982afa79a0ead9e203d786e410f1d21f19f02aed2", null ] ] ], - [ "swm_port_pin_type_t", "a00090.html#gaca9c780388e187444bfa31a6bcc72d35", [ - [ "kSWM_PortPin_P0_0", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35abf7ea2c0f06252d5e966ca63f23dba2e", null ], - [ "kSWM_PortPin_P0_1", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a9010bbdc4d0ba698a18c200f27586c5a", null ], - [ "kSWM_PortPin_P0_2", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aa8e8084f5ea75a2e776f4a8f8a8d5e61", null ], - [ "kSWM_PortPin_P0_3", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a3a31a8f0c23e7d75db386e0f1705fcb8", null ], - [ "kSWM_PortPin_P0_4", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a933c38c001bf24a62f0c8bf62e9bbbfb", null ], - [ "kSWM_PortPin_P0_5", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a75b66d0e23730af2446bdec683db11d3", null ], - [ "kSWM_PortPin_P0_6", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a4e2797855da4686eb7de9e75c5034d66", null ], - [ "kSWM_PortPin_P0_7", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a8a3af6c1f0f5791666b2c8409321ee0b", null ], - [ "kSWM_PortPin_P0_8", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ab0f66b94af6c72515e705077a0aea28b", null ], - [ "kSWM_PortPin_P0_9", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ace9b73074e1c03234c89b40ecd52a1e6", null ], - [ "kSWM_PortPin_P0_10", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35adb2af26fbb96cad1a3f4d666e3759e39", null ], - [ "kSWM_PortPin_P0_11", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a6514b3be861759fdc7f645c4a8190176", null ], - [ "kSWM_PortPin_P0_12", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a473548308d7fac0d5fa4ac959f81e444", null ], - [ "kSWM_PortPin_P0_13", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a0efea555d71ff08c985b3c002e49f25a", null ], - [ "kSWM_PortPin_P0_14", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ae2a752505db2acdb06e6047c3c447f63", null ], - [ "kSWM_PortPin_P0_15", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a21dd2c94b4ab7b133e9818a5a394f967", null ], - [ "kSWM_PortPin_P0_16", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a0c38ea80f06768e2ad6282fbc11d5187", null ], - [ "kSWM_PortPin_P0_17", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a74b6edcb7dba9f95182a4faa57dd110d", null ], - [ "kSWM_PortPin_P0_18", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a1eb0795f2598b9acf64e7abcb63f78d5", null ], - [ "kSWM_PortPin_P0_19", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a488a17a4e210b5000df9ecc534d24c8b", null ], - [ "kSWM_PortPin_P0_20", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a1127b8f8732d34f2c80daf825bb14853", null ], - [ "kSWM_PortPin_P0_21", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a8ec22695a3c8fbc028cc86299ca76b9a", null ], - [ "kSWM_PortPin_P0_22", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35af2a8176b461addf3d18b1128800dd7ef", null ], - [ "kSWM_PortPin_P0_23", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ae6795c51fac27d7426848568f125a6e8", null ], - [ "kSWM_PortPin_P0_24", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ac61483b0bbae6a06d6789e41aaf450fc", null ], - [ "kSWM_PortPin_P0_25", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a7a625624c8520faff1f2e6b0a1230ba5", null ], - [ "kSWM_PortPin_P0_26", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ac557f3233abd222c5cf7d809e082f94b", null ], - [ "kSWM_PortPin_P0_27", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aea9feb0c4582cb00ea087fef692f7623", null ], - [ "kSWM_PortPin_P0_28", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35af3005bf86c4488117ca1396151d2c2af", null ], - [ "kSWM_PortPin_P0_29", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35acc5bcdfe59c04f2da33835496b3a2ddf", null ], - [ "kSWM_PortPin_P0_30", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aeba0cfeb40308a0bd10bd33298bf9e84", null ], - [ "kSWM_PortPin_P0_31", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a7b0ebc4f977a126eb51422b3df962e87", null ], - [ "kSWM_PortPin_Reset", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a6873cb2ccda9efd34d7fdd75f3829f1c", null ] + [ "_swm_port_pin_type_t", "a00090.html#ga026be0053c5c663a386264d645ab1ef8", [ + [ "kSWM_PortPin_P0_0", "a00090.html#gga026be0053c5c663a386264d645ab1ef8abf7ea2c0f06252d5e966ca63f23dba2e", null ], + [ "kSWM_PortPin_P0_1", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a9010bbdc4d0ba698a18c200f27586c5a", null ], + [ "kSWM_PortPin_P0_2", "a00090.html#gga026be0053c5c663a386264d645ab1ef8aa8e8084f5ea75a2e776f4a8f8a8d5e61", null ], + [ "kSWM_PortPin_P0_3", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a3a31a8f0c23e7d75db386e0f1705fcb8", null ], + [ "kSWM_PortPin_P0_4", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a933c38c001bf24a62f0c8bf62e9bbbfb", null ], + [ "kSWM_PortPin_P0_5", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a75b66d0e23730af2446bdec683db11d3", null ], + [ "kSWM_PortPin_P0_6", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a4e2797855da4686eb7de9e75c5034d66", null ], + [ "kSWM_PortPin_P0_7", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a8a3af6c1f0f5791666b2c8409321ee0b", null ], + [ "kSWM_PortPin_P0_8", "a00090.html#gga026be0053c5c663a386264d645ab1ef8ab0f66b94af6c72515e705077a0aea28b", null ], + [ "kSWM_PortPin_P0_9", "a00090.html#gga026be0053c5c663a386264d645ab1ef8ace9b73074e1c03234c89b40ecd52a1e6", null ], + [ "kSWM_PortPin_P0_10", "a00090.html#gga026be0053c5c663a386264d645ab1ef8adb2af26fbb96cad1a3f4d666e3759e39", null ], + [ "kSWM_PortPin_P0_11", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a6514b3be861759fdc7f645c4a8190176", null ], + [ "kSWM_PortPin_P0_12", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a473548308d7fac0d5fa4ac959f81e444", null ], + [ "kSWM_PortPin_P0_13", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a0efea555d71ff08c985b3c002e49f25a", null ], + [ "kSWM_PortPin_P0_14", "a00090.html#gga026be0053c5c663a386264d645ab1ef8ae2a752505db2acdb06e6047c3c447f63", null ], + [ "kSWM_PortPin_P0_15", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a21dd2c94b4ab7b133e9818a5a394f967", null ], + [ "kSWM_PortPin_P0_16", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a0c38ea80f06768e2ad6282fbc11d5187", null ], + [ "kSWM_PortPin_P0_17", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a74b6edcb7dba9f95182a4faa57dd110d", null ], + [ "kSWM_PortPin_P0_18", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a1eb0795f2598b9acf64e7abcb63f78d5", null ], + [ "kSWM_PortPin_P0_19", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a488a17a4e210b5000df9ecc534d24c8b", null ], + [ "kSWM_PortPin_P0_20", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a1127b8f8732d34f2c80daf825bb14853", null ], + [ "kSWM_PortPin_P0_21", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a8ec22695a3c8fbc028cc86299ca76b9a", null ], + [ "kSWM_PortPin_P0_22", "a00090.html#gga026be0053c5c663a386264d645ab1ef8af2a8176b461addf3d18b1128800dd7ef", null ], + [ "kSWM_PortPin_P0_23", "a00090.html#gga026be0053c5c663a386264d645ab1ef8ae6795c51fac27d7426848568f125a6e8", null ], + [ "kSWM_PortPin_P0_24", "a00090.html#gga026be0053c5c663a386264d645ab1ef8ac61483b0bbae6a06d6789e41aaf450fc", null ], + [ "kSWM_PortPin_P0_25", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a7a625624c8520faff1f2e6b0a1230ba5", null ], + [ "kSWM_PortPin_P0_26", "a00090.html#gga026be0053c5c663a386264d645ab1ef8ac557f3233abd222c5cf7d809e082f94b", null ], + [ "kSWM_PortPin_P0_27", "a00090.html#gga026be0053c5c663a386264d645ab1ef8aea9feb0c4582cb00ea087fef692f7623", null ], + [ "kSWM_PortPin_P0_28", "a00090.html#gga026be0053c5c663a386264d645ab1ef8af3005bf86c4488117ca1396151d2c2af", null ], + [ "kSWM_PortPin_P0_29", "a00090.html#gga026be0053c5c663a386264d645ab1ef8acc5bcdfe59c04f2da33835496b3a2ddf", null ], + [ "kSWM_PortPin_P0_30", "a00090.html#gga026be0053c5c663a386264d645ab1ef8aeba0cfeb40308a0bd10bd33298bf9e84", null ], + [ "kSWM_PortPin_P0_31", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a7b0ebc4f977a126eb51422b3df962e87", null ], + [ "kSWM_PortPin_Reset", "a00090.html#gga026be0053c5c663a386264d645ab1ef8a6873cb2ccda9efd34d7fdd75f3829f1c", null ] ] ], - [ "swm_select_fixed_movable_t", "a00090.html#gabdebb214f81e7c4859bd60752b5958cd", [ - [ "kSWM_PLU_INPUT0", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cda1dea0e7772dbe79bdf2cfb15e7a547a0", null ], - [ "kSWM_PLU_INPUT1", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cdac9ea3883dbd984c68e4049f5fa48903c", null ], - [ "kSWM_PLU_INPUT2", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cda669e23d5037d7508cace2b056a6e5299", null ], - [ "kSWM_PLU_INPUT3", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaf70a738eecaddb8268f264bb7baf6b9b", null ], - [ "kSWM_PLU_INPUT4", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cda8b3379b03023f7f9057837109b142230", null ], - [ "kSWM_PLU_INPUT5", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cdae66a048f12b6af45145f2747fe9de530", null ], - [ "kSWM_PLU_OUT0", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaa2fbf0c2913f92571b79aebda5d5cec6", null ], - [ "kSWM_PLU_OUT1", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cda865830e9fdc6bf03bf1d477ca3c6a6ca", null ], - [ "kSWM_PLU_OUT2", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cda5bb0b796d35f26e384e79aea47cf62b7", null ], - [ "kSWM_PLU_OUT3", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cdad6866a46cce2335ee7228f696af14ea2", null ], - [ "kSWM_PLU_OUT4", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaef14d94c177e35d440f3549aeed9c7b8", null ], - [ "kSWM_PLU_OUT5", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cda66b170fb8a6d54c31eacce78c619adbf", null ], - [ "kSWM_PLU_OUT6", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cdafae5eae69465f413508aac6ac906b7fe", null ], - [ "kSWM_PLU_OUT7", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaafdc30e41c762800c39cd92cbffb2b31", null ], - [ "kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cdab1344f53d421d647d2f85460ff8630df", null ] + [ "_swm_pinassignfixed_select_movable_t", "a00090.html#gadaa2f3f3cbfd49301b5bf9243b9bec15", [ + [ "kSWM_PLU_INPUT0", "a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a1dea0e7772dbe79bdf2cfb15e7a547a0", null ], + [ "kSWM_PLU_INPUT1", "a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15ac9ea3883dbd984c68e4049f5fa48903c", null ], + [ "kSWM_PLU_INPUT2", "a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a669e23d5037d7508cace2b056a6e5299", null ], + [ "kSWM_PLU_INPUT3", "a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15af70a738eecaddb8268f264bb7baf6b9b", null ], + [ "kSWM_PLU_INPUT4", "a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a8b3379b03023f7f9057837109b142230", null ], + [ "kSWM_PLU_INPUT5", "a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15ae66a048f12b6af45145f2747fe9de530", null ], + [ "kSWM_PLU_OUT0", "a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15aa2fbf0c2913f92571b79aebda5d5cec6", null ], + [ "kSWM_PLU_OUT1", "a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a865830e9fdc6bf03bf1d477ca3c6a6ca", null ], + [ "kSWM_PLU_OUT2", "a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a5bb0b796d35f26e384e79aea47cf62b7", null ], + [ "kSWM_PLU_OUT3", "a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15ad6866a46cce2335ee7228f696af14ea2", null ], + [ "kSWM_PLU_OUT4", "a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15aef14d94c177e35d440f3549aeed9c7b8", null ], + [ "kSWM_PLU_OUT5", "a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a66b170fb8a6d54c31eacce78c619adbf", null ], + [ "kSWM_PLU_OUT6", "a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15afae5eae69465f413508aac6ac906b7fe", null ], + [ "kSWM_PLU_OUT7", "a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15aafdc30e41c762800c39cd92cbffb2b31", null ], + [ "kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS", "a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15ab1344f53d421d647d2f85460ff8630df", null ] ] ], - [ "swm_select_movable_t", "a00090.html#ga45575871cafcadc50292b9bba6b9d13d", [ - [ "kSWM_USART0_TXD", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da7a0b07e7613ca95cbae02f51e74338ae", null ], - [ "kSWM_USART0_RXD", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dac38fd128ae8088af0ab82bb9e1ab2b0e", null ], - [ "kSWM_USART0_RTS", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da83269426ac6b85e729bd940e7c6bc168", null ], - [ "kSWM_USART0_CTS", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dacf5086c4d10114ed1e2adf80ef0c1d8e", null ], - [ "kSWM_USART0_SCLK", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da95076efb390207c31347c9d6eaf7a568", null ], - [ "kSWM_USART1_TXD", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da3ca0015b97fb5a1b24b1f6fda113488d", null ], - [ "kSWM_USART1_RXD", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da40ca641c0138d551c28bb9dd184bf948", null ], - [ "kSWM_USART1_SCLK", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da209dc130cd5427683bedca3187726030", null ], - [ "kSWM_SPI0_SCK", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dabd1859e7fd97d6aad4edf95f92eb1df0", null ], - [ "kSWM_SPI0_MOSI", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dad6e9059822d87be57e82960aa79d9229", null ], - [ "kSWM_SPI0_MISO", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da84653c7b62da4f773676a39a2abe597a", null ], - [ "kSWM_SPI0_SSEL0", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dadeb15fd0fbfb9f438e22762cad170359", null ], - [ "kSWM_SPI0_SSEL1", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dacdcd02ea5803089ebcd609e227acab8b", null ], - [ "kSWM_T0_CAP_CHN0", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da14bfd78536056ebdc4d2bfed0438b5cf", null ], - [ "kSWM_T0_CAP_CHN1", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da048cac659ef579d1358cc6d2a7db2c3a", null ], - [ "kSWM_T0_CAP_CHN2", "a00090.html#gga45575871cafcadc50292b9bba6b9d13daef5103aadc41068ca6157543520491b2", null ], - [ "kSWM_T0_MAT_CHN0", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da0ac9c784851c59d1c334fba730bdc091", null ], - [ "kSWM_T0_MAT_CHN1", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da4be08849669f9f086a238335662c6ac1", null ], - [ "kSWM_T0_MAT_CHN2", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da11e574aeb2ec56f282a103d78c49ccbe", null ], - [ "kSWM_T0_MAT_CHN3", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da3ab2e3d866e55d84dc2c342eb660c0d5", null ], - [ "kSWM_I2C0_SDA", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da679e93580df161b665365f658345ed65", null ], - [ "kSWM_I2C0_SCL", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da6f0a53c70373fdbf41edf57122669a47", null ], - [ "kSWM_ACMP_OUT", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da76769594d29a6d8659c5c625b4212a24", null ], - [ "kSWM_CLKOUT", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da867964bdb44cfca767b2fe212243ad68", null ], - [ "kSWM_GPIO_INT_BMAT", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da9e23b0bd838b14f99f22d1ae76d63143", null ], - [ "kSWM_LVLSHFT_IN0", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dacd8498beecae42d50d55fd9135fa5554", null ], - [ "kSWM_LVLSHFT_IN1", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da6edbcf66e2b9d21b36413d5913952b7b", null ], - [ "kSWM_LVLSHFT_OUT0", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da4bfff10a0df16a23aebb02bc2a19f662", null ], - [ "kSWM_LVLSHFT_OUT1", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da167b30e083150f7ef078b3fc15b9a2fb", null ], - [ "kSWM_I2C1_SDA", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dab15fc1b1ba8e4f1a35fc314c60b467be", null ], - [ "kSWM_I2C1_SCL", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da5ba6a30f56eb6e001abb178bb215469a", null ], - [ "kSWM_PLU_CLKIN_IN", "a00090.html#gga45575871cafcadc50292b9bba6b9d13daf4805a2bfb2a47017d373209a10ce52d", null ], - [ "kSWM_CAPT_X0", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da5673e5074470fd8c7773f987a45612ab", null ], - [ "kSWM_CAPT_X1", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da74e21d63631f6e3eeae57269cc597ff9", null ], - [ "kSWM_CAPT_X2", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dae11d56486ef46dfad9ea855f223483f2", null ], - [ "kSWM_CAPT_X3", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da144b0c83acca82fa910848f7587af18e", null ], - [ "kSWM_CAPT_X4", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dadad77032cc009f3690361c9f4d552cdd", null ], - [ "kSWM_CAPT_YL", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dabe002214e7dc409f243527310e689060", null ], - [ "kSWM_CAPT_YH", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da839653f003a5f245984cbbb3e0ded090", null ], - [ "kSWM_MOVABLE_NUM_FUNCS", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dac735c6243e08a73181d0f0f8f6c130bb", null ] + [ "_swm_select_movable_t", "a00090.html#ga0de8c58793b6da2e30d6b2102344d185", [ + [ "kSWM_USART0_TXD", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a7a0b07e7613ca95cbae02f51e74338ae", null ], + [ "kSWM_USART0_RXD", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185ac38fd128ae8088af0ab82bb9e1ab2b0e", null ], + [ "kSWM_USART0_RTS", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a83269426ac6b85e729bd940e7c6bc168", null ], + [ "kSWM_USART0_CTS", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185acf5086c4d10114ed1e2adf80ef0c1d8e", null ], + [ "kSWM_USART0_SCLK", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a95076efb390207c31347c9d6eaf7a568", null ], + [ "kSWM_USART1_TXD", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a3ca0015b97fb5a1b24b1f6fda113488d", null ], + [ "kSWM_USART1_RXD", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a40ca641c0138d551c28bb9dd184bf948", null ], + [ "kSWM_USART1_SCLK", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a209dc130cd5427683bedca3187726030", null ], + [ "kSWM_SPI0_SCK", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185abd1859e7fd97d6aad4edf95f92eb1df0", null ], + [ "kSWM_SPI0_MOSI", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185ad6e9059822d87be57e82960aa79d9229", null ], + [ "kSWM_SPI0_MISO", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a84653c7b62da4f773676a39a2abe597a", null ], + [ "kSWM_SPI0_SSEL0", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185adeb15fd0fbfb9f438e22762cad170359", null ], + [ "kSWM_SPI0_SSEL1", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185acdcd02ea5803089ebcd609e227acab8b", null ], + [ "kSWM_T0_CAP_CHN0", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a14bfd78536056ebdc4d2bfed0438b5cf", null ], + [ "kSWM_T0_CAP_CHN1", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a048cac659ef579d1358cc6d2a7db2c3a", null ], + [ "kSWM_T0_CAP_CHN2", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185aef5103aadc41068ca6157543520491b2", null ], + [ "kSWM_T0_MAT_CHN0", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a0ac9c784851c59d1c334fba730bdc091", null ], + [ "kSWM_T0_MAT_CHN1", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a4be08849669f9f086a238335662c6ac1", null ], + [ "kSWM_T0_MAT_CHN2", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a11e574aeb2ec56f282a103d78c49ccbe", null ], + [ "kSWM_T0_MAT_CHN3", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a3ab2e3d866e55d84dc2c342eb660c0d5", null ], + [ "kSWM_I2C0_SDA", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a679e93580df161b665365f658345ed65", null ], + [ "kSWM_I2C0_SCL", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a6f0a53c70373fdbf41edf57122669a47", null ], + [ "kSWM_ACMP_OUT", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a76769594d29a6d8659c5c625b4212a24", null ], + [ "kSWM_CLKOUT", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a867964bdb44cfca767b2fe212243ad68", null ], + [ "kSWM_GPIO_INT_BMAT", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a9e23b0bd838b14f99f22d1ae76d63143", null ], + [ "kSWM_LVLSHFT_IN0", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185acd8498beecae42d50d55fd9135fa5554", null ], + [ "kSWM_LVLSHFT_IN1", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a6edbcf66e2b9d21b36413d5913952b7b", null ], + [ "kSWM_LVLSHFT_OUT0", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a4bfff10a0df16a23aebb02bc2a19f662", null ], + [ "kSWM_LVLSHFT_OUT1", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a167b30e083150f7ef078b3fc15b9a2fb", null ], + [ "kSWM_I2C1_SDA", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185ab15fc1b1ba8e4f1a35fc314c60b467be", null ], + [ "kSWM_I2C1_SCL", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a5ba6a30f56eb6e001abb178bb215469a", null ], + [ "kSWM_PLU_CLKIN_IN", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185af4805a2bfb2a47017d373209a10ce52d", null ], + [ "kSWM_CAPT_X0", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a5673e5074470fd8c7773f987a45612ab", null ], + [ "kSWM_CAPT_X1", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a74e21d63631f6e3eeae57269cc597ff9", null ], + [ "kSWM_CAPT_X2", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185ae11d56486ef46dfad9ea855f223483f2", null ], + [ "kSWM_CAPT_X3", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a144b0c83acca82fa910848f7587af18e", null ], + [ "kSWM_CAPT_X4", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185adad77032cc009f3690361c9f4d552cdd", null ], + [ "kSWM_CAPT_YL", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185abe002214e7dc409f243527310e689060", null ], + [ "kSWM_CAPT_YH", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185a839653f003a5f245984cbbb3e0ded090", null ], + [ "kSWM_MOVABLE_NUM_FUNCS", "a00090.html#gga0de8c58793b6da2e30d6b2102344d185ac735c6243e08a73181d0f0f8f6c130bb", null ] ] ], - [ "swm_select_fixed_pin_t", "a00090.html#ga6db188a71a269613f30825af80c02053", [ - [ "kSWM_ACMP_INPUT1", "a00090.html#gga6db188a71a269613f30825af80c02053a28fab9703b5177948f7409efa9ff848a", null ], - [ "kSWM_ACMP_INPUT2", "a00090.html#gga6db188a71a269613f30825af80c02053abd4f15c4f7b44b457764ab2aa67ac5ac", null ], - [ "kSWM_ACMP_INPUT3", "a00090.html#gga6db188a71a269613f30825af80c02053ad8072ce6e9985ae8377377ae49ba57d7", null ], - [ "kSWM_ACMP_INPUT4", "a00090.html#gga6db188a71a269613f30825af80c02053a2f09e0ca30217841402ba6bf16f8f785", null ], - [ "kSWM_SWCLK", "a00090.html#gga6db188a71a269613f30825af80c02053a9b86fc933fab954e947140ea4ca2ffe3", null ], - [ "kSWM_SWDIO", "a00090.html#gga6db188a71a269613f30825af80c02053ac0ebb404f4a50e198c79a35af9721e6c", null ], - [ "kSWM_RESETN", "a00090.html#gga6db188a71a269613f30825af80c02053a2c3df2d1d6d1fb817003b824eb699585", null ], - [ "kSWM_CLKIN", "a00090.html#gga6db188a71a269613f30825af80c02053add9353fb1a660338dc4129f564d43c41", null ], - [ "kSWM_WKCLKIN", "a00090.html#gga6db188a71a269613f30825af80c02053ae9a9d439d460166f8795ddd23c7135b5", null ], - [ "kSWM_VDDCMP", "a00090.html#gga6db188a71a269613f30825af80c02053a1c8051ee75028cdb756ce666cc9b1bb9", null ], - [ "kSWM_ADC_CHN0", "a00090.html#gga6db188a71a269613f30825af80c02053acc0420d5b902bffdc029c0a179fd9653", null ], - [ "kSWM_ADC_CHN1", "a00090.html#gga6db188a71a269613f30825af80c02053af96a078ddf8497b6680dcb8812abccaf", null ], - [ "kSWM_ADC_CHN2", "a00090.html#gga6db188a71a269613f30825af80c02053aab8f0dd610beed22acf2a5a2c3149a38", null ], - [ "kSWM_ADC_CHN3", "a00090.html#gga6db188a71a269613f30825af80c02053ab3e46c2499e53d6187acef5b9c92faeb", null ], - [ "kSWM_ADC_CHN4", "a00090.html#gga6db188a71a269613f30825af80c02053a131299a8724b490b48ff5ea4ae88ec85", null ], - [ "kSWM_ADC_CHN5", "a00090.html#gga6db188a71a269613f30825af80c02053a8f49833db881a5cca72e39060510fe33", null ], - [ "kSWM_ADC_CHN6", "a00090.html#gga6db188a71a269613f30825af80c02053a2cf1d219093b981b000fefd1b4b88835", null ], - [ "kSWM_ADC_CHN7", "a00090.html#gga6db188a71a269613f30825af80c02053af60644696c7226d16b940a379e974d07", null ], - [ "kSWM_ADC_CHN8", "a00090.html#gga6db188a71a269613f30825af80c02053aca55eb81b04844960f74d6b6f735f406", null ], - [ "kSWM_ADC_CHN9", "a00090.html#gga6db188a71a269613f30825af80c02053a332c28d49858ffceda3b7d9a9a317d0c", null ], - [ "kSWM_ADC_CHN10", "a00090.html#gga6db188a71a269613f30825af80c02053a3872c11496f6cd2755a2cc33edeee25e", null ], - [ "kSWM_ADC_CHN11", "a00090.html#gga6db188a71a269613f30825af80c02053a1195026daab11c8e8e8a07ea2588dc59", null ], - [ "kSWM_ACMP_INPUT5", "a00090.html#gga6db188a71a269613f30825af80c02053ad1b1f498a44a52ed74eab7333c03e177", null ], - [ "kSWM_DAC_OUT0", "a00090.html#gga6db188a71a269613f30825af80c02053aafaffe0fe7c5ed4eaed04bd7acac4f0b", null ], - [ "kSWM_FIXEDPIN_NUM_FUNCS", "a00090.html#gga6db188a71a269613f30825af80c02053a609f40f8714286a7fce78c131a97b69f", null ] + [ "_swm_select_fixed_pin_t", "a00090.html#ga2a9a2be2e5b32c223a550ff31812ae8b", [ + [ "kSWM_ACMP_INPUT1", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba28fab9703b5177948f7409efa9ff848a", null ], + [ "kSWM_ACMP_INPUT2", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8babd4f15c4f7b44b457764ab2aa67ac5ac", null ], + [ "kSWM_ACMP_INPUT3", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bad8072ce6e9985ae8377377ae49ba57d7", null ], + [ "kSWM_ACMP_INPUT4", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba2f09e0ca30217841402ba6bf16f8f785", null ], + [ "kSWM_SWCLK", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba9b86fc933fab954e947140ea4ca2ffe3", null ], + [ "kSWM_SWDIO", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bac0ebb404f4a50e198c79a35af9721e6c", null ], + [ "kSWM_RESETN", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba2c3df2d1d6d1fb817003b824eb699585", null ], + [ "kSWM_CLKIN", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8badd9353fb1a660338dc4129f564d43c41", null ], + [ "kSWM_WKCLKIN", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bae9a9d439d460166f8795ddd23c7135b5", null ], + [ "kSWM_VDDCMP", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba1c8051ee75028cdb756ce666cc9b1bb9", null ], + [ "kSWM_ADC_CHN0", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bacc0420d5b902bffdc029c0a179fd9653", null ], + [ "kSWM_ADC_CHN1", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baf96a078ddf8497b6680dcb8812abccaf", null ], + [ "kSWM_ADC_CHN2", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baab8f0dd610beed22acf2a5a2c3149a38", null ], + [ "kSWM_ADC_CHN3", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bab3e46c2499e53d6187acef5b9c92faeb", null ], + [ "kSWM_ADC_CHN4", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba131299a8724b490b48ff5ea4ae88ec85", null ], + [ "kSWM_ADC_CHN5", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba8f49833db881a5cca72e39060510fe33", null ], + [ "kSWM_ADC_CHN6", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba2cf1d219093b981b000fefd1b4b88835", null ], + [ "kSWM_ADC_CHN7", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baf60644696c7226d16b940a379e974d07", null ], + [ "kSWM_ADC_CHN8", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baca55eb81b04844960f74d6b6f735f406", null ], + [ "kSWM_ADC_CHN9", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba332c28d49858ffceda3b7d9a9a317d0c", null ], + [ "kSWM_ADC_CHN10", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba3872c11496f6cd2755a2cc33edeee25e", null ], + [ "kSWM_ADC_CHN11", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba1195026daab11c8e8e8a07ea2588dc59", null ], + [ "kSWM_ACMP_INPUT5", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bad1b1f498a44a52ed74eab7333c03e177", null ], + [ "kSWM_DAC_OUT0", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baafaffe0fe7c5ed4eaed04bd7acac4f0b", null ], + [ "kSWM_FIXEDPIN_NUM_FUNCS", "a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba609f40f8714286a7fce78c131a97b69f", null ] ] ], [ "SWM_SetMovablePinSelect", "a00090.html#gaae2dc5c29ae2358c616fa8a2b61a7e4b", null ], + [ "SWM_SetFixedMovablePinSelect", "a00090.html#gae7a3cbb33db3c5d3f6663bdd21fb32e4", null ], [ "SWM_SetFixedPinSelect", "a00090.html#ga55452f734d7cc5e02195ed926e4484c8", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00091.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00091.html index 7cedc55..b9e12ef 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00091.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00091.html @@ -32,7 +32,7 @@ @@ -117,16 +117,20 @@ Files
void SWM_SetFixedMovablePinSelect (SWM_Type * base,
swm_select_fixed_movable_t func,
swm_fixed_port_pin_type_t  swm_port_pin 
swm_select_fixed_pin_t swm_select_fixed_pin_t  func,
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
- +

Functions

void SYSCON_AttachSignal (SYSCON_Type *base, uint32_t index, syscon_connection_t connection)
void SYSCON_AttachSignal (SYSCON_Type *base, uint32_t index, syscon_connection_t connection)
 Attaches a signal. More...
 
- - - + + + + + @@ -156,17 +160,17 @@ Driver version

Enumeration Type Documentation

- +

Syscon multiplexing connections

enum  syscon_connection_t { kSYSCON_GpioPort0Pin0ToPintsel = 0U + (PINTSEL_ID << SYSCON_SHIFT) +
enum  _syscon_connection_t { kSYSCON_GpioPort0Pin0ToPintsel = 0U + (PINTSEL_ID << SYSCON_SHIFT) }
 SYSCON connections type. More...
 
 SYSCON connections type. More...
 
+typedef enum _syscon_connection_t syscon_connection_t
 SYSCON connections type.
 
#define PINTSEL_ID   0x178U
 Periphinmux IDs.
- +
enum syscon_connection_tenum _syscon_connection_t
-
Enumerator
kSYSCON_GpioPort0Pin0ToPintsel  +
Enumerator
kSYSCON_GpioPort0Pin0ToPintsel 

Pin Interrupt.

@@ -193,7 +197,7 @@ Driver version - syscon_connection_t  + syscon_connection_t  connection  diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00091.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00091.js index e41e60b..d3223ec 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00091.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00091.js @@ -2,8 +2,9 @@ var a00091 = [ [ "PINTSEL_ID", "a00091.html#gacec975ef3b52ff6266f4bf055d8bbbc0", null ], [ "FSL_SYSON_DRIVER_VERSION", "a00091.html#ga3d7a27cd4564bc389103aacd4a0a41e4", null ], - [ "syscon_connection_t", "a00091.html#ga4a63ee69b68459770551a0e4d7b6cdad", [ - [ "kSYSCON_GpioPort0Pin0ToPintsel", "a00091.html#gga4a63ee69b68459770551a0e4d7b6cdadafe2a386c6f3e8884b995d08fd87de542", null ] + [ "syscon_connection_t", "a00091.html#ga130c64a7ec8145b6c0d68cc76858699b", null ], + [ "_syscon_connection_t", "a00091.html#gaadba3526b7e160e7a91564ad01eb7e6f", [ + [ "kSYSCON_GpioPort0Pin0ToPintsel", "a00091.html#ggaadba3526b7e160e7a91564ad01eb7e6fafe2a386c6f3e8884b995d08fd87de542", null ] ] ], [ "SYSCON_AttachSignal", "a00091.html#gaa42f53e7578284bdc9816da8800e735b", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00092.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00092.html index 97b8006..9933dfd 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00092.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00092.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
@@ -253,7 +253,7 @@ Advanced Feature

The debug console has its own printf/scanf/putchar/getchar functions which are defined in the header file.

int DbgConsole_Printf(const char *fmt_s, ...);
-
int DbgConsole_Putchar(int ch);
+
int DbgConsole_Putchar(int ch);
int DbgConsole_Scanf(char *fmt_ptr, ...);
int DbgConsole_Getchar(void);

This utility supports selecting toolchain's printf/scanf or the MCUXpresso SDK printf/scanf.

@@ -381,9 +381,9 @@ Initialization int DbgConsole_Vprintf (const char *fmt_s, va_list formatStringArg)  Writes formatted output to the standard output stream. More...
  -int DbgConsole_Putchar (int ch) - Writes a character to stdout. More...
-  +int DbgConsole_Putchar (int dbgConsoleCh) + Writes a character to stdout. More...
+  int DbgConsole_Scanf (char *fmt_s,...)  Reads formatted data from the standard input stream. More...
  @@ -685,7 +685,7 @@ Initialization
- +
@@ -693,7 +693,7 @@ Initialization - +
int DbgConsole_Putchar ( int ch)dbgConsoleCh)
@@ -701,7 +701,7 @@ Initialization

Call this function to write a character to stdout.

Parameters
- +
chCharacter to be written.
dbgConsoleChCharacter to be written.
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00092.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00092.js index e5010f2..0b43716 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00092.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00092.js @@ -16,7 +16,7 @@ var a00092 = [ "DbgConsole_ExitLowpower", "a00092.html#ga21831f5ee970f3a1f13ff375405f3592", null ], [ "DbgConsole_Printf", "a00092.html#ga7f9e0678f4c708ed5640b0823c07dc35", null ], [ "DbgConsole_Vprintf", "a00092.html#ga48560c409b88fbe195e140aa20c5307b", null ], - [ "DbgConsole_Putchar", "a00092.html#gada572d86a06f028b5b1a5d0440f683e3", null ], + [ "DbgConsole_Putchar", "a00092.html#ga8afb1b704fd0d836e6bd5aae3a417d65", null ], [ "DbgConsole_Scanf", "a00092.html#ga53b115907016172dcf58fcffab144a6d", null ], [ "DbgConsole_Getchar", "a00092.html#ga11898c5015274863741c4f3f4d9edc08", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00093.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00093.html index 8d38a74..ca047c2 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00093.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00093.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00095.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00095.html index 4cd5860..c9d72ac 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00095.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00095.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_07b86ffc266b39efa5bbdc0e9fdb330a.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_07b86ffc266b39efa5bbdc0e9fdb330a.html index f330530..9267728 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_07b86ffc266b39efa5bbdc0e9fdb330a.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_07b86ffc266b39efa5bbdc0e9fdb330a.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/devices Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/devices Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_1331922326a697e1e9aa2323d085217f.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_1331922326a697e1e9aa2323d085217f.html index 0527300..6c7fe92 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_1331922326a697e1e9aa2323d085217f.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_1331922326a697e1e9aa2323d085217f.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/swm Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/swm Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_22cbbd27e16e87caa10f675e5ce8b9df.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_22cbbd27e16e87caa10f675e5ce8b9df.html index 7733748..d7bef2b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_22cbbd27e16e87caa10f675e5ce8b9df.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_22cbbd27e16e87caa10f675e5ce8b9df.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/utilities/debug_console_lite Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/utilities/debug_console_lite Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_2c6aa0e2bbb2234cdccf71ce9920a505.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_2c6aa0e2bbb2234cdccf71ce9920a505.html index c0e966a..5c93a7e 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_2c6aa0e2bbb2234cdccf71ce9920a505.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_2c6aa0e2bbb2234cdccf71ce9920a505.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/lpc_i2c Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/lpc_i2c Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_3803c7c40becdc1a8f991e020a425f6b.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_3803c7c40becdc1a8f991e020a425f6b.html index 0f9b784..af30090 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_3803c7c40becdc1a8f991e020a425f6b.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_3803c7c40becdc1a8f991e020a425f6b.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/lpc_gpio Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/lpc_gpio Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_3d87c2df58032c55a697acbe8461844d.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_3d87c2df58032c55a697acbe8461844d.html index a680c77..60ba211 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_3d87c2df58032c55a697acbe8461844d.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_3d87c2df58032c55a697acbe8461844d.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/wwdt Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/wwdt Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_51d0b1c6bed7424dc1959d40ccb0bb43.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_51d0b1c6bed7424dc1959d40ccb0bb43.html index d6653d4..2a90e07 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_51d0b1c6bed7424dc1959d40ccb0bb43.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_51d0b1c6bed7424dc1959d40ccb0bb43.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/devices/LPC804/drivers Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/devices/LPC804/drivers Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_54b70da16fda314d8ea08e7fa656437e.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_54b70da16fda314d8ea08e7fa656437e.html index e65ec8e..f7e696c 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_54b70da16fda314d8ea08e7fa656437e.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_54b70da16fda314d8ea08e7fa656437e.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/plu Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/plu Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_5b772dd4d456f495cb29becefd143ee2.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_5b772dd4d456f495cb29becefd143ee2.html index 63b3622..741601e 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_5b772dd4d456f495cb29becefd143ee2.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_5b772dd4d456f495cb29becefd143ee2.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/lpc_crc Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/lpc_crc Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_75214fd834f54a955adf2012023f7050.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_75214fd834f54a955adf2012023f7050.html index 75129be..6f5a8cf 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_75214fd834f54a955adf2012023f7050.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_75214fd834f54a955adf2012023f7050.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/lpc_iocon_lite Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/lpc_iocon_lite Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_8240c85063e108bd95ef29fb603f8af8.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_8240c85063e108bd95ef29fb603f8af8.html index e3c4202..a851eef 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_8240c85063e108bd95ef29fb603f8af8.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_8240c85063e108bd95ef29fb603f8af8.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/capt Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/capt Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_83c4f67939b2e50b6dc50fe3fc259b2d.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_83c4f67939b2e50b6dc50fe3fc259b2d.html index 40a3589..bdd0394 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_83c4f67939b2e50b6dc50fe3fc259b2d.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_83c4f67939b2e50b6dc50fe3fc259b2d.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_8ceb0280e3a6924da33c684985152a52.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_8ceb0280e3a6924da33c684985152a52.html index f6f3071..6257325 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_8ceb0280e3a6924da33c684985152a52.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_8ceb0280e3a6924da33c684985152a52.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/wkt Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/wkt Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_97879f77cafaedc6c6b7c8e7e6bcf419.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_97879f77cafaedc6c6b7c8e7e6bcf419.html index bd1925c..4caac79 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_97879f77cafaedc6c6b7c8e7e6bcf419.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_97879f77cafaedc6c6b7c8e7e6bcf419.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/mrt Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/mrt Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_9e0a0b363f74c0efadbd1d6e4c01cac5.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_9e0a0b363f74c0efadbd1d6e4c01cac5.html index f75f6ed..5e8bb23 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_9e0a0b363f74c0efadbd1d6e4c01cac5.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_9e0a0b363f74c0efadbd1d6e4c01cac5.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/lpc_dac Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/lpc_dac Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a2da420fdde962bd10156eaa17d1670b.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a2da420fdde962bd10156eaa17d1670b.html index 79e7ebf..9088ec8 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a2da420fdde962bd10156eaa17d1670b.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a2da420fdde962bd10156eaa17d1670b.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/syscon Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/syscon Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a343a3e9cbb710280ccd0de2056b945d.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a343a3e9cbb710280ccd0de2056b945d.html index 824009e..76267c7 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a343a3e9cbb710280ccd0de2056b945d.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a343a3e9cbb710280ccd0de2056b945d.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/utilities/misc_utilities Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/utilities/misc_utilities Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a3e8f1feb8c30c12d24fc9c7995a15c1.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a3e8f1feb8c30c12d24fc9c7995a15c1.html index 0c59cc4..da66d68 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a3e8f1feb8c30c12d24fc9c7995a15c1.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a3e8f1feb8c30c12d24fc9c7995a15c1.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/ctimer Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/ctimer Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_ae9e5c562b37697154b7013541665a90.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_ae9e5c562b37697154b7013541665a90.html index 787fadd..78025e3 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_ae9e5c562b37697154b7013541665a90.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_ae9e5c562b37697154b7013541665a90.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/devices/LPC804 Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/devices/LPC804 Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_b81d5557345932eec08d61eeb5afa2f0.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_b81d5557345932eec08d61eeb5afa2f0.html index 47e8be7..6f1bc05 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_b81d5557345932eec08d61eeb5afa2f0.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_b81d5557345932eec08d61eeb5afa2f0.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/lpc_minispi Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/lpc_minispi Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c48a66ee7236adaad29cf263b70b3b16.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c48a66ee7236adaad29cf263b70b3b16.html index 5108ab1..3973fb5 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c48a66ee7236adaad29cf263b70b3b16.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c48a66ee7236adaad29cf263b70b3b16.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/lpc_miniusart Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/lpc_miniusart Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c5a52a81292cf9a5167198f4f346d6d9.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c5a52a81292cf9a5167198f4f346d6d9.html index 3ed2650..2fe5ab8 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c5a52a81292cf9a5167198f4f346d6d9.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c5a52a81292cf9a5167198f4f346d6d9.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c7e9d45afd61725c3e80b2f8c629533d.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c7e9d45afd61725c3e80b2f8c629533d.html index bce96d7..d745477 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c7e9d45afd61725c3e80b2f8c629533d.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c7e9d45afd61725c3e80b2f8c629533d.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/lpc_adc Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/lpc_adc Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_d0b0cea4c1afa919405231e98537b73c.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_d0b0cea4c1afa919405231e98537b73c.html index 7b13320..bbd1455 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_d0b0cea4c1afa919405231e98537b73c.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_d0b0cea4c1afa919405231e98537b73c.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/utilities Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/utilities Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_d488d26126eac48f68b56f6b2e0f43d9.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_d488d26126eac48f68b56f6b2e0f43d9.html index 3a9a41d..5017812 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_d488d26126eac48f68b56f6b2e0f43d9.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_d488d26126eac48f68b56f6b2e0f43d9.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/lpc_acomp Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/lpc_acomp Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_ea982a4a90bbf64c8a612131ad2f0fcb.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_ea982a4a90bbf64c8a612131ad2f0fcb.html index d25e365..a5f3a8c 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_ea982a4a90bbf64c8a612131ad2f0fcb.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_ea982a4a90bbf64c8a612131ad2f0fcb.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/common Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/common Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_eb78a2695df30cd843f578001c009203.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_eb78a2695df30cd843f578001c009203.html index ef97741..ce3217d 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_eb78a2695df30cd843f578001c009203.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_eb78a2695df30cd843f578001c009203.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/pint Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/pint Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_f116f7633d12361ea112ee9d6dd3a804.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_f116f7633d12361ea112ee9d6dd3a804.html index e2d8984..92c1565 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_f116f7633d12361ea112ee9d6dd3a804.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_f116f7633d12361ea112ee9d6dd3a804.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/iap Directory Reference +MCUXpresso SDK API Reference Manual: /home/jenkins/agent/workspace/2.15.000_Major_RFP_Nightly/msdk_west/mcu-sdk-2.0/platform/drivers/iap Directory Reference @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/index.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/index.html index d8d35fa..730decc 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/index.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/index.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/modules.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/modules.html index 4c3b1a4..ce05fd8 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/modules.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/modules.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtree.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtree.js index d5df18d..c95d03d 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtree.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtree.js @@ -13,12 +13,13 @@ var NAVTREE = var NAVTREEINDEX = [ "a00002.html", -"a00011.html#ga7114311534a33b6688f35ceaaa3f7832", -"a00017.html", -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a7d342596a68c59d4e38bfe33c60f53c9", -"a00081.html#gaf2dd9adbeea910141dc143654a1065db", -"a00088.html", -"a00090.html#gga6db188a71a269613f30825af80c02053add9353fb1a660338dc4129f564d43c41" +"a00010.html#gga6ac2e06f77196123f25e3f5c79ae6ea5afbc435647bf5d8ec4b8133bb54457d8b", +"a00016.html#aae53c04dd44495a5f8cf8813e715a53e", +"a00020.html#a330deecdce9b4d973e43b38e7f1b81ec", +"a00079.html#gga10eb499a97d1bd20020841220bb5de74a01a946b874db04d12aba4c3bbe461580", +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7c488d28bc9be2e29bd0d133bce7389a", +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ae648baa1c80d2711d1bb2bb4fc077827", +"a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15afae5eae69465f413508aac6ac906b7fe" ]; var SYNCONMSG = 'click to disable panel synchronisation'; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex0.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex0.js index 8225054..bbdc661 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex0.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex0.js @@ -4,250 +4,250 @@ var NAVTREEINDEX0 = "a00004.html":[2], "a00006.html":[3], "a00008.html":[5,12], -"a00008.html#a00101":[5,12,0], -"a00008.html#a00102":[5,12,1], -"a00008.html#a46ecb6f7efe54967d816deafdf1c65ce":[5,12,0,1], -"a00008.html#a66356c0246938695521e8a0ece69cf11":[5,12,1,1], -"a00008.html#a6f9542645b06542277cb07ea138e5064":[5,12,0,0], -"a00008.html#a8271667393096dad310f8b2e486b1c4b":[5,12,1,0], -"a00008.html#ga013efc3edfbbd3f7f5044fc7bc2ce802":[5,12,11], -"a00008.html#ga0ea4f61940e0c270858758d51ae1a4d3":[5,12,12], -"a00008.html#ga178d521ca2ee7f33d518b30dfb627d20":[5,12,4], -"a00008.html#ga331e1a82fe5f3d36ee0b10ddea928cd0":[5,12,10], -"a00008.html#ga33fc82023d54cc469fc62ed866a3ca19":[5,12,9], -"a00008.html#ga4e2589a3a011cb833649e301be7fff8c":[5,12,7], -"a00008.html#ga6b5b26d4b5e00361635aede71b048ffb":[5,12,14], -"a00008.html#ga7ad629d52b0a70f95cd2ddb3bee8ab5f":[5,12,3], +"a00008.html#a00096":[5,12,0], +"a00008.html#a00097":[5,12,1], +"a00008.html#a425079b28fa9d9d2942cc2160089ae18":[5,12,1,1], +"a00008.html#a4263e26731a1edc99ab1334412794b0a":[5,12,0,1], +"a00008.html#aadb2c1f3afec1367d80ee500fecf9924":[5,12,0,0], +"a00008.html#adcb0d4715a113383eeff5c8099c6179f":[5,12,1,0], +"a00008.html#ga00a425fdc3a8f0270f6b1496c9718898":[5,12,4], +"a00008.html#ga013efc3edfbbd3f7f5044fc7bc2ce802":[5,12,16], +"a00008.html#ga0ea4f61940e0c270858758d51ae1a4d3":[5,12,17], +"a00008.html#ga331e1a82fe5f3d36ee0b10ddea928cd0":[5,12,15], +"a00008.html#ga33fc82023d54cc469fc62ed866a3ca19":[5,12,14], +"a00008.html#ga3cb7b227dfa6d29bc1d83fe22eba4ddc":[5,12,8], +"a00008.html#ga4e2589a3a011cb833649e301be7fff8c":[5,12,12], +"a00008.html#ga6b5b26d4b5e00361635aede71b048ffb":[5,12,19], +"a00008.html#ga78066df1c1b1ff94c949c635062ba790":[5,12,6], +"a00008.html#ga7959160daeb14e107aa41d21b23ad7d8":[5,12,9], "a00008.html#ga856c35b78deb42642464ecfdb8dfa8aa":[5,12,2], -"a00008.html#gaa7c0a15052b6421941faad3c6ea3a5ac":[5,12,5], -"a00008.html#gae217e6b6f23e998b1bfa387e1f3f4181":[5,12,8], -"a00008.html#gae8afb04a61cd16f74eba67061409cd30":[5,12,6], -"a00008.html#gaff80c9cfc3eb8114fcdb525b507f7ba3":[5,12,13], -"a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a23743234a07f6c25a2b14cca0cd863c7":[5,12,4,2], -"a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a25801b009aba402b9810189eb8545b8b":[5,12,4,1], -"a00008.html#gga178d521ca2ee7f33d518b30dfb627d20ad015e6ad9b09be27a6de122fde6b3997":[5,12,4,0], -"a00008.html#gga7ad629d52b0a70f95cd2ddb3bee8ab5fa56718eb64fdbbd04648466547b326c35":[5,12,3,1], -"a00008.html#gga7ad629d52b0a70f95cd2ddb3bee8ab5fa70a5aa61894fc8d2195652c2fa3423aa":[5,12,3,0], -"a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5aca25ed95469736b1383c06835f1a59a6f7":[5,12,5,2], -"a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acab609612c0f5c6987b71f287d0ab8e02c":[5,12,5,3], -"a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acae003c683374deba88f335221e4f6c62b":[5,12,5,0], -"a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acae6c2b1547a7e476425962d46b44a00f9":[5,12,5,1], +"a00008.html#ga96d96cca1e3c96dba2753a4db623cb22":[5,12,5], +"a00008.html#gaaf41a27470c09c9433454b94bec78133":[5,12,10], +"a00008.html#gae217e6b6f23e998b1bfa387e1f3f4181":[5,12,13], +"a00008.html#gae8afb04a61cd16f74eba67061409cd30":[5,12,11], +"a00008.html#gaf0ae8abcb6f3e8fde08615f492df7fc3":[5,12,7], +"a00008.html#gafdeea2fb570a5d98264ced03af561757":[5,12,3], +"a00008.html#gaff80c9cfc3eb8114fcdb525b507f7ba3":[5,12,18], +"a00008.html#gga3cb7b227dfa6d29bc1d83fe22eba4ddca56718eb64fdbbd04648466547b326c35":[5,12,8,1], +"a00008.html#gga3cb7b227dfa6d29bc1d83fe22eba4ddca70a5aa61894fc8d2195652c2fa3423aa":[5,12,8,0], +"a00008.html#gga7959160daeb14e107aa41d21b23ad7d8a23743234a07f6c25a2b14cca0cd863c7":[5,12,9,2], +"a00008.html#gga7959160daeb14e107aa41d21b23ad7d8a25801b009aba402b9810189eb8545b8b":[5,12,9,1], +"a00008.html#gga7959160daeb14e107aa41d21b23ad7d8a629a3a054d3fde07b8f1d4974a9d070d":[5,12,9,3], +"a00008.html#gga7959160daeb14e107aa41d21b23ad7d8ad015e6ad9b09be27a6de122fde6b3997":[5,12,9,0], +"a00008.html#ggaaf41a27470c09c9433454b94bec78133a25ed95469736b1383c06835f1a59a6f7":[5,12,10,2], +"a00008.html#ggaaf41a27470c09c9433454b94bec78133ab609612c0f5c6987b71f287d0ab8e02c":[5,12,10,3], +"a00008.html#ggaaf41a27470c09c9433454b94bec78133ae003c683374deba88f335221e4f6c62b":[5,12,10,0], +"a00008.html#ggaaf41a27470c09c9433454b94bec78133ae6c2b1547a7e476425962d46b44a00f9":[5,12,10,1], "a00009.html":[5,0], -"a00009.html#a00103":[5,0,0], -"a00009.html#a00104":[5,0,1], -"a00009.html#a00105":[5,0,2], -"a00009.html#a1014edddf4d1ea57e751088dcadace6b":[5,0,2,1], -"a00009.html#a280dc4b4d9c35c2411fe92cf3cadb838":[5,0,1,2], -"a00009.html#a443ffd542f5690ca2cb239181db4aaf7":[5,0,2,2], -"a00009.html#a53ec8373edca82340278a104721ed8bb":[5,0,1,1], -"a00009.html#a5d9fd2ad5f95c7b55a72ac1b5d10221f":[5,0,2,4], -"a00009.html#a6829bb748bc55409fed8615f6a40bb84":[5,0,2,3], -"a00009.html#aa37fa0f5c6c3351f811d4f31f40290ad":[5,0,1,5], -"a00009.html#aaf2640b291a24e4222953c7686007a3b":[5,0,1,3], -"a00009.html#ab63c0a8803f4d02e040d55efd8d58e88":[5,0,1,0], -"a00009.html#abb59c0a54988e01653f80c8a59d18b1a":[5,0,0,0], -"a00009.html#abe7f67296372743da67e2eac58f9aafe":[5,0,1,4], -"a00009.html#afa0a0f7e84c888060b963280035378f6":[5,0,2,0], -"a00009.html#ga038d00e89652d2b860ef23b79cdbe23d":[5,0,19], -"a00009.html#ga0576bc613006f8a4d3bb6db93167be65":[5,0,20], -"a00009.html#ga06879c096d9702b2f378dc2db178e961":[5,0,30], -"a00009.html#ga13edd8c6ef6665907b00a6a819187292":[5,0,22], -"a00009.html#ga160dc82588afa2bca1388b35b8e3104d":[5,0,35], -"a00009.html#ga2432b8bc48a2d3ede87fd021faefeaa5":[5,0,13], -"a00009.html#ga30e86d22d3680c1cf71d5121f162075b":[5,0,21], -"a00009.html#ga3948c83397f351b5ed70bbaf1c5da35b":[5,0,8], -"a00009.html#ga417e499fb2f1ee7ba05088468b392ce1":[5,0,4], -"a00009.html#ga473aadea5ea926efb3bc116089a45191":[5,0,33], -"a00009.html#ga4d72a0696ea69cf01a7d121b8e3d1efd":[5,0,28], -"a00009.html#ga51d600553349fbb81d96aaed4e1c22e4":[5,0,29], -"a00009.html#ga538fb95659082602d6ebb205f21573a5":[5,0,6], +"a00009.html#a00098":[5,0,0], +"a00009.html#a00099":[5,0,1], +"a00009.html#a00100":[5,0,2], +"a00009.html#a0f905ed7fa606d287ad9fff223a84efb":[5,0,0,1], +"a00009.html#a133e25bdbfb7e5ffd30c94f5216433a5":[5,0,2,3], +"a00009.html#a3e3d2d7de657f63a68fa37041fb793fa":[5,0,2,0], +"a00009.html#a55737a4c28557ec53b39ede642c6fd15":[5,0,0,0], +"a00009.html#a7658740de8624cabaf36e55569f5e0a5":[5,0,1,3], +"a00009.html#a793ec5bdf0875dc6ee03904e7df9f1b7":[5,0,2,1], +"a00009.html#a9113b389cf95ab7cbe6b6127dce14406":[5,0,1,5], +"a00009.html#a965d79f3c62d5614f423a1a34b72a8ea":[5,0,1,4], +"a00009.html#a9743fed64072f085713d02155a37ff10":[5,0,1,0], +"a00009.html#a989334ade488c5980bc4022c66a25671":[5,0,1,2], +"a00009.html#ac01424048f052ea58ae64493bc6efd9c":[5,0,1,1], +"a00009.html#ac203d9e6924d2b46deb5611052543f16":[5,0,2,4], +"a00009.html#ad19349a037214c68ca3afb7b0751514e":[5,0,2,2], +"a00009.html#ga002dc4b410e50f6ac5dfebdd2b805b53":[5,0,7], +"a00009.html#ga0576bc613006f8a4d3bb6db93167be65":[5,0,30], +"a00009.html#ga06879c096d9702b2f378dc2db178e961":[5,0,40], +"a00009.html#ga0aeab7e111fc623f3b1a20cf36884b9d":[5,0,22], +"a00009.html#ga0b4c2ffa22cd01dc1d08b0c6f3b08965":[5,0,21], +"a00009.html#ga13edd8c6ef6665907b00a6a819187292":[5,0,32], +"a00009.html#ga160dc82588afa2bca1388b35b8e3104d":[5,0,45], +"a00009.html#ga1b511fba76a0acb8e8aa0b3844962e45":[5,0,14], +"a00009.html#ga1e1cfa1d671392e8809b52b6257a7042":[5,0,20], +"a00009.html#ga252547d797d59b78370345cca566d037":[5,0,5], +"a00009.html#ga30e86d22d3680c1cf71d5121f162075b":[5,0,31], +"a00009.html#ga30fbf503bbcb9bd9583b08e712e313a6":[5,0,26], +"a00009.html#ga3b22457f328dd120d593051f40db8e1a":[5,0,13], +"a00009.html#ga417e499fb2f1ee7ba05088468b392ce1":[5,0,16], +"a00009.html#ga45d95e134021b358833d8c08fad48806":[5,0,11], +"a00009.html#ga473aadea5ea926efb3bc116089a45191":[5,0,43], +"a00009.html#ga4d72a0696ea69cf01a7d121b8e3d1efd":[5,0,38], +"a00009.html#ga508d5921baba373e9ee7145858e60635":[5,0,10], +"a00009.html#ga51d600553349fbb81d96aaed4e1c22e4":[5,0,39], "a00009.html#ga5838d9fe654d6e1503be047d08ce5b32":[5,0,3], -"a00009.html#ga5ed68a2a2706c5086136426769cb3a2b":[5,0,23], -"a00009.html#ga69c1682f87ee05264946329a2532792e":[5,0,17], -"a00009.html#ga6bee3a133b58f1badcfded306544d58f":[5,0,27], -"a00009.html#ga6c5be196874795f6647b18fd1f3443b4":[5,0,18], -"a00009.html#ga833046b34deacc484675105225a4b0fb":[5,0,36], -"a00009.html#ga92ee1fdbb8cdadbdc1850e94afed432b":[5,0,40], -"a00009.html#ga93453ff5ee1ccf1429d5035920cdcd10":[5,0,25], -"a00009.html#ga9e87d0842217dd30d2d9699df580e237":[5,0,16], -"a00009.html#gaa134681cb86361faad65aa8c501be403":[5,0,38], -"a00009.html#gaa1deefb49e6221ba772d755bf4aa1a57":[5,0,39], -"a00009.html#gaa407371ebd58e5aa7f75d38bfdad6169":[5,0,15], -"a00009.html#gaa94fca220976d31ee991f1eff9cbe0db":[5,0,41], -"a00009.html#gab625534aa39c1cd25f18cdc0dc3b9981":[5,0,7], -"a00009.html#gac5899c7af1f9cac7695d7171f83be5f0":[5,0,5], -"a00009.html#gac6aab6fdd21723cfc6ed4437372521ac":[5,0,11], -"a00009.html#gac7a9fa5e3222604314a62d3b2f2331f7":[5,0,34], -"a00009.html#gacbd1fa05c3e1095dd2a8a741db533780":[5,0,32], -"a00009.html#gad4368ec191782815efeea62a95ad3744":[5,0,37], -"a00009.html#gad47d3fd5553ab75cdf6b95268cb94f20":[5,0,9], -"a00009.html#gad5ef8575589083a8db3f508aec014e14":[5,0,24], -"a00009.html#gad857bbdf02a2e6e828e45a8573238198":[5,0,31], -"a00009.html#gada2a96cbb4d3348b943ae8576bae8e51":[5,0,26], -"a00009.html#gae86929708f4bb8be4cc88dcf50c3db54":[5,0,10], -"a00009.html#gaecfd3ba1bc4b014f3c11bc6f348a28cc":[5,0,12], -"a00009.html#gafb17afb34b6114b97b8bfa331465fd23":[5,0,14], -"a00009.html#gga2432b8bc48a2d3ede87fd021faefeaa5a524bba486c728e5af9ae263057fe7475":[5,0,13,2], -"a00009.html#gga2432b8bc48a2d3ede87fd021faefeaa5a705b5ce05ce8d2499b4ae652c138339b":[5,0,13,1], -"a00009.html#gga2432b8bc48a2d3ede87fd021faefeaa5a7a88de53f3fa62d9c9d073619e6f7b77":[5,0,13,0], -"a00009.html#gga2432b8bc48a2d3ede87fd021faefeaa5ad18ff3e548f2107156eb50a604d26ee5":[5,0,13,3], -"a00009.html#gga3948c83397f351b5ed70bbaf1c5da35ba0e0b964334a5fafa867734f43c9302d1":[5,0,8,0], -"a00009.html#gga3948c83397f351b5ed70bbaf1c5da35ba77c1e0c1efa82a0e1d23b38dcdc42e8d":[5,0,8,1], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a0086e00d201a353a233cd570617b9128":[5,0,4,12], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a07b97b0e0329e40c1829ac1e54467279":[5,0,4,0], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a091532f8a931257bed8d481d746ce483":[5,0,4,8], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a0d600a9a25c74a6a50f013098ec2a7d6":[5,0,4,2], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a17a3e2ddfb6a1b82a873bb4fa23f33e8":[5,0,4,15], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a21a9e76ef0b99eb7970c72ca8f069aa7":[5,0,4,11], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a261489b6eaf9fd1c667f3b7730670c35":[5,0,4,7], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a2d05c841ef6de9ed853b2847fbd5b389":[5,0,4,5], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a33cf119645a07f65a85a0330e8caac59":[5,0,4,28], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a353d6e15f06ffc2b73459e5d9f8cc0be":[5,0,4,17], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a373a8f9c3452766d74c2af2dd40a1dd4":[5,0,4,22], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a3db46ecb1054e09a7ec16d5b9096022c":[5,0,4,20], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a49619f2fea3c5946285d19531f70246b":[5,0,4,25], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a50a526a957a500f1d1230856e5f62cb0":[5,0,4,10], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a5f66d1dcf413402eff0001649d32f853":[5,0,4,1], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a6387795dcc8897a8d256cdc4a005d1b7":[5,0,4,26], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a648b51f9b03d99e58197f077323cada4":[5,0,4,29], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a85350dd974c40f8f90151461a5b8de7b":[5,0,4,3], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a8d637176a1bcc96a375ac279ea15b046":[5,0,4,23], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a991137c98bcceb8aa70a1a0184117e04":[5,0,4,24], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1aa0666658656409d16593317d233b7daf":[5,0,4,4], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1aabc9b70b8c8f836ce36bc5fb29b2638c":[5,0,4,21], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1aacd6f79515273a505a65a0dd5c8690b5":[5,0,4,13], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1aad52525faafab93597654764e5b76e97":[5,0,4,9], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1ac9443c7ed6d4344ec7c9e3406b6e9dda":[5,0,4,19], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1ae41a39a8a1f2c74ce8641e22edd91704":[5,0,4,18], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1ae98f97677a7acd69db8c3f1449eb59a2":[5,0,4,27], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1aeab65543e48b53dcb43e6ef75bd4cefa":[5,0,4,6], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1aed73601435eac8f9bd001a220d19e751":[5,0,4,14], -"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1af4df6887691e65370852ca7a92d70ea9":[5,0,4,16], -"a00009.html#gga538fb95659082602d6ebb205f21573a5a1e29943afcd9c5266a8401565798febe":[5,0,6,1], -"a00009.html#gga538fb95659082602d6ebb205f21573a5a2515d6b46f5b471d516ceff71dda5bb5":[5,0,6,0], -"a00009.html#ggab625534aa39c1cd25f18cdc0dc3b9981a591af3687c8df2663d34e7d8ff942abe":[5,0,7,1], -"a00009.html#ggab625534aa39c1cd25f18cdc0dc3b9981aa47123e81ebbce4dd5905b0b93d0303d":[5,0,7,0], -"a00009.html#ggac5899c7af1f9cac7695d7171f83be5f0a729a5315c1792954ab9455cc6fdb324a":[5,0,5,0], -"a00009.html#ggac5899c7af1f9cac7695d7171f83be5f0a81d0490f6a7b7fd8301c7af3162c9770":[5,0,5,2], -"a00009.html#ggac5899c7af1f9cac7695d7171f83be5f0ac8937ac90396e6c1ab8b3a8c83c530f8":[5,0,5,1], -"a00009.html#ggac6aab6fdd21723cfc6ed4437372521aca699feabaae444d40c7261e26a2872af8":[5,0,11,1], -"a00009.html#ggac6aab6fdd21723cfc6ed4437372521aca9302e8f9009ba4561655bb2903156522":[5,0,11,0], -"a00009.html#ggac6aab6fdd21723cfc6ed4437372521acab2db65594aeb9ce82f73ace49535d278":[5,0,11,2], -"a00009.html#ggad47d3fd5553ab75cdf6b95268cb94f20a0879cb322fa21eea083514475b2e5c92":[5,0,9,0], -"a00009.html#ggad47d3fd5553ab75cdf6b95268cb94f20a4957dc189299bb3397555dbb37991903":[5,0,9,1], -"a00009.html#ggad47d3fd5553ab75cdf6b95268cb94f20a88f6c1b1c2081034a524d1f07bda8479":[5,0,9,2], -"a00009.html#ggae86929708f4bb8be4cc88dcf50c3db54a84de2355e5d40cc75c1ac6e56feb7153":[5,0,10,2], -"a00009.html#ggae86929708f4bb8be4cc88dcf50c3db54abf34f1a6b0ab0bb0338143eba9344156":[5,0,10,0], -"a00009.html#ggae86929708f4bb8be4cc88dcf50c3db54ac5aec5587ce5a042aed8506c8e732422":[5,0,10,1], -"a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28cca5925d865b6882eeef54d3389dc2e9f98":[5,0,12,0], -"a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28cca9923280b0a76bc961bed79aef5ecb0f3":[5,0,12,1], -"a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28ccaa51dfd6f4acca775dd0838c40eebe25e":[5,0,12,3], -"a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28ccae60e431363f005f7830c1751ec9dbb3b":[5,0,12,2], -"a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a272bdcdc0d5ebca10a10d7f6927f031f":[5,0,14,1], -"a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a4538ae9bd911369a26f43c1b5fa1150f":[5,0,14,2], -"a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a520d81c1c70fab0701cfdcfaa46e1cae":[5,0,14,5], -"a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a5f5dc970993e744974494e283be79e2c":[5,0,14,0], -"a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a8792af738570ae4baa6f58baecc04eff":[5,0,14,3], -"a00009.html#ggafb17afb34b6114b97b8bfa331465fd23aa806598c66d17dadc451cfaab2e6af45":[5,0,14,4], +"a00009.html#ga5ed68a2a2706c5086136426769cb3a2b":[5,0,33], +"a00009.html#ga69c1682f87ee05264946329a2532792e":[5,0,29], +"a00009.html#ga6bee3a133b58f1badcfded306544d58f":[5,0,37], +"a00009.html#ga703e45dd351d168a4d8ab2e5a605ec93":[5,0,23], +"a00009.html#ga833046b34deacc484675105225a4b0fb":[5,0,46], +"a00009.html#ga895b86955b34760035b75f48ed891a7c":[5,0,19], +"a00009.html#ga92ee1fdbb8cdadbdc1850e94afed432b":[5,0,50], +"a00009.html#ga93453ff5ee1ccf1429d5035920cdcd10":[5,0,35], +"a00009.html#ga9e87d0842217dd30d2d9699df580e237":[5,0,28], +"a00009.html#gaa134681cb86361faad65aa8c501be403":[5,0,48], +"a00009.html#gaa1deefb49e6221ba772d755bf4aa1a57":[5,0,49], +"a00009.html#gaa407371ebd58e5aa7f75d38bfdad6169":[5,0,27], +"a00009.html#gaa94fca220976d31ee991f1eff9cbe0db":[5,0,51], +"a00009.html#gabae195883a5fe2742c6ffc76cd605d74":[5,0,4], +"a00009.html#gabc50fa38745a93781aa8e63efc39264e":[5,0,8], +"a00009.html#gac5899c7af1f9cac7695d7171f83be5f0":[5,0,17], +"a00009.html#gac7a9fa5e3222604314a62d3b2f2331f7":[5,0,44], +"a00009.html#gacbd1fa05c3e1095dd2a8a741db533780":[5,0,42], +"a00009.html#gad2d3e423f1542e8e5bc6e063b34e818c":[5,0,12], +"a00009.html#gad4368ec191782815efeea62a95ad3744":[5,0,47], +"a00009.html#gad4d586bb8e6bb1623c116c86586836ec":[5,0,15], +"a00009.html#gad5ef8575589083a8db3f508aec014e14":[5,0,34], +"a00009.html#gad857bbdf02a2e6e828e45a8573238198":[5,0,41], +"a00009.html#gada2a96cbb4d3348b943ae8576bae8e51":[5,0,36], +"a00009.html#gadd80a979d7edaf06b04a7720dcbf6560":[5,0,24], +"a00009.html#gade2b7fadaa94974ae0903b8dc2da139d":[5,0,9], +"a00009.html#gae379cff02514632d8af1b8f52b460cf2":[5,0,25], +"a00009.html#gaf7b92741cf8b758c97e9e6c57ba2461f":[5,0,6], +"a00009.html#gafd224e352527936e20c50ac8c39ad9c8":[5,0,18], +"a00009.html#gga0aeab7e111fc623f3b1a20cf36884b9da84de2355e5d40cc75c1ac6e56feb7153":[5,0,22,2], +"a00009.html#gga0aeab7e111fc623f3b1a20cf36884b9dabf34f1a6b0ab0bb0338143eba9344156":[5,0,22,0], +"a00009.html#gga0aeab7e111fc623f3b1a20cf36884b9dac5aec5587ce5a042aed8506c8e732422":[5,0,22,1], +"a00009.html#gga0b4c2ffa22cd01dc1d08b0c6f3b08965a0879cb322fa21eea083514475b2e5c92":[5,0,21,0], +"a00009.html#gga0b4c2ffa22cd01dc1d08b0c6f3b08965a4957dc189299bb3397555dbb37991903":[5,0,21,1], +"a00009.html#gga0b4c2ffa22cd01dc1d08b0c6f3b08965a88f6c1b1c2081034a524d1f07bda8479":[5,0,21,2], +"a00009.html#gga1e1cfa1d671392e8809b52b6257a7042a0e0b964334a5fafa867734f43c9302d1":[5,0,20,0], +"a00009.html#gga1e1cfa1d671392e8809b52b6257a7042a77c1e0c1efa82a0e1d23b38dcdc42e8d":[5,0,20,1], +"a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a272bdcdc0d5ebca10a10d7f6927f031f":[5,0,26,1], +"a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a4538ae9bd911369a26f43c1b5fa1150f":[5,0,26,2], +"a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a520d81c1c70fab0701cfdcfaa46e1cae":[5,0,26,5], +"a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a5f5dc970993e744974494e283be79e2c":[5,0,26,0], +"a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a8792af738570ae4baa6f58baecc04eff":[5,0,26,3], +"a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6aa806598c66d17dadc451cfaab2e6af45":[5,0,26,4], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a0086e00d201a353a233cd570617b9128":[5,0,16,12], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a07b97b0e0329e40c1829ac1e54467279":[5,0,16,0], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a091532f8a931257bed8d481d746ce483":[5,0,16,8], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a0d600a9a25c74a6a50f013098ec2a7d6":[5,0,16,2], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a17a3e2ddfb6a1b82a873bb4fa23f33e8":[5,0,16,15], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a21a9e76ef0b99eb7970c72ca8f069aa7":[5,0,16,11], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a261489b6eaf9fd1c667f3b7730670c35":[5,0,16,7], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a2d05c841ef6de9ed853b2847fbd5b389":[5,0,16,5], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a33cf119645a07f65a85a0330e8caac59":[5,0,16,28], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a353d6e15f06ffc2b73459e5d9f8cc0be":[5,0,16,17], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a373a8f9c3452766d74c2af2dd40a1dd4":[5,0,16,22], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a3db46ecb1054e09a7ec16d5b9096022c":[5,0,16,20], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a49619f2fea3c5946285d19531f70246b":[5,0,16,25], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a50a526a957a500f1d1230856e5f62cb0":[5,0,16,10], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a5f66d1dcf413402eff0001649d32f853":[5,0,16,1], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a6387795dcc8897a8d256cdc4a005d1b7":[5,0,16,26], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a648b51f9b03d99e58197f077323cada4":[5,0,16,29], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a85350dd974c40f8f90151461a5b8de7b":[5,0,16,3], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a8d637176a1bcc96a375ac279ea15b046":[5,0,16,23], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a991137c98bcceb8aa70a1a0184117e04":[5,0,16,24], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1aa0666658656409d16593317d233b7daf":[5,0,16,4], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1aabc9b70b8c8f836ce36bc5fb29b2638c":[5,0,16,21], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1aacd6f79515273a505a65a0dd5c8690b5":[5,0,16,13], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1aad52525faafab93597654764e5b76e97":[5,0,16,9], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1ac9443c7ed6d4344ec7c9e3406b6e9dda":[5,0,16,19], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1ae41a39a8a1f2c74ce8641e22edd91704":[5,0,16,18], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1ae98f97677a7acd69db8c3f1449eb59a2":[5,0,16,27], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1aeab65543e48b53dcb43e6ef75bd4cefa":[5,0,16,6], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1aed73601435eac8f9bd001a220d19e751":[5,0,16,14], +"a00009.html#gga417e499fb2f1ee7ba05088468b392ce1af4df6887691e65370852ca7a92d70ea9":[5,0,16,16], +"a00009.html#gga703e45dd351d168a4d8ab2e5a605ec93a699feabaae444d40c7261e26a2872af8":[5,0,23,1], +"a00009.html#gga703e45dd351d168a4d8ab2e5a605ec93a9302e8f9009ba4561655bb2903156522":[5,0,23,0], +"a00009.html#gga703e45dd351d168a4d8ab2e5a605ec93ab2db65594aeb9ce82f73ace49535d278":[5,0,23,2], +"a00009.html#gga895b86955b34760035b75f48ed891a7ca591af3687c8df2663d34e7d8ff942abe":[5,0,19,1], +"a00009.html#gga895b86955b34760035b75f48ed891a7caa47123e81ebbce4dd5905b0b93d0303d":[5,0,19,0], +"a00009.html#ggac5899c7af1f9cac7695d7171f83be5f0a729a5315c1792954ab9455cc6fdb324a":[5,0,17,0], +"a00009.html#ggac5899c7af1f9cac7695d7171f83be5f0a81d0490f6a7b7fd8301c7af3162c9770":[5,0,17,2], +"a00009.html#ggac5899c7af1f9cac7695d7171f83be5f0ac8937ac90396e6c1ab8b3a8c83c530f8":[5,0,17,1], +"a00009.html#ggadd80a979d7edaf06b04a7720dcbf6560a5925d865b6882eeef54d3389dc2e9f98":[5,0,24,0], +"a00009.html#ggadd80a979d7edaf06b04a7720dcbf6560a9923280b0a76bc961bed79aef5ecb0f3":[5,0,24,1], +"a00009.html#ggadd80a979d7edaf06b04a7720dcbf6560aa51dfd6f4acca775dd0838c40eebe25e":[5,0,24,3], +"a00009.html#ggadd80a979d7edaf06b04a7720dcbf6560ae60e431363f005f7830c1751ec9dbb3b":[5,0,24,2], +"a00009.html#ggae379cff02514632d8af1b8f52b460cf2a524bba486c728e5af9ae263057fe7475":[5,0,25,2], +"a00009.html#ggae379cff02514632d8af1b8f52b460cf2a705b5ce05ce8d2499b4ae652c138339b":[5,0,25,1], +"a00009.html#ggae379cff02514632d8af1b8f52b460cf2a7a88de53f3fa62d9c9d073619e6f7b77":[5,0,25,0], +"a00009.html#ggae379cff02514632d8af1b8f52b460cf2ad18ff3e548f2107156eb50a604d26ee5":[5,0,25,3], +"a00009.html#ggafd224e352527936e20c50ac8c39ad9c8a1e29943afcd9c5266a8401565798febe":[5,0,18,1], +"a00009.html#ggafd224e352527936e20c50ac8c39ad9c8a2515d6b46f5b471d516ceff71dda5bb5":[5,0,18,0], "a00010.html":[5,1], -"a00010.html#a00106":[5,1,0], -"a00010.html#a00107":[5,1,1], -"a00010.html#a2023ba3491243edb7ad6be41ea0b0f68":[5,1,0,9], -"a00010.html#a22171a4d042ea93fa19f6d7e22caa516":[5,1,0,5], -"a00010.html#a2b0d01a9feb8b378e76f4d3240e9ebe8":[5,1,1,4], -"a00010.html#a2d65011e0db3619f02eacea89b6ab5a3":[5,1,0,3], -"a00010.html#a3305567326ede24c2f45a2d5b788eb2e":[5,1,1,3], -"a00010.html#a34dffb25e8164ae4addccac265358f00":[5,1,0,7], -"a00010.html#a5acedab58178a003114a220a5ea3f6f4":[5,1,1,1], -"a00010.html#a65c26d6fd762782ca1370d3de678e98b":[5,1,0,0], -"a00010.html#a6f8664474411be56853bb70cd8de8b70":[5,1,0,8], -"a00010.html#a998c94c1d03dc0f2be30ae3a3982585f":[5,1,0,6], -"a00010.html#a9a8da1759cc4ea2b284400908075c871":[5,1,0,2], -"a00010.html#ac5c6145f8f3ee5abc6130bea3de2d8c8":[5,1,0,4], -"a00010.html#ad73f9e52f210a4ef91e0732ba882c384":[5,1,1,0], -"a00010.html#ada6854ef1bf21b8f35acba939c389190":[5,1,1,2], -"a00010.html#af153fbc551235805bebdcae4efa95462":[5,1,0,1], -"a00010.html#ga01d767059334ad74d416f6c0287f101b":[5,1,8], -"a00010.html#ga0be2df04c72a8847673bcb6d9714866a":[5,1,12], -"a00010.html#ga0c1eb1e31ad34c8654c981a5e643e2c9":[5,1,7], -"a00010.html#ga14542b3f1b582974fb19b0bf359cfa17":[5,1,18], -"a00010.html#ga2c35cfaacd7e34465baab32d16a4abb5":[5,1,3], -"a00010.html#ga382fc66ff401d2ba9bdee87e3c8c09ce":[5,1,19], -"a00010.html#ga4394d9b57a062c83c444e391c4adf855":[5,1,10], -"a00010.html#ga43dfe06b3cce27e03b4b131557a5237b":[5,1,11], -"a00010.html#ga5c6166cad554e91dc0ed36d34b73ee47":[5,1,20], -"a00010.html#ga5ced51d15f9db8f1e6619a5859f6215d":[5,1,9], -"a00010.html#ga6764adb0a0bda236d301256120cddae0":[5,1,5], -"a00010.html#ga6ac2e06f77196123f25e3f5c79ae6ea5":[5,1,4], -"a00010.html#ga6f6b09a873e82dd2fd25338458d0ec0d":[5,1,22], -"a00010.html#ga86048ff411e845cbde51d9324b5a4b0f":[5,1,6], +"a00010.html#a00101":[5,1,0], +"a00010.html#a00102":[5,1,1], +"a00010.html#a0d0af0032496196c04ff3c21e08da611":[5,1,1,4], +"a00010.html#a27f8cf637ec988e2df0170bb123f5e4b":[5,1,0,3], +"a00010.html#a47ce7657992c3f912bd0f03648eba96a":[5,1,0,6], +"a00010.html#a4d7124d2fe27a7b307abef0e3d1bd55c":[5,1,0,9], +"a00010.html#a6261f0e14942576f0b3e5065876a142f":[5,1,0,2], +"a00010.html#a63c6f24b87a854af63cf75d8498f9f25":[5,1,0,4], +"a00010.html#a661bfc69fa8b5c41e2d815c9e6ae1954":[5,1,1,1], +"a00010.html#a918a1f29c2ee23f496a31d9683fb8882":[5,1,1,0], +"a00010.html#a99e3cabacd7886f131f941d4ff6cf96a":[5,1,0,0], +"a00010.html#abf61047d6c6489bdb2f836e7720fe088":[5,1,1,3], +"a00010.html#ac15874a392739d70799948b6d9d1ac64":[5,1,0,8], +"a00010.html#ac3cb07338155e9af597f13a608941203":[5,1,1,2], +"a00010.html#ae902ee4d97896c27a4885e4136e899d0":[5,1,0,7], +"a00010.html#af0e94cfd8917e5b0190c2a8abff13692":[5,1,0,5], +"a00010.html#afabf0825d1df7f15902138064c9dff9d":[5,1,0,1], +"a00010.html#ga00234907358f91917dca95eff851ead9":[5,1,20], +"a00010.html#ga0e056ff4422c6989865712a185a896d5":[5,1,4], +"a00010.html#ga0f65d6ba3713aaeb0b928bfadc58298d":[5,1,5], +"a00010.html#ga144d98c26ff4afcdeb44d1c7031cb7a0":[5,1,9], +"a00010.html#ga14542b3f1b582974fb19b0bf359cfa17":[5,1,26], +"a00010.html#ga2c35cfaacd7e34465baab32d16a4abb5":[5,1,11], +"a00010.html#ga382fc66ff401d2ba9bdee87e3c8c09ce":[5,1,27], +"a00010.html#ga3d417e44bbd7b0f2c6379b6f2de6810e":[5,1,6], +"a00010.html#ga5c6166cad554e91dc0ed36d34b73ee47":[5,1,28], +"a00010.html#ga5de965b76e76697fae576f93037a9d4d":[5,1,10], +"a00010.html#ga606a6384f012744ab917b4344ec7a98d":[5,1,8], +"a00010.html#ga63b8630c7a923a59dc8c91390a749405":[5,1,18], +"a00010.html#ga66b33c0d7a75bb762caef24e9c2dca6d":[5,1,19], +"a00010.html#ga6764adb0a0bda236d301256120cddae0":[5,1,13], +"a00010.html#ga6ac2e06f77196123f25e3f5c79ae6ea5":[5,1,12], +"a00010.html#ga6f6b09a873e82dd2fd25338458d0ec0d":[5,1,30], +"a00010.html#ga86048ff411e845cbde51d9324b5a4b0f":[5,1,14], +"a00010.html#ga8bbc2f332ee8dbc12ed3da6214419ed4":[5,1,7], +"a00010.html#ga981c7dd2659c3c59529d314722d3e937":[5,1,17], "a00010.html#ga9b69d5e0db52ef3ba10acf4f190b9fc2":[5,1,2], -"a00010.html#gaa5b1edec56bda3e46d42405ca8748b89":[5,1,17], -"a00010.html#gabbf90a4a70ccba5078feb488011079c5":[5,1,15], -"a00010.html#gae001e6c47608b339f65c8d2dab02c994":[5,1,24], -"a00010.html#gae9b35ae6b1978ce06851ce86a32af06e":[5,1,16], -"a00010.html#gaea982bc73916fdb713906a2ee49ca604":[5,1,14], -"a00010.html#gaebd796b5bf0c9dff7df7921099d2febb":[5,1,23], -"a00010.html#gaf59ec5edc272fd42a2b66231a16958eb":[5,1,21], -"a00010.html#gafc552b775b278e7e6ea930bb42ca8506":[5,1,13], -"a00010.html#gga01d767059334ad74d416f6c0287f101ba080299d9cd40fafc670901715422dbbc":[5,1,8,1], -"a00010.html#gga01d767059334ad74d416f6c0287f101bac07d74a17c9f9d1b9119b89639f54b9b":[5,1,8,0], -"a00010.html#gga0be2df04c72a8847673bcb6d9714866aac710d56353625bbc2ed100f6dc28111d":[5,1,12,1], -"a00010.html#gga0be2df04c72a8847673bcb6d9714866aacf5512e0ec996846318dc272e7366887":[5,1,12,0], -"a00010.html#gga0be2df04c72a8847673bcb6d9714866aae7dbcc430de0ec04afa4b600985bfaf7":[5,1,12,2], -"a00010.html#gga0c1eb1e31ad34c8654c981a5e643e2c9a29ad6662e14cd08a6d3771ecbd591619":[5,1,7,1], -"a00010.html#gga0c1eb1e31ad34c8654c981a5e643e2c9aba5349742fd4b19f8a7ccc0bdc9cb514":[5,1,7,0], -"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a02ca618c220ce6b97354404817051716":[5,1,3,13], -"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a1a48e4c212d0be7fa18b7d325afc86ed":[5,1,3,5], -"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a3c578a968a4c45b41436d845f456a142":[5,1,3,15], -"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a3ede3850cc844875718179e5a1008900":[5,1,3,6], -"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a4553067b9caa5fd98c1d01074891f5ee":[5,1,3,3], -"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a53723bf555f64a437f12dff73e7f2188":[5,1,3,11], -"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a5ce0c1dfcdfb869146b24d9270edefdc":[5,1,3,12], -"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a72314787f99f4a5ba7e51c1445fe65f2":[5,1,3,10], -"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a85bab394942771628a37eb7b0fd5998f":[5,1,3,9], -"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a88058e395307862a638c86eaf6528c96":[5,1,3,14], -"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a88ef286dbf5a39b6ee66968632a28efe":[5,1,3,7], -"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a9801372f0a31c85b186120eea0c658f8":[5,1,3,8], -"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5aaccaeef5cb7c021878c1d7c4f5034a76":[5,1,3,4], -"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5ab8afeb42a5dc990d1114d333e05851e0":[5,1,3,2], -"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5ac39fdef6bb40a142936848a676f02e49":[5,1,3,1], -"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5ad4b60dd2d35d11a91c11630a7fe91640":[5,1,3,0], -"a00010.html#gga4394d9b57a062c83c444e391c4adf855a306721a69ff013b3f7784bb5d503fa1a":[5,1,10,0], -"a00010.html#gga4394d9b57a062c83c444e391c4adf855a4d1bfa8129b289d5fe1f56dd980343ea":[5,1,10,3], -"a00010.html#gga4394d9b57a062c83c444e391c4adf855a505d962a510aaf415ee47e6d92ca932c":[5,1,10,1], -"a00010.html#gga4394d9b57a062c83c444e391c4adf855aadd3a0bd70e2f2795032fc953d97c677":[5,1,10,2], -"a00010.html#gga43dfe06b3cce27e03b4b131557a5237ba50f00dbce20a1a3390c77501baccf4a0":[5,1,11,1], -"a00010.html#gga43dfe06b3cce27e03b4b131557a5237ba70a38885a33a8996934ad68c3322df89":[5,1,11,0], -"a00010.html#gga43dfe06b3cce27e03b4b131557a5237baca7d1fdc5128af90a17c6e71a9133dda":[5,1,11,2], -"a00010.html#gga5ced51d15f9db8f1e6619a5859f6215da839864dc82a65aa09bccd6c672f23a80":[5,1,9,3], -"a00010.html#gga5ced51d15f9db8f1e6619a5859f6215dae746038d0fc4423106a252b02ec58ac2":[5,1,9,2], -"a00010.html#gga5ced51d15f9db8f1e6619a5859f6215dae787b59b2f377b1ef65d0c13f45e757e":[5,1,9,1], -"a00010.html#gga5ced51d15f9db8f1e6619a5859f6215dafe63c76a86da5c022a74985bd052a07f":[5,1,9,0], -"a00010.html#gga6764adb0a0bda236d301256120cddae0a1d4948f18e10cda189c9c9f89b76f0f8":[5,1,5,1], -"a00010.html#gga6764adb0a0bda236d301256120cddae0a404cfdab3986fef656232281d68a4e6b":[5,1,5,0], -"a00010.html#gga6764adb0a0bda236d301256120cddae0a84d8d50b7d358cbc9612a1751074ee15":[5,1,5,3], -"a00010.html#gga6764adb0a0bda236d301256120cddae0ac64c1bc40831fc72981bb42514124e24":[5,1,5,4], -"a00010.html#gga6764adb0a0bda236d301256120cddae0afd67816e707734658900577dfbd4e2bc":[5,1,5,2], -"a00010.html#gga6ac2e06f77196123f25e3f5c79ae6ea5a2ce349ca4f3712664ea61a07cbadc63d":[5,1,4,3], -"a00010.html#gga6ac2e06f77196123f25e3f5c79ae6ea5ae1bd26a87ec4a5bb4c250856cf1c1994":[5,1,4,0], -"a00010.html#gga6ac2e06f77196123f25e3f5c79ae6ea5ae4a63900c77ec1f5fae1ccea5ca30833":[5,1,4,2], -"a00010.html#gga6ac2e06f77196123f25e3f5c79ae6ea5af27b5126282bcda28c64a9e9be550b88":[5,1,4,4], -"a00010.html#gga6ac2e06f77196123f25e3f5c79ae6ea5afbc435647bf5d8ec4b8133bb54457d8b":[5,1,4,1], -"a00010.html#gga86048ff411e845cbde51d9324b5a4b0fa1a35bccccdc8b9c911a96a14f6413579":[5,1,6,0], -"a00010.html#gga86048ff411e845cbde51d9324b5a4b0fa9210dee59a14aaec97257fac9a20ae9c":[5,1,6,1], -"a00011.html":[5,2], -"a00011.html#a00108":[5,2,0], -"a00011.html#a673b6508efa086da7b7bd537a876241e":[5,2,0,4], -"a00011.html#a88920b83ff1317f511c1e9f4916b3b30":[5,2,0,5], -"a00011.html#a9982af011e3e1fc9756dcee96281ebda":[5,2,0,2], -"a00011.html#ab34c2bedb6fc476f9cbe760b6d45a417":[5,2,0,3], -"a00011.html#aecb53a8e8a78e3273c6d3b2c874ab7f2":[5,2,0,1], -"a00011.html#afbe53ea36b200e69cb7f693e6f0a11f1":[5,2,0,0], -"a00011.html#ga0c8ce306124e645b27798fad68f3ac4f":[5,2,11], -"a00011.html#ga33f99b0cb581e677dbc009a695adf5d5":[5,2,10], -"a00011.html#ga37d19c87e3adeb47db8f6967df0496e1":[5,2,2], -"a00011.html#ga3c2e6ecc571510470849dc078685d71f":[5,2,6], -"a00011.html#ga43edd3bec710cb1ca121bfcadbc9b6f7":[5,2,7], -"a00011.html#ga5ce766fd31166d3b0d2d7ba594dac0ce":[5,2,12] +"a00010.html#ga9e1bb8a7bd2cbff89e6f673ca57c6221":[5,1,3], +"a00010.html#ga9f532395f74b4c57cf60754a72e619bd":[5,1,16], +"a00010.html#gaa5b1edec56bda3e46d42405ca8748b89":[5,1,25], +"a00010.html#gabbf90a4a70ccba5078feb488011079c5":[5,1,23], +"a00010.html#gae001e6c47608b339f65c8d2dab02c994":[5,1,32], +"a00010.html#gae36ac2d48fdabc6713754765cb654bd2":[5,1,15], +"a00010.html#gae9b35ae6b1978ce06851ce86a32af06e":[5,1,24], +"a00010.html#gaea982bc73916fdb713906a2ee49ca604":[5,1,22], +"a00010.html#gaebd796b5bf0c9dff7df7921099d2febb":[5,1,31], +"a00010.html#gaf59ec5edc272fd42a2b66231a16958eb":[5,1,29], +"a00010.html#gafc552b775b278e7e6ea930bb42ca8506":[5,1,21], +"a00010.html#gga00234907358f91917dca95eff851ead9ac710d56353625bbc2ed100f6dc28111d":[5,1,20,1], +"a00010.html#gga00234907358f91917dca95eff851ead9acf5512e0ec996846318dc272e7366887":[5,1,20,0], +"a00010.html#gga00234907358f91917dca95eff851ead9ae7dbcc430de0ec04afa4b600985bfaf7":[5,1,20,2], +"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a02ca618c220ce6b97354404817051716":[5,1,11,13], +"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a1a48e4c212d0be7fa18b7d325afc86ed":[5,1,11,5], +"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a3c578a968a4c45b41436d845f456a142":[5,1,11,15], +"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a3ede3850cc844875718179e5a1008900":[5,1,11,6], +"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a4553067b9caa5fd98c1d01074891f5ee":[5,1,11,3], +"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a53723bf555f64a437f12dff73e7f2188":[5,1,11,11], +"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a5ce0c1dfcdfb869146b24d9270edefdc":[5,1,11,12], +"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a72314787f99f4a5ba7e51c1445fe65f2":[5,1,11,10], +"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a85bab394942771628a37eb7b0fd5998f":[5,1,11,9], +"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a88058e395307862a638c86eaf6528c96":[5,1,11,14], +"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a88ef286dbf5a39b6ee66968632a28efe":[5,1,11,7], +"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a9801372f0a31c85b186120eea0c658f8":[5,1,11,8], +"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5aaccaeef5cb7c021878c1d7c4f5034a76":[5,1,11,4], +"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5ab8afeb42a5dc990d1114d333e05851e0":[5,1,11,2], +"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5ac39fdef6bb40a142936848a676f02e49":[5,1,11,1], +"a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5ad4b60dd2d35d11a91c11630a7fe91640":[5,1,11,0], +"a00010.html#gga63b8630c7a923a59dc8c91390a749405a306721a69ff013b3f7784bb5d503fa1a":[5,1,18,0], +"a00010.html#gga63b8630c7a923a59dc8c91390a749405a4d1bfa8129b289d5fe1f56dd980343ea":[5,1,18,3], +"a00010.html#gga63b8630c7a923a59dc8c91390a749405a505d962a510aaf415ee47e6d92ca932c":[5,1,18,1], +"a00010.html#gga63b8630c7a923a59dc8c91390a749405aadd3a0bd70e2f2795032fc953d97c677":[5,1,18,2], +"a00010.html#gga66b33c0d7a75bb762caef24e9c2dca6da50f00dbce20a1a3390c77501baccf4a0":[5,1,19,1], +"a00010.html#gga66b33c0d7a75bb762caef24e9c2dca6da70a38885a33a8996934ad68c3322df89":[5,1,19,0], +"a00010.html#gga66b33c0d7a75bb762caef24e9c2dca6daca7d1fdc5128af90a17c6e71a9133dda":[5,1,19,2], +"a00010.html#gga6764adb0a0bda236d301256120cddae0a1d4948f18e10cda189c9c9f89b76f0f8":[5,1,13,1], +"a00010.html#gga6764adb0a0bda236d301256120cddae0a404cfdab3986fef656232281d68a4e6b":[5,1,13,0], +"a00010.html#gga6764adb0a0bda236d301256120cddae0a84d8d50b7d358cbc9612a1751074ee15":[5,1,13,3], +"a00010.html#gga6764adb0a0bda236d301256120cddae0ac64c1bc40831fc72981bb42514124e24":[5,1,13,4], +"a00010.html#gga6764adb0a0bda236d301256120cddae0afd67816e707734658900577dfbd4e2bc":[5,1,13,2], +"a00010.html#gga6ac2e06f77196123f25e3f5c79ae6ea5a2ce349ca4f3712664ea61a07cbadc63d":[5,1,12,3], +"a00010.html#gga6ac2e06f77196123f25e3f5c79ae6ea5ae1bd26a87ec4a5bb4c250856cf1c1994":[5,1,12,0], +"a00010.html#gga6ac2e06f77196123f25e3f5c79ae6ea5ae4a63900c77ec1f5fae1ccea5ca30833":[5,1,12,2], +"a00010.html#gga6ac2e06f77196123f25e3f5c79ae6ea5af27b5126282bcda28c64a9e9be550b88":[5,1,12,4] }; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex1.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex1.js index d84af8a..e38451b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex1.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex1.js @@ -1,253 +1,253 @@ var NAVTREEINDEX1 = { -"a00011.html#ga7114311534a33b6688f35ceaaa3f7832":[5,2,4], -"a00011.html#ga7a4d725b011a98efb29a26bcca04c35b":[5,2,5], -"a00011.html#ga7daa8fa0df9f42a98afcf766a63d931d":[5,2,3], -"a00011.html#gab681cd7c82fbafd927d6b22f23a81804":[5,2,8], +"a00010.html#gga6ac2e06f77196123f25e3f5c79ae6ea5afbc435647bf5d8ec4b8133bb54457d8b":[5,1,12,1], +"a00010.html#gga86048ff411e845cbde51d9324b5a4b0fa1a35bccccdc8b9c911a96a14f6413579":[5,1,14,0], +"a00010.html#gga86048ff411e845cbde51d9324b5a4b0fa9210dee59a14aaec97257fac9a20ae9c":[5,1,14,1], +"a00010.html#gga981c7dd2659c3c59529d314722d3e937a839864dc82a65aa09bccd6c672f23a80":[5,1,17,3], +"a00010.html#gga981c7dd2659c3c59529d314722d3e937ae746038d0fc4423106a252b02ec58ac2":[5,1,17,2], +"a00010.html#gga981c7dd2659c3c59529d314722d3e937ae787b59b2f377b1ef65d0c13f45e757e":[5,1,17,1], +"a00010.html#gga981c7dd2659c3c59529d314722d3e937afe63c76a86da5c022a74985bd052a07f":[5,1,17,0], +"a00010.html#gga9f532395f74b4c57cf60754a72e619bda080299d9cd40fafc670901715422dbbc":[5,1,16,1], +"a00010.html#gga9f532395f74b4c57cf60754a72e619bdac07d74a17c9f9d1b9119b89639f54b9b":[5,1,16,0], +"a00010.html#ggae36ac2d48fdabc6713754765cb654bd2a29ad6662e14cd08a6d3771ecbd591619":[5,1,15,1], +"a00010.html#ggae36ac2d48fdabc6713754765cb654bd2aba5349742fd4b19f8a7ccc0bdc9cb514":[5,1,15,0], +"a00011.html":[5,2], +"a00011.html#a00103":[5,2,0], +"a00011.html#a21fbdaae981f48037ac2ae4f17014d4e":[5,2,0,2], +"a00011.html#a5db17f3b1bf658ca8c44531a370c447d":[5,2,0,5], +"a00011.html#a6b4f17bf4ed5716ce78d1505bb3b7df8":[5,2,0,4], +"a00011.html#a7c89d6338d481fa27e2291c28b375ae1":[5,2,0,3], +"a00011.html#acdcb878b2b9318dffe5be1e214f67663":[5,2,0,0], +"a00011.html#af12b83e2d0d509072a6f6f9cfe851c08":[5,2,0,1], +"a00011.html#ga0c8ce306124e645b27798fad68f3ac4f":[5,2,13], +"a00011.html#ga33f99b0cb581e677dbc009a695adf5d5":[5,2,12], +"a00011.html#ga37d19c87e3adeb47db8f6967df0496e1":[5,2,2], +"a00011.html#ga3c2e6ecc571510470849dc078685d71f":[5,2,8], +"a00011.html#ga43edd3bec710cb1ca121bfcadbc9b6f7":[5,2,9], +"a00011.html#ga5196acf4fbe44e56f5b3609c524d737d":[5,2,3], +"a00011.html#ga5ce766fd31166d3b0d2d7ba594dac0ce":[5,2,14], +"a00011.html#ga7114311534a33b6688f35ceaaa3f7832":[5,2,6], +"a00011.html#ga7a4d725b011a98efb29a26bcca04c35b":[5,2,7], +"a00011.html#ga8d7443c594312c4c8a5418fd12aa8881":[5,2,4], +"a00011.html#gab681cd7c82fbafd927d6b22f23a81804":[5,2,10], "a00011.html#gac70c03eacdde850267d7fe0d7dca9591":[5,2,1], -"a00011.html#gac745b626d9c7bd9f3da7986374483389":[5,2,9], -"a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da12ca2ee766202eb962135c76c00b10aa":[5,2,3,2], -"a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da4a13840f3031b3aed89f60ba37a34c8b":[5,2,3,1], -"a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da9ef1c3d85d4f13199e7df63d170bf6f6":[5,2,3,0], +"a00011.html#gac745b626d9c7bd9f3da7986374483389":[5,2,11], +"a00011.html#gac9e3abdb739c7842a6f26505b418540d":[5,2,5], +"a00011.html#ggac9e3abdb739c7842a6f26505b418540da12ca2ee766202eb962135c76c00b10aa":[5,2,5,2], +"a00011.html#ggac9e3abdb739c7842a6f26505b418540da4a13840f3031b3aed89f60ba37a34c8b":[5,2,5,1], +"a00011.html#ggac9e3abdb739c7842a6f26505b418540da9ef1c3d85d4f13199e7df63d170bf6f6":[5,2,5,0], "a00012.html":[5,3], -"a00012.html#a00109":[5,3,1], -"a00012.html#a00110":[5,3,0], -"a00012.html#a0e8b29f0c0f74c5273942a521e3b16d6":[5,3,0,3], -"a00012.html#a31ca8ee3406b7a17c793d94469e1e68d":[5,3,0,4], -"a00012.html#a94fe2c63ce7fcb5cf68f9ce73974a3e8":[5,3,0,2], -"a00012.html#aa8baec2c586854dc58ff4cdb82b7996b":[5,3,1,2], -"a00012.html#aaa00b16aba273e3f11f9480154acc1e5":[5,3,0,1], -"a00012.html#ab72ef3a10cab9754d3cecb44ef7ad6ac":[5,3,1,0], -"a00012.html#ac07c23c0bbadea74c24c03e401321fbc":[5,3,1,1], -"a00012.html#ae09fd69820c9285d7f146260d2c51584":[5,3,0,5], -"a00012.html#afa3614f09e787565b3514ddd4d9545a0":[5,3,0,0], -"a00012.html#ga0971c614f932bcf55994bf6c92325eb2":[5,3,9], -"a00012.html#ga09f5eb858c001377062fe0b8a0d5bd29":[5,3,6], -"a00012.html#ga0b2f1b62dee7adf60574c3410e7bd26f":[5,3,22], -"a00012.html#ga0b4954f928c8e278c29325ea10aa7fa5":[5,3,36], -"a00012.html#ga22cef1fc5f8e23a35b6c3a012e3d143c":[5,3,7], -"a00012.html#ga24464606cafc0f316116b164966a906e":[5,3,24], -"a00012.html#ga2a70f03b835a36e5b321861d0b0ebb41":[5,3,16], -"a00012.html#ga2fbf5cfa219c31ac16f3786d6897dc3f":[5,3,3], -"a00012.html#ga37f6a866661fa105f4e66e76e02d4236":[5,3,37], -"a00012.html#ga3c7e8e1eb12e5f48ecca04c8128a9545":[5,3,30], -"a00012.html#ga43b7410d9c6aab6aad466bd481b9222b":[5,3,28], -"a00012.html#ga5d04834d4b29c8505b0a89b8875c2e78":[5,3,18], -"a00012.html#ga635d2570c0274ff40f10ac7b5f3317df":[5,3,26], -"a00012.html#ga64b1d0ba86d952b5ec30e201880c7bb5":[5,3,19], -"a00012.html#ga740677adee6ada817bb45ed62607c3c4":[5,3,11], -"a00012.html#ga7ea373165af081e0e1700f7a3ba56642":[5,3,31], +"a00012.html#a00104":[5,3,1], +"a00012.html#a00105":[5,3,0], +"a00012.html#a20b9c2ce6be8ecf9f0f640bba9828851":[5,3,0,2], +"a00012.html#a21541c95f8155a7668f604269630112c":[5,3,0,1], +"a00012.html#a5960cac6e983dce00a98f942e22f5832":[5,3,0,0], +"a00012.html#a65dfe86005e09a191b64c31726f5b8ad":[5,3,0,5], +"a00012.html#a742e587e497d79f10529d45268078d15":[5,3,0,4], +"a00012.html#acf773fdddb3e5695ae30f4ab45e4b2fd":[5,3,1,2], +"a00012.html#ae5118e6ce0406226a78b579332afc0e7":[5,3,1,0], +"a00012.html#ae81716b8893efcbe0a03b7a96215cd66":[5,3,1,1], +"a00012.html#aebc9be7186748d055b9f5a32c241c51e":[5,3,0,3], +"a00012.html#ga0004b37966f280b656f02a7879c5892d":[5,3,10], +"a00012.html#ga0b2f1b62dee7adf60574c3410e7bd26f":[5,3,32], +"a00012.html#ga0b4954f928c8e278c29325ea10aa7fa5":[5,3,47], +"a00012.html#ga0b8ebcf3ce7d5c70171eb3a81c5e40d7":[5,3,6], +"a00012.html#ga24464606cafc0f316116b164966a906e":[5,3,34], +"a00012.html#ga25a75b8276d2d13d35b8f9e3b0cf08d4":[5,3,18], +"a00012.html#ga2a70f03b835a36e5b321861d0b0ebb41":[5,3,26], +"a00012.html#ga345fca07290119fa13234da1b38a6106":[5,3,14], +"a00012.html#ga37f6a866661fa105f4e66e76e02d4236":[5,3,48], +"a00012.html#ga3c7e8e1eb12e5f48ecca04c8128a9545":[5,3,40], +"a00012.html#ga40092936e611d9c5525182c48b92a064":[5,3,11], +"a00012.html#ga43b7410d9c6aab6aad466bd481b9222b":[5,3,38], +"a00012.html#ga47db49d83551ef1e38375570f29e5f0e":[5,3,46], +"a00012.html#ga5d04834d4b29c8505b0a89b8875c2e78":[5,3,28], +"a00012.html#ga631867452d444e8d84ab4bc24570359b":[5,3,15], +"a00012.html#ga635d2570c0274ff40f10ac7b5f3317df":[5,3,36], +"a00012.html#ga64b1d0ba86d952b5ec30e201880c7bb5":[5,3,29], +"a00012.html#ga6ad362eb6d1fccbbf692e624140f8089":[5,3,9], +"a00012.html#ga740677adee6ada817bb45ed62607c3c4":[5,3,21], +"a00012.html#ga7ea373165af081e0e1700f7a3ba56642":[5,3,41], +"a00012.html#ga88c4223ed0ddac8579baee9a943fac13":[5,3,8], "a00012.html#ga89b626fd25a5b3bbd511672ff51618f8":[5,3,2], -"a00012.html#ga97daa711029883d46933204381f44c9f":[5,3,13], -"a00012.html#ga9cc18e14c871b2a79888a4cdacbb0eee":[5,3,8], -"a00012.html#gaa7de0b40e3127d3d8901398df50feb20":[5,3,23], -"a00012.html#gac37706bc06bc7590ce1e3d1b4bf73638":[5,3,4], -"a00012.html#gac44f44c3f88125a41081ca2a7cf06223":[5,3,14], -"a00012.html#gacae8e1dbb60368ab01a9564de7eda037":[5,3,12], -"a00012.html#gacb1f53d8b6a2b157194983172c448707":[5,3,15], -"a00012.html#gacd6bd05573ab1128b8f3feaf30058e32":[5,3,32], -"a00012.html#gad50a3d5668e4b0e9aba06d4d555139b9":[5,3,33], -"a00012.html#gad65b4a95cbfca13083f6d93e7a46f1cb":[5,3,27], -"a00012.html#gad9f4a6073c669662f300d395d66e16bb":[5,3,17], -"a00012.html#gae279db71f27ceb5a6d15ad8d17cbd670":[5,3,21], -"a00012.html#gae4d610de22fd8b8c9577e774dac88ac5":[5,3,20], -"a00012.html#gae60f7d34c9e499abba96e5979ee1818d":[5,3,5], -"a00012.html#gae64285eb2e52bf5cc2b723870392ff60":[5,3,10], -"a00012.html#gae9a75a0e18a696baa795ae67aa30a120":[5,3,29], -"a00012.html#gaeb3527b175c6158c02d76e063e2794b5":[5,3,34], -"a00012.html#gaf1b8088779288346a7508dc7ef6e99d1":[5,3,25], -"a00012.html#gaf575852d70d9db218b0def620a0b7302":[5,3,35], -"a00012.html#gga0971c614f932bcf55994bf6c92325eb2a05694ae09ff514b7237388b33acaaa99":[5,3,9,0], -"a00012.html#gga0971c614f932bcf55994bf6c92325eb2a1f67c8c1e8039ca326e9f25fabffdd0d":[5,3,9,3], -"a00012.html#gga0971c614f932bcf55994bf6c92325eb2a47f020e940359f6f08c5c8171d2942b9":[5,3,9,1], -"a00012.html#gga0971c614f932bcf55994bf6c92325eb2a5d70800d3cc33733d42f525242edbbf4":[5,3,9,5], -"a00012.html#gga0971c614f932bcf55994bf6c92325eb2a5fe0ea705babd6351564e59c8db8e928":[5,3,9,4], -"a00012.html#gga0971c614f932bcf55994bf6c92325eb2a68a56db3574cd0ea3bb783c7d13f0894":[5,3,9,6], -"a00012.html#gga0971c614f932bcf55994bf6c92325eb2ab15c129ca07d334ae13d77e0646c7287":[5,3,9,2], -"a00012.html#gga09f5eb858c001377062fe0b8a0d5bd29a42b2f4d5cb9a524f87f6626169155207":[5,3,6,0], -"a00012.html#gga09f5eb858c001377062fe0b8a0d5bd29a90643d7c03749f64e0d20cfcf55a80ed":[5,3,6,1], -"a00012.html#gga09f5eb858c001377062fe0b8a0d5bd29ab4602750f83eba0e5cebd0f2ed91a3c4":[5,3,6,2], -"a00012.html#gga09f5eb858c001377062fe0b8a0d5bd29aba7194efe10386e467b082fc0b847031":[5,3,6,3], -"a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143ca2086fd6712693ac8f0758553ff7bb3d3":[5,3,7,1], -"a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143ca4ad940f7bea1dbae09b156ec0415f5f2":[5,3,7,3], -"a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143cac387e950c7d8c19c1464dd27e5c7396f":[5,3,7,0], -"a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143cae1b0b02fe1e0af81f62fb40ff8339b8f":[5,3,7,2], -"a00012.html#gga2fbf5cfa219c31ac16f3786d6897dc3fa9d6d30f6a5498d009cd87fafee3bccd5":[5,3,3,2], -"a00012.html#gga2fbf5cfa219c31ac16f3786d6897dc3faa13dee3bb29b8962385da2ac6d8dc938":[5,3,3,0], -"a00012.html#gga2fbf5cfa219c31ac16f3786d6897dc3fac4fcf23f15faa447489ef7ccc4d6cb25":[5,3,3,1], -"a00012.html#gga740677adee6ada817bb45ed62607c3c4a38d629ce598178fd96f48660e63ae1e7":[5,3,11,1], -"a00012.html#gga740677adee6ada817bb45ed62607c3c4af805d881e4dc37e339a8434c1816f930":[5,3,11,0], -"a00012.html#ggac37706bc06bc7590ce1e3d1b4bf73638a18a0af674c980ebbdfd0413dcfa3f3c0":[5,3,4,1], -"a00012.html#ggac37706bc06bc7590ce1e3d1b4bf73638ab73ca62f50120abc4662c750925e9b5a":[5,3,4,2], -"a00012.html#ggac37706bc06bc7590ce1e3d1b4bf73638ac8297b7a4be687e63200fc22be1d2f02":[5,3,4,0], -"a00012.html#ggae60f7d34c9e499abba96e5979ee1818da38a7356dba8d8a5a8877e9de9fde2faf":[5,3,5,1], -"a00012.html#ggae60f7d34c9e499abba96e5979ee1818da6acca2e2ccd6cc6d08a3d958e47c80fb":[5,3,5,3], -"a00012.html#ggae60f7d34c9e499abba96e5979ee1818da98b35c6f057b201073c8afa4bdce60ed":[5,3,5,2], -"a00012.html#ggae60f7d34c9e499abba96e5979ee1818dac06fa7bc66bbb978bc14348fc0cb4633":[5,3,5,0], -"a00012.html#ggae64285eb2e52bf5cc2b723870392ff60a02e58518795f05162313b509a600a3d3":[5,3,10,3], -"a00012.html#ggae64285eb2e52bf5cc2b723870392ff60a5504b0ec94b832a46824ec297a106df1":[5,3,10,4], -"a00012.html#ggae64285eb2e52bf5cc2b723870392ff60a901042ca905603329df3cf1d316a2e53":[5,3,10,1], -"a00012.html#ggae64285eb2e52bf5cc2b723870392ff60aaf0224295d4f330d0d61d10ab30f38ea":[5,3,10,6], -"a00012.html#ggae64285eb2e52bf5cc2b723870392ff60ad76fd4735e0604307b50d2fd12cba3c0":[5,3,10,0], -"a00012.html#ggae64285eb2e52bf5cc2b723870392ff60af3a0db73d48116ea419af737c706b30b":[5,3,10,5], -"a00012.html#ggae64285eb2e52bf5cc2b723870392ff60af5a6c5ee43a01803e82c26181dedfa97":[5,3,10,2], +"a00012.html#ga97daa711029883d46933204381f44c9f":[5,3,23], +"a00012.html#gaa7de0b40e3127d3d8901398df50feb20":[5,3,33], +"a00012.html#gaa8f3f17e5986e89e8833dfd3a6f73e49":[5,3,4], +"a00012.html#gab4782e716d63a0496aa9a80aaef07863":[5,3,16], +"a00012.html#gab58c5d25a397ad3ea4f089720cc8d173":[5,3,3], +"a00012.html#gabe141933756553c5fcbb23160f065b00":[5,3,49], +"a00012.html#gac328f6ccbe026c29679fda455577ebc8":[5,3,19], +"a00012.html#gac44f44c3f88125a41081ca2a7cf06223":[5,3,24], +"a00012.html#gacae8e1dbb60368ab01a9564de7eda037":[5,3,22], +"a00012.html#gacb1f53d8b6a2b157194983172c448707":[5,3,25], +"a00012.html#gacd6bd05573ab1128b8f3feaf30058e32":[5,3,42], +"a00012.html#gad50a3d5668e4b0e9aba06d4d555139b9":[5,3,43], +"a00012.html#gad65b4a95cbfca13083f6d93e7a46f1cb":[5,3,37], +"a00012.html#gad9f4a6073c669662f300d395d66e16bb":[5,3,27], +"a00012.html#gadb9b8699537a63ff6520274fd3d2fded":[5,3,13], +"a00012.html#gae279db71f27ceb5a6d15ad8d17cbd670":[5,3,31], +"a00012.html#gae4d610de22fd8b8c9577e774dac88ac5":[5,3,30], +"a00012.html#gae51a84eed9514dfaf69dc96f0573ed66":[5,3,12], +"a00012.html#gae9a75a0e18a696baa795ae67aa30a120":[5,3,39], +"a00012.html#gaeb3527b175c6158c02d76e063e2794b5":[5,3,44], +"a00012.html#gaf1b8088779288346a7508dc7ef6e99d1":[5,3,35], +"a00012.html#gaf25bea100cf94b195a396ed113f8efdf":[5,3,7], +"a00012.html#gaf575852d70d9db218b0def620a0b7302":[5,3,45], +"a00012.html#gaf605923833c7eff925d35f1f8eb9011c":[5,3,17], +"a00012.html#gafaaa3af278c6301f84854a06d39fd29c":[5,3,5], +"a00012.html#gafb9f972964325723b7f3097e89f67f14":[5,3,20], +"a00012.html#gga345fca07290119fa13234da1b38a6106a18a0af674c980ebbdfd0413dcfa3f3c0":[5,3,14,1], +"a00012.html#gga345fca07290119fa13234da1b38a6106ab73ca62f50120abc4662c750925e9b5a":[5,3,14,2], +"a00012.html#gga345fca07290119fa13234da1b38a6106ac8297b7a4be687e63200fc22be1d2f02":[5,3,14,0], +"a00012.html#gga631867452d444e8d84ab4bc24570359ba38a7356dba8d8a5a8877e9de9fde2faf":[5,3,15,1], +"a00012.html#gga631867452d444e8d84ab4bc24570359ba6acca2e2ccd6cc6d08a3d958e47c80fb":[5,3,15,3], +"a00012.html#gga631867452d444e8d84ab4bc24570359ba98b35c6f057b201073c8afa4bdce60ed":[5,3,15,2], +"a00012.html#gga631867452d444e8d84ab4bc24570359bac06fa7bc66bbb978bc14348fc0cb4633":[5,3,15,0], +"a00012.html#gga740677adee6ada817bb45ed62607c3c4a38d629ce598178fd96f48660e63ae1e7":[5,3,21,1], +"a00012.html#gga740677adee6ada817bb45ed62607c3c4af805d881e4dc37e339a8434c1816f930":[5,3,21,0], +"a00012.html#ggab4782e716d63a0496aa9a80aaef07863a42b2f4d5cb9a524f87f6626169155207":[5,3,16,0], +"a00012.html#ggab4782e716d63a0496aa9a80aaef07863a90643d7c03749f64e0d20cfcf55a80ed":[5,3,16,1], +"a00012.html#ggab4782e716d63a0496aa9a80aaef07863ab4602750f83eba0e5cebd0f2ed91a3c4":[5,3,16,2], +"a00012.html#ggab4782e716d63a0496aa9a80aaef07863aba7194efe10386e467b082fc0b847031":[5,3,16,3], +"a00012.html#ggac328f6ccbe026c29679fda455577ebc8a05694ae09ff514b7237388b33acaaa99":[5,3,19,0], +"a00012.html#ggac328f6ccbe026c29679fda455577ebc8a1f67c8c1e8039ca326e9f25fabffdd0d":[5,3,19,3], +"a00012.html#ggac328f6ccbe026c29679fda455577ebc8a47f020e940359f6f08c5c8171d2942b9":[5,3,19,1], +"a00012.html#ggac328f6ccbe026c29679fda455577ebc8a5d70800d3cc33733d42f525242edbbf4":[5,3,19,5], +"a00012.html#ggac328f6ccbe026c29679fda455577ebc8a5fe0ea705babd6351564e59c8db8e928":[5,3,19,4], +"a00012.html#ggac328f6ccbe026c29679fda455577ebc8a68a56db3574cd0ea3bb783c7d13f0894":[5,3,19,6], +"a00012.html#ggac328f6ccbe026c29679fda455577ebc8ab15c129ca07d334ae13d77e0646c7287":[5,3,19,2], +"a00012.html#ggadb9b8699537a63ff6520274fd3d2fdeda9d6d30f6a5498d009cd87fafee3bccd5":[5,3,13,2], +"a00012.html#ggadb9b8699537a63ff6520274fd3d2fdedaa13dee3bb29b8962385da2ac6d8dc938":[5,3,13,0], +"a00012.html#ggadb9b8699537a63ff6520274fd3d2fdedac4fcf23f15faa447489ef7ccc4d6cb25":[5,3,13,1], +"a00012.html#ggaf605923833c7eff925d35f1f8eb9011ca2086fd6712693ac8f0758553ff7bb3d3":[5,3,17,1], +"a00012.html#ggaf605923833c7eff925d35f1f8eb9011ca4ad940f7bea1dbae09b156ec0415f5f2":[5,3,17,3], +"a00012.html#ggaf605923833c7eff925d35f1f8eb9011cac387e950c7d8c19c1464dd27e5c7396f":[5,3,17,0], +"a00012.html#ggaf605923833c7eff925d35f1f8eb9011cae1b0b02fe1e0af81f62fb40ff8339b8f":[5,3,17,2], +"a00012.html#ggafb9f972964325723b7f3097e89f67f14a02e58518795f05162313b509a600a3d3":[5,3,20,3], +"a00012.html#ggafb9f972964325723b7f3097e89f67f14a5504b0ec94b832a46824ec297a106df1":[5,3,20,4], +"a00012.html#ggafb9f972964325723b7f3097e89f67f14a901042ca905603329df3cf1d316a2e53":[5,3,20,1], +"a00012.html#ggafb9f972964325723b7f3097e89f67f14aaf0224295d4f330d0d61d10ab30f38ea":[5,3,20,6], +"a00012.html#ggafb9f972964325723b7f3097e89f67f14ad76fd4735e0604307b50d2fd12cba3c0":[5,3,20,0], +"a00012.html#ggafb9f972964325723b7f3097e89f67f14af3a0db73d48116ea419af737c706b30b":[5,3,20,5], +"a00012.html#ggafb9f972964325723b7f3097e89f67f14af5a6c5ee43a01803e82c26181dedfa97":[5,3,20,2], "a00013.html":[5,6], -"a00013.html#a00111":[5,6,0], -"a00013.html#a64eb5fd25bfaaa5593caf6e9116dbb5b":[5,6,0,0], -"a00013.html#ga0331d8c8f699fac12c93a7796d961923":[5,6,3], -"a00013.html#ga0a654edfcbb8a6fc80c51a3222fc4d7b":[5,6,10], -"a00013.html#ga18bbc1eedecdc090c14578740271db0e":[5,6,5], -"a00013.html#ga5ecbd1908f7743934ccdb090ca0cb1d8":[5,6,6], -"a00013.html#ga82eecb700b3afeda8b95a6151d8904fc":[5,6,2], +"a00013.html#a00106":[5,6,0], +"a00013.html#ad028490a4c5b6ddc206b0c9f6efd7903":[5,6,0,0], +"a00013.html#ga0331d8c8f699fac12c93a7796d961923":[5,6,5], +"a00013.html#ga0a654edfcbb8a6fc80c51a3222fc4d7b":[5,6,12], +"a00013.html#ga18bbc1eedecdc090c14578740271db0e":[5,6,7], +"a00013.html#ga5ecbd1908f7743934ccdb090ca0cb1d8":[5,6,8], +"a00013.html#ga73e8aad041168c603df5aa5e27a4fec5":[5,6,2], "a00013.html#ga92d95f6b17de859a557ed350a86ff56e":[5,6,1], -"a00013.html#gab9cec79763041b1df321a5839982feba":[5,6,4], -"a00013.html#gabaeabddab20ad3c45aed786a7d12d350":[5,6,7], -"a00013.html#gabd7c9e5a5c0b6b35ed2854581f1c6fe8":[5,6,8], -"a00013.html#gabee47ca15a3a779e490f073aa1c43563":[5,6,9], -"a00013.html#gga82eecb700b3afeda8b95a6151d8904fca302776aaf6d8780d9b57e9f910615d6f":[5,6,2,0], -"a00013.html#gga82eecb700b3afeda8b95a6151d8904fcad460e5e0da52ad16bf771892ebfce1f8":[5,6,2,1], +"a00013.html#gab9703d5a615e29deaeaa91ff01ef240f":[5,6,3], +"a00013.html#gab9cec79763041b1df321a5839982feba":[5,6,6], +"a00013.html#gabaeabddab20ad3c45aed786a7d12d350":[5,6,9], +"a00013.html#gabd7c9e5a5c0b6b35ed2854581f1c6fe8":[5,6,10], +"a00013.html#gabee47ca15a3a779e490f073aa1c43563":[5,6,11], +"a00013.html#gaf0a17d3b5229418c020d333b7c88f2f8":[5,6,4], +"a00013.html#ggaf0a17d3b5229418c020d333b7c88f2f8a302776aaf6d8780d9b57e9f910615d6f":[5,6,4,0], +"a00013.html#ggaf0a17d3b5229418c020d333b7c88f2f8ad460e5e0da52ad16bf771892ebfce1f8":[5,6,4,1], "a00014.html":[5,8], -"a00014.html#a00112":[5,8,0], -"a00014.html#a70aed128003103272f5740f12fbff525":[5,8,0,0], -"a00014.html#a9d37ffd9a2943f10a91095759bd52da5":[5,8,0,1], -"a00014.html#ga07e3b8c21dad1debec7986f214a70975":[5,8,9], -"a00014.html#ga185ddb6848a644b40e0620ef6b4ce2cf":[5,8,8], -"a00014.html#ga2a1113d96cdf973bb5b1eade3521adf8":[5,8,5], +"a00014.html#a00107":[5,8,0], +"a00014.html#a70ede6dc868ff6dd15987ba62c5d574b":[5,8,0,1], +"a00014.html#ae01198d65e4be510c4468dce75b6d779":[5,8,0,0], +"a00014.html#ga07e3b8c21dad1debec7986f214a70975":[5,8,11], +"a00014.html#ga0df4be96fa56f3bdd7bfa048fdaacd6b":[5,8,4], +"a00014.html#ga185ddb6848a644b40e0620ef6b4ce2cf":[5,8,10], +"a00014.html#ga2a1113d96cdf973bb5b1eade3521adf8":[5,8,7], "a00014.html#ga5aa5229cbd041b11bcf8417ba12896b2":[5,8,1], -"a00014.html#ga6fabd21856d350fc0b60ffea3bbca200":[5,8,3], -"a00014.html#ga7206f7b805b8a3c68044345dbbba0a41":[5,8,7], -"a00014.html#ga9b8fa194d8fa5a1bf933a709d4dde014":[5,8,4], -"a00014.html#gabad8dfa62a3e414cc23ff8b32eea81d0":[5,8,6], -"a00014.html#gada41ca0a2ce239fe125ee96833e715c0":[5,8,2], -"a00014.html#ggada41ca0a2ce239fe125ee96833e715c0a509ebcd228fc813cf4afcacd258680f9":[5,8,2,1], -"a00014.html#ggada41ca0a2ce239fe125ee96833e715c0abacf19933be1940ab40c83535e6a46d4":[5,8,2,0], +"a00014.html#ga6fabd21856d350fc0b60ffea3bbca200":[5,8,5], +"a00014.html#ga7206f7b805b8a3c68044345dbbba0a41":[5,8,9], +"a00014.html#ga9279df0a87f2432dc3c5d596028223b7":[5,8,3], +"a00014.html#ga9b8fa194d8fa5a1bf933a709d4dde014":[5,8,6], +"a00014.html#gabad8dfa62a3e414cc23ff8b32eea81d0":[5,8,8], +"a00014.html#gaf7d75755774a0f20385fbdda546f1f1d":[5,8,2], +"a00014.html#gga0df4be96fa56f3bdd7bfa048fdaacd6ba509ebcd228fc813cf4afcacd258680f9":[5,8,4,1], +"a00014.html#gga0df4be96fa56f3bdd7bfa048fdaacd6babacf19933be1940ab40c83535e6a46d4":[5,8,4,0], "a00015.html":[5,9,1], -"a00015.html#a00096":[5,9,1,2], -"a00015.html#a00097":[5,9,1,1], -"a00015.html#a00113":[5,9,1,0], -"a00015.html#a15b84b8a94c2b2e5ace0a695c79edd84":[5,9,1,2,5], -"a00015.html#a1c6831135c83c013d2a3cfc3e7210357":[5,9,1,0,2], -"a00015.html#a2186844dc87bcde999fc12005f4c550a":[5,9,1,0,1], -"a00015.html#a2419131a10906475fd31950f17ac8895":[5,9,1,0,0], -"a00015.html#a5943d16f5ed6e7c4ebd334cdbc0e2afc":[5,9,1,2,1], -"a00015.html#a6858d3525f762d7aded20e6c95eb19fc":[5,9,1,2,4], -"a00015.html#a68e2dd69e1e34b1b505270e1e07e8a34":[5,9,1,1,6], -"a00015.html#a8835787e1b0f9a4b8868e7cbe53e45d5":[5,9,1,1,0], -"a00015.html#a8c7f63bd1e7de04e40f5cd733f5c7388":[5,9,1,1,5], -"a00015.html#a9577474c0c4395355174df2b016108de":[5,9,1,2,3], -"a00015.html#a97891bd050609c6dcd1276714277e480":[5,9,1,1,1], -"a00015.html#aad7df570c53adb2e80acd2ba0d39d109":[5,9,1,2,6], -"a00015.html#ac5b89dc9115b7760431c981e0c1fd443":[5,9,1,1,2], -"a00015.html#aca481b5d3ca6dce53cf440b534ff80c2":[5,9,1,2,2], -"a00015.html#add7ec18bc8239c5c87ffcec2fbcf5dd8":[5,9,1,2,0], -"a00015.html#ae7facb612714785d4e143e57d47a5af3":[5,9,1,1,3], -"a00015.html#aeec8dccf4a49f03ff9a40f5982a24796":[5,9,1,1,4], -"a00015.html#ga07cc6bd20f700249c335893427bf462a":[5,9,1,11], -"a00015.html#ga09137caccbe9a57f6b2ca5e1ce9bdc50":[5,9,1,12], -"a00015.html#ga0f6f1f2fe150661f8eb0a072665a9020":[5,9,1,16], -"a00015.html#ga164d97e40eb5ad625a41ad43cf938153":[5,9,1,13], -"a00015.html#ga29f967b3ae8487a36ba2a58deb01ccae":[5,9,1,9], -"a00015.html#ga31e3de02b57801c6896e6045c4a31268":[5,9,1,27], -"a00015.html#ga35cae3a5b4aa50ce8db28e3eb703a027":[5,9,1,26], -"a00015.html#ga3b4d71b59e118adc63afbc5434e5e7dd":[5,9,1,24], -"a00015.html#ga437271ffb955b77df0a5dee9ea80cc63":[5,9,1,15], -"a00015.html#ga441017241044ffdb828385e473f5fb58":[5,9,1,14], -"a00015.html#ga4b7617034ab51d77786141873fd6ee1c":[5,9,1,20], -"a00015.html#ga7664234966e4162e952b6d57efcaa8a6":[5,9,1,21], -"a00015.html#ga7d720842e68161d2d52d56d119f20665":[5,9,1,29], -"a00015.html#ga8137f7b333aafe1e3ff6c9d3852b7dbd":[5,9,1,23], -"a00015.html#ga87ea07668194cfb46c7c368d2cb42433":[5,9,1,6], -"a00015.html#gab08c1a0d50859637b4305687278941ee":[5,9,1,7], -"a00015.html#gab49c827b45635206f06e5737606e4611":[5,9,1,5], -"a00015.html#gaba04c62ee636dd8317c2235c3c82aa3b":[5,9,1,25], -"a00015.html#gabb386e53b2bc64e29b2915bdfac36800":[5,9,1,30], -"a00015.html#gac3e053611231cc886bfa38831a1ac26e":[5,9,1,17], -"a00015.html#gac7f76a04b6f6873c52ad176d58901fe9":[5,9,1,28], -"a00015.html#gac938392418ba1a891983d11ef5c9f2a3":[5,9,1,4], -"a00015.html#gad091cd1857d2bd8ce64583739b3a35d5":[5,9,1,31], -"a00015.html#gad292a48f957a9b76593c1779d9dce497":[5,9,1,3], -"a00015.html#gad69f2d63ea756dda60749ff6b09f587d":[5,9,1,8], -"a00015.html#gadcf7122f0a38d4d9da0f052fcb167957":[5,9,1,10], -"a00015.html#gae652236a25f1b4828d596f32190655f8":[5,9,1,18], -"a00015.html#gaf46a8cc094fc18c6cadea8de71448723":[5,9,1,22], -"a00015.html#gafeab1d5249a8b39c8d9e1a54a85c23f0":[5,9,1,19], -"a00015.html#gga87ea07668194cfb46c7c368d2cb42433a5cb44bf860c0482c0ca0165cf0d31d8a":[5,9,1,6,1], -"a00015.html#gga87ea07668194cfb46c7c368d2cb42433aadf112471ef12f194985a093cd9b9721":[5,9,1,6,2], -"a00015.html#gga87ea07668194cfb46c7c368d2cb42433ae80f7b768b1621e42ac965d3d23de5e2":[5,9,1,6,0], -"a00015.html#gga87ea07668194cfb46c7c368d2cb42433afb8aeea71b5b7475e3d3df86220db566":[5,9,1,6,3], -"a00015.html#ggab49c827b45635206f06e5737606e4611a3a7bb24dc8d1c1be8925603eeafe9b30":[5,9,1,5,1], -"a00015.html#ggab49c827b45635206f06e5737606e4611a93b476b469c2a4bfed5916b458ae9bb0":[5,9,1,5,0], -"a00015.html#ggac938392418ba1a891983d11ef5c9f2a3a7b487779b58af99a7a8c179545f78ccd":[5,9,1,4,1], -"a00015.html#ggac938392418ba1a891983d11ef5c9f2a3a9cc49a1ca21e87058a7fbf733cce0e62":[5,9,1,4,0], -"a00015.html#ggac938392418ba1a891983d11ef5c9f2a3ab5cec1d9be7dc5fb2c106e2b87889517":[5,9,1,4,2], +"a00015.html#a00108":[5,9,1,0], +"a00015.html#a00109":[5,9,1,2], +"a00015.html#a00110":[5,9,1,1], +"a00015.html#a083727a4268398628225a68cad8c4a50":[5,9,1,1,5], +"a00015.html#a0c2c6dde8d11db7793fdea90f1b34afb":[5,9,1,1,4], +"a00015.html#a0c6862e22cf2047661ce05975756093a":[5,9,1,2,5], +"a00015.html#a282b6a9bd8e98bf35e8183665488d98c":[5,9,1,0,1], +"a00015.html#a403d5da02efab45e1ebb8bef2ae063d5":[5,9,1,2,4], +"a00015.html#a62ffa1c0fea595fb26ac5604c437a549":[5,9,1,2,3], +"a00015.html#a7034b157201c46c116dc251e3b56412d":[5,9,1,1,6], +"a00015.html#a7a4f43a16e63d9f286bc41b6255b5e3f":[5,9,1,1,3], +"a00015.html#a7a58fd313d0615ac1ca70d2b4f17ae9a":[5,9,1,0,0], +"a00015.html#a7bd3afcf89b78d9397b2f0c55f9deb27":[5,9,1,1,2], +"a00015.html#a84861e140902bd67ae901b2f4c53bfc0":[5,9,1,1,0], +"a00015.html#a862e1a312eb8b2bd537f1a22a48f455f":[5,9,1,2,6], +"a00015.html#aa041075cd1ecaf90bcc73d96478e94cf":[5,9,1,2,2], +"a00015.html#ab08095b4638786e1b364c1eed398fce4":[5,9,1,2,1], +"a00015.html#ade67a2d6339836711ee255a18405e750":[5,9,1,2,0], +"a00015.html#ae21c9a34c722273d6c28f0abe72b5424":[5,9,1,1,1], +"a00015.html#ae638534f9f5735a294e951652c1d650b":[5,9,1,0,2], +"a00015.html#ga07cc6bd20f700249c335893427bf462a":[5,9,1,15], +"a00015.html#ga09137caccbe9a57f6b2ca5e1ce9bdc50":[5,9,1,16], +"a00015.html#ga0f6f1f2fe150661f8eb0a072665a9020":[5,9,1,20], +"a00015.html#ga1625497320644ba123718bafdd2c1f39":[5,9,1,9], +"a00015.html#ga164d97e40eb5ad625a41ad43cf938153":[5,9,1,17], +"a00015.html#ga29f967b3ae8487a36ba2a58deb01ccae":[5,9,1,13], +"a00015.html#ga2fd51f58b8cfd309be985bce4b817fdc":[5,9,1,6], +"a00015.html#ga31e3de02b57801c6896e6045c4a31268":[5,9,1,31], +"a00015.html#ga35cae3a5b4aa50ce8db28e3eb703a027":[5,9,1,30], +"a00015.html#ga3b4d71b59e118adc63afbc5434e5e7dd":[5,9,1,28], +"a00015.html#ga437271ffb955b77df0a5dee9ea80cc63":[5,9,1,19], +"a00015.html#ga441017241044ffdb828385e473f5fb58":[5,9,1,18], +"a00015.html#ga4b7617034ab51d77786141873fd6ee1c":[5,9,1,24], +"a00015.html#ga4bf954d998f086594eece268c780bec7":[5,9,1,3], +"a00015.html#ga7664234966e4162e952b6d57efcaa8a6":[5,9,1,25], +"a00015.html#ga7d720842e68161d2d52d56d119f20665":[5,9,1,33], +"a00015.html#ga7edd253f4df51a13bd0a6a0461b9f93a":[5,9,1,5], +"a00015.html#ga8137f7b333aafe1e3ff6c9d3852b7dbd":[5,9,1,27], +"a00015.html#ga87ea07668194cfb46c7c368d2cb42433":[5,9,1,10], +"a00015.html#gab08c1a0d50859637b4305687278941ee":[5,9,1,11], +"a00015.html#gaba04c62ee636dd8317c2235c3c82aa3b":[5,9,1,29], +"a00015.html#gabb386e53b2bc64e29b2915bdfac36800":[5,9,1,34], +"a00015.html#gac3e053611231cc886bfa38831a1ac26e":[5,9,1,21], +"a00015.html#gac7f76a04b6f6873c52ad176d58901fe9":[5,9,1,32], +"a00015.html#gac938392418ba1a891983d11ef5c9f2a3":[5,9,1,8], +"a00015.html#gad091cd1857d2bd8ce64583739b3a35d5":[5,9,1,35], +"a00015.html#gad292a48f957a9b76593c1779d9dce497":[5,9,1,7], +"a00015.html#gad69f2d63ea756dda60749ff6b09f587d":[5,9,1,12], +"a00015.html#gad739710b6b65e12ca5ba9dc2a2a2b463":[5,9,1,4], +"a00015.html#gadcf7122f0a38d4d9da0f052fcb167957":[5,9,1,14], +"a00015.html#gae652236a25f1b4828d596f32190655f8":[5,9,1,22], +"a00015.html#gaf46a8cc094fc18c6cadea8de71448723":[5,9,1,26], +"a00015.html#gafeab1d5249a8b39c8d9e1a54a85c23f0":[5,9,1,23], +"a00015.html#gga1625497320644ba123718bafdd2c1f39a3a7bb24dc8d1c1be8925603eeafe9b30":[5,9,1,9,1], +"a00015.html#gga1625497320644ba123718bafdd2c1f39a93b476b469c2a4bfed5916b458ae9bb0":[5,9,1,9,0], +"a00015.html#gga87ea07668194cfb46c7c368d2cb42433a5cb44bf860c0482c0ca0165cf0d31d8a":[5,9,1,10,1], +"a00015.html#gga87ea07668194cfb46c7c368d2cb42433aadf112471ef12f194985a093cd9b9721":[5,9,1,10,2], +"a00015.html#gga87ea07668194cfb46c7c368d2cb42433ae80f7b768b1621e42ac965d3d23de5e2":[5,9,1,10,0], +"a00015.html#gga87ea07668194cfb46c7c368d2cb42433afb8aeea71b5b7475e3d3df86220db566":[5,9,1,10,3], +"a00015.html#ggac938392418ba1a891983d11ef5c9f2a3a7b487779b58af99a7a8c179545f78ccd":[5,9,1,8,1], +"a00015.html#ggac938392418ba1a891983d11ef5c9f2a3a9cc49a1ca21e87058a7fbf733cce0e62":[5,9,1,8,0], +"a00015.html#ggac938392418ba1a891983d11ef5c9f2a3ab5cec1d9be7dc5fb2c106e2b87889517":[5,9,1,8,2], "a00016.html":[5,9,2], -"a00016.html#a00098":[5,9,2,3], -"a00016.html#a00114":[5,9,2,0], -"a00016.html#a00115":[5,9,2,1], -"a00016.html#a00116":[5,9,2,2], -"a00016.html#a0394563c8d0f9eeeecd242a65cee2ad4":[5,9,2,2,8], -"a00016.html#a14acc40a290c779fde0825f3a8bdbb25":[5,9,2,1,6], -"a00016.html#a18589a5de9b06b2eaf7d4260eac03a58":[5,9,2,3,2], -"a00016.html#a1be21257ec375e09106dfe242c02beea":[5,9,2,2,3], -"a00016.html#a213d1737a633686701581a09859213a6":[5,9,2,1,3], -"a00016.html#a35adbf64ca65dd2c1b52f9260f5b9e90":[5,9,2,2,9], -"a00016.html#a3d2ef683b0439ce83e3d54e8823ebc38":[5,9,2,2,6], -"a00016.html#a3e497078c6038baa8748ce5ecebc7e3d":[5,9,2,2,4], -"a00016.html#a4738c7cd55260f7e8a3825d0b2278a34":[5,9,2,1,0], -"a00016.html#a4c9d09c35c4641d38bf565de31b07320":[5,9,2,1,5], -"a00016.html#a51577d06d8812b0c999957898ec016b5":[5,9,2,1,4], -"a00016.html#a7229e894f762ead4bd08b4add49e6bc2":[5,9,2,3,3], -"a00016.html#a75e06358c0d5840a1dfc19a029e10ba8":[5,9,2,2,7], -"a00016.html#a81ece18a362fc9779750be91f7cc6b30":[5,9,2,3,1], -"a00016.html#a92d20835618a946b8f7702455877ef7a":[5,9,2,1,7], -"a00016.html#a98ea5e99278b386e2ddb99d45a9750ee":[5,9,2,3,4], -"a00016.html#a9934cd1a4d4b4e02ac5856e28f86a229":[5,9,2,3,0], -"a00016.html#ab74516c1edb1424ddb1554de7cae69bc":[5,9,2,2,0], -"a00016.html#aca3dcdb3ab2710d991ada52d64bf102c":[5,9,2,0,1], -"a00016.html#ad0a9e837e9df16d89bab98a78af5bd10":[5,9,2,2,1], -"a00016.html#ad6f3b291ee81b69cf91c161ae26d65ae":[5,9,2,2,2], -"a00016.html#ad7af2a58faba6a178daa97bd06ebce36":[5,9,2,0,0], -"a00016.html#ae19c45c96699bb3a6821150ab820b029":[5,9,2,1,1], -"a00016.html#ae855ba5c53f7e585c44eae8bada85e9d":[5,9,2,1,2], -"a00016.html#afaff4f7ef2ae4ed49eff607a58db18c2":[5,9,2,2,5], -"a00016.html#ga0704c8f14da38feb11555e4127d86a18":[5,9,2,4], -"a00016.html#ga097c0b20503381de44cccba311dcf236":[5,9,2,27], -"a00016.html#ga175a81b5ab74dd78469d6cdea690d8cc":[5,9,2,13], -"a00016.html#ga25b9c35089f0fc966facec3bf874c840":[5,9,2,23], -"a00016.html#ga280404c6b1ede542f2c1c20bd382869c":[5,9,2,18], -"a00016.html#ga419f2fc2e0684671c00ee97e962bbe0c":[5,9,2,6], -"a00016.html#ga4c9fc06eeb8a3beb024f6525e4d99a0b":[5,9,2,24], -"a00016.html#ga545c1a43d8d49c4675de493d45a4063a":[5,9,2,17], -"a00016.html#ga5ae9f5e6e854d14d33ba3029128bd6f0":[5,9,2,25], -"a00016.html#ga5f368505586dd356fc680711023ace7f":[5,9,2,9], -"a00016.html#ga64e4839b34e9156358c4eaa717b1c14a":[5,9,2,5], -"a00016.html#ga7115f80e28b62bbbd3be1a2a918529ba":[5,9,2,12], -"a00016.html#ga719dc02b99647eb8f08a05d4d6066c51":[5,9,2,8], -"a00016.html#ga833a7311515f1a3bf5cb8da2355cc661":[5,9,2,7], -"a00016.html#ga89baaf8bc82b19c837032665e89a1707":[5,9,2,19], -"a00016.html#gaa387d81ea08a9d4b39cfeb3dfec505f7":[5,9,2,21], -"a00016.html#gabf38a498bd3527ea0b7cc947ae71e17e":[5,9,2,26], -"a00016.html#gac53e5c96a2eed1b5a95b7d84be48f4ac":[5,9,2,10], -"a00016.html#gac7602b6897596348fe190e4fc63f1381":[5,9,2,22], -"a00016.html#gac9b90f575d92ff8ad3cc350a5c8ad1b9":[5,9,2,20], -"a00016.html#gacbb93d5ea4f4b40038f41e0e942a9ee1":[5,9,2,16], -"a00016.html#gacf721065f83982e3df651111183bf7b2":[5,9,2,14], -"a00016.html#gad6564299304730416461f62255fcd37c":[5,9,2,11], -"a00016.html#gadb50014db29dd75ea2001fb7bc837e77":[5,9,2,15], -"a00016.html#gga419f2fc2e0684671c00ee97e962bbe0ca2d0c7dc4bf2815768510b916b7c1d54e":[5,9,2,6,2], -"a00016.html#gga419f2fc2e0684671c00ee97e962bbe0cabd274f2defb8f0f8d2f6c2fcdd71c63c":[5,9,2,6,0], -"a00016.html#gga419f2fc2e0684671c00ee97e962bbe0cad834aafd823863602d905d4b3d8c9430":[5,9,2,6,3], -"a00016.html#gga419f2fc2e0684671c00ee97e962bbe0caf7ad09504bcf02f9c1177431ee44562e":[5,9,2,6,1], -"a00016.html#gga719dc02b99647eb8f08a05d4d6066c51a6b755a1d30a626380730ce947d09335f":[5,9,2,8,1], -"a00016.html#gga719dc02b99647eb8f08a05d4d6066c51af542f0269c83549e7b492911e281a902":[5,9,2,8,0], -"a00016.html#gga833a7311515f1a3bf5cb8da2355cc661a39e0e158674bd8a6e254a6a42b5ce50f":[5,9,2,7,0], -"a00016.html#gga833a7311515f1a3bf5cb8da2355cc661a42a589b5e31f29ddc41088c3fb4bfcf1":[5,9,2,7,1], -"a00016.html#gga833a7311515f1a3bf5cb8da2355cc661a8381dd229f545df5e6a32ee5f0aac871":[5,9,2,7,2], -"a00016.html#gga833a7311515f1a3bf5cb8da2355cc661a9e73a2b09fe3943d51849a7d87fbe8a3":[5,9,2,7,3], -"a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca2f85039a57379838909876a1d509b7aa":[5,9,2,10,1], -"a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca38cca3cd668e73f51b8e574835d419df":[5,9,2,10,3], -"a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca5e765c1c316a51908b68f1f32a7b524d":[5,9,2,10,4], -"a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca94b030ebdac378a84961893ae567bfbc":[5,9,2,10,5], -"a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4acaac8593e808a8137d1b5d3a51640779de":[5,9,2,10,2], -"a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4acacb1ae174dd6798a6fd79800a9e39a3c6":[5,9,2,10,0] +"a00016.html#a00111":[5,9,2,0], +"a00016.html#a00112":[5,9,2,1], +"a00016.html#a00113":[5,9,2,3], +"a00016.html#a00114":[5,9,2,2], +"a00016.html#a0b7ba3bb25c3bc531ade96ab283b8a5b":[5,9,2,1,5], +"a00016.html#a0c21a56aa399763f1969a28fbea35054":[5,9,2,3,4], +"a00016.html#a26fb4094fa78037240e2dd1d71637026":[5,9,2,2,3], +"a00016.html#a27793bbbe385b9446a1e10e1d109dbbd":[5,9,2,1,6], +"a00016.html#a461d482d4a91765e8820b55b17e7e53c":[5,9,2,2,1], +"a00016.html#a5138a6295a93e877a8de83980095b8ab":[5,9,2,2,0], +"a00016.html#a5203e2e0d3d7e4f33aae8ced4df94778":[5,9,2,1,0], +"a00016.html#a521a410193f5184aaea5ab42b8bafac7":[5,9,2,2,2], +"a00016.html#a554d799403bbf3b7a43ba535f77036de":[5,9,2,0,1], +"a00016.html#a5c09cb680bd188a4176823b6dfa21335":[5,9,2,2,9], +"a00016.html#a8cc8b98baef51cb985c227d65e5f75f1":[5,9,2,3,2], +"a00016.html#a8d96f5ea7d8287ae770a43aeba051765":[5,9,2,2,6], +"a00016.html#a93589ac311615c53149c70f87cc85220":[5,9,2,2,4], +"a00016.html#a97340f3d81fba5c6f687f51ea68793c3":[5,9,2,2,7], +"a00016.html#a98fcd913b880ce599149066d0cd1f431":[5,9,2,1,4], +"a00016.html#aa1a2ab536368e7b2e8981879f1a3f5ca":[5,9,2,3,3], +"a00016.html#aa8bf7ada2ce9d9b2fd3fe94c7cae63f2":[5,9,2,1,3], +"a00016.html#aac0aeb8b419b1312b7f873549541be45":[5,9,2,0,0] }; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex2.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex2.js index eb89634..832bdf6 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex2.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex2.js @@ -1,253 +1,253 @@ var NAVTREEINDEX2 = { +"a00016.html#aae53c04dd44495a5f8cf8813e715a53e":[5,9,2,2,8], +"a00016.html#ab269c32e68b47ebecbdf6dc99694dc1f":[5,9,2,2,5], +"a00016.html#ad590d3719153a8cd99d22f10ee7bf859":[5,9,2,3,0], +"a00016.html#ad720331a891010209a1209585056a2b7":[5,9,2,1,7], +"a00016.html#ad750f43edf4fce1b7d46aa567fbef65e":[5,9,2,1,1], +"a00016.html#adc043a79268cdf3f2f9bb82f486f25ef":[5,9,2,1,2], +"a00016.html#af6d7a3aa8f16a2ddacb9cf58977fd67c":[5,9,2,3,1], +"a00016.html#ga02d133f25b39ab4842c870de2d66179f":[5,9,2,6], +"a00016.html#ga0704c8f14da38feb11555e4127d86a18":[5,9,2,12], +"a00016.html#ga097c0b20503381de44cccba311dcf236":[5,9,2,36], +"a00016.html#ga175a81b5ab74dd78469d6cdea690d8cc":[5,9,2,22], +"a00016.html#ga222f565dee8428d8f882203a4a6c22ff":[5,9,2,7], +"a00016.html#ga25b9c35089f0fc966facec3bf874c840":[5,9,2,32], +"a00016.html#ga280404c6b1ede542f2c1c20bd382869c":[5,9,2,27], +"a00016.html#ga394e5278816efe62815de9d25be84752":[5,9,2,10], +"a00016.html#ga419f2fc2e0684671c00ee97e962bbe0c":[5,9,2,15], +"a00016.html#ga41bf767e5abf573149dd68e87fc45276":[5,9,2,20], +"a00016.html#ga4952975f11796f57c1dbc8c9352ee496":[5,9,2,5], +"a00016.html#ga4c9fc06eeb8a3beb024f6525e4d99a0b":[5,9,2,33], +"a00016.html#ga5011aa3cf4340912b0a54c5fd2ccc881":[5,9,2,4], +"a00016.html#ga545c1a43d8d49c4675de493d45a4063a":[5,9,2,26], +"a00016.html#ga5ae9f5e6e854d14d33ba3029128bd6f0":[5,9,2,34], +"a00016.html#ga64e4839b34e9156358c4eaa717b1c14a":[5,9,2,14], +"a00016.html#ga69e696cb21165bc58176475879a471cf":[5,9,2,11], +"a00016.html#ga6c18ce95502d66818793c44df9029eae":[5,9,2,18], +"a00016.html#ga7115f80e28b62bbbd3be1a2a918529ba":[5,9,2,21], +"a00016.html#ga87e42e170b60f17f657ef3c06a918133":[5,9,2,19], +"a00016.html#ga89baaf8bc82b19c837032665e89a1707":[5,9,2,28], +"a00016.html#ga95f120f6a73af41648364538cf9a6eca":[5,9,2,9], +"a00016.html#gaa387d81ea08a9d4b39cfeb3dfec505f7":[5,9,2,30], +"a00016.html#gaa4d64624a394b298aeb74188f932406a":[5,9,2,16], +"a00016.html#gaa70dd0ec9815617269569190efd2de5d":[5,9,2,8], +"a00016.html#gabf38a498bd3527ea0b7cc947ae71e17e":[5,9,2,35], +"a00016.html#gac7602b6897596348fe190e4fc63f1381":[5,9,2,31], +"a00016.html#gac9b90f575d92ff8ad3cc350a5c8ad1b9":[5,9,2,29], +"a00016.html#gacbb93d5ea4f4b40038f41e0e942a9ee1":[5,9,2,25], +"a00016.html#gace8044962fd3c872ae2d7952de85525a":[5,9,2,13], +"a00016.html#gacf721065f83982e3df651111183bf7b2":[5,9,2,23], +"a00016.html#gadb50014db29dd75ea2001fb7bc837e77":[5,9,2,24], +"a00016.html#gadbb0ae033785e1ad024c953e24cc2f36":[5,9,2,17], +"a00016.html#gga419f2fc2e0684671c00ee97e962bbe0ca2d0c7dc4bf2815768510b916b7c1d54e":[5,9,2,15,2], +"a00016.html#gga419f2fc2e0684671c00ee97e962bbe0cabd274f2defb8f0f8d2f6c2fcdd71c63c":[5,9,2,15,0], +"a00016.html#gga419f2fc2e0684671c00ee97e962bbe0cad834aafd823863602d905d4b3d8c9430":[5,9,2,15,3], +"a00016.html#gga419f2fc2e0684671c00ee97e962bbe0caf7ad09504bcf02f9c1177431ee44562e":[5,9,2,15,1], +"a00016.html#gga87e42e170b60f17f657ef3c06a918133a2f85039a57379838909876a1d509b7aa":[5,9,2,19,1], +"a00016.html#gga87e42e170b60f17f657ef3c06a918133a38cca3cd668e73f51b8e574835d419df":[5,9,2,19,3], +"a00016.html#gga87e42e170b60f17f657ef3c06a918133a5e765c1c316a51908b68f1f32a7b524d":[5,9,2,19,4], +"a00016.html#gga87e42e170b60f17f657ef3c06a918133a94b030ebdac378a84961893ae567bfbc":[5,9,2,19,5], +"a00016.html#gga87e42e170b60f17f657ef3c06a918133aac8593e808a8137d1b5d3a51640779de":[5,9,2,19,2], +"a00016.html#gga87e42e170b60f17f657ef3c06a918133acb1ae174dd6798a6fd79800a9e39a3c6":[5,9,2,19,0], +"a00016.html#ggaa4d64624a394b298aeb74188f932406aa39e0e158674bd8a6e254a6a42b5ce50f":[5,9,2,16,0], +"a00016.html#ggaa4d64624a394b298aeb74188f932406aa42a589b5e31f29ddc41088c3fb4bfcf1":[5,9,2,16,1], +"a00016.html#ggaa4d64624a394b298aeb74188f932406aa8381dd229f545df5e6a32ee5f0aac871":[5,9,2,16,2], +"a00016.html#ggaa4d64624a394b298aeb74188f932406aa9e73a2b09fe3943d51849a7d87fbe8a3":[5,9,2,16,3], +"a00016.html#ggadbb0ae033785e1ad024c953e24cc2f36a6b755a1d30a626380730ce947d09335f":[5,9,2,17,1], +"a00016.html#ggadbb0ae033785e1ad024c953e24cc2f36af542f0269c83549e7b492911e281a902":[5,9,2,17,0], "a00017.html":[5,11], -"a00017.html#a00117":[5,11,0], +"a00017.html#a00115":[5,11,0], +"a00017.html#ga06bfcbf91ce61bfc72ca270889515d26":[5,11,2], "a00017.html#gac4193f0e0cb9b0469f58af2648024059":[5,11,1], -"a00017.html#gaf2cc5d2d92b4e2898a197479e77a326e":[5,11,3], -"a00017.html#gaf9258f56192a94928f67940eee5dd879":[5,11,2], +"a00017.html#gaf2cc5d2d92b4e2898a197479e77a326e":[5,11,4], +"a00017.html#gaf9258f56192a94928f67940eee5dd879":[5,11,3], "a00018.html":[5,13], -"a00018.html#a00118":[5,13,0], -"a00018.html#aa8d8b810ee17770fd48674454f59bb08":[5,13,0,0], -"a00018.html#ga0bb94508d8cf924c3a6971364377673a":[5,13,5], -"a00018.html#ga2e666900eef9bdbd9d9e587d2b7ca2c2":[5,13,12], -"a00018.html#ga320ba098c93a30174254eb763dd37dde":[5,13,19], -"a00018.html#ga388dd5041e6c179d637e17cd6e5a607e":[5,13,17], -"a00018.html#ga44091408531a61dfac7c4ee4982be317":[5,13,6], -"a00018.html#ga57f3d18e0ec88a5eb04b3282e0f3dc95":[5,13,15], -"a00018.html#ga5d988ee09b604ab28f1b37320ee8d2d9":[5,13,7], -"a00018.html#ga7620866a54acdcc17abab2b46a94dc6c":[5,13,18], -"a00018.html#ga7a8066680089523fa602dbe58b0c0505":[5,13,11], -"a00018.html#ga7c0bbb20b4abd7450f860277ef9d8a20":[5,13,16], -"a00018.html#ga8e55bb859e4f9b98ea8ec580ed7b106a":[5,13,20], -"a00018.html#ga8f4d38afa34e99ba521d9ca08be06355":[5,13,9], -"a00018.html#ga959db20281cfce080c684bd94f667799":[5,13,14], -"a00018.html#ga9d2f90ae2c6f99410e2908dac8cc6943":[5,13,4], -"a00018.html#gad30aa85105a47b708fd5880a929e5e84":[5,13,8], -"a00018.html#gad481f648f1c89a1eab327530d6fef1d0":[5,13,3], +"a00018.html#a00116":[5,13,0], +"a00018.html#adf44f75ebc1e4c27ed0cf88c03c122d9":[5,13,0,0], +"a00018.html#ga05660f6ec5e7c0ac6204165d7ab9bf35":[5,13,7], +"a00018.html#ga13878b183833475306c8aabb81391a8a":[5,13,10], +"a00018.html#ga2e666900eef9bdbd9d9e587d2b7ca2c2":[5,13,17], +"a00018.html#ga320ba098c93a30174254eb763dd37dde":[5,13,24], +"a00018.html#ga35fd7f67352e6660946fe980fcd4fc5d":[5,13,4], +"a00018.html#ga388dd5041e6c179d637e17cd6e5a607e":[5,13,22], +"a00018.html#ga42f664094e65ac53728a8bb0dea0d641":[5,13,5], +"a00018.html#ga44091408531a61dfac7c4ee4982be317":[5,13,11], +"a00018.html#ga57f3d18e0ec88a5eb04b3282e0f3dc95":[5,13,20], +"a00018.html#ga5d988ee09b604ab28f1b37320ee8d2d9":[5,13,12], +"a00018.html#ga7620866a54acdcc17abab2b46a94dc6c":[5,13,23], +"a00018.html#ga7a8066680089523fa602dbe58b0c0505":[5,13,16], +"a00018.html#ga7c0bbb20b4abd7450f860277ef9d8a20":[5,13,21], +"a00018.html#ga854d01b9575db5b6f0f7aaad49cf0c7d":[5,13,9], +"a00018.html#ga8f4d38afa34e99ba521d9ca08be06355":[5,13,14], +"a00018.html#ga959db20281cfce080c684bd94f667799":[5,13,19], +"a00018.html#gad30aa85105a47b708fd5880a929e5e84":[5,13,13], +"a00018.html#gadb656916391d01109e84f6e5d97fd5c3":[5,13,6], "a00018.html#gae39f6122bd73a5156d40291962f7507f":[5,13,1], -"a00018.html#gae875817838659e596dc1cb1527c948c3":[5,13,10], -"a00018.html#gaece5c1972e35dec2efcce98847a09622":[5,13,2], -"a00018.html#gafa8493a0faee1cc356da26ca99a54da8":[5,13,13], -"a00018.html#gga0bb94508d8cf924c3a6971364377673aa6942598faf3aef148a850f8850cf01c3":[5,13,5,0], -"a00018.html#gga0bb94508d8cf924c3a6971364377673aa745c62687191197b48b35dbd1aac40db":[5,13,5,1], -"a00018.html#gga9d2f90ae2c6f99410e2908dac8cc6943a2a5e8ee568da2c888093b732f2157ea5":[5,13,4,0], -"a00018.html#ggad481f648f1c89a1eab327530d6fef1d0a6b415c060dc09ed293ec3dda829cb257":[5,13,3,0], -"a00018.html#ggad481f648f1c89a1eab327530d6fef1d0abe4ba0a30bc09f1921a0504eb826b3dc":[5,13,3,1], -"a00018.html#ggad481f648f1c89a1eab327530d6fef1d0add9f55173bb54f623c955c29c22dd5b2":[5,13,3,2], -"a00018.html#ggaece5c1972e35dec2efcce98847a09622a17597fb66d9b1d3e97b318db79267f52":[5,13,2,2], -"a00018.html#ggaece5c1972e35dec2efcce98847a09622a27e83d437e2798b19c59c2af4d99e1dc":[5,13,2,0], -"a00018.html#ggaece5c1972e35dec2efcce98847a09622a44b7807f36fe0a21240e721d46d8f9d7":[5,13,2,1], -"a00018.html#ggaece5c1972e35dec2efcce98847a09622af57e6e009258a71bc3a2890aedff34b3":[5,13,2,3], +"a00018.html#gae772c3f1dc986d55a787b987f731b389":[5,13,8], +"a00018.html#gae875817838659e596dc1cb1527c948c3":[5,13,15], +"a00018.html#gaf78437e7a713ae57fd5c12135af514ba":[5,13,2], +"a00018.html#gafa8493a0faee1cc356da26ca99a54da8":[5,13,18], +"a00018.html#gaff791fb43331fc1ae8f4e0d9003ac286":[5,13,3], +"a00018.html#gga05660f6ec5e7c0ac6204165d7ab9bf35a17597fb66d9b1d3e97b318db79267f52":[5,13,7,2], +"a00018.html#gga05660f6ec5e7c0ac6204165d7ab9bf35a27e83d437e2798b19c59c2af4d99e1dc":[5,13,7,0], +"a00018.html#gga05660f6ec5e7c0ac6204165d7ab9bf35a44b7807f36fe0a21240e721d46d8f9d7":[5,13,7,1], +"a00018.html#gga05660f6ec5e7c0ac6204165d7ab9bf35af57e6e009258a71bc3a2890aedff34b3":[5,13,7,3], +"a00018.html#gga13878b183833475306c8aabb81391a8aa6942598faf3aef148a850f8850cf01c3":[5,13,10,0], +"a00018.html#gga13878b183833475306c8aabb81391a8aa745c62687191197b48b35dbd1aac40db":[5,13,10,1], +"a00018.html#gga854d01b9575db5b6f0f7aaad49cf0c7da2a5e8ee568da2c888093b732f2157ea5":[5,13,9,0], +"a00018.html#ggae772c3f1dc986d55a787b987f731b389a6b415c060dc09ed293ec3dda829cb257":[5,13,8,0], +"a00018.html#ggae772c3f1dc986d55a787b987f731b389abe4ba0a30bc09f1921a0504eb826b3dc":[5,13,8,1], +"a00018.html#ggae772c3f1dc986d55a787b987f731b389add9f55173bb54f623c955c29c22dd5b2":[5,13,8,2], "a00019.html":[5,18,0], -"a00019.html#a00099":[5,18,0,4], -"a00019.html#a00119":[5,18,0,0], -"a00019.html#a00120":[5,18,0,1], -"a00019.html#a00121":[5,18,0,2], -"a00019.html#a00122":[5,18,0,3], -"a00019.html#a018402d4d181b482376a878b38db740f":[5,18,0,1,6], -"a00019.html#a0d0bceaaae2e5bae690fb2c3289f48f6":[5,18,0,2,5], -"a00019.html#a11b51e4d88d3f8d9137a13ce301ed46c":[5,18,0,4,8], -"a00019.html#a23b2531ea36b53e42cd6b2a3780d3017":[5,18,0,4,3], -"a00019.html#a24a2584817f03d1ace0a6cecc718bc09":[5,18,0,0,0], -"a00019.html#a28b878b99d5ec790b5c8f3bafe140da0":[5,18,0,3,1], -"a00019.html#a384bc00ef4cd5b4e9cb6a4d48ec336bd":[5,18,0,1,0], -"a00019.html#a445e70d437c917e6af1b4037bdbb6a3f":[5,18,0,4,6], -"a00019.html#a4e19f9299f123f181536c6bd4456e50a":[5,18,0,4,4], -"a00019.html#a4e66b572aa792a179028af5340bc83cb":[5,18,0,4,9], -"a00019.html#a56c657f64c2e26fe7dd772c1a77efb9e":[5,18,0,2,2], -"a00019.html#a582eea734badd0049c98ea3cf89b3e4b":[5,18,0,3,3], -"a00019.html#a62fa101ddfc970e7b6bcba0b2eb6b869":[5,18,0,4,2], -"a00019.html#a69f260bde2e1728233f835a148b2f51b":[5,18,0,4,1], -"a00019.html#a6cb4626f6b63c70fa21fe2e3338dc915":[5,18,0,4,0], -"a00019.html#a780c1f03e9670a2c38068a7bd49d4f13":[5,18,0,1,3], -"a00019.html#a787477e7b0ae7833dcbdb1c85fef361c":[5,18,0,1,9], -"a00019.html#a7ea0733fc746e2bafe1c0999db7d4804":[5,18,0,0,3], -"a00019.html#a7fbed6c387c99764c4f405fc7d9761ee":[5,18,0,1,4], -"a00019.html#a9609e5c510bf5d0c120a403ed40aed42":[5,18,0,0,2], -"a00019.html#a960b87887e431dabbb5641109cb56d90":[5,18,0,0,1], -"a00019.html#a9fcd3fae6d886c7dfd0c3fd4cd51e6fe":[5,18,0,2,0], -"a00019.html#aa033bd20cfbb1a14f0fd43f4b31bb27e":[5,18,0,1,1], -"a00019.html#aace9261acfad8526f3d5b0b59346629a":[5,18,0,1,5], -"a00019.html#ab514b4866ee539808abd92a75ca8be51":[5,18,0,1,8], -"a00019.html#ab8d01b85149d749ab1c748bb5116b90e":[5,18,0,4,7], -"a00019.html#ad4eb458a0c1a0c0934eec53d71b78ffb":[5,18,0,2,3], -"a00019.html#adc19252b2493f3f9d12f029e57908ce5":[5,18,0,2,4], -"a00019.html#addc4f20760a1a62c4d20cdf9443f3af3":[5,18,0,3,0], -"a00019.html#ae003337c9398e5f5e3189f5b2ba6335b":[5,18,0,3,2], -"a00019.html#ae7695987e044d80983fd98a43812b1ea":[5,18,0,1,2], -"a00019.html#ae7933252a37be998d127217f34f6fd16":[5,18,0,4,5], -"a00019.html#af889de97327eb44641d8acc1f509d074":[5,18,0,1,7], -"a00019.html#afd5bb71a7dc1908cb8a1860564601a45":[5,18,0,2,1], -"a00019.html#ga08dec9d9d6abf910589a591f1cc52f58":[5,18,0,36], -"a00019.html#ga0dcd2ed8373d992ff93bb500e1442815":[5,18,0,47], +"a00019.html#a00117":[5,18,0,0], +"a00019.html#a00118":[5,18,0,1], +"a00019.html#a00119":[5,18,0,4], +"a00019.html#a00120":[5,18,0,2], +"a00019.html#a00121":[5,18,0,3], +"a00019.html#a078d736075e4466b9b45e066f33bfb6f":[5,18,0,4,8], +"a00019.html#a0dd557fb69673bc7e825d0e9845fd575":[5,18,0,1,9], +"a00019.html#a1677d25ffaa802e2a44ea7ed20d2ca18":[5,18,0,1,0], +"a00019.html#a21f290440b9d5e90f40c297a35e9d5ec":[5,18,0,1,1], +"a00019.html#a23ddc6ed23916e8d059decde7018013b":[5,18,0,4,6], +"a00019.html#a2f58207867da4bce8e21aef3d14b36c0":[5,18,0,1,7], +"a00019.html#a3706da91ee40a2f2bd006765fa6d9d5f":[5,18,0,4,3], +"a00019.html#a4c9897ae5dd550dba2db4a5bc4beb00e":[5,18,0,3,0], +"a00019.html#a4e535bb80a844ac409dbf51c2ecee955":[5,18,0,4,5], +"a00019.html#a59471cf32712d027d561669583d2f89f":[5,18,0,3,3], +"a00019.html#a5a9538fb17f9ad1ae6e5bc3654674bf5":[5,18,0,0,0], +"a00019.html#a63ddc4cf4beddeb93868a2511bf6286f":[5,18,0,2,5], +"a00019.html#a6694d3629434500b949c94f88e6f6743":[5,18,0,4,2], +"a00019.html#a7313f9e492afbf8ff4680502124b4fe2":[5,18,0,2,4], +"a00019.html#a747148e84f9c242b274a022aed7a0555":[5,18,0,3,1], +"a00019.html#a79e2b8992abc1795158494ee18ad4473":[5,18,0,3,2], +"a00019.html#a867963777e36d1376c6650031c56a8dc":[5,18,0,4,0], +"a00019.html#a8cba2f473c8c924773ddc933be83c939":[5,18,0,1,2], +"a00019.html#a8d506d4156e7e9d46ba531ff56ddd3a7":[5,18,0,1,6], +"a00019.html#a90dcc0891804465061db37a61d6a6dfe":[5,18,0,4,4], +"a00019.html#a9cd2350556d5f374c285e1cc82d1a0cd":[5,18,0,2,0], +"a00019.html#aa45fa3b6868b3efab6bb769342a83b08":[5,18,0,2,3], +"a00019.html#aaee79d264a914993a6f719038a62f1b9":[5,18,0,4,7], +"a00019.html#abcaa0eec27e2e09c26c4eb4d7a516de8":[5,18,0,2,2], +"a00019.html#abd11fc7796a0daf717776f0aa4bd1a90":[5,18,0,4,9], +"a00019.html#abf7584dd222128b434052c58d1bdecbc":[5,18,0,0,2], +"a00019.html#ac2ff0ccc0b7c02ad4be567e9e09eb840":[5,18,0,4,1], +"a00019.html#ad3c74e7d0889da63926fe36ab14ec477":[5,18,0,2,1], +"a00019.html#ae222bf1f735603efc912489f7d3b778c":[5,18,0,1,5], +"a00019.html#ae90ee3831c40c91378c657046f74825f":[5,18,0,1,3], +"a00019.html#aed8236ecb1aea1a39be3f060e8de34c7":[5,18,0,0,1], +"a00019.html#aef054205e545959e62a3aea546cee042":[5,18,0,0,3], +"a00019.html#af04e8fe6a645da87ee12619e59e2598f":[5,18,0,1,8], +"a00019.html#af9e0087b540a3d80d58ab1cd7384538f":[5,18,0,1,4], +"a00019.html#ga08dec9d9d6abf910589a591f1cc52f58":[5,18,0,47], +"a00019.html#ga0dcd2ed8373d992ff93bb500e1442815":[5,18,0,58], +"a00019.html#ga12e1c73dd72aaabd76ff5ce23c0d3244":[5,18,0,10], "a00019.html#ga1541129ba8262e1649592b5109e2074c":[5,18,0,6], -"a00019.html#ga17a846c851b3b17e6a1564a5fab48e09":[5,18,0,19], -"a00019.html#ga3ad4747ccc31c142c54af5639cd49328":[5,18,0,32], -"a00019.html#ga3e5a7cd043c9596779bc23b34cb3d1f9":[5,18,0,13], -"a00019.html#ga420a3d3f841957068648585b89fa66d1":[5,18,0,15], -"a00019.html#ga45c08fc078ae334b79fb844379140838":[5,18,0,21], -"a00019.html#ga5b05095245ecf01258e64cc0458fb2e9":[5,18,0,39], -"a00019.html#ga6289b192b135592b7b0996c05422be4d":[5,18,0,30], -"a00019.html#ga645d041a92bde312d6cf64517c618c41":[5,18,0,44], -"a00019.html#ga6d32506242b9596989efbbb2d030e997":[5,18,0,26], -"a00019.html#ga709b0d176b737a8009221565f3ae19e6":[5,18,0,34], -"a00019.html#ga794c7435fa108012df54bb6294f20505":[5,18,0,28], -"a00019.html#ga7c6a9ac8e0e410684970f3169404bafd":[5,18,0,45], -"a00019.html#ga820c78a32fa29735168d2e517f4881a1":[5,18,0,41], -"a00019.html#ga86b45b85e036adc762eed5bcd2a0491d":[5,18,0,10], -"a00019.html#ga92c1bd47d2ad7b45fc138fccb4bc1ace":[5,18,0,33], -"a00019.html#ga93765aa3b062471b641f1b8f733b8b5b":[5,18,0,46], -"a00019.html#ga97782e786464ec2de946bd42e63aefbc":[5,18,0,31], -"a00019.html#ga9ad313685ade497f5cbcb71c74a1b4dc":[5,18,0,14], -"a00019.html#ga9e7412ad45aeb7f620fe34559034ad2e":[5,18,0,27], +"a00019.html#ga15e5f834f83ba89de1e920bba9d00394":[5,18,0,15], +"a00019.html#ga1737fd82344e0800f66b541342894b85":[5,18,0,23], +"a00019.html#ga17a846c851b3b17e6a1564a5fab48e09":[5,18,0,30], +"a00019.html#ga17f9450af8f63de3fa2b3b105eeec38d":[5,18,0,24], +"a00019.html#ga237f202331592eab8ddadc63b780fa9d":[5,18,0,13], +"a00019.html#ga3ad4747ccc31c142c54af5639cd49328":[5,18,0,43], +"a00019.html#ga45c08fc078ae334b79fb844379140838":[5,18,0,32], +"a00019.html#ga59314d5596f240cfbe8b9466bd99ed3f":[5,18,0,17], +"a00019.html#ga5b05095245ecf01258e64cc0458fb2e9":[5,18,0,50], +"a00019.html#ga5d2ab71dbc12d399a9e125103fd3ec10":[5,18,0,14], +"a00019.html#ga6289b192b135592b7b0996c05422be4d":[5,18,0,41], +"a00019.html#ga63554b1f1f01b827bf6449b55ca80280":[5,18,0,28], +"a00019.html#ga645d041a92bde312d6cf64517c618c41":[5,18,0,55], +"a00019.html#ga6cb1b64722deb9a98a4f1a442f58f8a9":[5,18,0,26], +"a00019.html#ga6d32506242b9596989efbbb2d030e997":[5,18,0,37], +"a00019.html#ga709b0d176b737a8009221565f3ae19e6":[5,18,0,45], +"a00019.html#ga76b6ec98408fdaf2e9133952eefa1baa":[5,18,0,25], +"a00019.html#ga794c7435fa108012df54bb6294f20505":[5,18,0,39], +"a00019.html#ga7c6a9ac8e0e410684970f3169404bafd":[5,18,0,56], +"a00019.html#ga81db47cb3d4de3ce1bee11725659b0d7":[5,18,0,12], +"a00019.html#ga820c78a32fa29735168d2e517f4881a1":[5,18,0,52], +"a00019.html#ga86b45b85e036adc762eed5bcd2a0491d":[5,18,0,21], +"a00019.html#ga92c1bd47d2ad7b45fc138fccb4bc1ace":[5,18,0,44], +"a00019.html#ga93765aa3b062471b641f1b8f733b8b5b":[5,18,0,57], +"a00019.html#ga97782e786464ec2de946bd42e63aefbc":[5,18,0,42], +"a00019.html#ga9de95ac02ae859ac26534c06eb47b5b8":[5,18,0,9], +"a00019.html#ga9e7412ad45aeb7f620fe34559034ad2e":[5,18,0,38], "a00019.html#gaa4717c15ca9604c505a5e5c60df29ebe":[5,18,0,7], -"a00019.html#gaa68518c16202382c2e1f1c7c66a9d53d":[5,18,0,12], -"a00019.html#gaaa2e2f2efa7be228c775fa239ab5ea03":[5,18,0,11], -"a00019.html#gaac0bc2b87ea2eb7eeba78d9449d4dbbf":[5,18,0,25], -"a00019.html#gaac1ff8fc728c925d78b1237949ebf7c3":[5,18,0,49], -"a00019.html#gab36e8463576abeded221a1e5a1eec01c":[5,18,0,22], -"a00019.html#gab87ea500d8f74369882afe52a81d1199":[5,18,0,29], -"a00019.html#gabde0dccfb0783d103b9cf57e0202582f":[5,18,0,42], -"a00019.html#gac000b63ed033f57a9eee342a8c8e67f2":[5,18,0,23], -"a00019.html#gac75808445b18f687387bea02892a5246":[5,18,0,38], -"a00019.html#gad0d8eaa132d8c882d8a42286ecfbab64":[5,18,0,40], -"a00019.html#gad267cfee3a876b2860217ff94f03f574":[5,18,0,8], -"a00019.html#gad3810513c85cb28f1dbf18b15614a09d":[5,18,0,35], -"a00019.html#gad4071c9fc158109945e724872f4760b6":[5,18,0,37], -"a00019.html#gad823d00c394f6ca35c391dc178a25334":[5,18,0,20], -"a00019.html#gae40850ce14ba74ea75b3eef79beecf8a":[5,18,0,24], -"a00019.html#gae719048431a3e4fb334f83bd824a407b":[5,18,0,48], -"a00019.html#gae90962a54978acf05ca7780489301eb4":[5,18,0,43], -"a00019.html#gae9bd140aeb645efab6c7552b3994e01a":[5,18,0,9], +"a00019.html#gaa62352a5d0a01f70fe74941809d03ec3":[5,18,0,16], +"a00019.html#gaaa2e2f2efa7be228c775fa239ab5ea03":[5,18,0,22], +"a00019.html#gaac0bc2b87ea2eb7eeba78d9449d4dbbf":[5,18,0,36], +"a00019.html#gaac1ff8fc728c925d78b1237949ebf7c3":[5,18,0,60], +"a00019.html#gaace382ece8210631749c404f115f2998":[5,18,0,27], +"a00019.html#gab2c4dcc02a93990358783cbdce5e6d51":[5,18,0,11], +"a00019.html#gab36e8463576abeded221a1e5a1eec01c":[5,18,0,33], +"a00019.html#gab87ea500d8f74369882afe52a81d1199":[5,18,0,40], +"a00019.html#gabde0dccfb0783d103b9cf57e0202582f":[5,18,0,53], +"a00019.html#gac000b63ed033f57a9eee342a8c8e67f2":[5,18,0,34], +"a00019.html#gac75808445b18f687387bea02892a5246":[5,18,0,49], +"a00019.html#gad0d8eaa132d8c882d8a42286ecfbab64":[5,18,0,51], +"a00019.html#gad267cfee3a876b2860217ff94f03f574":[5,18,0,19], +"a00019.html#gad3810513c85cb28f1dbf18b15614a09d":[5,18,0,46], +"a00019.html#gad4071c9fc158109945e724872f4760b6":[5,18,0,48], +"a00019.html#gad823d00c394f6ca35c391dc178a25334":[5,18,0,31], +"a00019.html#gadc24bb931a59fc64ee4cb60ed56addb4":[5,18,0,8], +"a00019.html#gae40850ce14ba74ea75b3eef79beecf8a":[5,18,0,35], +"a00019.html#gae719048431a3e4fb334f83bd824a407b":[5,18,0,59], +"a00019.html#gae90962a54978acf05ca7780489301eb4":[5,18,0,54], +"a00019.html#gae9bd140aeb645efab6c7552b3994e01a":[5,18,0,20], "a00019.html#gaeb6046de02dffe9248de71332fac246e":[5,18,0,5], -"a00019.html#gaedd690a0f91a0a9eb0fd573b57e31f67":[5,18,0,18], -"a00019.html#gafa691c5329a7325ee57c9f06fa295731":[5,18,0,17], -"a00019.html#gafd7e7bd8e060742346806ed8c8f1db4c":[5,18,0,16], -"a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a03ba57874691d230ad0f657cf01a7978":[5,18,0,19,8], -"a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a1f153042fdb9681e7bd435c4cb9bd7c5":[5,18,0,19,5], -"a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a214bc23d48bafcc89241530e55195f76":[5,18,0,19,6], -"a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a3f796180c934ef3b688530986a844fe5":[5,18,0,19,1], -"a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a4be82e1306daa139870673c30de9e238":[5,18,0,19,3], -"a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a4f52f3d130ab947331e668c7856d8551":[5,18,0,19,7], -"a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a76b9aac1ff3856afdcb4eebdb3be9094":[5,18,0,19,0], -"a00019.html#gga17a846c851b3b17e6a1564a5fab48e09ab25cacb436c5e32113bd98c1ae151641":[5,18,0,19,4], -"a00019.html#gga17a846c851b3b17e6a1564a5fab48e09ae281d12263ce63ef7c964f8564bea473":[5,18,0,19,2], -"a00019.html#gga3e5a7cd043c9596779bc23b34cb3d1f9a031c0ee2693cf1fd621e8b8cba676629":[5,18,0,13,0], -"a00019.html#gga3e5a7cd043c9596779bc23b34cb3d1f9acfc8257079c9604086622df6de326aea":[5,18,0,13,1], -"a00019.html#gga420a3d3f841957068648585b89fa66d1af64655214a47d11f960f633b1478b117":[5,18,0,15,0], -"a00019.html#gga9ad313685ade497f5cbcb71c74a1b4dca18a98985c1f7dd56175e4a2724db3675":[5,18,0,14,1], -"a00019.html#gga9ad313685ade497f5cbcb71c74a1b4dcad15d61c6fd642f13101edbf401d0c72e":[5,18,0,14,0], -"a00019.html#ggaa68518c16202382c2e1f1c7c66a9d53da20ac53684c3e1ad338553cecbfab94a9":[5,18,0,12,1], -"a00019.html#ggaa68518c16202382c2e1f1c7c66a9d53dae7b997e8cff761aab865cbd42c4c3989":[5,18,0,12,0], -"a00019.html#ggaaa2e2f2efa7be228c775fa239ab5ea03a2616295b1939805764ffb815876b9eea":[5,18,0,11,2], -"a00019.html#ggaaa2e2f2efa7be228c775fa239ab5ea03a3bc0d76da8f97613467566dc39bee3b4":[5,18,0,11,0], -"a00019.html#ggaaa2e2f2efa7be228c775fa239ab5ea03a857a31be854542eafecfea1484ad38b5":[5,18,0,11,1], -"a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a2ff91d774e93aed936b87ffaa18aaf9e":[5,18,0,17,2], -"a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a496ced6fffc33d7bbeb01d203dfc4836":[5,18,0,17,4], -"a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a4e32c5b06dccaf4b81e2fd1679e1b560":[5,18,0,17,1], -"a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a703abdf7900047c4d13536480f3463ab":[5,18,0,17,0], -"a00019.html#ggabc6126af1d45847bc59afa0aa3216b04ab0f4d4de5f92524c9d33524f22a04e03":[5,18,0,17,3], -"a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67a0ec3e79b793d213ed30f74a338437129":[5,18,0,18,1], -"a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67a4acd737132f9c3cbd8cac500767289e5":[5,18,0,18,2], -"a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67a8f55948795fa8d256b5b6e520a95f145":[5,18,0,18,5], -"a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67ac8d5eef4469ce888d4b2fb3c3b4fb215":[5,18,0,18,0], -"a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67ad1de0c0c42c7a707e305edbd3fde88b7":[5,18,0,18,4], -"a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67ad1f9b1e8b5209604a0eca8750f2876ad":[5,18,0,18,3], -"a00019.html#ggafa691c5329a7325ee57c9f06fa295731a0cb8b30c67c53c6d53712d5bacc818ce":[5,18,0,17,0], -"a00019.html#ggafa691c5329a7325ee57c9f06fa295731a2b2887663c9d025a4137263fc7984024":[5,18,0,17,6], -"a00019.html#ggafa691c5329a7325ee57c9f06fa295731a2c62d3d7d92866c97bb513d4e603518e":[5,18,0,17,3], -"a00019.html#ggafa691c5329a7325ee57c9f06fa295731a4b864a74545165c2cf2dd74134fdadd1":[5,18,0,17,5], -"a00019.html#ggafa691c5329a7325ee57c9f06fa295731a6604fcf5c8bebf904c5b072295027bba":[5,18,0,17,2], -"a00019.html#ggafa691c5329a7325ee57c9f06fa295731a7232b4afb5bd82503252ce608638e6eb":[5,18,0,17,1], -"a00019.html#ggafa691c5329a7325ee57c9f06fa295731a91e908a7a4725ab9df05549a2b034dec":[5,18,0,17,7], -"a00019.html#ggafa691c5329a7325ee57c9f06fa295731abbc2566ba4eb2b5e5dc259a7c4f80bcf":[5,18,0,17,12], -"a00019.html#ggafa691c5329a7325ee57c9f06fa295731ad1cfe18fd912c0d25dc32e6ca9fa8f97":[5,18,0,17,8], -"a00019.html#ggafa691c5329a7325ee57c9f06fa295731ad6b98474a7f14ae7665b7b6d3355657c":[5,18,0,17,4], -"a00019.html#ggafa691c5329a7325ee57c9f06fa295731ad8c2fa2e2f5bf137176dc736cc6a2531":[5,18,0,17,9], -"a00019.html#ggafa691c5329a7325ee57c9f06fa295731add11012a8887066fad29e23c20d66af8":[5,18,0,17,11], -"a00019.html#ggafa691c5329a7325ee57c9f06fa295731ae83435f494d1b9f3ce2f2da0ac03fc38":[5,18,0,17,10], +"a00019.html#gaedd690a0f91a0a9eb0fd573b57e31f67":[5,18,0,29], +"a00019.html#gafb311d8a8b7de084d82915f5a003a300":[5,18,0,18], +"a00019.html#gga1737fd82344e0800f66b541342894b85a20ac53684c3e1ad338553cecbfab94a9":[5,18,0,23,1], +"a00019.html#gga1737fd82344e0800f66b541342894b85ae7b997e8cff761aab865cbd42c4c3989":[5,18,0,23,0], +"a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a03ba57874691d230ad0f657cf01a7978":[5,18,0,30,8], +"a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a1f153042fdb9681e7bd435c4cb9bd7c5":[5,18,0,30,5], +"a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a214bc23d48bafcc89241530e55195f76":[5,18,0,30,6], +"a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a3f796180c934ef3b688530986a844fe5":[5,18,0,30,1], +"a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a4be82e1306daa139870673c30de9e238":[5,18,0,30,3], +"a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a4f52f3d130ab947331e668c7856d8551":[5,18,0,30,7], +"a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a76b9aac1ff3856afdcb4eebdb3be9094":[5,18,0,30,0], +"a00019.html#gga17a846c851b3b17e6a1564a5fab48e09ab25cacb436c5e32113bd98c1ae151641":[5,18,0,30,4], +"a00019.html#gga17a846c851b3b17e6a1564a5fab48e09ae281d12263ce63ef7c964f8564bea473":[5,18,0,30,2], +"a00019.html#gga17f9450af8f63de3fa2b3b105eeec38da031c0ee2693cf1fd621e8b8cba676629":[5,18,0,24,0], +"a00019.html#gga17f9450af8f63de3fa2b3b105eeec38dacfc8257079c9604086622df6de326aea":[5,18,0,24,1], +"a00019.html#gga63554b1f1f01b827bf6449b55ca80280a0cb8b30c67c53c6d53712d5bacc818ce":[5,18,0,28,0], +"a00019.html#gga63554b1f1f01b827bf6449b55ca80280a2b2887663c9d025a4137263fc7984024":[5,18,0,28,6], +"a00019.html#gga63554b1f1f01b827bf6449b55ca80280a2c62d3d7d92866c97bb513d4e603518e":[5,18,0,28,3], +"a00019.html#gga63554b1f1f01b827bf6449b55ca80280a4b864a74545165c2cf2dd74134fdadd1":[5,18,0,28,5], +"a00019.html#gga63554b1f1f01b827bf6449b55ca80280a6604fcf5c8bebf904c5b072295027bba":[5,18,0,28,2], +"a00019.html#gga63554b1f1f01b827bf6449b55ca80280a7232b4afb5bd82503252ce608638e6eb":[5,18,0,28,1], +"a00019.html#gga63554b1f1f01b827bf6449b55ca80280a91e908a7a4725ab9df05549a2b034dec":[5,18,0,28,7], +"a00019.html#gga63554b1f1f01b827bf6449b55ca80280abbc2566ba4eb2b5e5dc259a7c4f80bcf":[5,18,0,28,12], +"a00019.html#gga63554b1f1f01b827bf6449b55ca80280ad1cfe18fd912c0d25dc32e6ca9fa8f97":[5,18,0,28,8], +"a00019.html#gga63554b1f1f01b827bf6449b55ca80280ad6b98474a7f14ae7665b7b6d3355657c":[5,18,0,28,4], +"a00019.html#gga63554b1f1f01b827bf6449b55ca80280ad8c2fa2e2f5bf137176dc736cc6a2531":[5,18,0,28,9], +"a00019.html#gga63554b1f1f01b827bf6449b55ca80280add11012a8887066fad29e23c20d66af8":[5,18,0,28,11], +"a00019.html#gga63554b1f1f01b827bf6449b55ca80280ae83435f494d1b9f3ce2f2da0ac03fc38":[5,18,0,28,10], +"a00019.html#gga6cb1b64722deb9a98a4f1a442f58f8a9af64655214a47d11f960f633b1478b117":[5,18,0,26,0], +"a00019.html#gga76b6ec98408fdaf2e9133952eefa1baaa18a98985c1f7dd56175e4a2724db3675":[5,18,0,25,1], +"a00019.html#gga76b6ec98408fdaf2e9133952eefa1baaad15d61c6fd642f13101edbf401d0c72e":[5,18,0,25,0], +"a00019.html#ggaaa2e2f2efa7be228c775fa239ab5ea03a2616295b1939805764ffb815876b9eea":[5,18,0,22,2], +"a00019.html#ggaaa2e2f2efa7be228c775fa239ab5ea03a3bc0d76da8f97613467566dc39bee3b4":[5,18,0,22,0], +"a00019.html#ggaaa2e2f2efa7be228c775fa239ab5ea03a857a31be854542eafecfea1484ad38b5":[5,18,0,22,1], +"a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a2ff91d774e93aed936b87ffaa18aaf9e":[5,18,0,28,2], +"a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a496ced6fffc33d7bbeb01d203dfc4836":[5,18,0,28,4], +"a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a4e32c5b06dccaf4b81e2fd1679e1b560":[5,18,0,28,1], +"a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a703abdf7900047c4d13536480f3463ab":[5,18,0,28,0], +"a00019.html#ggabc6126af1d45847bc59afa0aa3216b04ab0f4d4de5f92524c9d33524f22a04e03":[5,18,0,28,3], +"a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67a0ec3e79b793d213ed30f74a338437129":[5,18,0,29,1], +"a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67a4acd737132f9c3cbd8cac500767289e5":[5,18,0,29,2], +"a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67a8f55948795fa8d256b5b6e520a95f145":[5,18,0,29,5], +"a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67ac8d5eef4469ce888d4b2fb3c3b4fb215":[5,18,0,29,0], +"a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67ad1de0c0c42c7a707e305edbd3fde88b7":[5,18,0,29,4], +"a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67ad1f9b1e8b5209604a0eca8750f2876ad":[5,18,0,29,3], "a00020.html":[5,21,0], -"a00020.html#a00100":[5,21,0,2], -"a00020.html#a00123":[5,21,0,0], +"a00020.html#a00122":[5,21,0,0], +"a00020.html#a00123":[5,21,0,2], "a00020.html#a00124":[5,21,0,1], -"a00020.html#a197ce470fd9b42e53a867ef84eb2b6dd":[5,21,0,2,9], -"a00020.html#a2c3b5faf44be34a152232b9dfaaf064d":[5,21,0,0,2], -"a00020.html#a2cac1523ac58da64d4076c6155309d2f":[5,21,0,2,6], -"a00020.html#a2e0eada6edeef87ca5609381a495caa0":[5,21,0,2,0], -"a00020.html#a30a2856c0e736ad39fe44c015bd54ca4":[5,21,0,2,12], -"a00020.html#a35109a019b73257d24021ad79d951e64":[5,21,0,2,5], -"a00020.html#a44bd38d7d89b7e185ac9bb49bd7214ea":[5,21,0,1,1], -"a00020.html#a50fd5afc23c86d872ee2a1d46bd4145e":[5,21,0,2,10], -"a00020.html#a5d2631bc772901b4114b01770f9bb337":[5,21,0,0,0], -"a00020.html#a605c0a56df2815ffb3461aaaf116752a":[5,21,0,1,3], -"a00020.html#a7f621935f46f5f1bdd10ca755e1b51a7":[5,21,0,2,13], -"a00020.html#a7fcedbe0ea6e380084a05ba1bb06e38d":[5,21,0,2,3], -"a00020.html#a8b9813693fdfd2116e0d6019ea39b41d":[5,21,0,0,1], -"a00020.html#a8d618d37f6867480bd0e1fcd9e5b50a5":[5,21,0,0,4], -"a00020.html#a94a60ffa711d28e3c9151c9990a9145c":[5,21,0,2,4], -"a00020.html#a9892d7a138f2245bc9b7fe4e6c1652fb":[5,21,0,0,3], -"a00020.html#aafb090dd1831c9e83df2d60cf3cd25f0":[5,21,0,2,1], -"a00020.html#ab964b3fbce4b824beff770a138fd4b6e":[5,21,0,0,8], -"a00020.html#ac34e16abe408d6e9daa37741420f7ec0":[5,21,0,0,5], -"a00020.html#ac808e4908f1d6f9ca78fec3d0b8f118a":[5,21,0,0,10], -"a00020.html#acad21ced979ce0c2af98f0b1837863c9":[5,21,0,1,0], -"a00020.html#ad081b93c86f16dedd57dfc105a71e7fa":[5,21,0,1,2], -"a00020.html#ad5debb2aa90fc8fa732edd1ab4bdbbea":[5,21,0,0,9], -"a00020.html#adbecb8574e5d62ee38761a3b4c30e4c3":[5,21,0,2,11], -"a00020.html#add7cbfa096ebb8bfe5084c9940d0a9d8":[5,21,0,2,2], -"a00020.html#ae1c5e6a8ccdd56a678e8dda316e43845":[5,21,0,2,7], -"a00020.html#aeb0b8a38f5d7e0def2aa1b079643682f":[5,21,0,0,7], -"a00020.html#afb838e91205d1aa1a2b064591bc11c4e":[5,21,0,2,8], -"a00020.html#aff1d7e368b35ae89db8aa393207e7ccc":[5,21,0,0,6], -"a00020.html#ga0433386bbc6c2ac7e2ec7925fea263db":[5,21,0,15], -"a00020.html#ga091d6509100a6e6206483b2f41f16d6c":[5,21,0,23], -"a00020.html#ga095596e064fa8aa6bac0927e71b0329c":[5,21,0,39], -"a00020.html#ga09c3b588e2099ffa6b999c5ca7fb8d58":[5,21,0,33], -"a00020.html#ga235a301d8f22d6ce3a6f77dbdb76cfe3":[5,21,0,21], -"a00020.html#ga28e46a3538cf5f5140523132a963283c":[5,21,0,11], -"a00020.html#ga2aeb4e11fdf0eb515d090865ffcf2ba2":[5,21,0,16], -"a00020.html#ga2de9bf9557d2f7bc6fe0236608cb958e":[5,21,0,42], -"a00020.html#ga33f5cdc2918edf02b9a4ef8a12e27442":[5,21,0,20], -"a00020.html#ga34c6c819c9e2d8608a6d7e63103fa542":[5,21,0,41], -"a00020.html#ga43cc02b4521f48ae0b5626a1e8f87a0e":[5,21,0,43], -"a00020.html#ga49c6966b2af5f0de0fbf49240acc1856":[5,21,0,24], -"a00020.html#ga4d96acc82e0bbe947ececc200083c68a":[5,21,0,26], -"a00020.html#ga4f3beae19d75ae0141b60b5fb6a2d710":[5,21,0,29], -"a00020.html#ga56acdf4c0046516f59c04d89e8e988e6":[5,21,0,40], -"a00020.html#ga58ab07609b094f719f903475de6e57b4":[5,21,0,10], -"a00020.html#ga74464f9357e585e42e5f3c909eb6e9b3":[5,21,0,18], -"a00020.html#ga786ba5b98195c3df810a061b6c0cca91":[5,21,0,12], -"a00020.html#ga78b340bf3b25bfae957d0c5532b9b853":[5,21,0,13], -"a00020.html#ga7ecd603d2579abbe714d58eb582821b8":[5,21,0,9], -"a00020.html#ga7f5a4e7e831ab0dc869637494a57362e":[5,21,0,35], -"a00020.html#ga80892980b702b0b7614691014a5aaaca":[5,21,0,17], -"a00020.html#ga8500107ace3179c85e66d73fa1f41d16":[5,21,0,36], -"a00020.html#ga855e54d43b294857434ce0d82a6b56cb":[5,21,0,5], -"a00020.html#ga9132cf15ff5fd2ac007a88f9400bcf30":[5,21,0,22], -"a00020.html#ga95abde78d5cbf569f2b6e4d7942b64df":[5,21,0,32], -"a00020.html#ga9688f27725349ed0dd7a37c9a75eccc0":[5,21,0,7], -"a00020.html#ga9aed876794d5c2ab2e37196242602b89":[5,21,0,34], -"a00020.html#ga9b5ca9521874092ccb637a02d7b26ba2":[5,21,0,8], -"a00020.html#gaa6a81e7e82e236bb1c3c5ba306466610":[5,21,0,14], -"a00020.html#gaa7cd13d17a8aa19c34bd8564d37f3a1f":[5,21,0,28], -"a00020.html#gaaa9dc0edc5edacd55bb88ff7b9b55f98":[5,21,0,31], -"a00020.html#gaaab4d6b7d7701fbdd022694330207614":[5,21,0,27], -"a00020.html#gabdcaf539e6c95903c779f4538b1f422b":[5,21,0,19], -"a00020.html#gac0c8e42457c41efd02a4310423804e77":[5,21,0,38], -"a00020.html#gac0f88b67f77fd05f186a5ec940c340bd":[5,21,0,6], -"a00020.html#gad0a37042ec21be840247a05ca9e8e805":[5,21,0,25], -"a00020.html#gad737c0eee502276b7615e83ec3a79be4":[5,21,0,3], -"a00020.html#gae7a92a20789cf111acadb543916aac91":[5,21,0,30], -"a00020.html#gae955a5e336b3cb3276d5cdd6f1f6feda":[5,21,0,44], -"a00020.html#gaedb2f678849734999e373a8b00a5248c":[5,21,0,37], -"a00020.html#gaf7814290d5f1317f0b5dbe97712c3cb7":[5,21,0,4], -"a00020.html#gga28e46a3538cf5f5140523132a963283ca9562d6cdd240e9808a9a1d1946f400b7":[5,21,0,11,0], -"a00020.html#gga28e46a3538cf5f5140523132a963283cad71aee19329a4127614132d30e50ad8a":[5,21,0,11,1], -"a00020.html#gga58ab07609b094f719f903475de6e57b4a3aee3195dc850778e33f2ebacf8847d3":[5,21,0,10,1], -"a00020.html#gga58ab07609b094f719f903475de6e57b4aa637b8f5f0edd262181a20c1fbed7c12":[5,21,0,10,0], -"a00020.html#gga786ba5b98195c3df810a061b6c0cca91a40fdc56c60a07652a4283491087eed6b":[5,21,0,12,0], -"a00020.html#gga786ba5b98195c3df810a061b6c0cca91aea6908db956ab3f686c7ee54d68d401c":[5,21,0,12,1], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a2dae162d4f0509695fce62b0ed1b9e4b":[5,21,0,13,3], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a3dc275bab22f77a1182bbab5fd5cea3b":[5,21,0,13,8], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a42c8946331d417404af48aed9fe53f88":[5,21,0,13,1] +"a00020.html#a047780bd1268a676f4ebb16c306cd75a":[5,21,0,2,9], +"a00020.html#a05861925b5c798c477a57e10acfa054d":[5,21,0,2,13], +"a00020.html#a0fdc2991f592938454d6fca89d51c048":[5,21,0,2,2], +"a00020.html#a1c1a5d272a1b95815904f86a75aa1d3b":[5,21,0,2,0], +"a00020.html#a1d7ec8bb576610aa864cbc0107349a21":[5,21,0,0,2], +"a00020.html#a1fafdad864492cb0f78a2a312759a515":[5,21,0,2,11], +"a00020.html#a22acf3b7ea83a9b701ec5ac7819ac538":[5,21,0,2,7], +"a00020.html#a25c70030145b41ac1f4030ebdc4390b1":[5,21,0,0,9] }; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex3.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex3.js index b8cb0d2..e98b212 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex3.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex3.js @@ -1,253 +1,253 @@ var NAVTREEINDEX3 = { -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a7d342596a68c59d4e38bfe33c60f53c9":[5,21,0,13,9], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a9c9626a150100989578d9f18f9b3d59a":[5,21,0,13,5], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ac01c5ca3a2671c568e35d196ea223ada":[5,21,0,13,2], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853acc912dfbb3e827b37e9a942bf601672d":[5,21,0,13,7], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ad97d89d6e3a853cc07c854838a4f31f9":[5,21,0,13,10], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853addb8c45f45a91da558ae553a72557445":[5,21,0,13,0], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ae642012aae33bd8954699572e4adb475":[5,21,0,13,6], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853afb9ef5dc29f02f42773fb5b7fcda2e3e":[5,21,0,13,4], -"a00020.html#gga7ecd603d2579abbe714d58eb582821b8a71df5907ecd16c5f08fe1e6673064958":[5,21,0,9,1], -"a00020.html#gga7ecd603d2579abbe714d58eb582821b8af279132afb0bee88bae3065c20c79ab2":[5,21,0,9,2], -"a00020.html#gga7ecd603d2579abbe714d58eb582821b8af7a378247f82677090007d0c38be78f2":[5,21,0,9,0], -"a00020.html#gga9b5ca9521874092ccb637a02d7b26ba2a46309b174047a84a78c77b7648bdf21b":[5,21,0,8,0], -"a00020.html#gga9b5ca9521874092ccb637a02d7b26ba2a64df3b823d2ab5f3f56ffb3f520eafb1":[5,21,0,8,2], -"a00020.html#gga9b5ca9521874092ccb637a02d7b26ba2aad8d786301da1bb92e2b911c386d39eb":[5,21,0,8,1], -"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a03db476c7c52f9624dab00d9af430411":[5,21,0,14,0], -"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a2187b4e63a575e94e6d3a1b7a5ce51d7":[5,21,0,14,10], -"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a2515d20982ec4edf617f6872e666a1d3":[5,21,0,14,4], -"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a2fab8368a6a3246714c83405202626b3":[5,21,0,14,9], -"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a46d487d50d8392d25c5c4e76cdfb6674":[5,21,0,14,11], -"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a615387365fba3c394b1a595e9baa3c95":[5,21,0,14,6], -"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a722bb0119b5dc19d471b62c622aca9c7":[5,21,0,14,5], -"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a8106fa188ea138f48c7740dcf5c2b294":[5,21,0,14,3], -"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610ab35037d2ed958a740984aa0a125d72aa":[5,21,0,14,2], -"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610abc766d479d00f480e78d05f21f8e1d59":[5,21,0,14,1], -"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610ade5bc3f17cf2c303ba2e0eeedafd858c":[5,21,0,14,7], -"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610aef9ca7e74e386c6a6668cc2a0f43c9cd":[5,21,0,14,12], -"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610af0ae1c44d00b140e86202627084f8638":[5,21,0,14,8], -"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca256720c63c378f57791e946c0473290e":[5,21,0,7,6], -"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca38225397e3744ef1b4995041ce80d839":[5,21,0,7,1], -"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca3cb7112c821c89d7fbb1c048a86756aa":[5,21,0,7,3], -"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca3f21596ffe8300152d7f9f5587402e19":[5,21,0,7,7], -"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca539a0ebaa0137fdf6d7cc9b984acb13f":[5,21,0,7,2], -"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca579872694419f8708e38935593105868":[5,21,0,7,9], -"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca78157ec0658118f9205521c03da99093":[5,21,0,7,0], -"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca888280fbe6cf9b4b0647db78f0578ff5":[5,21,0,7,5], -"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca9e2f548075400b4c0a719ee1b0a534a9":[5,21,0,7,8], -"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06cabf010640733ea1ae8c97d7a0b83ea11b":[5,21,0,7,4], -"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06cad434a2fb3d728550dd2e784ce0a3e14c":[5,21,0,7,12], -"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06cade18e0468490127d2e0a8c71a190bdc8":[5,21,0,7,10], -"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06cae427c8491ce7294dfeaa3b87e506bd81":[5,21,0,7,11], +"a00020.html#a330deecdce9b4d973e43b38e7f1b81ec":[5,21,0,2,6], +"a00020.html#a348d1c6d67a97dfd5d80cd4abbb5133b":[5,21,0,1,2], +"a00020.html#a36c8c2286aaeb9a7c0e2fc5e716b1230":[5,21,0,0,3], +"a00020.html#a370b6e0a9f1c05e037f9e940d7e36694":[5,21,0,0,1], +"a00020.html#a4d3990d1b607803e6f17c97678de7883":[5,21,0,0,5], +"a00020.html#a56f610440fdc4468294c0b1a88cbb40f":[5,21,0,2,4], +"a00020.html#a596335f12cc74301076951ce438b29e8":[5,21,0,0,6], +"a00020.html#a610524a1732fca3c58c7f109f1a11ea6":[5,21,0,0,8], +"a00020.html#a68387d7059ba0187baaab53ae2a4ff45":[5,21,0,0,7], +"a00020.html#a691ca4c00ee5bd1bde98fd7c6b63a131":[5,21,0,0,4], +"a00020.html#a772fe840060516d61371497eeda93bca":[5,21,0,1,3], +"a00020.html#a7f8a78e459b75948a84f665fd3092ded":[5,21,0,2,12], +"a00020.html#a80339c90b740ca4d4417a97328641ec5":[5,21,0,0,0], +"a00020.html#aad8518580e6b01e84394fda5aaaac498":[5,21,0,0,10], +"a00020.html#aaebd685f866e8426d8ac31f80e9c5ac0":[5,21,0,1,1], +"a00020.html#ab542745215695fe8ec1fe961eb279b03":[5,21,0,2,3], +"a00020.html#ab7313fa622967f06f722c54c294bc884":[5,21,0,2,1], +"a00020.html#abf7d0609ce46c8738aec656fbbf0305c":[5,21,0,2,8], +"a00020.html#ac9be0049f0ca8da0b38a0bb9d09d541c":[5,21,0,2,10], +"a00020.html#aeb56ce65366b01bc8fd035dd500faf5e":[5,21,0,2,5], +"a00020.html#af4347f3022beb6cb6d930582eaa7d284":[5,21,0,1,0], +"a00020.html#ga0433386bbc6c2ac7e2ec7925fea263db":[5,21,0,22], +"a00020.html#ga091d6509100a6e6206483b2f41f16d6c":[5,21,0,30], +"a00020.html#ga095596e064fa8aa6bac0927e71b0329c":[5,21,0,46], +"a00020.html#ga09c3b588e2099ffa6b999c5ca7fb8d58":[5,21,0,40], +"a00020.html#ga148de18c5f1501903739e858695dc1bd":[5,21,0,19], +"a00020.html#ga235a301d8f22d6ce3a6f77dbdb76cfe3":[5,21,0,28], +"a00020.html#ga2aeb4e11fdf0eb515d090865ffcf2ba2":[5,21,0,23], +"a00020.html#ga2de9bf9557d2f7bc6fe0236608cb958e":[5,21,0,49], +"a00020.html#ga33f5cdc2918edf02b9a4ef8a12e27442":[5,21,0,27], +"a00020.html#ga34c6c819c9e2d8608a6d7e63103fa542":[5,21,0,48], +"a00020.html#ga43cc02b4521f48ae0b5626a1e8f87a0e":[5,21,0,50], +"a00020.html#ga49c6966b2af5f0de0fbf49240acc1856":[5,21,0,31], +"a00020.html#ga4b8e5ed1a787d428e84a5a550fedc417":[5,21,0,9], +"a00020.html#ga4d96acc82e0bbe947ececc200083c68a":[5,21,0,33], +"a00020.html#ga4f3beae19d75ae0141b60b5fb6a2d710":[5,21,0,36], +"a00020.html#ga56acdf4c0046516f59c04d89e8e988e6":[5,21,0,47], +"a00020.html#ga5f095da5c95e5137fd47b284217dbe74":[5,21,0,13], +"a00020.html#ga6e64075cc12bfd8c2cefe6a24a210145":[5,21,0,12], +"a00020.html#ga74464f9357e585e42e5f3c909eb6e9b3":[5,21,0,25], +"a00020.html#ga78b340bf3b25bfae957d0c5532b9b853":[5,21,0,20], +"a00020.html#ga7f5a4e7e831ab0dc869637494a57362e":[5,21,0,42], +"a00020.html#ga804d7ffe69bedc08ea1d10829b33be28":[5,21,0,11], +"a00020.html#ga80892980b702b0b7614691014a5aaaca":[5,21,0,24], +"a00020.html#ga8500107ace3179c85e66d73fa1f41d16":[5,21,0,43], +"a00020.html#ga855e54d43b294857434ce0d82a6b56cb":[5,21,0,5], +"a00020.html#ga8725fe345ac7bb48cc48f9553212f5db":[5,21,0,15], +"a00020.html#ga8fb417dc9cfa4304cefe7bec12479a8e":[5,21,0,10], +"a00020.html#ga9132cf15ff5fd2ac007a88f9400bcf30":[5,21,0,29], +"a00020.html#ga95abde78d5cbf569f2b6e4d7942b64df":[5,21,0,39], +"a00020.html#ga9688f27725349ed0dd7a37c9a75eccc0":[5,21,0,14], +"a00020.html#ga9aed876794d5c2ab2e37196242602b89":[5,21,0,41], +"a00020.html#gaa6a81e7e82e236bb1c3c5ba306466610":[5,21,0,21], +"a00020.html#gaa7cd13d17a8aa19c34bd8564d37f3a1f":[5,21,0,35], +"a00020.html#gaaa9dc0edc5edacd55bb88ff7b9b55f98":[5,21,0,38], +"a00020.html#gaaab4d6b7d7701fbdd022694330207614":[5,21,0,34], +"a00020.html#gab3b6a5d80be9940d58f739ded5962a59":[5,21,0,16], +"a00020.html#gaba5e41db910ac7f4e3a9732b1bfa002e":[5,21,0,18], +"a00020.html#gabdcaf539e6c95903c779f4538b1f422b":[5,21,0,26], +"a00020.html#gac0c8e42457c41efd02a4310423804e77":[5,21,0,45], +"a00020.html#gac0f88b67f77fd05f186a5ec940c340bd":[5,21,0,6], +"a00020.html#gad0a37042ec21be840247a05ca9e8e805":[5,21,0,32], +"a00020.html#gad737c0eee502276b7615e83ec3a79be4":[5,21,0,3], +"a00020.html#gae7a92a20789cf111acadb543916aac91":[5,21,0,37], +"a00020.html#gae955a5e336b3cb3276d5cdd6f1f6feda":[5,21,0,51], +"a00020.html#gaedb2f678849734999e373a8b00a5248c":[5,21,0,44], +"a00020.html#gaeeaf3b2b6394d3a656342cfbce0e39ee":[5,21,0,17], +"a00020.html#gaf7814290d5f1317f0b5dbe97712c3cb7":[5,21,0,4], +"a00020.html#gafadd2dad2820634ecf4663e0273a178b":[5,21,0,8], +"a00020.html#gafd66e4e7133327cb2a1df4e24d8f4cb6":[5,21,0,7], +"a00020.html#gga148de18c5f1501903739e858695dc1bda40fdc56c60a07652a4283491087eed6b":[5,21,0,19,0], +"a00020.html#gga148de18c5f1501903739e858695dc1bdaea6908db956ab3f686c7ee54d68d401c":[5,21,0,19,1], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a2dae162d4f0509695fce62b0ed1b9e4b":[5,21,0,20,4], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a3dc275bab22f77a1182bbab5fd5cea3b":[5,21,0,20,9], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a42c8946331d417404af48aed9fe53f88":[5,21,0,20,1], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a7154c6ede60243bf5f9e727a5cb18ce5":[5,21,0,20,11], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a7d342596a68c59d4e38bfe33c60f53c9":[5,21,0,20,10], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a9c9626a150100989578d9f18f9b3d59a":[5,21,0,20,6], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ac01c5ca3a2671c568e35d196ea223ada":[5,21,0,20,3], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ac5611ab6b973e6777a7d7db8321f62f2":[5,21,0,20,2], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853acc912dfbb3e827b37e9a942bf601672d":[5,21,0,20,8], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ad97d89d6e3a853cc07c854838a4f31f9":[5,21,0,20,12], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853addb8c45f45a91da558ae553a72557445":[5,21,0,20,0], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ae642012aae33bd8954699572e4adb475":[5,21,0,20,7], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853afb9ef5dc29f02f42773fb5b7fcda2e3e":[5,21,0,20,5], +"a00020.html#gga8725fe345ac7bb48cc48f9553212f5dba46309b174047a84a78c77b7648bdf21b":[5,21,0,15,0], +"a00020.html#gga8725fe345ac7bb48cc48f9553212f5dba64df3b823d2ab5f3f56ffb3f520eafb1":[5,21,0,15,2], +"a00020.html#gga8725fe345ac7bb48cc48f9553212f5dbaad8d786301da1bb92e2b911c386d39eb":[5,21,0,15,1], +"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a03db476c7c52f9624dab00d9af430411":[5,21,0,21,0], +"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a2187b4e63a575e94e6d3a1b7a5ce51d7":[5,21,0,21,10], +"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a2515d20982ec4edf617f6872e666a1d3":[5,21,0,21,4], +"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a2fab8368a6a3246714c83405202626b3":[5,21,0,21,9], +"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a46d487d50d8392d25c5c4e76cdfb6674":[5,21,0,21,11], +"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a615387365fba3c394b1a595e9baa3c95":[5,21,0,21,6], +"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a722bb0119b5dc19d471b62c622aca9c7":[5,21,0,21,5], +"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a8106fa188ea138f48c7740dcf5c2b294":[5,21,0,21,3], +"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610aa655bdfd278003a00cf5195986a38892":[5,21,0,21,13], +"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610ab35037d2ed958a740984aa0a125d72aa":[5,21,0,21,2], +"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610abc766d479d00f480e78d05f21f8e1d59":[5,21,0,21,1], +"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610ade5bc3f17cf2c303ba2e0eeedafd858c":[5,21,0,21,7], +"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610aef9ca7e74e386c6a6668cc2a0f43c9cd":[5,21,0,21,12], +"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610af0ae1c44d00b140e86202627084f8638":[5,21,0,21,8], +"a00020.html#ggab3b6a5d80be9940d58f739ded5962a59a71df5907ecd16c5f08fe1e6673064958":[5,21,0,16,1], +"a00020.html#ggab3b6a5d80be9940d58f739ded5962a59af279132afb0bee88bae3065c20c79ab2":[5,21,0,16,2], +"a00020.html#ggab3b6a5d80be9940d58f739ded5962a59af7a378247f82677090007d0c38be78f2":[5,21,0,16,0], +"a00020.html#ggaba5e41db910ac7f4e3a9732b1bfa002ea9562d6cdd240e9808a9a1d1946f400b7":[5,21,0,18,0], +"a00020.html#ggaba5e41db910ac7f4e3a9732b1bfa002ead71aee19329a4127614132d30e50ad8a":[5,21,0,18,1], +"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca256720c63c378f57791e946c0473290e":[5,21,0,14,6], +"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca38225397e3744ef1b4995041ce80d839":[5,21,0,14,1], +"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca3cb7112c821c89d7fbb1c048a86756aa":[5,21,0,14,3], +"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca3f21596ffe8300152d7f9f5587402e19":[5,21,0,14,7], +"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca539a0ebaa0137fdf6d7cc9b984acb13f":[5,21,0,14,2], +"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca579872694419f8708e38935593105868":[5,21,0,14,9], +"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca78157ec0658118f9205521c03da99093":[5,21,0,14,0], +"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca888280fbe6cf9b4b0647db78f0578ff5":[5,21,0,14,5], +"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca9e2f548075400b4c0a719ee1b0a534a9":[5,21,0,14,8], +"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06cabf010640733ea1ae8c97d7a0b83ea11b":[5,21,0,14,4], +"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06cad434a2fb3d728550dd2e784ce0a3e14c":[5,21,0,14,12], +"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06cade18e0468490127d2e0a8c71a190bdc8":[5,21,0,14,10], +"a00020.html#ggadc29c2ff13d900c2f185ee95427fb06cae427c8491ce7294dfeaa3b87e506bd81":[5,21,0,14,11], +"a00020.html#ggaeeaf3b2b6394d3a656342cfbce0e39eea3aee3195dc850778e33f2ebacf8847d3":[5,21,0,17,1], +"a00020.html#ggaeeaf3b2b6394d3a656342cfbce0e39eeaa637b8f5f0edd262181a20c1fbed7c12":[5,21,0,17,0], "a00021.html":[5,22], "a00021.html#a00125":[5,22,0], -"a00021.html#ae5078ce05e0689966c2c5c49f9aaf89a":[5,22,0,0], +"a00021.html#a661c018494a522eba0c6bf127bd684ab":[5,22,0,0], "a00021.html#ga0411f3587cc78cbde38b765e8bf3ba0c":[5,22,1], -"a00021.html#ga0c4c3a2aa689d7cdd0608eb1076d83aa":[5,22,3], -"a00021.html#ga1be1eae0ee5ecd4349a07e836f41630e":[5,22,8], -"a00021.html#ga34bc8734ca383ef16dbe8683f65f2d74":[5,22,6], -"a00021.html#ga6a2589301e091e4ced6951f49e8ab636":[5,22,7], -"a00021.html#gaa0d7b68f6ecfd1f4429c5dabb4b5aec3":[5,22,9], -"a00021.html#gab3a332ac3545c7280fe2e03785736340":[5,22,4], -"a00021.html#gab70db33e771d229596af0a64a398aa78":[5,22,11], -"a00021.html#gac9fb07a0516fdfdbb40129dd85f82b4b":[5,22,5], -"a00021.html#gaeaa5ecfb153fc573f367ea2858f56e8a":[5,22,10], -"a00021.html#gaf985ebf5e165e2fbda510cac71cad222":[5,22,2], -"a00021.html#gga0c4c3a2aa689d7cdd0608eb1076d83aaaf60149e2b9f22db27ef273f412e86150":[5,22,3,0], -"a00021.html#ggaf985ebf5e165e2fbda510cac71cad222a22e7e8fe1fd3cc9d4d3278e0fb7bae32":[5,22,2,0], -"a00021.html#ggaf985ebf5e165e2fbda510cac71cad222a3c617873be9cb696f53cca9529ca6dfc":[5,22,2,1], -"a00021.html#ggaf985ebf5e165e2fbda510cac71cad222afe5c2695869b965f7833386743cc1147":[5,22,2,2], +"a00021.html#ga1be1eae0ee5ecd4349a07e836f41630e":[5,22,11], +"a00021.html#ga2ab1ca4acd02e743300c0d79758c2759":[5,22,4], +"a00021.html#ga2f8189bd165f45c4b6952003f74f4b27":[5,22,2], +"a00021.html#ga34bc8734ca383ef16dbe8683f65f2d74":[5,22,9], +"a00021.html#ga6a2589301e091e4ced6951f49e8ab636":[5,22,10], +"a00021.html#ga778acc4799cf97bf558e543417e03772":[5,22,3], +"a00021.html#ga9f55ad94f6b9b9906690399c088b82b6":[5,22,5], +"a00021.html#gaa0d7b68f6ecfd1f4429c5dabb4b5aec3":[5,22,12], +"a00021.html#gab3a332ac3545c7280fe2e03785736340":[5,22,7], +"a00021.html#gab70db33e771d229596af0a64a398aa78":[5,22,14], +"a00021.html#gab79bd4bf294072d42f3eb1006503df5e":[5,22,6], +"a00021.html#gac9fb07a0516fdfdbb40129dd85f82b4b":[5,22,8], +"a00021.html#gaeaa5ecfb153fc573f367ea2858f56e8a":[5,22,13], +"a00021.html#gga9f55ad94f6b9b9906690399c088b82b6a22e7e8fe1fd3cc9d4d3278e0fb7bae32":[5,22,5,0], +"a00021.html#gga9f55ad94f6b9b9906690399c088b82b6a3c617873be9cb696f53cca9529ca6dfc":[5,22,5,1], +"a00021.html#gga9f55ad94f6b9b9906690399c088b82b6afe5c2695869b965f7833386743cc1147":[5,22,5,2], +"a00021.html#ggab79bd4bf294072d42f3eb1006503df5eaf60149e2b9f22db27ef273f412e86150":[5,22,6,0], "a00022.html":[5,23], "a00022.html#a00126":[5,23,0], -"a00022.html#a15e2f3ecd343280edfd8fbaa0bf8c9f4":[5,23,0,7], -"a00022.html#a405b63e15703dc92b3179b5089319e38":[5,23,0,0], -"a00022.html#a449186b990027ae1e7543458e2f8714a":[5,23,0,6], -"a00022.html#a6ec33e8656fe2cfc997634b348ca2cfa":[5,23,0,4], -"a00022.html#a78ce1ec4de049becaae7e3be51772313":[5,23,0,1], -"a00022.html#a9ada6867151ee688d47176cbe771cf2d":[5,23,0,5], -"a00022.html#ac0e6a4a8be4bd468fdb082a156219a44":[5,23,0,3], -"a00022.html#adc32c01dcffb7f140c8e1fd049862928":[5,23,0,2], -"a00022.html#ga1c5dae412d14eba38f2b63abb9f982d6":[5,23,10], -"a00022.html#ga2620dd2baf891f32359fbe85faaca563":[5,23,8], -"a00022.html#ga358bab6648d05345bda057a72cfb5547":[5,23,9], +"a00022.html#a350a5289fd77fc6f5b2834a66726d80e":[5,23,0,6], +"a00022.html#a4a2401c8e97f8eced0fdca7ffdabacbc":[5,23,0,0], +"a00022.html#a6ff22b44e352c0182bdd87b9ff61ee2e":[5,23,0,1], +"a00022.html#aa664f6d30e5b267bf960ad09fb36275f":[5,23,0,3], +"a00022.html#ab55d5525745d39713c954d1296e2a9df":[5,23,0,5], +"a00022.html#acbab700e360be852f9b80ab4a37d8f68":[5,23,0,7], +"a00022.html#ad7c0d3a959ecac990eed2c9cfc1a0f09":[5,23,0,4], +"a00022.html#adf57c281f83db946ed4553f513f00061":[5,23,0,2], +"a00022.html#ga1c5dae412d14eba38f2b63abb9f982d6":[5,23,11], +"a00022.html#ga2620dd2baf891f32359fbe85faaca563":[5,23,9], +"a00022.html#ga358bab6648d05345bda057a72cfb5547":[5,23,10], "a00022.html#ga56228a5472034e453003e7f375c824ab":[5,23,2], -"a00022.html#ga5666008b33bf327c80afb90e0733512e":[5,23,11], -"a00022.html#ga66b5c37906be6083f083a436eebbe778":[5,23,14], -"a00022.html#gaae4415d32cd0f67908d0ab9494736742":[5,23,7], -"a00022.html#gab1745efaa7c33fab66a552fd45e01d83":[5,23,15], +"a00022.html#ga5666008b33bf327c80afb90e0733512e":[5,23,12], +"a00022.html#ga66b5c37906be6083f083a436eebbe778":[5,23,15], +"a00022.html#gaae4415d32cd0f67908d0ab9494736742":[5,23,8], +"a00022.html#gab1745efaa7c33fab66a552fd45e01d83":[5,23,16], "a00022.html#gab483f5384985a23bb28889b3c31da9ee":[5,23,3], -"a00022.html#gac575fb8568458aa8acbbed14d5aa5ffd":[5,23,12], -"a00022.html#gaca0e9724bd1f25336527ea66c77e476f":[5,23,4], -"a00022.html#gacfd7070829029279f3b3bfb763b86914":[5,23,5], -"a00022.html#gad2351329bb1ff6b966decec266d7ec16":[5,23,13], -"a00022.html#gadc47d88ae20552f9cd9999e6f8fc5ebe":[5,23,6], +"a00022.html#gac575fb8568458aa8acbbed14d5aa5ffd":[5,23,13], +"a00022.html#gaca0e9724bd1f25336527ea66c77e476f":[5,23,5], +"a00022.html#gacfd7070829029279f3b3bfb763b86914":[5,23,6], +"a00022.html#gad2351329bb1ff6b966decec266d7ec16":[5,23,14], +"a00022.html#gadc47d88ae20552f9cd9999e6f8fc5ebe":[5,23,7], +"a00022.html#gade4f744368e9723e83fdefb72e88e692":[5,23,4], "a00022.html#gaf661d1e3e19a80b873c54fdbad5e966a":[5,23,1], -"a00022.html#ggaca0e9724bd1f25336527ea66c77e476fa825be03d771f06e1d6cf947ca78f857e":[5,23,4,1], -"a00022.html#ggaca0e9724bd1f25336527ea66c77e476faea9db9afc1f9c70872a755eaa24a4184":[5,23,4,0], +"a00022.html#ggaca0e9724bd1f25336527ea66c77e476fa825be03d771f06e1d6cf947ca78f857e":[5,23,5,1], +"a00022.html#ggaca0e9724bd1f25336527ea66c77e476faea9db9afc1f9c70872a755eaa24a4184":[5,23,5,0], "a00079.html":[5,4], "a00079.html#ga06cf7a516d7600127c0af0e1e0cc5759":[5,4,8], "a00079.html#ga0c0cf401613f31feb4a9eb723ad51154":[5,4,0], +"a00079.html#ga0d01cff2c331cdc2703b798930b1c9cd":[5,4,30], "a00079.html#ga0da8ff794475b44b15cda07999bc8678":[5,4,2], -"a00079.html#ga10c8c8ccc077404a00e1121b5d18e4a8":[5,4,37], +"a00079.html#ga10c8c8ccc077404a00e1121b5d18e4a8":[5,4,43], +"a00079.html#ga10eb499a97d1bd20020841220bb5de74":[5,4,31], "a00079.html#ga2172b1f5c29b7d0bf0fe8a9c9d45ed43":[5,4,16], "a00079.html#ga2323a706e6e7796fa2e352a5b5a70f59":[5,4,17], -"a00079.html#ga23c8b3ae62f7865b2e228408be95946d":[5,4,24], "a00079.html#ga23dea91a4cc65d3b9ba93c83313496a1":[5,4,13], "a00079.html#ga24f65b321ca1b862cf159bec396453bd":[5,4,21], -"a00079.html#ga2d33480c8967a6bac98512e0c47c6b07":[5,4,40], -"a00079.html#ga386d59c21453d1914f3c8194010ff277":[5,4,46], -"a00079.html#ga47b7ce0a4c83a359f4bc1f2714a13761":[5,4,36], +"a00079.html#ga2d33480c8967a6bac98512e0c47c6b07":[5,4,46], +"a00079.html#ga386d59c21453d1914f3c8194010ff277":[5,4,52], +"a00079.html#ga47b7ce0a4c83a359f4bc1f2714a13761":[5,4,42], "a00079.html#ga4b1754d575449d4dab7f7e3f0131650c":[5,4,11], -"a00079.html#ga4eb9737ada73b9a575250de526433dff":[5,4,41], -"a00079.html#ga4f99eead2ff26c245d72917e9308ac1d":[5,4,44], -"a00079.html#ga53acae220d651789bb505c53c73ecf2b":[5,4,38], -"a00079.html#ga59a363f0296ea51011dd86b405d5db58":[5,4,26], -"a00079.html#ga5a9205e0888bdc8579d3851313662671":[5,4,39], -"a00079.html#ga62ebb12d760ba0fe5ca71ac1f7a77709":[5,4,47], -"a00079.html#ga6506e7cdad8dcf18347cdcb1acd8c17d":[5,4,42], +"a00079.html#ga4eb9737ada73b9a575250de526433dff":[5,4,47], +"a00079.html#ga4f99eead2ff26c245d72917e9308ac1d":[5,4,50], +"a00079.html#ga53acae220d651789bb505c53c73ecf2b":[5,4,44], +"a00079.html#ga5a9205e0888bdc8579d3851313662671":[5,4,45], +"a00079.html#ga62ebb12d760ba0fe5ca71ac1f7a77709":[5,4,53], +"a00079.html#ga6506e7cdad8dcf18347cdcb1acd8c17d":[5,4,48], "a00079.html#ga661e3aff590ce2f086ba06745317480b":[5,4,12], "a00079.html#ga7c6017a8657328bdaad58beb19de84e1":[5,4,9], -"a00079.html#ga7dd0bd48c4a5a68fef7f9524d8999b4b":[5,4,31], -"a00079.html#ga85bf7440c0d77269e3db764083110334":[5,4,28], +"a00079.html#ga7dd0bd48c4a5a68fef7f9524d8999b4b":[5,4,37], +"a00079.html#ga87881cb2f1147cca2f3b2b1f718565f5":[5,4,35], "a00079.html#gaa540fadbafefad92f9f7ae3f63b7a45c":[5,4,4], -"a00079.html#gaa6be3b84fd2a57ec1165954d6c4564e8":[5,4,30], -"a00079.html#gaaa964f2c87ddd18a09c5201e22925fe6":[5,4,32], +"a00079.html#gaa690e42cbb0b0bc43944d28dfb89b9ba":[5,4,33], +"a00079.html#gaa6be3b84fd2a57ec1165954d6c4564e8":[5,4,36], +"a00079.html#gaaa964f2c87ddd18a09c5201e22925fe6":[5,4,38], +"a00079.html#gaaeb9d8a57f6119f6c1cf201205a72767":[5,4,29], "a00079.html#gab3c8a974e25f615fe023579c847fae6c":[5,4,5], -"a00079.html#gab68022e8d8f60a72f86a6a6c13b6e2f4":[5,4,29], +"a00079.html#gabc4db77825e6eebefc0b80a4e5b9bdc7":[5,4,34], "a00079.html#gabdb5fc301b32e8998fb9b52e86c5cc1a":[5,4,19], -"a00079.html#gabfcd2e2eae3bb847bb6268557ad9e50f":[5,4,43], +"a00079.html#gabfcd2e2eae3bb847bb6268557ad9e50f":[5,4,49], "a00079.html#gac0c74371f4f724c78ef233de79c3cf14":[5,4,15], -"a00079.html#gac344a8334a482bcbcbdf0c4828db872c":[5,4,27], +"a00079.html#gac1d9d53822e555ef06047c649968efc3":[5,4,26], "a00079.html#gac3a1bd1656603951722b345b45f888e9":[5,4,20], "a00079.html#gac72477e518b30ceb277b83f555ac0d9e":[5,4,14], "a00079.html#gac86986db423673c1d9b46882ccd6b3a9":[5,4,10], -"a00079.html#gaca0f0dcb61434d727340ddde5f131d91":[5,4,34], +"a00079.html#gaca0f0dcb61434d727340ddde5f131d91":[5,4,40], +"a00079.html#gacb9ec0a8b3aa569692b550ebdcac7b3c":[5,4,25], "a00079.html#gad0c6602129535798b81d753e67cabc99":[5,4,6], "a00079.html#gad73456f246d91b7e9dcbe6c6fc180a2f":[5,4,18], "a00079.html#gadfccab39614758cd5e147aafb5157610":[5,4,22], "a00079.html#gaea083b34e0ae608a94fce91da973a544":[5,4,23], -"a00079.html#gaee8dd95ea14cf8363042356e9fb2e5cb":[5,4,45], +"a00079.html#gaee8dd95ea14cf8363042356e9fb2e5cb":[5,4,51], "a00079.html#gaef5bfe2c53a7ededa861c4e414cdbf36":[5,4,3], +"a00079.html#gaf3dd76fcb181c1abd9eff8ddfc4f1c13":[5,4,24], "a00079.html#gaf4232ca3ff8c9ea3d8b3cbe4bac6d87a":[5,4,1], -"a00079.html#gaf74854e9bcee544d7646c5bafdc00bd3":[5,4,25], -"a00079.html#gaf85cf02f515cd756873bf2a74ee143e2":[5,4,35], -"a00079.html#gafe0b410109a29c465df0b4dcbb338ff3":[5,4,33], +"a00079.html#gaf6439c85442d8b622ae5932d89cee7c1":[5,4,28], +"a00079.html#gaf6cf35ae56aa98ed8ff20eeecbcdfb85":[5,4,32], +"a00079.html#gaf85cf02f515cd756873bf2a74ee143e2":[5,4,41], +"a00079.html#gafb07cc54075c6d7c97989dc0765a60f0":[5,4,27], +"a00079.html#gafe0b410109a29c465df0b4dcbb338ff3":[5,4,39], "a00079.html#gaffc6cb67ee605165b0660db6031d5871":[5,4,7], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946da00b88c0e9bb08d8b622140c46416d4b9":[5,4,24,4], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946da0a7890c63167a690ed5199ec17d46670":[5,4,24,1], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946da0ffae7aa0d9dbc52524beb5f82ced038":[5,4,24,22], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946da11dd047399d3cf7893682897e34682de":[5,4,24,0], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946da1b9f348e8d5bc1715af7366be3ca87c7":[5,4,24,2], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946da1d4898f8634e2f18e6a154e2b1a4120e":[5,4,24,13], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946da26876b4bdecc15c46c379a289441b3c6":[5,4,24,12], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946da2bc16e3ee343b4abfc46ae9506461e97":[5,4,24,18], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946da32dc8a8723c16dbf0af95927f54a4dcc":[5,4,24,20], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946da3922459ccb3f2fd90ac39813c0dc39f0":[5,4,24,21], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946da5c44f9347603d8c7fd8eda74e3b3e40f":[5,4,24,16], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946da63bcbbef2627c667b204eae91c3a0718":[5,4,24,7], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946da689e957d82ca723a1a0cc671ba216bf5":[5,4,24,17], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946da89556150c0329c54c4b6150030e416ff":[5,4,24,3], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946da909ccfe84f4275dd7b6ba09235583230":[5,4,24,15], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946da9ec19029dc1ad34cb7ae0091e97b7f7d":[5,4,24,6], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946da9facf6722503308f657e1d1da679065a":[5,4,24,23], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946daa1cfbe8497997fc6dff0d7f0c070aa5a":[5,4,24,11], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946daace73b709cda5c34ba5bdf6888605f1f":[5,4,24,9], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946dac6997ec12e66b5e4fad9aa52ef6a2db0":[5,4,24,10], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946dac6e6ee6e9f7b28e5c3818324c852b05f":[5,4,24,5], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946dad2e9ebc3825a65fae3c13efbc805a128":[5,4,24,19], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946dad386313192805ba225b0da24e078d387":[5,4,24,8], -"a00079.html#gga23c8b3ae62f7865b2e228408be95946daf1e8b91e80a3a9a4db038c0b4cf7e381":[5,4,24,14], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58a066679b9e0a01ccd0aad6e6caa643f2f":[5,4,26,11], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58a1b183d67629d90ddc1a9b9baf2ebc285":[5,4,26,13], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58a1fe8170ca9a5fcee3e0b3fa1453eb481":[5,4,26,27], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58a20d47beaa61ee59b61c34fa4f7e30d21":[5,4,26,29], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58a225c7ee90596ed70ba883f4db61aa8a7":[5,4,26,22], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58a28b61eb46fb752a05934e2d44450acc0":[5,4,26,1], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58a34737a1ca71167db472e07d6d9bd4b39":[5,4,26,31], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58a5cff4ddf26d639e435ce79fe5e83d866":[5,4,26,19], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58a62d1ae5b5b1bb400be6618e4e2ad45ea":[5,4,26,5], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58a67d2eb5235a0677d1ef161e5e1c2b340":[5,4,26,6], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58a841785f980e4c406eeecdcf07559fe66":[5,4,26,7], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58a845c271da53362455548e3a2d785e46f":[5,4,26,24], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58a8670e7d6a9f2afb045fbf54dc13c4bb2":[5,4,26,21], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58a8671f2c92f6e049ed8b2f3b7a5b9b296":[5,4,26,30], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58a91f272ba2ca7171ce0689d39f9a894af":[5,4,26,4], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58a92f67286aebd8e0fde633cf4b3bd8b57":[5,4,26,16], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58a9fbd759cc60932bb0896625543477290":[5,4,26,10], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58a9fe34f41e6cfa3cd3a0ef15ba9184bb1":[5,4,26,17], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58aad0657c34aef515cd39d693c8a7896b2":[5,4,26,25], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58ab434281b6471a116a444172bf8a7bf91":[5,4,26,15], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58ab8065d6f5e9b16e9410feccef7f1c10b":[5,4,26,14], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58abae02f94616cc17800067d5601943b5e":[5,4,26,2], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58ac891ded4c265bfab790d733acbacb753":[5,4,26,20], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58ad0784125581fd7bf09f4b3bab3af725d":[5,4,26,8], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58ae19e4e49559079c2f95f998c37029642":[5,4,26,18], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58ae27646652709428349bea6c7044c070e":[5,4,26,23], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58aea7f16ca2ff13032c4cc33a2c45332ae":[5,4,26,3], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58af06770587aa45bbb62d1e4fe36d03d81":[5,4,26,9], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58af1d8576caccfd1e48d121fae4315c10d":[5,4,26,0], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58af2ea89b865003dc40f8923f67d7bb366":[5,4,26,28], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58af45a833dead43235df03a982dc25ea33":[5,4,26,12], -"a00079.html#gga59a363f0296ea51011dd86b405d5db58afa871e16cf926d8a08dce1a52f418066":[5,4,26,26], -"a00079.html#gga85bf7440c0d77269e3db764083110334a01135115df0c0b0525f2b1391ee9d9ca":[5,4,28,1], -"a00079.html#gga85bf7440c0d77269e3db764083110334a29c4fdcb48fba40928b278765d0e3843":[5,4,28,2], -"a00079.html#gga85bf7440c0d77269e3db764083110334a60f89d729c96295d1aaa741a412d58ab":[5,4,28,0], -"a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a0aecd9451c5bf4525fe17a7b4734f58f":[5,4,29,0], -"a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a85dbf0a96c672abcfbc08dfc231088d6":[5,4,29,1], -"a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4acb9810ab5676cca7da756b2c28205c12":[5,4,29,2], -"a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4afa92bbf8fcfb74ceea172667409f7643":[5,4,29,3], -"a00079.html#ggac344a8334a482bcbcbdf0c4828db872ca7b3998fdf96eb884e35ff56f40feffbd":[5,4,27,1], -"a00079.html#ggac344a8334a482bcbcbdf0c4828db872caa7236a2c00237ca7ee5b2ff55058ded6":[5,4,27,2], -"a00079.html#ggac344a8334a482bcbcbdf0c4828db872caf4f25357ef9794d7d72417977d27ffb2":[5,4,27,0], -"a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01a946b874db04d12aba4c3bbe461580":[5,4,25,2], -"a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01d0c099adda9e435281c887b12095a8":[5,4,25,3], -"a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a1de4a40f5399eb025d5faefda7491918":[5,4,25,0], -"a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a30e6333c132740b84bf2ce94b8c44a8f":[5,4,25,4], -"a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a86d48329b3492ab94a5da3925c73622f":[5,4,25,1], -"a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3aa27972710b7df62d66918584843aee06":[5,4,25,6], -"a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3aab25a81f1aefcd33b6de1e10e3169c41":[5,4,25,5], -"a00080.html":[5,16], -"a00080.html#ga1680834b74ccfaca0cc98b954cfef0ec":[5,16,2], -"a00080.html#ga2cef71a7429671e6aaf71b3c40f1bc23":[5,16,26], -"a00080.html#ga40a1d0c80f5189e9c56133a2bc15a4c2":[5,16,9], -"a00080.html#ga4b0c77a348378a9c77539fc160c239df":[5,16,13], -"a00080.html#ga4b9f6c3aa18e60b7a85edfa8857906ed":[5,16,19], -"a00080.html#ga566dde551cccfbff838b7f6f474e525b":[5,16,0], -"a00080.html#ga64687ae9aee146f932c9d822633ed751":[5,16,24], -"a00080.html#ga6ad77a0ddbf43076a49a207534c6b7f8":[5,16,12], -"a00080.html#ga6f4c4db233f8d1cb2aafa7b7a3c34f84":[5,16,20], -"a00080.html#ga7069e59acd0a3e196bb54b7a5bcead3d":[5,16,17], -"a00080.html#ga774c3c091d12f8f4ca768d112a7fda8d":[5,16,3], -"a00080.html#ga7b82522f086af61786aa316142d3540c":[5,16,18], -"a00080.html#ga7bfb95e1397b0fc1b02b740458667d4c":[5,16,21], -"a00080.html#ga83d78eac8ce2faa5f92738c681846d5b":[5,16,23], -"a00080.html#ga85b6d46e43b818ba54dba92256db4499":[5,16,27], -"a00080.html#ga85cddf883d8412b35f3648fa5a62b136":[5,16,14], -"a00080.html#ga86ad2183a772150f3c72d5aaf158afaa":[5,16,22], -"a00080.html#gaa25d9a439cb1779e76c107cfc9c1a86e":[5,16,16], -"a00080.html#gaacea8a22b7f4706814e61973550d3492":[5,16,5], -"a00080.html#gab5d33167d8a4c9d9a407cc1bdd6346a9":[5,16,4], -"a00080.html#gabea720a67b6b06b187a5781b9955dc9c":[5,16,11], -"a00080.html#gacc5b8fefeacc0f1909d657be9cedddf3":[5,16,1], -"a00080.html#gacf3a138e73725bb8c50290295259bfa4":[5,16,8], -"a00080.html#gad4414c07be8e296fdf41c256e605c161":[5,16,6], -"a00080.html#gad856ab8003eb9953525518cddbc7814c":[5,16,7], -"a00080.html#gada7daa74280566725bfa2854613c91cc":[5,16,25], -"a00080.html#gae9733cfb042126b04d237cc8db40dba0":[5,16,10], -"a00080.html#gafd390219700f64b63df3ded05212bda5":[5,16,15], -"a00080.html#ggaacea8a22b7f4706814e61973550d3492a740c74b8de11afea3adf94099430d43f":[5,16,5,1], -"a00080.html#ggaacea8a22b7f4706814e61973550d3492a80dcccd621286706e1c8a4ebe9c10f0a":[5,16,5,0], -"a00080.html#ggaacea8a22b7f4706814e61973550d3492a8464e8acd4980abf527cdc08a1039f03":[5,16,5,3], -"a00080.html#ggaacea8a22b7f4706814e61973550d3492ad0fed29c216cb44973a5720f27c25b57":[5,16,5,2], -"a00080.html#ggaacea8a22b7f4706814e61973550d3492ad41dcf0263e8b148f96f850b2be396ac":[5,16,5,4], -"a00080.html#ggad4414c07be8e296fdf41c256e605c161a6767e4aee5d7fbe50704f21d413f5442":[5,16,6,0], -"a00080.html#ggad856ab8003eb9953525518cddbc7814ca186e757d5a202f806afd889315af3639":[5,16,7,1], -"a00080.html#ggad856ab8003eb9953525518cddbc7814ca2094c3f450488ea077bf45edabd227ba":[5,16,7,2], -"a00080.html#ggad856ab8003eb9953525518cddbc7814cab28fa5a4937806aefbc4d259a419d354":[5,16,7,3], -"a00080.html#ggad856ab8003eb9953525518cddbc7814cabc8bc042a952213b5364fcc75fb2e459":[5,16,7,0], -"a00081.html":[5,17], -"a00081.html#ga337911597d5b1d45ac9ac6abb705a5ef":[5,17,1], -"a00081.html#gac287530f011b42355162470f09975770":[5,17,2], -"a00081.html#gac33f3428cb55ce07ae67802e5f534ffc":[5,17,3] +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda00b88c0e9bb08d8b622140c46416d4b9":[5,4,30,4], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda0a7890c63167a690ed5199ec17d46670":[5,4,30,1], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda0ffae7aa0d9dbc52524beb5f82ced038":[5,4,30,22], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda11dd047399d3cf7893682897e34682de":[5,4,30,0], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda1b9f348e8d5bc1715af7366be3ca87c7":[5,4,30,2], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda1d4898f8634e2f18e6a154e2b1a4120e":[5,4,30,13], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda26876b4bdecc15c46c379a289441b3c6":[5,4,30,12], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda2bc16e3ee343b4abfc46ae9506461e97":[5,4,30,18], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda32dc8a8723c16dbf0af95927f54a4dcc":[5,4,30,20], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda3922459ccb3f2fd90ac39813c0dc39f0":[5,4,30,21], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda5c44f9347603d8c7fd8eda74e3b3e40f":[5,4,30,16], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda63bcbbef2627c667b204eae91c3a0718":[5,4,30,7], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda689e957d82ca723a1a0cc671ba216bf5":[5,4,30,17], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda89556150c0329c54c4b6150030e416ff":[5,4,30,3], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda909ccfe84f4275dd7b6ba09235583230":[5,4,30,15], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda9ec19029dc1ad34cb7ae0091e97b7f7d":[5,4,30,6], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda9facf6722503308f657e1d1da679065a":[5,4,30,23], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdaa1cfbe8497997fc6dff0d7f0c070aa5a":[5,4,30,11], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdaace73b709cda5c34ba5bdf6888605f1f":[5,4,30,9], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdac6997ec12e66b5e4fad9aa52ef6a2db0":[5,4,30,10], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdac6e6ee6e9f7b28e5c3818324c852b05f":[5,4,30,5], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdad2e9ebc3825a65fae3c13efbc805a128":[5,4,30,19], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdad386313192805ba225b0da24e078d387":[5,4,30,8], +"a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdaf1e8b91e80a3a9a4db038c0b4cf7e381":[5,4,30,14] }; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex4.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex4.js index fa7c3d3..3cf91ba 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex4.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex4.js @@ -1,253 +1,253 @@ var NAVTREEINDEX4 = { +"a00079.html#gga10eb499a97d1bd20020841220bb5de74a01a946b874db04d12aba4c3bbe461580":[5,4,31,2], +"a00079.html#gga10eb499a97d1bd20020841220bb5de74a01d0c099adda9e435281c887b12095a8":[5,4,31,3], +"a00079.html#gga10eb499a97d1bd20020841220bb5de74a1de4a40f5399eb025d5faefda7491918":[5,4,31,0], +"a00079.html#gga10eb499a97d1bd20020841220bb5de74a30e6333c132740b84bf2ce94b8c44a8f":[5,4,31,4], +"a00079.html#gga10eb499a97d1bd20020841220bb5de74a86d48329b3492ab94a5da3925c73622f":[5,4,31,1], +"a00079.html#gga10eb499a97d1bd20020841220bb5de74aa27972710b7df62d66918584843aee06":[5,4,31,6], +"a00079.html#gga10eb499a97d1bd20020841220bb5de74aab25a81f1aefcd33b6de1e10e3169c41":[5,4,31,5], +"a00079.html#gga87881cb2f1147cca2f3b2b1f718565f5a0aecd9451c5bf4525fe17a7b4734f58f":[5,4,35,0], +"a00079.html#gga87881cb2f1147cca2f3b2b1f718565f5a85dbf0a96c672abcfbc08dfc231088d6":[5,4,35,1], +"a00079.html#gga87881cb2f1147cca2f3b2b1f718565f5acb9810ab5676cca7da756b2c28205c12":[5,4,35,2], +"a00079.html#gga87881cb2f1147cca2f3b2b1f718565f5afa92bbf8fcfb74ceea172667409f7643":[5,4,35,3], +"a00079.html#ggaa690e42cbb0b0bc43944d28dfb89b9baa7b3998fdf96eb884e35ff56f40feffbd":[5,4,33,1], +"a00079.html#ggaa690e42cbb0b0bc43944d28dfb89b9baaa7236a2c00237ca7ee5b2ff55058ded6":[5,4,33,2], +"a00079.html#ggaa690e42cbb0b0bc43944d28dfb89b9baaf4f25357ef9794d7d72417977d27ffb2":[5,4,33,0], +"a00079.html#ggabc4db77825e6eebefc0b80a4e5b9bdc7a01135115df0c0b0525f2b1391ee9d9ca":[5,4,34,1], +"a00079.html#ggabc4db77825e6eebefc0b80a4e5b9bdc7a29c4fdcb48fba40928b278765d0e3843":[5,4,34,2], +"a00079.html#ggabc4db77825e6eebefc0b80a4e5b9bdc7a60f89d729c96295d1aaa741a412d58ab":[5,4,34,0], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a066679b9e0a01ccd0aad6e6caa643f2f":[5,4,32,11], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a1b183d67629d90ddc1a9b9baf2ebc285":[5,4,32,13], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a1fe8170ca9a5fcee3e0b3fa1453eb481":[5,4,32,27], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a20d47beaa61ee59b61c34fa4f7e30d21":[5,4,32,29], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a225c7ee90596ed70ba883f4db61aa8a7":[5,4,32,22], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a28b61eb46fb752a05934e2d44450acc0":[5,4,32,1], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a34737a1ca71167db472e07d6d9bd4b39":[5,4,32,31], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a5cff4ddf26d639e435ce79fe5e83d866":[5,4,32,19], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a62d1ae5b5b1bb400be6618e4e2ad45ea":[5,4,32,5], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a67d2eb5235a0677d1ef161e5e1c2b340":[5,4,32,6], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a841785f980e4c406eeecdcf07559fe66":[5,4,32,7], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a845c271da53362455548e3a2d785e46f":[5,4,32,24], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a8670e7d6a9f2afb045fbf54dc13c4bb2":[5,4,32,21], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a8671f2c92f6e049ed8b2f3b7a5b9b296":[5,4,32,30], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a91f272ba2ca7171ce0689d39f9a894af":[5,4,32,4], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a92f67286aebd8e0fde633cf4b3bd8b57":[5,4,32,16], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a9fbd759cc60932bb0896625543477290":[5,4,32,10], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a9fe34f41e6cfa3cd3a0ef15ba9184bb1":[5,4,32,17], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85aad0657c34aef515cd39d693c8a7896b2":[5,4,32,25], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ab434281b6471a116a444172bf8a7bf91":[5,4,32,15], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ab8065d6f5e9b16e9410feccef7f1c10b":[5,4,32,14], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85abae02f94616cc17800067d5601943b5e":[5,4,32,2], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ac891ded4c265bfab790d733acbacb753":[5,4,32,20], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ad0784125581fd7bf09f4b3bab3af725d":[5,4,32,8], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ae19e4e49559079c2f95f998c37029642":[5,4,32,18], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ae27646652709428349bea6c7044c070e":[5,4,32,23], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85aea7f16ca2ff13032c4cc33a2c45332ae":[5,4,32,3], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85af06770587aa45bbb62d1e4fe36d03d81":[5,4,32,9], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85af1d8576caccfd1e48d121fae4315c10d":[5,4,32,0], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85af2ea89b865003dc40f8923f67d7bb366":[5,4,32,28], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85af45a833dead43235df03a982dc25ea33":[5,4,32,12], +"a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85afa871e16cf926d8a08dce1a52f418066":[5,4,32,26], +"a00080.html":[5,16], +"a00080.html#ga0c729a296e2df1f011235215479452d4":[5,16,3], +"a00080.html#ga1680834b74ccfaca0cc98b954cfef0ec":[5,16,5], +"a00080.html#ga16a5fe9d2ffb61e894ea9bb158d6b714":[5,16,2], +"a00080.html#ga2cef71a7429671e6aaf71b3c40f1bc23":[5,16,29], +"a00080.html#ga40a1d0c80f5189e9c56133a2bc15a4c2":[5,16,12], +"a00080.html#ga4611c10843d1988b1cf63c49897ae3cb":[5,16,4], +"a00080.html#ga4b0c77a348378a9c77539fc160c239df":[5,16,16], +"a00080.html#ga4b9f6c3aa18e60b7a85edfa8857906ed":[5,16,22], +"a00080.html#ga566dde551cccfbff838b7f6f474e525b":[5,16,0], +"a00080.html#ga64687ae9aee146f932c9d822633ed751":[5,16,27], +"a00080.html#ga6ad77a0ddbf43076a49a207534c6b7f8":[5,16,15], +"a00080.html#ga6f4c4db233f8d1cb2aafa7b7a3c34f84":[5,16,23], +"a00080.html#ga7069e59acd0a3e196bb54b7a5bcead3d":[5,16,20], +"a00080.html#ga774c3c091d12f8f4ca768d112a7fda8d":[5,16,6], +"a00080.html#ga7b82522f086af61786aa316142d3540c":[5,16,21], +"a00080.html#ga7bfb95e1397b0fc1b02b740458667d4c":[5,16,24], +"a00080.html#ga83d78eac8ce2faa5f92738c681846d5b":[5,16,26], +"a00080.html#ga85b6d46e43b818ba54dba92256db4499":[5,16,30], +"a00080.html#ga85cddf883d8412b35f3648fa5a62b136":[5,16,17], +"a00080.html#ga86ad2183a772150f3c72d5aaf158afaa":[5,16,25], +"a00080.html#gaa25d9a439cb1779e76c107cfc9c1a86e":[5,16,19], +"a00080.html#gab5d33167d8a4c9d9a407cc1bdd6346a9":[5,16,7], +"a00080.html#gabea720a67b6b06b187a5781b9955dc9c":[5,16,14], +"a00080.html#gac443df372898826727572d3025ae104e":[5,16,9], +"a00080.html#gacc5b8fefeacc0f1909d657be9cedddf3":[5,16,1], +"a00080.html#gacf3a138e73725bb8c50290295259bfa4":[5,16,11], +"a00080.html#gada7daa74280566725bfa2854613c91cc":[5,16,28], +"a00080.html#gae01dae3d7bbcc338031dfd019f93681b":[5,16,8], +"a00080.html#gae9733cfb042126b04d237cc8db40dba0":[5,16,13], +"a00080.html#gaeadfcaceef8506b5b8fff8c2f1ff2908":[5,16,10], +"a00080.html#gafd390219700f64b63df3ded05212bda5":[5,16,18], +"a00080.html#ggac443df372898826727572d3025ae104ea6767e4aee5d7fbe50704f21d413f5442":[5,16,9,0], +"a00080.html#ggae01dae3d7bbcc338031dfd019f93681ba740c74b8de11afea3adf94099430d43f":[5,16,8,1], +"a00080.html#ggae01dae3d7bbcc338031dfd019f93681ba80dcccd621286706e1c8a4ebe9c10f0a":[5,16,8,0], +"a00080.html#ggae01dae3d7bbcc338031dfd019f93681ba8464e8acd4980abf527cdc08a1039f03":[5,16,8,3], +"a00080.html#ggae01dae3d7bbcc338031dfd019f93681bad0fed29c216cb44973a5720f27c25b57":[5,16,8,2], +"a00080.html#ggae01dae3d7bbcc338031dfd019f93681bad41dcf0263e8b148f96f850b2be396ac":[5,16,8,4], +"a00080.html#ggaeadfcaceef8506b5b8fff8c2f1ff2908a186e757d5a202f806afd889315af3639":[5,16,10,1], +"a00080.html#ggaeadfcaceef8506b5b8fff8c2f1ff2908a2094c3f450488ea077bf45edabd227ba":[5,16,10,2], +"a00080.html#ggaeadfcaceef8506b5b8fff8c2f1ff2908ab28fa5a4937806aefbc4d259a419d354":[5,16,10,3], +"a00080.html#ggaeadfcaceef8506b5b8fff8c2f1ff2908abc8bc042a952213b5364fcc75fb2e459":[5,16,10,0], +"a00081.html":[5,17], +"a00081.html#ga337911597d5b1d45ac9ac6abb705a5ef":[5,17,1], +"a00081.html#ga3f9c431d066e700ee9e2ab83c11aaed8":[5,17,5], +"a00081.html#ga4f45cdcdc4d2507fffd4e8742fa7dac6":[5,17,2], +"a00081.html#gac33f3428cb55ce07ae67802e5f534ffc":[5,17,6], +"a00081.html#gad4aceeed7467f943d313d51ec38a1a20":[5,17,3], +"a00081.html#gad9df042d0736710d46dcdd0d52d80f85":[5,17,4], +"a00081.html#gadbdb012f4a805a6117f76cbcaf378aa3":[5,17,7], "a00081.html#gaf2dd9adbeea910141dc143654a1065db":[5,17,0], -"a00081.html#ggac287530f011b42355162470f09975770a194baa96cd93ef108f2724fa98352387":[5,17,2,7], -"a00081.html#ggac287530f011b42355162470f09975770a20fd1ac8739a143dddb40a6349a758a6":[5,17,2,16], -"a00081.html#ggac287530f011b42355162470f09975770a244e5cce727a529563a83a1a78f8b3f1":[5,17,2,2], -"a00081.html#ggac287530f011b42355162470f09975770a25d5f742c3cbcf85a4e9c388c5c9e708":[5,17,2,15], -"a00081.html#ggac287530f011b42355162470f09975770a6946809531736fcf4d7067e31f73813b":[5,17,2,17], -"a00081.html#ggac287530f011b42355162470f09975770a7a5b1b185218feb35ce91ce8f77b2106":[5,17,2,18], -"a00081.html#ggac287530f011b42355162470f09975770a80aae2b47fdc2701cb923d27ca9e5a7a":[5,17,2,4], -"a00081.html#ggac287530f011b42355162470f09975770a81a00b3196e6197af1ca45b8a5bac450":[5,17,2,5], -"a00081.html#ggac287530f011b42355162470f09975770a8bc751e4927f0c20a0695b284fc40c38":[5,17,2,9], -"a00081.html#ggac287530f011b42355162470f09975770a900b1deecf2f2316b30e40ff81330499":[5,17,2,14], -"a00081.html#ggac287530f011b42355162470f09975770a99e18503426294e439f4bb181a451999":[5,17,2,13], -"a00081.html#ggac287530f011b42355162470f09975770aa294a3c09c1565947ebcd78694acff24":[5,17,2,8], -"a00081.html#ggac287530f011b42355162470f09975770ab95a1dd8a7fbc479365344d58ac55737":[5,17,2,10], -"a00081.html#ggac287530f011b42355162470f09975770abbd5b2eb886157d35a4ff88077fa192b":[5,17,2,3], -"a00081.html#ggac287530f011b42355162470f09975770ac0e9dc6f492774ceef33623e62882da5":[5,17,2,19], -"a00081.html#ggac287530f011b42355162470f09975770ac1601058bee0888d89675b528432a496":[5,17,2,0], -"a00081.html#ggac287530f011b42355162470f09975770ac1a3b1156e6dbc9d6fecb867f1486c63":[5,17,2,11], -"a00081.html#ggac287530f011b42355162470f09975770ac5dc2b0f880a50d33e7d79f7af4c4f33":[5,17,2,6], -"a00081.html#ggac287530f011b42355162470f09975770ad1ef18ed84027fdc4d41567ae894ea10":[5,17,2,12], -"a00081.html#ggac287530f011b42355162470f09975770aee6d4f65797043b10be7d4a7ae95d206":[5,17,2,1], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a194baa96cd93ef108f2724fa98352387":[5,17,3,7], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a20fd1ac8739a143dddb40a6349a758a6":[5,17,3,16], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a244e5cce727a529563a83a1a78f8b3f1":[5,17,3,2], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a25d5f742c3cbcf85a4e9c388c5c9e708":[5,17,3,15], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a6946809531736fcf4d7067e31f73813b":[5,17,3,17], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a7a5b1b185218feb35ce91ce8f77b2106":[5,17,3,18], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a80aae2b47fdc2701cb923d27ca9e5a7a":[5,17,3,4], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a81a00b3196e6197af1ca45b8a5bac450":[5,17,3,5], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a8bc751e4927f0c20a0695b284fc40c38":[5,17,3,9], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a900b1deecf2f2316b30e40ff81330499":[5,17,3,14], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a99e18503426294e439f4bb181a451999":[5,17,3,13], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20aa294a3c09c1565947ebcd78694acff24":[5,17,3,8], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ab95a1dd8a7fbc479365344d58ac55737":[5,17,3,10], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20abbd5b2eb886157d35a4ff88077fa192b":[5,17,3,3], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ac0e9dc6f492774ceef33623e62882da5":[5,17,3,19], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ac1601058bee0888d89675b528432a496":[5,17,3,0], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ac1a3b1156e6dbc9d6fecb867f1486c63":[5,17,3,11], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ac5dc2b0f880a50d33e7d79f7af4c4f33":[5,17,3,6], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ad1ef18ed84027fdc4d41567ae894ea10":[5,17,3,12], +"a00081.html#ggad4aceeed7467f943d313d51ec38a1a20aee6d4f65797043b10be7d4a7ae95d206":[5,17,3,1], "a00082.html":[5,5], -"a00082.html#ga0be5caec9b8493d87cc849714bd47865":[5,5,25], +"a00082.html#ga00a1c5f2555215dc1ac509052e0f3376":[5,5,53], +"a00082.html#ga02126e90add2f23cf21504de04412d1a":[5,5,38], +"a00082.html#ga022210ec2f02da145599baef78fbeb5a":[5,5,25], +"a00082.html#ga0b47cb52d1ceba88ee3e6cd19820ecfc":[5,5,20], +"a00082.html#ga0be5caec9b8493d87cc849714bd47865":[5,5,44], +"a00082.html#ga0cc30b1528f944524f009ada9c85e897":[5,5,40], +"a00082.html#ga0e6dbf5a33f2390db8f141f2df5055aa":[5,5,35], "a00082.html#ga1a04b7330b5196f73ed4ffe7fa2bae6c":[5,5,6], "a00082.html#ga262e149f9ce1ef4cf2e539e9494d3cca":[5,5,8], -"a00082.html#ga2b92855a9ebf6eadeed1527b8339d50a":[5,5,27], +"a00082.html#ga2b92855a9ebf6eadeed1527b8339d50a":[5,5,46], +"a00082.html#ga2bfce8f92b8539d9b2eedc4d52b35dd1":[5,5,26], "a00082.html#ga2c85202f838f94e51a0bb7b33856c78d":[5,5,11], -"a00082.html#ga44d24ac5229dbbd054f046331b58d43b":[5,5,21], -"a00082.html#ga4e1420d9e817ebe0e8973420411de015":[5,5,24], -"a00082.html#ga4f63764780e8eae7726d7a7e10f4b2a1":[5,5,32], -"a00082.html#ga4f9121590e5b8fe025d706ff2fe5da36":[5,5,26], +"a00082.html#ga3acffbd305ee72dcd4593c0d8af64a4f":[5,5,15], +"a00082.html#ga3ea490c9b3617d4479bd80ef93cd5602":[5,5,18], +"a00082.html#ga44d24ac5229dbbd054f046331b58d43b":[5,5,32], +"a00082.html#ga467c6a1ad5af6f887127bb059beb5369":[5,5,37], +"a00082.html#ga4e1420d9e817ebe0e8973420411de015":[5,5,43], +"a00082.html#ga4f63764780e8eae7726d7a7e10f4b2a1":[5,5,51], +"a00082.html#ga4f9121590e5b8fe025d706ff2fe5da36":[5,5,45], +"a00082.html#ga50f13aaa6905b92a83c762e1513471c8":[5,5,24], +"a00082.html#ga53074a5c435105610c452d6ac9d71ad8":[5,5,39], +"a00082.html#ga545036768d164aad61b71502371e7165":[5,5,34], +"a00082.html#ga5861db7ec245a076dbb525bb75255cf0":[5,5,36], "a00082.html#ga59764d32e5394455906edbf03cff0b9f":[5,5,5], "a00082.html#ga5a951951cdb6063d949523052aa5b5e4":[5,5,12], -"a00082.html#ga601b69842ec962d1babd627e5a3541fd":[5,5,17], -"a00082.html#ga6242a25f9d996f0cc4f4cdb911218b75":[5,5,15], +"a00082.html#ga601b69842ec962d1babd627e5a3541fd":[5,5,28], +"a00082.html#ga6242a25f9d996f0cc4f4cdb911218b75":[5,5,17], "a00082.html#ga6b4add5f2d938a89da56f58ac0b4e89b":[5,5,7], +"a00082.html#ga73a306a0d86cc31334f1825593c613a1":[5,5,54], "a00082.html#ga74389c7c04a9f53548d05287c7c665cd":[5,5,14], "a00082.html#ga75a9e86134df04f6a8b66025d11e25c2":[5,5,10], -"a00082.html#ga7f9bbd23e70eaf6670d3425683753961":[5,5,28], -"a00082.html#ga7ff0b98bb1341c07acefb1473b6eda29":[5,5,23], +"a00082.html#ga7f9bbd23e70eaf6670d3425683753961":[5,5,47], +"a00082.html#ga7ff0b98bb1341c07acefb1473b6eda29":[5,5,42], "a00082.html#ga812138aa3315b0c6953c1a26130bcc37":[5,5,2], -"a00082.html#ga870e52d54fc8ec35f89fc99ca656fb0b":[5,5,20], +"a00082.html#ga957fd03848a6eb9dbca45a30dcdcc139":[5,5,21], "a00082.html#ga9a10f3f762d9eb7c5db73535db6a9d78":[5,5,0], "a00082.html#ga9d142691c3a4d810f8bdabc41e89dfd7":[5,5,13], -"a00082.html#ga9d1df086c696c7864d98ea6ec28846f3":[5,5,30], +"a00082.html#ga9d1df086c696c7864d98ea6ec28846f3":[5,5,49], "a00082.html#gaa7fc9e15d36453236a580b3c8116ee85":[5,5,4], -"a00082.html#gaa9463731425e110e2d841820f4559a3f":[5,5,29], -"a00082.html#gaa9fb2136029d807bd7c349c30348b52a":[5,5,18], -"a00082.html#gaaabdaf7ee58ca7269bd4bf24efcde092":[5,5,22], +"a00082.html#gaa9463731425e110e2d841820f4559a3f":[5,5,48], +"a00082.html#gaa9fb2136029d807bd7c349c30348b52a":[5,5,29], +"a00082.html#gaaabdaf7ee58ca7269bd4bf24efcde092":[5,5,41], +"a00082.html#gab5eb23180f7cc12b7d6c04a8ec067fdd":[5,5,19], "a00082.html#gac6e3c4c6fa592fc0da7fab541e7019f7":[5,5,3], -"a00082.html#gac9b0f720fcb617b3aa9cb554d87dbc55":[5,5,31], -"a00082.html#gacca38e4e11db8e795201c82f6ce4c9d5":[5,5,33], +"a00082.html#gac9b0f720fcb617b3aa9cb554d87dbc55":[5,5,50], +"a00082.html#gacca38e4e11db8e795201c82f6ce4c9d5":[5,5,52], "a00082.html#gad4f7f7e51a84c34f06bbbb34973ae97a":[5,5,9], -"a00082.html#gad5639aadf668899775fc7506a5e19dc1":[5,5,16], +"a00082.html#gad5639aadf668899775fc7506a5e19dc1":[5,5,27], "a00082.html#gad76dfbb0423d0cd3a7b77cbcd9043146":[5,5,1], -"a00082.html#gafa0fe6bd95d5455c99aee949cb285b20":[5,5,19], -"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba31e314ec45f0b673257687c06b6fe764":[5,5,23,7], -"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba69927473662d55dfe6a4b8b1ea529f67":[5,5,23,2], -"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba829bee76c6b02225d9c891ae8ef70881":[5,5,23,0], -"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba82fc7f2a425a9c3cfcf6636b8c05c06c":[5,5,23,6], -"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba8692e71089c7e81bd5f4503ff55035db":[5,5,23,1], -"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba94770b392eceab60898d03ffcf0cc145":[5,5,23,8], -"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba96d166071c2c0b2672ddaa3307899a0b":[5,5,23,3], -"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba9743ab3bec5065667c0b12510317e76c":[5,5,23,4], -"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55badf7f172a5d4f1a44d5cb8a1121dcafcb":[5,5,23,5], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a01101c0342017a7fbe7225a7aa285bad":[5,5,23,56], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a01bf442d671041dcbd1649ec0428c3b6":[5,5,23,61], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a025ed41827a0bd0b7bf60b853a921c7d":[5,5,23,109], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a02f52b9532ae0d4af04f5c054f3fab84":[5,5,23,44], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a055d3788919f48c2d69463819d02d903":[5,5,23,22], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a073c6e3ccd0dbcf1b812cb21da5e7df9":[5,5,23,14], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a097d515214e888371df5c588b839529d":[5,5,23,72], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0b66f34ec90c9b6a702e0f00f5ddae43":[5,5,23,25], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0d6c6a34fc0b6dbcb323f010556a3be1":[5,5,23,7], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0ec7f0302a8f7eb082f449933880a1ad":[5,5,23,38], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1461a28ac2297f07aa34581074cf9923":[5,5,23,40], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a18ce0675c0cc628b2ff29a1bafce8b58":[5,5,23,78], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1c5e35d9d03724b7ccadcae8e0451384":[5,5,23,16], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d1d1a595e9f00c6c9f80c19ce1b8ec5":[5,5,23,27], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d9b7b66bfaaf53b42aad8462c88ea62":[5,5,23,48], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1dcb6a7c2605c9ed77388fcb25b01feb":[5,5,23,64], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a210ff4fa30e99618f8d3e978df03a7b6":[5,5,23,37], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a214d1cc4faa574be18fa9d865f2ca36d":[5,5,23,42], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a22f1bdf5e7abbeb0bdfe18cda0bc5aca":[5,5,23,57], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a25fb824a9ad702e631276a8ea5d03603":[5,5,23,46], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a298049d9d9c8be1b2e7a42f38a734d87":[5,5,23,50], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2af6421e7b473bd882f5372ad1fa6d0e":[5,5,23,107], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e0ac8d81af0520d13c650cd3a3bcdde":[5,5,23,119], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e3bc103af2d3db7b628f87174d2bff8":[5,5,23,95], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2fef671dda58af09262787022fe321fe":[5,5,23,70], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3091dcef1c0c7cd48658d8b43b52fbaf":[5,5,23,39], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a33255aee9de4a1d093770b218f944d0f":[5,5,23,26], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a376f952aee5cd45d903da520a37e4c52":[5,5,23,35], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a381f1929c41dcaef62d2d44326719db8":[5,5,23,76], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a39a54cda2a1cac31e1c00be0eb4a7620":[5,5,23,89], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3b3116c6f4aa1a88e6e7abc45df58938":[5,5,23,29], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bc2ecc10af8973a1ecc4f0163fb9b53":[5,5,23,3], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bcb0be184f8d5bb76d4be8e99b4e45e":[5,5,23,11], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3e59e90d903b97bd45037175997f4ad6":[5,5,23,104], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a4603f8fedc8ad994788e17baae895013":[5,5,23,88], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a491dbb2373725b607970c032f4e04ee3":[5,5,23,2], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a499ec238a1614827065533960716e652":[5,5,23,69], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a4a488e0f7cc1d3baa449ab4ca99e7b34":[5,5,23,36], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a4f53ab1f39031629ac12159920f0cde1":[5,5,23,103], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a50aada436b514e0056476e275e1fd203":[5,5,23,117], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a51bf18cc27f6df31f1602db81a2f77f5":[5,5,23,116], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a521a4b8ac1776d0f41af044886a71b3b":[5,5,23,32], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a539b147c0d1409a0e11c3ae340886fa8":[5,5,23,99], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a56134a73f0cfd393dd7cf7fb2395dd99":[5,5,23,68], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a5b65c75456471a2536a97a30db4b8da3":[5,5,23,96], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a5c67a60e98e49151455f565c2834d228":[5,5,23,9], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a60c31c70600aff4f290ca2d790622977":[5,5,23,12], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a64b54e0423e0ad8a227a38cad4ad4eda":[5,5,23,41], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6510cce112fc6ed1e0523b5d7b307ca2":[5,5,23,79], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a660addd059be8786f2aa3d9a1a196ae1":[5,5,23,93], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a679444433f12ae5649cb02c2032ca20e":[5,5,23,45], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a67a884fec4d6adb5b455d50a0a5617a5":[5,5,23,58], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a67e5d3d11fb3f47ec11d9ee612b98b94":[5,5,23,6], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6c52b0b8b2d2ca670a5f8eca66212f54":[5,5,23,112], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6d0dedbec7ee218b0ae03f23edad02fd":[5,5,23,98], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6dbe13107a9dbb857242cf05e2319f9d":[5,5,23,66], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a70c9114d88c3a9b48cabb36cd4b2884d":[5,5,23,114], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a713723bd8764655328f1e5283a8e6020":[5,5,23,102], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7415efb189bfb31491ef0ae45fb24d90":[5,5,23,91], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7491bc6ede9a2eb6e7f292bb1530b7d7":[5,5,23,74], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a749eaf601d6fe3124f054bcb796b348c":[5,5,23,67], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7712fcacc6d5e9698366daafc59ea644":[5,5,23,111], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7771d56430ad7ff2553a1258aba16e02":[5,5,23,97], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a79d16666510da650e32b1499cf102aa5":[5,5,23,113], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7c488d28bc9be2e29bd0d133bce7389a":[5,5,23,101], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7d6b922ab2a42d6ef35cfb2be4f80251":[5,5,23,31], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8210c55fe061ff24e75a0f928dbfcb39":[5,5,23,18], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a830e5b42a05424dc026bd36d30f143a5":[5,5,23,100], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a84ab69a4e7f3f1c39f1c3a64d74af08c":[5,5,23,90], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a85685b8cde1285d240569b9518c32fc0":[5,5,23,20], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a858563b1d87d6fa57a8f442025655201":[5,5,23,55], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8bea2b5f8b1458aaa0dc29ddbb972d87":[5,5,23,62], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8db8bea33da992b22cafbae1dcf65bb0":[5,5,23,1], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e4a33b100580c2c1606d66d5ffdfaa3":[5,5,23,92], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e7465155c679388316b2f874a284e9e":[5,5,23,54], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a91a5a43e0f7acfb5894ec7c246d05bcc":[5,5,23,87], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a94d2a6fe8021fed5487169a46421d47e":[5,5,23,30], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9771abafdcf43bf924599a0081e92bf2":[5,5,23,19], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a984927be2e0e57c4125e8e8f5d9c0f48":[5,5,23,75], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9cf111a176ebe1210ae6faaa950aac54":[5,5,23,73], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9ef29ac5ce94ff0617434c39574b4f91":[5,5,23,115], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9f1b2d0eeee16f209d7eed3bdb1cf533":[5,5,23,10], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa0d0535965c8d28434b036961f6a8c20":[5,5,23,85], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa2c382624b5763cf24cf9727fbfadde3":[5,5,23,65], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa44796b25ed6ed37f7fb25f6f4076c2d":[5,5,23,80], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa4609e941ad1155a86c40bdfcb20a155":[5,5,23,84], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa5afa714de4adf37bc00faf6c3dc589e":[5,5,23,83], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aaa30b9e3fdd5e93bae58e66521b3015d":[5,5,23,82], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aae462c0663af9e2d180cd06c5798ac3b":[5,5,23,106], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab0104dd99e52fbf948f4ae030de5313d":[5,5,23,63], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab55df75d85633505960f611180054524":[5,5,23,60], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab597c78848ce0e63d2518f14e7bac82b":[5,5,23,53], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab7f77dd7e0c3d068baf39117ac08a0b9":[5,5,23,49], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab99693af818132e3cb4f9198965ad637":[5,5,23,51], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aba70aa1970435b3ccd39ac54f9d7a68c":[5,5,23,81], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aba83e94d1735b695119429a4e55bb3a4":[5,5,23,15], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29abdf3fca48a7a13b67d0207aeb59bc581":[5,5,23,28], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ac071c3c3d14ed8afadb2bb6de249c722":[5,5,23,47], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ac4c2c9d32010087f0602dbdf2c389857":[5,5,23,43], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ac509445aa04b715b77e6461993b50085":[5,5,23,86], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29acdfe26eb8bbbaaea438470512401e09a":[5,5,23,94], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ad85b4bef880b9407425398fc584e16a0":[5,5,23,105], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29adb8c69c2f8cd344f3e2dc0b0db4a1631":[5,5,23,33], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0b4ea9f05497a624e32811c4f36a3be":[5,5,23,59], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0c3a94577af5271a1042757d3c8fdc1":[5,5,23,71], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae16d6bba44ae6f24187946960fb826dd":[5,5,23,34], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae28000753ef8046bc9b08e03fc8a1899":[5,5,23,108], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae2f54d029f0e74c8fed8812e24000a74":[5,5,23,52], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae4461a3a085ea13b5fe7908bf1e109f8":[5,5,23,17], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae5b7283daca81a85091e27b80ece629a":[5,5,23,23], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae67462f0d7dbac886d8a1272a29b846c":[5,5,23,110], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7514134f14004b1835d27cac48bd991":[5,5,23,5], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7c95e11ceb8067c9c2703f96e51aca7":[5,5,23,0], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae834c896c69c50855c5cf07768a6cba3":[5,5,23,21], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aedd1abcbca188de6e16a6f088d59e92e":[5,5,23,24], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aee549dc5fb5e05a0d8daaa61a089b222":[5,5,23,4], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aeeb2ed6ef4ce59c7e1d416466f55bfb7":[5,5,23,8], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af4cabd4468ae9e670d9c188064c56f41":[5,5,23,77], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af5e618b56733c41397941d8dec581030":[5,5,23,118], -"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af98b407f4e66e7046a3173031b45253d":[5,5,23,13], -"a00083.html":[5,10], -"a00083.html#ga0efb897bafe816a8a87d92045a78ee9b":[5,10,1], -"a00083.html#ga258d8c49d494cbd0d18321af56e11440":[5,10,5], -"a00083.html#ga747cfb2ed95cefa6f39ae2ad089f8ade":[5,10,3], -"a00083.html#ga916f8b461de93f08044df1724290dcbd":[5,10,2], -"a00083.html#ga98407c578584b1d88d7be1b3e6d9656a":[5,10,4], -"a00083.html#gaae3267ca6081e1f5620b0aa674c5e8ca":[5,10,0], -"a00083.html#gga0efb897bafe816a8a87d92045a78ee9ba0576e02942748ad3a4b1bb0904267ad4":[5,10,1,0], -"a00083.html#gga0efb897bafe816a8a87d92045a78ee9ba14466fd6ca33233907a499072ed0ea04":[5,10,1,1], -"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa0f88b3ef422116327baca297944ca597":[5,10,0,23], -"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa198048f7f05d882a431c4ea4c904174e":[5,10,0,30], -"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3891f9959d7cdcc9b6ad7e00816b2fc8":[5,10,0,29], -"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3fa0f400c5389e777ba1f8d6acb27d72":[5,10,0,20], -"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa5ccbc970e59fd2f3ea432bf5c00fea7e":[5,10,0,19], -"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa6c51c9bed9f8973d47f7b73e3592b2aa":[5,10,0,21], -"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa8f9a3e93bf26895690836253d5d9a8bc":[5,10,0,31], -"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9b439af9906d00c0f5a83e5c878c2e50":[5,10,0,22], -"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9d9f078feeaf1c2c85c6e1a57a043dd2":[5,10,0,32], -"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caab7decacdc717da7752b3a4637a228278":[5,10,0,24], -"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caabda85aeb932e76c81db46f45a8c6f656":[5,10,0,25], -"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae3058d7cb02b689b3993fae3c913e0f0":[5,10,0,27], -"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae674c1ed0ecf4b2851aefc44261004bc":[5,10,0,28], -"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae8bcbc2ebc5c99fd75de395e3d18b021":[5,10,0,18], -"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caaf09dfcba592f2a1e02727d9eeebee15d":[5,10,0,26], -"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a0491cac2cd13971f08f754a5365ab356":[5], -"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a08741d113d7dd7358c0a548ed6bedaa9":[15], -"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a254b836a21eb98b6211cefd76037dde7":[1], -"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a35e8de33bf46beecd34105c59ec2bc6e":[0], -"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a37f09b865f86f3f6f58064be70121c02":[3], -"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a59fbec3050069d5bf473211cad8ab817":[8], -"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a61e927398cdd5549301de26831ff5460":[12], -"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a947c74ac4418ae34ab4b8be2416e11cb":[6], -"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a98ad8dfd7c9c06637a8ef77669248d44":[14], -"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab171ff8674c9017605cde0067a84a375":[11], -"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab36f8ecf47da3052eb485015a1932c26":[2], -"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ac08832cd7dd8929746e5583b85050a43":[10], -"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ad9d50e05078873600322a78c0bd94b35":[17], -"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7adfb58b377dc75167cff2cc8e003939ff":[16], -"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae4a180ae087b0f4a58a7c89cb081f400":[13], -"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae69151d679a249f83fde025b5562196a":[4], -"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7aea4e342b4bc05dc9f04b2a50b5ccba4f":[9], -"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7affa7c3fd999eb6a4558a4e981aa29ccd":[7], -"a00084.html":[5,9], -"a00085.html":[5,9,0], -"a00085.html#ga2c6171791d36810488b3e31648156d40":[5,9,0,2], -"a00085.html#ga5f10a8d39f171f4c6fb65bba7ff9bb2b":[5,9,0,5], -"a00085.html#ga60fdaec284298758a650ce1122aef231":[5,9,0,0], -"a00085.html#ga6d910a54f8271411dbed62a08ac462a2":[5,9,0,3], -"a00085.html#gaa638faf1f9e205ac8df1e4cf44de0a14":[5,9,0,4], -"a00085.html#gaac288786aaa7d0cb947c91591baf42d7":[5,9,0,1], -"a00085.html#gac815d26a6d964108edbc558afe774828":[5,9,0,6], -"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a0db20b358696db03a068816bb72a0310":[5,9,0,6,4], -"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a139b52cc3305ec2c06d0ac94313c221f":[5,9,0,6,5], -"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a256cb58b123f0814d020cc8449cf48d5":[5,9,0,6,8], -"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a308bcea12eeef85aeac416ead81639b9":[5,9,0,6,3], -"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a49091894b590d7e479605bf113918952":[5,9,0,6,0], -"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a628d242f7bc0e3d5949c7f73eafaa508":[5,9,0,6,1], -"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a72fd33d0b5263a63766e62f71d16be00":[5,9,0,6,2], -"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a81ad7cc198436cabbe91ea55c5288747":[5,9,0,6,11], -"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a834a772763c85b5bedf8eb0a0cfa2f9e":[5,9,0,6,6], -"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a99cb29358dad3255402d30285f844632":[5,9,0,6,9], -"a00085.html#gga99fb83031ce9923c84392b4e92f956b5ac0253b1c986e61b7905da4a7f1fbf844":[5,9,0,6,7], -"a00085.html#gga99fb83031ce9923c84392b4e92f956b5adf7437bfedcc0d57338ed33f1be5c805":[5,9,0,6,10], -"a00086.html":[5,18], -"a00087.html":[5,21] +"a00082.html#gad98e0c70f9195609e3c3d9e635bc207d":[5,5,33], +"a00082.html#gade00270528e66e9f091cc49d1ac2212c":[5,5,31], +"a00082.html#gade7ec3533b9f508554ee1535b7b8bc8c":[5,5,23], +"a00082.html#gae82c4d49594c973ce454a8c03cdf7d88":[5,5,22], +"a00082.html#gafa0fe6bd95d5455c99aee949cb285b20":[5,5,30], +"a00082.html#gafa99ec4acc4ecb2dc3c2d05da15d0e3f":[5,5,16], +"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba31e314ec45f0b673257687c06b6fe764":[5,5,42,7], +"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba69927473662d55dfe6a4b8b1ea529f67":[5,5,42,2], +"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba829bee76c6b02225d9c891ae8ef70881":[5,5,42,0], +"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba82fc7f2a425a9c3cfcf6636b8c05c06c":[5,5,42,6], +"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba8692e71089c7e81bd5f4503ff55035db":[5,5,42,1], +"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba94770b392eceab60898d03ffcf0cc145":[5,5,42,8], +"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba96d166071c2c0b2672ddaa3307899a0b":[5,5,42,3], +"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba9743ab3bec5065667c0b12510317e76c":[5,5,42,4], +"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55badf7f172a5d4f1a44d5cb8a1121dcafcb":[5,5,42,5], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a01101c0342017a7fbe7225a7aa285bad":[5,5,42,56], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a01bf442d671041dcbd1649ec0428c3b6":[5,5,42,61], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a025ed41827a0bd0b7bf60b853a921c7d":[5,5,42,109], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a02f52b9532ae0d4af04f5c054f3fab84":[5,5,42,44], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a055d3788919f48c2d69463819d02d903":[5,5,42,22], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a073c6e3ccd0dbcf1b812cb21da5e7df9":[5,5,42,14], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a097d515214e888371df5c588b839529d":[5,5,42,72], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0b66f34ec90c9b6a702e0f00f5ddae43":[5,5,42,25], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0d6c6a34fc0b6dbcb323f010556a3be1":[5,5,42,7], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0ec7f0302a8f7eb082f449933880a1ad":[5,5,42,38], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1461a28ac2297f07aa34581074cf9923":[5,5,42,40], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a18ce0675c0cc628b2ff29a1bafce8b58":[5,5,42,78], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1c5e35d9d03724b7ccadcae8e0451384":[5,5,42,16], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d1d1a595e9f00c6c9f80c19ce1b8ec5":[5,5,42,27], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d9b7b66bfaaf53b42aad8462c88ea62":[5,5,42,48], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1dcb6a7c2605c9ed77388fcb25b01feb":[5,5,42,64], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a210ff4fa30e99618f8d3e978df03a7b6":[5,5,42,37], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a214d1cc4faa574be18fa9d865f2ca36d":[5,5,42,42], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a22f1bdf5e7abbeb0bdfe18cda0bc5aca":[5,5,42,57], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a25fb824a9ad702e631276a8ea5d03603":[5,5,42,46], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a298049d9d9c8be1b2e7a42f38a734d87":[5,5,42,50], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2af6421e7b473bd882f5372ad1fa6d0e":[5,5,42,107], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e0ac8d81af0520d13c650cd3a3bcdde":[5,5,42,119], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e3bc103af2d3db7b628f87174d2bff8":[5,5,42,95], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2fef671dda58af09262787022fe321fe":[5,5,42,70], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3091dcef1c0c7cd48658d8b43b52fbaf":[5,5,42,39], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a33255aee9de4a1d093770b218f944d0f":[5,5,42,26], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a376f952aee5cd45d903da520a37e4c52":[5,5,42,35], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a381f1929c41dcaef62d2d44326719db8":[5,5,42,76], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a39a54cda2a1cac31e1c00be0eb4a7620":[5,5,42,89], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3b3116c6f4aa1a88e6e7abc45df58938":[5,5,42,29], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bc2ecc10af8973a1ecc4f0163fb9b53":[5,5,42,3], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bcb0be184f8d5bb76d4be8e99b4e45e":[5,5,42,11], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3e59e90d903b97bd45037175997f4ad6":[5,5,42,104], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a446c74416be882daecb3038dbe42e9de":[5,5,42,120], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a4603f8fedc8ad994788e17baae895013":[5,5,42,88], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a491dbb2373725b607970c032f4e04ee3":[5,5,42,2], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a499ec238a1614827065533960716e652":[5,5,42,69], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a4a488e0f7cc1d3baa449ab4ca99e7b34":[5,5,42,36], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a4f53ab1f39031629ac12159920f0cde1":[5,5,42,103], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a50aada436b514e0056476e275e1fd203":[5,5,42,117], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a51bf18cc27f6df31f1602db81a2f77f5":[5,5,42,116], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a521a4b8ac1776d0f41af044886a71b3b":[5,5,42,32], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a539b147c0d1409a0e11c3ae340886fa8":[5,5,42,99], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a56134a73f0cfd393dd7cf7fb2395dd99":[5,5,42,68], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a5b65c75456471a2536a97a30db4b8da3":[5,5,42,96], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a5c67a60e98e49151455f565c2834d228":[5,5,42,9], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a60c31c70600aff4f290ca2d790622977":[5,5,42,12], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a64b54e0423e0ad8a227a38cad4ad4eda":[5,5,42,41], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6510cce112fc6ed1e0523b5d7b307ca2":[5,5,42,79], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a660addd059be8786f2aa3d9a1a196ae1":[5,5,42,93], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a679444433f12ae5649cb02c2032ca20e":[5,5,42,45], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a67a884fec4d6adb5b455d50a0a5617a5":[5,5,42,58], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a67e5d3d11fb3f47ec11d9ee612b98b94":[5,5,42,6], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6c52b0b8b2d2ca670a5f8eca66212f54":[5,5,42,112], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6d0dedbec7ee218b0ae03f23edad02fd":[5,5,42,98], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6dbe13107a9dbb857242cf05e2319f9d":[5,5,42,66], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a70c9114d88c3a9b48cabb36cd4b2884d":[5,5,42,114], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a713723bd8764655328f1e5283a8e6020":[5,5,42,102], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7415efb189bfb31491ef0ae45fb24d90":[5,5,42,91], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7491bc6ede9a2eb6e7f292bb1530b7d7":[5,5,42,74], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a749eaf601d6fe3124f054bcb796b348c":[5,5,42,67], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7712fcacc6d5e9698366daafc59ea644":[5,5,42,111], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7771d56430ad7ff2553a1258aba16e02":[5,5,42,97], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a79d16666510da650e32b1499cf102aa5":[5,5,42,113] }; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex5.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex5.js index 5a9781c..571eba3 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex5.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex5.js @@ -1,253 +1,253 @@ var NAVTREEINDEX5 = { +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7c488d28bc9be2e29bd0d133bce7389a":[5,5,42,101], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7d6b922ab2a42d6ef35cfb2be4f80251":[5,5,42,31], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8210c55fe061ff24e75a0f928dbfcb39":[5,5,42,18], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a830e5b42a05424dc026bd36d30f143a5":[5,5,42,100], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a84ab69a4e7f3f1c39f1c3a64d74af08c":[5,5,42,90], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a85685b8cde1285d240569b9518c32fc0":[5,5,42,20], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a858563b1d87d6fa57a8f442025655201":[5,5,42,55], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8bea2b5f8b1458aaa0dc29ddbb972d87":[5,5,42,62], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8db8bea33da992b22cafbae1dcf65bb0":[5,5,42,1], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e4a33b100580c2c1606d66d5ffdfaa3":[5,5,42,92], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e7465155c679388316b2f874a284e9e":[5,5,42,54], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a91a5a43e0f7acfb5894ec7c246d05bcc":[5,5,42,87], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a94d2a6fe8021fed5487169a46421d47e":[5,5,42,30], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9771abafdcf43bf924599a0081e92bf2":[5,5,42,19], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a984927be2e0e57c4125e8e8f5d9c0f48":[5,5,42,75], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9cf111a176ebe1210ae6faaa950aac54":[5,5,42,73], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9ef29ac5ce94ff0617434c39574b4f91":[5,5,42,115], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9f1b2d0eeee16f209d7eed3bdb1cf533":[5,5,42,10], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa0d0535965c8d28434b036961f6a8c20":[5,5,42,85], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa2c382624b5763cf24cf9727fbfadde3":[5,5,42,65], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa44796b25ed6ed37f7fb25f6f4076c2d":[5,5,42,80], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa4609e941ad1155a86c40bdfcb20a155":[5,5,42,84], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa5afa714de4adf37bc00faf6c3dc589e":[5,5,42,83], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aaa30b9e3fdd5e93bae58e66521b3015d":[5,5,42,82], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aae462c0663af9e2d180cd06c5798ac3b":[5,5,42,106], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab0104dd99e52fbf948f4ae030de5313d":[5,5,42,63], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab55df75d85633505960f611180054524":[5,5,42,60], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab597c78848ce0e63d2518f14e7bac82b":[5,5,42,53], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab7f77dd7e0c3d068baf39117ac08a0b9":[5,5,42,49], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab99693af818132e3cb4f9198965ad637":[5,5,42,51], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aba70aa1970435b3ccd39ac54f9d7a68c":[5,5,42,81], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aba83e94d1735b695119429a4e55bb3a4":[5,5,42,15], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29abdf3fca48a7a13b67d0207aeb59bc581":[5,5,42,28], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ac071c3c3d14ed8afadb2bb6de249c722":[5,5,42,47], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ac4c2c9d32010087f0602dbdf2c389857":[5,5,42,43], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ac509445aa04b715b77e6461993b50085":[5,5,42,86], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29acdfe26eb8bbbaaea438470512401e09a":[5,5,42,94], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ad85b4bef880b9407425398fc584e16a0":[5,5,42,105], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29adb8c69c2f8cd344f3e2dc0b0db4a1631":[5,5,42,33], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0b4ea9f05497a624e32811c4f36a3be":[5,5,42,59], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0c3a94577af5271a1042757d3c8fdc1":[5,5,42,71], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae16d6bba44ae6f24187946960fb826dd":[5,5,42,34], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae28000753ef8046bc9b08e03fc8a1899":[5,5,42,108], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae2f54d029f0e74c8fed8812e24000a74":[5,5,42,52], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae4461a3a085ea13b5fe7908bf1e109f8":[5,5,42,17], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae5b7283daca81a85091e27b80ece629a":[5,5,42,23], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7514134f14004b1835d27cac48bd991":[5,5,42,5], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7c95e11ceb8067c9c2703f96e51aca7":[5,5,42,0], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae834c896c69c50855c5cf07768a6cba3":[5,5,42,21], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae9d67092ecc6b4f406fc0fb862174476":[5,5,42,110], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aedd1abcbca188de6e16a6f088d59e92e":[5,5,42,24], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aee549dc5fb5e05a0d8daaa61a089b222":[5,5,42,4], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aeeb2ed6ef4ce59c7e1d416466f55bfb7":[5,5,42,8], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af4cabd4468ae9e670d9c188064c56f41":[5,5,42,77], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af5e618b56733c41397941d8dec581030":[5,5,42,118], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af98b407f4e66e7046a3173031b45253d":[5,5,42,13], +"a00083.html":[5,10], +"a00083.html#ga0093db979d7b9afca6dca250f42b2eef":[5,10,8], +"a00083.html#ga0efb897bafe816a8a87d92045a78ee9b":[5,10,1], +"a00083.html#ga258d8c49d494cbd0d18321af56e11440":[5,10,5], +"a00083.html#ga361041aa626a50391c40c9d6936ba2c0":[5,10,6], +"a00083.html#ga48b79c8dc56de9714927e70ad230fa0b":[5,10,11], +"a00083.html#ga6b58df04b8aae97c7e31884f3fe3413f":[5,10,7], +"a00083.html#ga747cfb2ed95cefa6f39ae2ad089f8ade":[5,10,3], +"a00083.html#ga916f8b461de93f08044df1724290dcbd":[5,10,2], +"a00083.html#ga93474c7fb9be2c15eabc9dbf73c99bdb":[5,10,9], +"a00083.html#ga93a68a9ca640a51b56350d59cac4dd7c":[5,10,10], +"a00083.html#ga98407c578584b1d88d7be1b3e6d9656a":[5,10,4], +"a00083.html#gaae3267ca6081e1f5620b0aa674c5e8ca":[5,10,0], +"a00083.html#gga0efb897bafe816a8a87d92045a78ee9ba0576e02942748ad3a4b1bb0904267ad4":[5,10,1,0], +"a00083.html#gga0efb897bafe816a8a87d92045a78ee9ba14466fd6ca33233907a499072ed0ea04":[5,10,1,1], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa0f88b3ef422116327baca297944ca597":[5,10,0,23], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa198048f7f05d882a431c4ea4c904174e":[5,10,0,30], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3891f9959d7cdcc9b6ad7e00816b2fc8":[5,10,0,29], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3fa0f400c5389e777ba1f8d6acb27d72":[5,10,0,20], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa5ccbc970e59fd2f3ea432bf5c00fea7e":[5,10,0,19], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa6c51c9bed9f8973d47f7b73e3592b2aa":[5,10,0,21], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa8f9a3e93bf26895690836253d5d9a8bc":[5,10,0,31], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9b439af9906d00c0f5a83e5c878c2e50":[5,10,0,22], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9d9f078feeaf1c2c85c6e1a57a043dd2":[5,10,0,32], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caab7decacdc717da7752b3a4637a228278":[5,10,0,24], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caabda85aeb932e76c81db46f45a8c6f656":[5,10,0,25], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae3058d7cb02b689b3993fae3c913e0f0":[5,10,0,27], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae674c1ed0ecf4b2851aefc44261004bc":[5,10,0,28], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae8bcbc2ebc5c99fd75de395e3d18b021":[5,10,0,18], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caaf09dfcba592f2a1e02727d9eeebee15d":[5,10,0,26], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a0491cac2cd13971f08f754a5365ab356":[5], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a08741d113d7dd7358c0a548ed6bedaa9":[15], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a254b836a21eb98b6211cefd76037dde7":[1], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a35e8de33bf46beecd34105c59ec2bc6e":[0], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a37f09b865f86f3f6f58064be70121c02":[3], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a59fbec3050069d5bf473211cad8ab817":[8], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a61e927398cdd5549301de26831ff5460":[12], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a947c74ac4418ae34ab4b8be2416e11cb":[6], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a98ad8dfd7c9c06637a8ef77669248d44":[14], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab171ff8674c9017605cde0067a84a375":[11], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab36f8ecf47da3052eb485015a1932c26":[2], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ac08832cd7dd8929746e5583b85050a43":[10], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ad9d50e05078873600322a78c0bd94b35":[17], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7adfb58b377dc75167cff2cc8e003939ff":[16], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae4a180ae087b0f4a58a7c89cb081f400":[13], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae69151d679a249f83fde025b5562196a":[4], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7aea4e342b4bc05dc9f04b2a50b5ccba4f":[9], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7affa7c3fd999eb6a4558a4e981aa29ccd":[7], +"a00084.html":[5,9], +"a00085.html":[5,9,0], +"a00085.html#ga2c6171791d36810488b3e31648156d40":[5,9,0,2], +"a00085.html#ga5f10a8d39f171f4c6fb65bba7ff9bb2b":[5,9,0,5], +"a00085.html#ga60fdaec284298758a650ce1122aef231":[5,9,0,0], +"a00085.html#ga6d910a54f8271411dbed62a08ac462a2":[5,9,0,3], +"a00085.html#gaa638faf1f9e205ac8df1e4cf44de0a14":[5,9,0,4], +"a00085.html#gaac288786aaa7d0cb947c91591baf42d7":[5,9,0,1], +"a00085.html#gac815d26a6d964108edbc558afe774828":[5,9,0,6], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a0db20b358696db03a068816bb72a0310":[5,9,0,6,4], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a139b52cc3305ec2c06d0ac94313c221f":[5,9,0,6,5], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a256cb58b123f0814d020cc8449cf48d5":[5,9,0,6,8], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a308bcea12eeef85aeac416ead81639b9":[5,9,0,6,3], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a49091894b590d7e479605bf113918952":[5,9,0,6,0], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a628d242f7bc0e3d5949c7f73eafaa508":[5,9,0,6,1], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a72fd33d0b5263a63766e62f71d16be00":[5,9,0,6,2], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a81ad7cc198436cabbe91ea55c5288747":[5,9,0,6,11], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a834a772763c85b5bedf8eb0a0cfa2f9e":[5,9,0,6,6], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a99cb29358dad3255402d30285f844632":[5,9,0,6,9], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5ac0253b1c986e61b7905da4a7f1fbf844":[5,9,0,6,7], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5adf7437bfedcc0d57338ed33f1be5c805":[5,9,0,6,10], +"a00086.html":[5,18], +"a00087.html":[5,21], "a00088.html":[5,14], -"a00088.html#ga00d40f5f01c445206f1f800dcc7b6e89":[5,14,25], -"a00088.html#ga048bc24e58d7df40af2a45efaabeea9b":[5,14,4], -"a00088.html#ga055c655c611b5645ce6903557ef809bb":[5,14,13], -"a00088.html#ga08b623f67c277521182f8c9e630037ae":[5,14,20], -"a00088.html#ga11c44cbe5b42c79b22a3053c85b1e5d0":[5,14,15], -"a00088.html#ga12c568c9b7f6d46257dc63505a381701":[5,14,8], -"a00088.html#ga15da1f70e8d0a05e9d492d01ceca7da8":[5,14,2], -"a00088.html#ga1dc66cd865640c0b60eb128a868eab37":[5,14,21], -"a00088.html#ga262ac9596c0926fbe5f346e0f6aaf9f5":[5,14,0], -"a00088.html#ga269af5856a4cfd5d2a171b4777d6884a":[5,14,17], -"a00088.html#ga2cb0ee73a24657dd6994cb00b78c3137":[5,14,33], -"a00088.html#ga2e05f827d6a43eade4c22e9d75bc5d76":[5,14,3], -"a00088.html#ga363edbba9536380728e44bd7d1a0e7df":[5,14,7], -"a00088.html#ga4dd886dc387a64a5cb146ccd7297e1e1":[5,14,27], -"a00088.html#ga53dd5706866fa0313689db6e4d9c1a9b":[5,14,19], -"a00088.html#ga567b159bbfa265ed02c4900769ed8fe4":[5,14,24], -"a00088.html#ga58eced039e8c793b7ff148d6cfacde5b":[5,14,16], -"a00088.html#ga6a17e5c52721f6eb754f54cc72b58c91":[5,14,1], -"a00088.html#ga6d4f8e83cec4bc716231c97c2ceb5421":[5,14,22], -"a00088.html#ga73f0e7280f898bf99fe92b3519878a77":[5,14,29], -"a00088.html#ga74b3eece6c6eabc38f3194b125ff80d5":[5,14,23], -"a00088.html#ga78ffa3e09e17db8dc6a9038123ad1c46":[5,14,32], -"a00088.html#ga8911d499fb65ffe496c01f65bad9314f":[5,14,26], -"a00088.html#ga99a96e386a822de59ac2d60149ec47f3":[5,14,10], -"a00088.html#gaace443444dec2e5b7e3e20cf69c53def":[5,14,14], -"a00088.html#gab5dbaf58c90b1ef54bcd2c5dba9e178f":[5,14,12], -"a00088.html#gab784e6aea0129b0c90164462bd0dbac5":[5,14,9], -"a00088.html#gac6b1406205adace6696a1647250ad76d":[5,14,30], -"a00088.html#gace94acb3f70964230b2606fdbeb5f58b":[5,14,11], -"a00088.html#gad7300bf374c0cdc65fadff76f3ee7e5c":[5,14,34], -"a00088.html#gada262638d555d9a503ddcdbed24ec475":[5,14,31], -"a00088.html#gae1e5bfc17515fab76a1deab955203c6a":[5,14,5], -"a00088.html#gaec7a3986f92f8c11a70a80af1a93a3b6":[5,14,18], -"a00088.html#gaf043d142dac622bedd50b736b52ac6e9":[5,14,6], -"a00088.html#gaf5754b4b1d1436c359dde18101657d4e":[5,14,28], -"a00088.html#gga048bc24e58d7df40af2a45efaabeea9ba106986aeb5e12c2b76e070def3b37d95":[5,14,4,0], -"a00088.html#gga15da1f70e8d0a05e9d492d01ceca7da8a5df52c4fbb437e61b638d35cbc550162":[5,14,2,0], -"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a1f7f561990336a81a46f22395820907d":[5,14,3,8], -"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a2c93115b816dc676460cfc1be85778f0":[5,14,3,7], -"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a41b057b493937cd87bc212cb2e316f8a":[5,14,3,2], -"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a51f543e6f680df9beb5841231b7b3a96":[5,14,3,0], -"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6be4432cb9e687d043a2c25c1af48869":[5,14,3,3], -"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6fc5c9dbee30b375feb9ce6c86b224c2":[5,14,3,1], -"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a78ff6e40ab17d81181284c56b62b77aa":[5,14,3,5], -"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a8cc05c9aec163085b7a2b4481fdc971d":[5,14,3,9], -"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a9b2f5abc74e498a599f5c005a6cc911f":[5,14,3,6], -"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76aabc93fc28498f5577939f38e0513304c":[5,14,3,4], -"a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a3bd6a570eee5c1fddd95ef2411b35a7e":[5,14,1,4], -"a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a58ac8e76b409274b7d02ef6e9805dcef":[5,14,1,3], -"a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a6f54d55ac52e8e697f83637109560e89":[5,14,1,2], -"a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91aa48de84b39b48759086f2d7ae0c8091e":[5,14,1,0], -"a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91ae873d30aec2ca54de88c69f55ea42a07":[5,14,1,1], -"a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91affc9b2756b7642ddb60ea78f4bbbd592":[5,14,1,5], -"a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa059c3472509304186252b655cf486d8b":[5,14,5,3], -"a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa128703d2c2175a315aaffad869ed6a32":[5,14,5,0], -"a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa3b4af984526871b66489b0eb294d2df5":[5,14,5,5], -"a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa654c70eeed38b0be2271dc51d7cc04f5":[5,14,5,1], -"a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa7dc0770cf7ffe184788c30bd1ac9c515":[5,14,5,4], -"a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa9d2a3e9717c34de3a884b695f35a6c37":[5,14,5,2], -"a00088.html#ggae1e5bfc17515fab76a1deab955203c6aaac967156901735a43316f7f1c4720328":[5,14,5,7], -"a00088.html#ggae1e5bfc17515fab76a1deab955203c6aab5630ebfbc6e52c9b04f659918c094f2":[5,14,5,6], +"a00088.html#ga00d40f5f01c445206f1f800dcc7b6e89":[5,14,30], +"a00088.html#ga055c655c611b5645ce6903557ef809bb":[5,14,18], +"a00088.html#ga08b623f67c277521182f8c9e630037ae":[5,14,25], +"a00088.html#ga11c44cbe5b42c79b22a3053c85b1e5d0":[5,14,20], +"a00088.html#ga12c568c9b7f6d46257dc63505a381701":[5,14,13], +"a00088.html#ga1444166a5b3130cdd3f3c5a40f102ff3":[5,14,0], +"a00088.html#ga17c131767c557e4e95809612c27fa2b5":[5,14,4], +"a00088.html#ga1dc66cd865640c0b60eb128a868eab37":[5,14,26], +"a00088.html#ga262ac9596c0926fbe5f346e0f6aaf9f5":[5,14,5], +"a00088.html#ga269af5856a4cfd5d2a171b4777d6884a":[5,14,22], +"a00088.html#ga2cb0ee73a24657dd6994cb00b78c3137":[5,14,38], +"a00088.html#ga2e08ec6acfb4d114d470ff86a0bef497":[5,14,6], +"a00088.html#ga363edbba9536380728e44bd7d1a0e7df":[5,14,12], +"a00088.html#ga395ddf0144533abe3773a44dfc6a8a28":[5,14,10], +"a00088.html#ga4dd886dc387a64a5cb146ccd7297e1e1":[5,14,32], +"a00088.html#ga53dd5706866fa0313689db6e4d9c1a9b":[5,14,24], +"a00088.html#ga567b159bbfa265ed02c4900769ed8fe4":[5,14,29], +"a00088.html#ga58eced039e8c793b7ff148d6cfacde5b":[5,14,21], +"a00088.html#ga67c1b06ba8a5e6faf0af44c2bfeccc47":[5,14,9], +"a00088.html#ga69c6555d76c0c009931e669464c9be5d":[5,14,2], +"a00088.html#ga6d4f8e83cec4bc716231c97c2ceb5421":[5,14,27], +"a00088.html#ga73f0e7280f898bf99fe92b3519878a77":[5,14,34], +"a00088.html#ga74b3eece6c6eabc38f3194b125ff80d5":[5,14,28], +"a00088.html#ga78ffa3e09e17db8dc6a9038123ad1c46":[5,14,37], +"a00088.html#ga7adaf153a42d62fb9b02d6468f7279a9":[5,14,8], +"a00088.html#ga859582ee39bfbd70c9305dbd2d68459d":[5,14,3], +"a00088.html#ga8911d499fb65ffe496c01f65bad9314f":[5,14,31], +"a00088.html#ga99a96e386a822de59ac2d60149ec47f3":[5,14,15], +"a00088.html#gaa230ebd4a048282d15d3ce2d9fa9deac":[5,14,1], +"a00088.html#gaace443444dec2e5b7e3e20cf69c53def":[5,14,19], +"a00088.html#gab5dbaf58c90b1ef54bcd2c5dba9e178f":[5,14,17], +"a00088.html#gab784e6aea0129b0c90164462bd0dbac5":[5,14,14], +"a00088.html#gac6b1406205adace6696a1647250ad76d":[5,14,35], +"a00088.html#gace94acb3f70964230b2606fdbeb5f58b":[5,14,16], +"a00088.html#gad7300bf374c0cdc65fadff76f3ee7e5c":[5,14,39], +"a00088.html#gada262638d555d9a503ddcdbed24ec475":[5,14,36], +"a00088.html#gaec7a3986f92f8c11a70a80af1a93a3b6":[5,14,23], +"a00088.html#gaf043d142dac622bedd50b736b52ac6e9":[5,14,11], +"a00088.html#gaf3fe032c283828db1b5790b3922be911":[5,14,7], +"a00088.html#gaf5754b4b1d1436c359dde18101657d4e":[5,14,33], +"a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497a3bd6a570eee5c1fddd95ef2411b35a7e":[5,14,6,4], +"a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497a58ac8e76b409274b7d02ef6e9805dcef":[5,14,6,3], +"a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497a6f54d55ac52e8e697f83637109560e89":[5,14,6,2], +"a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497aa48de84b39b48759086f2d7ae0c8091e":[5,14,6,0], +"a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497ae873d30aec2ca54de88c69f55ea42a07":[5,14,6,1], +"a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497affc9b2756b7642ddb60ea78f4bbbd592":[5,14,6,5], +"a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a059c3472509304186252b655cf486d8b":[5,14,10,3], +"a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a128703d2c2175a315aaffad869ed6a32":[5,14,10,0], +"a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a3b4af984526871b66489b0eb294d2df5":[5,14,10,5], +"a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a654c70eeed38b0be2271dc51d7cc04f5":[5,14,10,1], +"a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a7dc0770cf7ffe184788c30bd1ac9c515":[5,14,10,4], +"a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a9d2a3e9717c34de3a884b695f35a6c37":[5,14,10,2], +"a00088.html#gga395ddf0144533abe3773a44dfc6a8a28aac967156901735a43316f7f1c4720328":[5,14,10,7], +"a00088.html#gga395ddf0144533abe3773a44dfc6a8a28ab5630ebfbc6e52c9b04f659918c094f2":[5,14,10,6], +"a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a0d3bf0a5022325acaae66e172c984db0":[5,14,9,1], +"a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a106986aeb5e12c2b76e070def3b37d95":[5,14,9,0], +"a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a1ca87ca7db6ec59b675c6e70abc45da0":[5,14,9,5], +"a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a3a75ed56ef8a3429c01fc686f22b8e8a":[5,14,9,7], +"a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a86a79a77b44e91f432bb8ee9070f9602":[5,14,9,6], +"a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47ac0ce3f2fbc216bc3cec68c47263530c5":[5,14,9,4], +"a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47ae5db81838d55c8a8839b1dd47954d865":[5,14,9,3], +"a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47af00e092237b1b299bdfdd901f207c468":[5,14,9,2], +"a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a1f7f561990336a81a46f22395820907d":[5,14,8,8], +"a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a2c93115b816dc676460cfc1be85778f0":[5,14,8,7], +"a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a41b057b493937cd87bc212cb2e316f8a":[5,14,8,2], +"a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a51f543e6f680df9beb5841231b7b3a96":[5,14,8,0], +"a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a6be4432cb9e687d043a2c25c1af48869":[5,14,8,3], +"a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a6fc5c9dbee30b375feb9ce6c86b224c2":[5,14,8,1], +"a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a78ff6e40ab17d81181284c56b62b77aa":[5,14,8,5], +"a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a8cc05c9aec163085b7a2b4481fdc971d":[5,14,8,9], +"a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a9b2f5abc74e498a599f5c005a6cc911f":[5,14,8,6], +"a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9aabc93fc28498f5577939f38e0513304c":[5,14,8,4], +"a00088.html#ggaf3fe032c283828db1b5790b3922be911a45fc443b2ec03c89708ac897fc3fc902":[5,14,7,5], +"a00088.html#ggaf3fe032c283828db1b5790b3922be911a5df52c4fbb437e61b638d35cbc550162":[5,14,7,0], +"a00088.html#ggaf3fe032c283828db1b5790b3922be911a751ce5a16f196ca04d08d2e5d65af47c":[5,14,7,3], +"a00088.html#ggaf3fe032c283828db1b5790b3922be911a81913bc912b5164bb749b6a2e8823808":[5,14,7,2], +"a00088.html#ggaf3fe032c283828db1b5790b3922be911aae1e0da9add6e3e1dd57705a682d65fa":[5,14,7,7], +"a00088.html#ggaf3fe032c283828db1b5790b3922be911ab62e1c2d3cdccef05e45ae9028cecbb4":[5,14,7,1], +"a00088.html#ggaf3fe032c283828db1b5790b3922be911acf173e0dc3d44c77bb08146494a0249b":[5,14,7,4], +"a00088.html#ggaf3fe032c283828db1b5790b3922be911adf92ec20d1fa6a12bda6c42e5304cf46":[5,14,7,6], "a00089.html":[5,15], -"a00089.html#ga17f61bbdb9778ca2d86609be38e4bc09":[5,15,9], +"a00089.html#ga16eadf55ac766f6510cbb9adc02fe504":[5,15,5], +"a00089.html#ga17f61bbdb9778ca2d86609be38e4bc09":[5,15,14], "a00089.html#ga399c1d55619ddf6310e44d679b3afaf0":[5,15,0], -"a00089.html#ga3ebfc228971e1d13f03847952605c2d2":[5,15,5], -"a00089.html#ga4b8afdbebd21774bd0c8898077753901":[5,15,8], -"a00089.html#ga4d562c154da2a9152f224e0dbeddb82c":[5,15,7], -"a00089.html#ga9223774c024634ea6aef20ccd4768810":[5,15,6], -"a00089.html#gaa0db99613861d08587e9bf1dd0defe84":[5,15,10], -"a00089.html#gab2d50bbe43b91c74ff406a8d4c359f40":[5,15,4], -"a00089.html#gab4037d3c440abe260269986fbfd2087f":[5,15,2], -"a00089.html#gad119096a745ccaf2fe302d9f25e799d3":[5,15,11], -"a00089.html#gaec74828294222d85c4341013b842eb05":[5,15,3], -"a00089.html#gafe6cff20e6fc0c79d076a7b878f875a1":[5,15,1], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a0912636699433338f1c5db68c7bbec7f":[5,15,5,25], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a117f999dffa45b676b077d373cb2e086":[5,15,5,28], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a1afdc6f86b2c95d086b0c7d2d60d26cc":[5,15,5,27], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a1bfd5fb60cb03e1ec3ff1cc2b859205d":[5,15,5,9], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a22a26e5d5f65d4ac8491ad2c87ebeef3":[5,15,5,26], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a2a0154f5345c71bae6f44244fcb30d46":[5,15,5,29], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a318c6f61334de6ee3dfdfedd85c892aa":[5,15,5,3], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a3cffbba2da3be0f386061cdc43c5c58a":[5,15,5,21], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a3e4635d41b82d9da053cda8b53b16237":[5,15,5,5], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a47395d18c548dff7cf1e82891808ecc6":[5,15,5,23], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a4ce7c06a3aa62401716670839ee2db9d":[5,15,5,0], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a55478a1fa529d12411191d3b57b6aa6f":[5,15,5,13], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a5b731b787441958b61eb1ef6f13c0a8c":[5,15,5,12], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a6c8dcd271aa50f8847c21031ca1651cc":[5,15,5,11], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a71f80a9c0585243f033e80575cc9ef71":[5,15,5,2], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a74581067715a2b1448ded8335f1618a2":[5,15,5,18], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a7ee81d7d75ed79784d00718ee8b52883":[5,15,5,1], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a9dca2593aa3f9571111177158871a171":[5,15,5,17], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2aa0c5d92b16c617f2c77ab0b2e2e6ebb1":[5,15,5,10], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2ab1a914c426c7434b66a2a70e28c91d6d":[5,15,5,8], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2ac5055185f27452b220491009e47a8843":[5,15,5,7], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2acb147f2edce9b8da4c454f80f94ac43c":[5,15,5,16], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2acf68ff6a086185f803b22698327e3109":[5,15,5,14], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2ad50df8fa854b16d2945d2e98dceb167d":[5,15,5,19], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae648baa1c80d2711d1bb2bb4fc077827":[5,15,5,24], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae6dd471565ca87404e3e950f0b4896ec":[5,15,5,20], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae8a09b9553f3e191688cfe6844bc26b9":[5,15,5,6], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2af58d23d9fe1120ca0bd0c9832b9c81df":[5,15,5,4], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2af659716e11aa0911a531d2cdc19bf3ea":[5,15,5,22], -"a00089.html#gga3ebfc228971e1d13f03847952605c2d2afa8b125d171fda1128d55ee1ce6b1bb8":[5,15,5,15], -"a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a05f4915e3590779c64072c567ea8ef77":[5,15,4,3], -"a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3b4542ce1acdb7bd4c87e184129a1cd2":[5,15,4,4], -"a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3db75ac7e6eb4bd49bc6dfcbdca28bab":[5,15,4,5], -"a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a40255673c924ddc3a47215102db19c23":[5,15,4,0], -"a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a42d0169861f7e6874c6cc1cfd38cfdee":[5,15,4,6], -"a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a7f7830f2f3a70270d451466e7fd81b8e":[5,15,4,2], -"a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab84844ba156c357257d0be920c79459d":[5,15,4,7], -"a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab977a1ed5f8dda05f237315bdacbfdce":[5,15,4,1], -"a00089.html#ggab4037d3c440abe260269986fbfd2087fa06891c0dcbd6029f027b2d6398a447df":[5,15,2,3], -"a00089.html#ggab4037d3c440abe260269986fbfd2087fa62c7580fd67b1ffa1385d370a5d3a4e6":[5,15,2,1], -"a00089.html#ggab4037d3c440abe260269986fbfd2087fa648d32891b76f5ea3e6e5cf8a296c01b":[5,15,2,0], -"a00089.html#ggab4037d3c440abe260269986fbfd2087fa6b62d55b282bfe85f5de3d7d38c8c5fa":[5,15,2,4], -"a00089.html#ggab4037d3c440abe260269986fbfd2087fa8587d933d69f3c3bfe42e848db879a20":[5,15,2,2], -"a00089.html#ggaec74828294222d85c4341013b842eb05a0866c5dfcf79f24a034e5487325ad089":[5,15,3,31], -"a00089.html#ggaec74828294222d85c4341013b842eb05a0e731c7f1daf5be7aa344b9f793ef02c":[5,15,3,20], -"a00089.html#ggaec74828294222d85c4341013b842eb05a19ecdb96a7c9b797b938851a9f22611c":[5,15,3,17], -"a00089.html#ggaec74828294222d85c4341013b842eb05a1b56e9b3c1c5e46478eb5673c5bddaed":[5,15,3,2], -"a00089.html#ggaec74828294222d85c4341013b842eb05a2206f6b0a4c280e058652b183d193ad7":[5,15,3,28], -"a00089.html#ggaec74828294222d85c4341013b842eb05a23c7b1eac9733cda25f050d543111d89":[5,15,3,19], -"a00089.html#ggaec74828294222d85c4341013b842eb05a26b5bf6af4b2b774757fc7fa42cdebdf":[5,15,3,35], -"a00089.html#ggaec74828294222d85c4341013b842eb05a279388c181b6df93831630b7b3748fcc":[5,15,3,14], -"a00089.html#ggaec74828294222d85c4341013b842eb05a2a7360dbf2b1ce3c37fec99ab6ea95c2":[5,15,3,26], -"a00089.html#ggaec74828294222d85c4341013b842eb05a2e915ea983c34dc1e202a3ea99ece27b":[5,15,3,6], -"a00089.html#ggaec74828294222d85c4341013b842eb05a315a9f58216b1ac361dc5452805f4cb9":[5,15,3,18], -"a00089.html#ggaec74828294222d85c4341013b842eb05a357a302e7e9f65b3c24b32b3348a18b1":[5,15,3,23], -"a00089.html#ggaec74828294222d85c4341013b842eb05a42744ca56fd5b26094f7f7ebc8142518":[5,15,3,30], -"a00089.html#ggaec74828294222d85c4341013b842eb05a45f568953423b0976250843eade62716":[5,15,3,3], -"a00089.html#ggaec74828294222d85c4341013b842eb05a5e28e0b496b69e7a57f43e810d1179b9":[5,15,3,16], -"a00089.html#ggaec74828294222d85c4341013b842eb05a6b32cf2706b79fff3a7fc2a1d1159e1b":[5,15,3,4], -"a00089.html#ggaec74828294222d85c4341013b842eb05a70ba87af436721a2783e56f3117594ce":[5,15,3,1], -"a00089.html#ggaec74828294222d85c4341013b842eb05a7701a2d64699c85a464acfe6d6f3ec21":[5,15,3,10], -"a00089.html#ggaec74828294222d85c4341013b842eb05a7a4eb0b7daa528b354646ae876fdbc7d":[5,15,3,29], -"a00089.html#ggaec74828294222d85c4341013b842eb05a7cbee20d065a1de8eeb2e842fdca95f2":[5,15,3,15], -"a00089.html#ggaec74828294222d85c4341013b842eb05a7d02ada60d9a59c5bba04cd6a2ca146c":[5,15,3,9], -"a00089.html#ggaec74828294222d85c4341013b842eb05a8ba7dca9c3475364351932fc1a248ffc":[5,15,3,22], -"a00089.html#ggaec74828294222d85c4341013b842eb05a8de9649d2a2fb07fe4e675f6401869d2":[5,15,3,0], -"a00089.html#ggaec74828294222d85c4341013b842eb05a9b1b21d8bd068e9cb385406f5d2ae0f1":[5,15,3,24], -"a00089.html#ggaec74828294222d85c4341013b842eb05aa7ca2e6b97d2947ae2c9781eb66d9a38":[5,15,3,13], -"a00089.html#ggaec74828294222d85c4341013b842eb05ab46ce34ffd4906d48a7f1829861ff193":[5,15,3,34], -"a00089.html#ggaec74828294222d85c4341013b842eb05abb535644479d02d916b2d2606934e28f":[5,15,3,11], -"a00089.html#ggaec74828294222d85c4341013b842eb05ac68302205f055d68cd0833e6ec89cacb":[5,15,3,5], -"a00089.html#ggaec74828294222d85c4341013b842eb05ac741b79171d673ec73a93a7c5e77cb10":[5,15,3,33], -"a00089.html#ggaec74828294222d85c4341013b842eb05ad0c5942c2fb503a4561cb64b1b8b2ba5":[5,15,3,8], -"a00089.html#ggaec74828294222d85c4341013b842eb05ad7617c8c6cd8f49c832f501129279b8e":[5,15,3,32], -"a00089.html#ggaec74828294222d85c4341013b842eb05ad79e240fc201ef98da1a4e217968f087":[5,15,3,7], -"a00089.html#ggaec74828294222d85c4341013b842eb05ae8e8fa7853444eb933183fd905b9ce9d":[5,15,3,21], -"a00089.html#ggaec74828294222d85c4341013b842eb05aea4c749172f50d02938115e943b1a62f":[5,15,3,27], -"a00089.html#ggaec74828294222d85c4341013b842eb05af73267254ab79f85cbbd45b698baf790":[5,15,3,25], -"a00089.html#ggaec74828294222d85c4341013b842eb05af941e0debe79fb78f1fdcf24068298b3":[5,15,3,12], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0646ea5aa4c85d9c19a43f8211d0ffeb":[5,15,1,20], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0784ad660fcb92a3859ed2c0efa77819":[5,15,1,1], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a15bd1d988c6677a6a41edc0846851338":[5,15,1,12], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a30bd16853ab1723b58f1747def9e4252":[5,15,1,13], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a31c3637504bb180309ccf71210ff6fd7":[5,15,1,21], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a518c8602828f0e104a12623958f587fc":[5,15,1,9], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a55b6304360a86b29fb90a42da5aaf493":[5,15,1,23], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5e95a4db197106de75dfccf6e5504364":[5,15,1,2], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5f6d7c46d68bd39e999c53ffabfa8372":[5,15,1,22], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a60ff9ca06daaf5b4f945b6e2e89f4b36":[5,15,1,5], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a63e2b4a4b53cde3b148d52f26d92e1d3":[5,15,1,11], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a6529478ac43b74b509ff0c2cd437e495":[5,15,1,17], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7a4daf25836c5010a6b9ccc29f159091":[5,15,1,18], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7ccad915ba0e8df2a152409690994f16":[5,15,1,14], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7f11a678cf930523e06f52b367f2eca5":[5,15,1,3], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a98359b577ce66e191e23d776d0d0628f":[5,15,1,7], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a9beff09b11808602b2120b42d9ab724e":[5,15,1,10], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa5bff988a77fc711fd0c7b84c1fb105c":[5,15,1,8], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa6e7a35876133d26d47ce9f76b72d520":[5,15,1,24], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab2e2c007b30459eb00fedba56e37da25":[5,15,1,0], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab8a42508ab4be0320796b2eba3b57a7d":[5,15,1,4], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1abcda2f4fbf266f73a80298ae2d090e47":[5,15,1,16], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ada22615f50454e9ebd246a18a985f016":[5,15,1,19], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ae68d03749187a90877d1742a3d61e4a1":[5,15,1,6], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1af09d4068b7ef636c55a7ab0feb950a36":[5,15,1,15], -"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1afff7e144292e1eb0a47774207f42a102":[5,15,1,25], -"a00090.html":[5,19], -"a00090.html#ga45575871cafcadc50292b9bba6b9d13d":[5,19,4], -"a00090.html#ga55452f734d7cc5e02195ed926e4484c8":[5,19,7], -"a00090.html#ga6db188a71a269613f30825af80c02053":[5,19,5], -"a00090.html#gaae2dc5c29ae2358c616fa8a2b61a7e4b":[5,19,6], -"a00090.html#gabdebb214f81e7c4859bd60752b5958cd":[5,19,3], -"a00090.html#gabf427dd8dd6c86d0fac4f05b30e54475":[5,19,1], -"a00090.html#gaca9c780388e187444bfa31a6bcc72d35":[5,19,2], -"a00090.html#gafa0f32bbd70b73222cc401d997b1f243":[5,19,0], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da048cac659ef579d1358cc6d2a7db2c3a":[5,19,4,14], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da0ac9c784851c59d1c334fba730bdc091":[5,19,4,16], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da11e574aeb2ec56f282a103d78c49ccbe":[5,19,4,18], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da144b0c83acca82fa910848f7587af18e":[5,19,4,35], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da14bfd78536056ebdc4d2bfed0438b5cf":[5,19,4,13], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da167b30e083150f7ef078b3fc15b9a2fb":[5,19,4,28], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da209dc130cd5427683bedca3187726030":[5,19,4,7], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da3ab2e3d866e55d84dc2c342eb660c0d5":[5,19,4,19], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da3ca0015b97fb5a1b24b1f6fda113488d":[5,19,4,5], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da40ca641c0138d551c28bb9dd184bf948":[5,19,4,6], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da4be08849669f9f086a238335662c6ac1":[5,19,4,17], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da4bfff10a0df16a23aebb02bc2a19f662":[5,19,4,27], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da5673e5074470fd8c7773f987a45612ab":[5,19,4,32], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da5ba6a30f56eb6e001abb178bb215469a":[5,19,4,30], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da679e93580df161b665365f658345ed65":[5,19,4,20], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da6edbcf66e2b9d21b36413d5913952b7b":[5,19,4,26], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da6f0a53c70373fdbf41edf57122669a47":[5,19,4,21], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da74e21d63631f6e3eeae57269cc597ff9":[5,19,4,33], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da76769594d29a6d8659c5c625b4212a24":[5,19,4,22], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da7a0b07e7613ca95cbae02f51e74338ae":[5,19,4,0], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da83269426ac6b85e729bd940e7c6bc168":[5,19,4,2], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da839653f003a5f245984cbbb3e0ded090":[5,19,4,38], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da84653c7b62da4f773676a39a2abe597a":[5,19,4,10], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da867964bdb44cfca767b2fe212243ad68":[5,19,4,23], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da95076efb390207c31347c9d6eaf7a568":[5,19,4,4], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13da9e23b0bd838b14f99f22d1ae76d63143":[5,19,4,24], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13dab15fc1b1ba8e4f1a35fc314c60b467be":[5,19,4,29], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13dabd1859e7fd97d6aad4edf95f92eb1df0":[5,19,4,8], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13dabe002214e7dc409f243527310e689060":[5,19,4,37], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13dac38fd128ae8088af0ab82bb9e1ab2b0e":[5,19,4,1], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13dac735c6243e08a73181d0f0f8f6c130bb":[5,19,4,39], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13dacd8498beecae42d50d55fd9135fa5554":[5,19,4,25], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13dacdcd02ea5803089ebcd609e227acab8b":[5,19,4,12], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13dacf5086c4d10114ed1e2adf80ef0c1d8e":[5,19,4,3], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13dad6e9059822d87be57e82960aa79d9229":[5,19,4,9], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13dadad77032cc009f3690361c9f4d552cdd":[5,19,4,36], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13dadeb15fd0fbfb9f438e22762cad170359":[5,19,4,11], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13dae11d56486ef46dfad9ea855f223483f2":[5,19,4,34], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13daef5103aadc41068ca6157543520491b2":[5,19,4,15], -"a00090.html#gga45575871cafcadc50292b9bba6b9d13daf4805a2bfb2a47017d373209a10ce52d":[5,19,4,31], -"a00090.html#gga6db188a71a269613f30825af80c02053a1195026daab11c8e8e8a07ea2588dc59":[5,19,5,21], -"a00090.html#gga6db188a71a269613f30825af80c02053a131299a8724b490b48ff5ea4ae88ec85":[5,19,5,14], -"a00090.html#gga6db188a71a269613f30825af80c02053a1c8051ee75028cdb756ce666cc9b1bb9":[5,19,5,9], -"a00090.html#gga6db188a71a269613f30825af80c02053a28fab9703b5177948f7409efa9ff848a":[5,19,5,0], -"a00090.html#gga6db188a71a269613f30825af80c02053a2c3df2d1d6d1fb817003b824eb699585":[5,19,5,6], -"a00090.html#gga6db188a71a269613f30825af80c02053a2cf1d219093b981b000fefd1b4b88835":[5,19,5,16], -"a00090.html#gga6db188a71a269613f30825af80c02053a2f09e0ca30217841402ba6bf16f8f785":[5,19,5,3], -"a00090.html#gga6db188a71a269613f30825af80c02053a332c28d49858ffceda3b7d9a9a317d0c":[5,19,5,19], -"a00090.html#gga6db188a71a269613f30825af80c02053a3872c11496f6cd2755a2cc33edeee25e":[5,19,5,20], -"a00090.html#gga6db188a71a269613f30825af80c02053a609f40f8714286a7fce78c131a97b69f":[5,19,5,24], -"a00090.html#gga6db188a71a269613f30825af80c02053a8f49833db881a5cca72e39060510fe33":[5,19,5,15], -"a00090.html#gga6db188a71a269613f30825af80c02053a9b86fc933fab954e947140ea4ca2ffe3":[5,19,5,4], -"a00090.html#gga6db188a71a269613f30825af80c02053aab8f0dd610beed22acf2a5a2c3149a38":[5,19,5,12], -"a00090.html#gga6db188a71a269613f30825af80c02053aafaffe0fe7c5ed4eaed04bd7acac4f0b":[5,19,5,23], -"a00090.html#gga6db188a71a269613f30825af80c02053ab3e46c2499e53d6187acef5b9c92faeb":[5,19,5,13], -"a00090.html#gga6db188a71a269613f30825af80c02053abd4f15c4f7b44b457764ab2aa67ac5ac":[5,19,5,1], -"a00090.html#gga6db188a71a269613f30825af80c02053ac0ebb404f4a50e198c79a35af9721e6c":[5,19,5,5], -"a00090.html#gga6db188a71a269613f30825af80c02053aca55eb81b04844960f74d6b6f735f406":[5,19,5,18], -"a00090.html#gga6db188a71a269613f30825af80c02053acc0420d5b902bffdc029c0a179fd9653":[5,19,5,10], -"a00090.html#gga6db188a71a269613f30825af80c02053ad1b1f498a44a52ed74eab7333c03e177":[5,19,5,22], -"a00090.html#gga6db188a71a269613f30825af80c02053ad8072ce6e9985ae8377377ae49ba57d7":[5,19,5,2] +"a00089.html#ga3eadd99eeb98ae312c02a884168b2ac0":[5,15,10], +"a00089.html#ga4b8afdbebd21774bd0c8898077753901":[5,15,13], +"a00089.html#ga4d562c154da2a9152f224e0dbeddb82c":[5,15,12], +"a00089.html#ga53709dc3cc8b1891ebc99b4b606d49d6":[5,15,6], +"a00089.html#ga8663a2681037005a1d56cd859c442553":[5,15,4], +"a00089.html#ga8b57cb85ad1b1f1685bbb8b85ce4e843":[5,15,8], +"a00089.html#ga9223774c024634ea6aef20ccd4768810":[5,15,11], +"a00089.html#gaa0db99613861d08587e9bf1dd0defe84":[5,15,15], +"a00089.html#gab0cc888efc0344da5974c118746a7fb8":[5,15,2], +"a00089.html#gab860a724cb4676ddc81bde871a35b103":[5,15,3], +"a00089.html#gad119096a745ccaf2fe302d9f25e799d3":[5,15,16], +"a00089.html#gad3a004561355b02dc5e6a9ec1c040c92":[5,15,1], +"a00089.html#gaf04e2722181b97d14b151b43716647fd":[5,15,7], +"a00089.html#gafab1f9e477a3f88661e3f54189ac52ff":[5,15,9], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a0912636699433338f1c5db68c7bbec7f":[5,15,10,25], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a117f999dffa45b676b077d373cb2e086":[5,15,10,28], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a1afdc6f86b2c95d086b0c7d2d60d26cc":[5,15,10,27], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a1bfd5fb60cb03e1ec3ff1cc2b859205d":[5,15,10,9], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a22a26e5d5f65d4ac8491ad2c87ebeef3":[5,15,10,26], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a2a0154f5345c71bae6f44244fcb30d46":[5,15,10,29], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a318c6f61334de6ee3dfdfedd85c892aa":[5,15,10,3], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a3cffbba2da3be0f386061cdc43c5c58a":[5,15,10,21], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a3e4635d41b82d9da053cda8b53b16237":[5,15,10,5], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a47395d18c548dff7cf1e82891808ecc6":[5,15,10,23], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a4ce7c06a3aa62401716670839ee2db9d":[5,15,10,0], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a55478a1fa529d12411191d3b57b6aa6f":[5,15,10,13], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a5b731b787441958b61eb1ef6f13c0a8c":[5,15,10,12], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a6c8dcd271aa50f8847c21031ca1651cc":[5,15,10,11], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a71f80a9c0585243f033e80575cc9ef71":[5,15,10,2], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a74581067715a2b1448ded8335f1618a2":[5,15,10,18], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a7ee81d7d75ed79784d00718ee8b52883":[5,15,10,1], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a9dca2593aa3f9571111177158871a171":[5,15,10,17], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0aa0c5d92b16c617f2c77ab0b2e2e6ebb1":[5,15,10,10], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ab1a914c426c7434b66a2a70e28c91d6d":[5,15,10,8], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ac5055185f27452b220491009e47a8843":[5,15,10,7], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0acb147f2edce9b8da4c454f80f94ac43c":[5,15,10,16], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0acf68ff6a086185f803b22698327e3109":[5,15,10,14], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ad50df8fa854b16d2945d2e98dceb167d":[5,15,10,19] }; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex6.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex6.js index cf7f302..a86b7df 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex6.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex6.js @@ -1,128 +1,253 @@ var NAVTREEINDEX6 = { -"a00090.html#gga6db188a71a269613f30825af80c02053add9353fb1a660338dc4129f564d43c41":[5,19,5,7], -"a00090.html#gga6db188a71a269613f30825af80c02053ae9a9d439d460166f8795ddd23c7135b5":[5,19,5,8], -"a00090.html#gga6db188a71a269613f30825af80c02053af60644696c7226d16b940a379e974d07":[5,19,5,17], -"a00090.html#gga6db188a71a269613f30825af80c02053af96a078ddf8497b6680dcb8812abccaf":[5,19,5,11], -"a00090.html#ggabdebb214f81e7c4859bd60752b5958cda1dea0e7772dbe79bdf2cfb15e7a547a0":[5,19,3,0], -"a00090.html#ggabdebb214f81e7c4859bd60752b5958cda5bb0b796d35f26e384e79aea47cf62b7":[5,19,3,8], -"a00090.html#ggabdebb214f81e7c4859bd60752b5958cda669e23d5037d7508cace2b056a6e5299":[5,19,3,2], -"a00090.html#ggabdebb214f81e7c4859bd60752b5958cda66b170fb8a6d54c31eacce78c619adbf":[5,19,3,11], -"a00090.html#ggabdebb214f81e7c4859bd60752b5958cda865830e9fdc6bf03bf1d477ca3c6a6ca":[5,19,3,7], -"a00090.html#ggabdebb214f81e7c4859bd60752b5958cda8b3379b03023f7f9057837109b142230":[5,19,3,4], -"a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaa2fbf0c2913f92571b79aebda5d5cec6":[5,19,3,6], -"a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaafdc30e41c762800c39cd92cbffb2b31":[5,19,3,13], -"a00090.html#ggabdebb214f81e7c4859bd60752b5958cdab1344f53d421d647d2f85460ff8630df":[5,19,3,14], -"a00090.html#ggabdebb214f81e7c4859bd60752b5958cdac9ea3883dbd984c68e4049f5fa48903c":[5,19,3,1], -"a00090.html#ggabdebb214f81e7c4859bd60752b5958cdad6866a46cce2335ee7228f696af14ea2":[5,19,3,9], -"a00090.html#ggabdebb214f81e7c4859bd60752b5958cdae66a048f12b6af45145f2747fe9de530":[5,19,3,5], -"a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaef14d94c177e35d440f3549aeed9c7b8":[5,19,3,10], -"a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaf70a738eecaddb8268f264bb7baf6b9b":[5,19,3,3], -"a00090.html#ggabdebb214f81e7c4859bd60752b5958cdafae5eae69465f413508aac6ac906b7fe":[5,19,3,12], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0038fe47f7308af0fb046b6977b5928e":[5,19,1,39], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a09729e747b6419e05e6b80eecd08b380":[5,19,1,13], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0c66d3751768e52c7b283f7c94b17bce":[5,19,1,22], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0ead9e203d786e410f1d21f19f02aed2":[5,19,1,41], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2b352e3ffe67686dac289a8f8d86fba0":[5,19,1,38], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2d217651e60f137293707c19d717af37":[5,19,1,26], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2da86e45befea6a916816c5e523daad5":[5,19,1,30], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2e20c680e47cfed45e9ccd1462b921a1":[5,19,1,7], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a30425caf231771228aa99f2871efa005":[5,19,1,16], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a31d722ef719c89924cd70efe94723ad3":[5,19,1,35], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a34bca304086fb621de87b04528f8164b":[5,19,1,2], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a4de20ff3218f9af0175dfba0a1aaa38d":[5,19,1,15], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a576c74aa596dfebd41123a123b1e086f":[5,19,1,8], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a58d7c20b50aaa229094c5dc60a0cb14b":[5,19,1,32], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a1b0e5339f4df77ac5085a16d8d0ff7":[5,19,1,23], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a7ac7b3d83eff1aca3ca60bf8102dac":[5,19,1,6], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a73d53097414e5fc5f4cbe2bcdc5b16d1":[5,19,1,31], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a77450c6220c5a32fde70c7e91d3edbb0":[5,19,1,28], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a78528eef89bede351c7f85b2de156747":[5,19,1,17], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a7ea7748ab2a2bb448eca9daab449a9fa":[5,19,1,36], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a88cbbe93397f750184a74a2fb1635441":[5,19,1,12], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a89ea9c75bd1ef71e7f1d4c52544dad9f":[5,19,1,37], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a8b81353af093a8df62363344a1359c42":[5,19,1,20], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9238a533be8bd8e0955b7a90f5ae4d44":[5,19,1,0], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9c78a8bb274fb2604cb4e80af4da988c":[5,19,1,33], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0c298ece28ee4367783dcf472fe20b6":[5,19,1,29], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0ff213062c17f56f0bd74cf6510b1ee":[5,19,1,10], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa4096fcdb3eb88b567870cf2f250208e":[5,19,1,34], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa54388872ede616ae8431f22adf9b883":[5,19,1,4], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa99b5d54d06869f8977cf41527daf915":[5,19,1,19], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa9aeb1c6417d18b72b3d94aec5ac7431":[5,19,1,27], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ac53270179d009a402596effdc9ebabdc":[5,19,1,21], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475acc1e82634c86fc3ee7c0a50026e901ad":[5,19,1,25], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475acf4d35c0b2416225ace1794d2316d78b":[5,19,1,18], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ad0716ea2f10ff5fbd04234889b1e53ed":[5,19,1,1], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ae557054f417e495346ddcc3e7f7162ba":[5,19,1,9], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af15b31098ffc1b3561d7ffbfd191c2e3":[5,19,1,3], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af1e2e2ccc38fec30ae5b3e617524cdab":[5,19,1,40], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af3bbdfacb373ba7dbcbedde68406d03f":[5,19,1,24], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af6c85c442a0709477e5729c9dde36fdc":[5,19,1,11], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af8ebc1a1ac7242706e0a4d3254de8a1a":[5,19,1,14], -"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475afa22c48b958dcc1d146a381ceb411dc6":[5,19,1,5], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a0c38ea80f06768e2ad6282fbc11d5187":[5,19,2,16], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a0efea555d71ff08c985b3c002e49f25a":[5,19,2,13], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a1127b8f8732d34f2c80daf825bb14853":[5,19,2,20], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a1eb0795f2598b9acf64e7abcb63f78d5":[5,19,2,18], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a21dd2c94b4ab7b133e9818a5a394f967":[5,19,2,15], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a3a31a8f0c23e7d75db386e0f1705fcb8":[5,19,2,3], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a473548308d7fac0d5fa4ac959f81e444":[5,19,2,12], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a488a17a4e210b5000df9ecc534d24c8b":[5,19,2,19], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a4e2797855da4686eb7de9e75c5034d66":[5,19,2,6], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a6514b3be861759fdc7f645c4a8190176":[5,19,2,11], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a6873cb2ccda9efd34d7fdd75f3829f1c":[5,19,2,32], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a74b6edcb7dba9f95182a4faa57dd110d":[5,19,2,17], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a75b66d0e23730af2446bdec683db11d3":[5,19,2,5], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a7a625624c8520faff1f2e6b0a1230ba5":[5,19,2,25], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a7b0ebc4f977a126eb51422b3df962e87":[5,19,2,31], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a8a3af6c1f0f5791666b2c8409321ee0b":[5,19,2,7], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a8ec22695a3c8fbc028cc86299ca76b9a":[5,19,2,21], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a9010bbdc4d0ba698a18c200f27586c5a":[5,19,2,1], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a933c38c001bf24a62f0c8bf62e9bbbfb":[5,19,2,4], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aa8e8084f5ea75a2e776f4a8f8a8d5e61":[5,19,2,2], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ab0f66b94af6c72515e705077a0aea28b":[5,19,2,8], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35abf7ea2c0f06252d5e966ca63f23dba2e":[5,19,2,0], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ac557f3233abd222c5cf7d809e082f94b":[5,19,2,26], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ac61483b0bbae6a06d6789e41aaf450fc":[5,19,2,24], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35acc5bcdfe59c04f2da33835496b3a2ddf":[5,19,2,29], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ace9b73074e1c03234c89b40ecd52a1e6":[5,19,2,9], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35adb2af26fbb96cad1a3f4d666e3759e39":[5,19,2,10], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ae2a752505db2acdb06e6047c3c447f63":[5,19,2,14], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ae6795c51fac27d7426848568f125a6e8":[5,19,2,23], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aea9feb0c4582cb00ea087fef692f7623":[5,19,2,27], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aeba0cfeb40308a0bd10bd33298bf9e84":[5,19,2,30], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35af2a8176b461addf3d18b1128800dd7ef":[5,19,2,22], -"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35af3005bf86c4488117ca1396151d2c2af":[5,19,2,28], -"a00091.html":[5,20], -"a00091.html#ga3d7a27cd4564bc389103aacd4a0a41e4":[5,20,1], -"a00091.html#ga4a63ee69b68459770551a0e4d7b6cdad":[5,20,2], -"a00091.html#gaa42f53e7578284bdc9816da8800e735b":[5,20,3], -"a00091.html#gacec975ef3b52ff6266f4bf055d8bbbc0":[5,20,0], -"a00091.html#gga4a63ee69b68459770551a0e4d7b6cdadafe2a386c6f3e8884b995d08fd87de542":[5,20,2,0], -"a00092.html":[5,7], -"a00092.html#ga11898c5015274863741c4f3f4d9edc08":[5,7,18], -"a00092.html#ga12e50ee0450679fd8ca950a89338d366":[5,7,10], -"a00092.html#ga21831f5ee970f3a1f13ff375405f3592":[5,7,13], -"a00092.html#ga299a157e550c253df33f18180f21605e":[5,7,7], -"a00092.html#ga48560c409b88fbe195e140aa20c5307b":[5,7,15], -"a00092.html#ga53b115907016172dcf58fcffab144a6d":[5,7,17], -"a00092.html#ga58226e74553b7976713f08ab6cd1ead3":[5,7,8], -"a00092.html#ga7f9e0678f4c708ed5640b0823c07dc35":[5,7,14], -"a00092.html#ga7fdd594efdc8374ecd8684ed758d6cec":[5,7,4], -"a00092.html#ga926f5c4b8929e99c9f977ad4c48928fd":[5,7,5], -"a00092.html#ga9ce272e795c2b235265d3dfb50669bee":[5,7,12], -"a00092.html#gabb8f0adbec02f143b4f84d2eb42126df":[5,7,1], -"a00092.html#gac33031f28afa29dc8fe1718bbc86ee23":[5,7,2], -"a00092.html#gacab0122ff00951d58b47d95d95c4d119":[5,7,6], -"a00092.html#gad80e7aa70bbb3fce1a9168621372833e":[5,7,11], -"a00092.html#gada572d86a06f028b5b1a5d0440f683e3":[5,7,16], -"a00092.html#gae1649fc947ca37a86917a08354f48d1a":[5,7,9], -"a00092.html#gaf8f85fd102e4aedcee3d061dc2d3e0c2":[5,7,3], -"a00093.html":[5,7,0], -"a00095.html":[4], -"index.html":[0], -"index.html":[], -"modules.html":[5], -"pages.html":[] +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ae648baa1c80d2711d1bb2bb4fc077827":[5,15,10,24], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ae6dd471565ca87404e3e950f0b4896ec":[5,15,10,20], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ae8a09b9553f3e191688cfe6844bc26b9":[5,15,10,6], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0af58d23d9fe1120ca0bd0c9832b9c81df":[5,15,10,4], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0af659716e11aa0911a531d2cdc19bf3ea":[5,15,10,22], +"a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0afa8b125d171fda1128d55ee1ce6b1bb8":[5,15,10,15], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a0646ea5aa4c85d9c19a43f8211d0ffeb":[5,15,6,20], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a0784ad660fcb92a3859ed2c0efa77819":[5,15,6,1], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a15bd1d988c6677a6a41edc0846851338":[5,15,6,12], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a30bd16853ab1723b58f1747def9e4252":[5,15,6,13], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a31c3637504bb180309ccf71210ff6fd7":[5,15,6,21], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a518c8602828f0e104a12623958f587fc":[5,15,6,9], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a55b6304360a86b29fb90a42da5aaf493":[5,15,6,23], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a5e95a4db197106de75dfccf6e5504364":[5,15,6,2], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a5f6d7c46d68bd39e999c53ffabfa8372":[5,15,6,22], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a60ff9ca06daaf5b4f945b6e2e89f4b36":[5,15,6,5], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a63e2b4a4b53cde3b148d52f26d92e1d3":[5,15,6,11], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a6529478ac43b74b509ff0c2cd437e495":[5,15,6,17], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a7a4daf25836c5010a6b9ccc29f159091":[5,15,6,18], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a7ccad915ba0e8df2a152409690994f16":[5,15,6,14], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a7f11a678cf930523e06f52b367f2eca5":[5,15,6,3], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a98359b577ce66e191e23d776d0d0628f":[5,15,6,7], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a9beff09b11808602b2120b42d9ab724e":[5,15,6,10], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6aa5bff988a77fc711fd0c7b84c1fb105c":[5,15,6,8], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6aa6e7a35876133d26d47ce9f76b72d520":[5,15,6,24], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6ab2e2c007b30459eb00fedba56e37da25":[5,15,6,0], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6ab8a42508ab4be0320796b2eba3b57a7d":[5,15,6,4], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6abcda2f4fbf266f73a80298ae2d090e47":[5,15,6,16], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6ada22615f50454e9ebd246a18a985f016":[5,15,6,19], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6ae68d03749187a90877d1742a3d61e4a1":[5,15,6,6], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6af09d4068b7ef636c55a7ab0feb950a36":[5,15,6,15], +"a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6afff7e144292e1eb0a47774207f42a102":[5,15,6,25], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a0866c5dfcf79f24a034e5487325ad089":[5,15,8,31], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a0e731c7f1daf5be7aa344b9f793ef02c":[5,15,8,20], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a19ecdb96a7c9b797b938851a9f22611c":[5,15,8,17], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a1b56e9b3c1c5e46478eb5673c5bddaed":[5,15,8,2], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a2206f6b0a4c280e058652b183d193ad7":[5,15,8,28], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a23c7b1eac9733cda25f050d543111d89":[5,15,8,19], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a26b5bf6af4b2b774757fc7fa42cdebdf":[5,15,8,35], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a279388c181b6df93831630b7b3748fcc":[5,15,8,14], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a2a7360dbf2b1ce3c37fec99ab6ea95c2":[5,15,8,26], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a2e915ea983c34dc1e202a3ea99ece27b":[5,15,8,6], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a315a9f58216b1ac361dc5452805f4cb9":[5,15,8,18], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a357a302e7e9f65b3c24b32b3348a18b1":[5,15,8,23], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a42744ca56fd5b26094f7f7ebc8142518":[5,15,8,30], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a45f568953423b0976250843eade62716":[5,15,8,3], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a5e28e0b496b69e7a57f43e810d1179b9":[5,15,8,16], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a6b32cf2706b79fff3a7fc2a1d1159e1b":[5,15,8,4], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a70ba87af436721a2783e56f3117594ce":[5,15,8,1], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a7701a2d64699c85a464acfe6d6f3ec21":[5,15,8,10], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a7a4eb0b7daa528b354646ae876fdbc7d":[5,15,8,29], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a7cbee20d065a1de8eeb2e842fdca95f2":[5,15,8,15], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a7d02ada60d9a59c5bba04cd6a2ca146c":[5,15,8,9], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a8ba7dca9c3475364351932fc1a248ffc":[5,15,8,22], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a8de9649d2a2fb07fe4e675f6401869d2":[5,15,8,0], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a9b1b21d8bd068e9cb385406f5d2ae0f1":[5,15,8,24], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843aa7ca2e6b97d2947ae2c9781eb66d9a38":[5,15,8,13], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ab46ce34ffd4906d48a7f1829861ff193":[5,15,8,34], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843abb535644479d02d916b2d2606934e28f":[5,15,8,11], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ac68302205f055d68cd0833e6ec89cacb":[5,15,8,5], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ac741b79171d673ec73a93a7c5e77cb10":[5,15,8,33], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ad0c5942c2fb503a4561cb64b1b8b2ba5":[5,15,8,8], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ad7617c8c6cd8f49c832f501129279b8e":[5,15,8,32], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ad79e240fc201ef98da1a4e217968f087":[5,15,8,7], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ae8e8fa7853444eb933183fd905b9ce9d":[5,15,8,21], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843aea4c749172f50d02938115e943b1a62f":[5,15,8,27], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843af73267254ab79f85cbbd45b698baf790":[5,15,8,25], +"a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843af941e0debe79fb78f1fdcf24068298b3":[5,15,8,12], +"a00089.html#ggaf04e2722181b97d14b151b43716647fda06891c0dcbd6029f027b2d6398a447df":[5,15,7,3], +"a00089.html#ggaf04e2722181b97d14b151b43716647fda62c7580fd67b1ffa1385d370a5d3a4e6":[5,15,7,1], +"a00089.html#ggaf04e2722181b97d14b151b43716647fda648d32891b76f5ea3e6e5cf8a296c01b":[5,15,7,0], +"a00089.html#ggaf04e2722181b97d14b151b43716647fda6b62d55b282bfe85f5de3d7d38c8c5fa":[5,15,7,4], +"a00089.html#ggaf04e2722181b97d14b151b43716647fda8587d933d69f3c3bfe42e848db879a20":[5,15,7,2], +"a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa05f4915e3590779c64072c567ea8ef77":[5,15,9,3], +"a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa3b4542ce1acdb7bd4c87e184129a1cd2":[5,15,9,4], +"a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa3db75ac7e6eb4bd49bc6dfcbdca28bab":[5,15,9,5], +"a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa40255673c924ddc3a47215102db19c23":[5,15,9,0], +"a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa42d0169861f7e6874c6cc1cfd38cfdee":[5,15,9,6], +"a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa7f7830f2f3a70270d451466e7fd81b8e":[5,15,9,2], +"a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffab84844ba156c357257d0be920c79459d":[5,15,9,7], +"a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffab977a1ed5f8dda05f237315bdacbfdce":[5,15,9,1], +"a00090.html":[5,19], +"a00090.html#ga026be0053c5c663a386264d645ab1ef8":[5,19,7], +"a00090.html#ga0330b1b5a30c88e7988cab35982afa79":[5,19,6], +"a00090.html#ga0de8c58793b6da2e30d6b2102344d185":[5,19,9], +"a00090.html#ga2a9a2be2e5b32c223a550ff31812ae8b":[5,19,10], +"a00090.html#ga3d2fde56893738e6acf749dddcc0465d":[5,19,1], +"a00090.html#ga55452f734d7cc5e02195ed926e4484c8":[5,19,13], +"a00090.html#ga7a807bf49269e8123e7bf48eae6f087c":[5,19,3], +"a00090.html#ga8c2cb512971948e5b2bfb39cd2bb6b2e":[5,19,2], +"a00090.html#gaa8cb4270c47608c85b36f9bca1b6c154":[5,19,4], +"a00090.html#gaae2dc5c29ae2358c616fa8a2b61a7e4b":[5,19,11], +"a00090.html#gac13cd10cc57b9f6378016c5bba9163f1":[5,19,5], +"a00090.html#gadaa2f3f3cbfd49301b5bf9243b9bec15":[5,19,8], +"a00090.html#gae7a3cbb33db3c5d3f6663bdd21fb32e4":[5,19,12], +"a00090.html#gafa0f32bbd70b73222cc401d997b1f243":[5,19,0], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a0c38ea80f06768e2ad6282fbc11d5187":[5,19,7,16], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a0efea555d71ff08c985b3c002e49f25a":[5,19,7,13], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a1127b8f8732d34f2c80daf825bb14853":[5,19,7,20], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a1eb0795f2598b9acf64e7abcb63f78d5":[5,19,7,18], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a21dd2c94b4ab7b133e9818a5a394f967":[5,19,7,15], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a3a31a8f0c23e7d75db386e0f1705fcb8":[5,19,7,3], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a473548308d7fac0d5fa4ac959f81e444":[5,19,7,12], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a488a17a4e210b5000df9ecc534d24c8b":[5,19,7,19], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a4e2797855da4686eb7de9e75c5034d66":[5,19,7,6], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a6514b3be861759fdc7f645c4a8190176":[5,19,7,11], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a6873cb2ccda9efd34d7fdd75f3829f1c":[5,19,7,32], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a74b6edcb7dba9f95182a4faa57dd110d":[5,19,7,17], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a75b66d0e23730af2446bdec683db11d3":[5,19,7,5], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a7a625624c8520faff1f2e6b0a1230ba5":[5,19,7,25], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a7b0ebc4f977a126eb51422b3df962e87":[5,19,7,31], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a8a3af6c1f0f5791666b2c8409321ee0b":[5,19,7,7], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a8ec22695a3c8fbc028cc86299ca76b9a":[5,19,7,21], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a9010bbdc4d0ba698a18c200f27586c5a":[5,19,7,1], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8a933c38c001bf24a62f0c8bf62e9bbbfb":[5,19,7,4], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8aa8e8084f5ea75a2e776f4a8f8a8d5e61":[5,19,7,2], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8ab0f66b94af6c72515e705077a0aea28b":[5,19,7,8], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8abf7ea2c0f06252d5e966ca63f23dba2e":[5,19,7,0], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8ac557f3233abd222c5cf7d809e082f94b":[5,19,7,26], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8ac61483b0bbae6a06d6789e41aaf450fc":[5,19,7,24], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8acc5bcdfe59c04f2da33835496b3a2ddf":[5,19,7,29], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8ace9b73074e1c03234c89b40ecd52a1e6":[5,19,7,9], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8adb2af26fbb96cad1a3f4d666e3759e39":[5,19,7,10], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8ae2a752505db2acdb06e6047c3c447f63":[5,19,7,14], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8ae6795c51fac27d7426848568f125a6e8":[5,19,7,23], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8aea9feb0c4582cb00ea087fef692f7623":[5,19,7,27], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8aeba0cfeb40308a0bd10bd33298bf9e84":[5,19,7,30], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8af2a8176b461addf3d18b1128800dd7ef":[5,19,7,22], +"a00090.html#gga026be0053c5c663a386264d645ab1ef8af3005bf86c4488117ca1396151d2c2af":[5,19,7,28], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a0038fe47f7308af0fb046b6977b5928e":[5,19,6,39], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a09729e747b6419e05e6b80eecd08b380":[5,19,6,13], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a0c66d3751768e52c7b283f7c94b17bce":[5,19,6,22], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a0ead9e203d786e410f1d21f19f02aed2":[5,19,6,41], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a2b352e3ffe67686dac289a8f8d86fba0":[5,19,6,38], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a2d217651e60f137293707c19d717af37":[5,19,6,26], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a2da86e45befea6a916816c5e523daad5":[5,19,6,30], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a2e20c680e47cfed45e9ccd1462b921a1":[5,19,6,7], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a30425caf231771228aa99f2871efa005":[5,19,6,16], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a31d722ef719c89924cd70efe94723ad3":[5,19,6,35], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a34bca304086fb621de87b04528f8164b":[5,19,6,2], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a4de20ff3218f9af0175dfba0a1aaa38d":[5,19,6,15], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a576c74aa596dfebd41123a123b1e086f":[5,19,6,8], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a58d7c20b50aaa229094c5dc60a0cb14b":[5,19,6,32], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a5a1b0e5339f4df77ac5085a16d8d0ff7":[5,19,6,23], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a5a7ac7b3d83eff1aca3ca60bf8102dac":[5,19,6,6], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a73d53097414e5fc5f4cbe2bcdc5b16d1":[5,19,6,31], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a77450c6220c5a32fde70c7e91d3edbb0":[5,19,6,28], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a78528eef89bede351c7f85b2de156747":[5,19,6,17], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a7ea7748ab2a2bb448eca9daab449a9fa":[5,19,6,36], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a88cbbe93397f750184a74a2fb1635441":[5,19,6,12], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a89ea9c75bd1ef71e7f1d4c52544dad9f":[5,19,6,37], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a8b81353af093a8df62363344a1359c42":[5,19,6,20], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a9238a533be8bd8e0955b7a90f5ae4d44":[5,19,6,0], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79a9c78a8bb274fb2604cb4e80af4da988c":[5,19,6,33], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa0c298ece28ee4367783dcf472fe20b6":[5,19,6,29], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa0ff213062c17f56f0bd74cf6510b1ee":[5,19,6,10], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa4096fcdb3eb88b567870cf2f250208e":[5,19,6,34], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa54388872ede616ae8431f22adf9b883":[5,19,6,4], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa99b5d54d06869f8977cf41527daf915":[5,19,6,19], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa9aeb1c6417d18b72b3d94aec5ac7431":[5,19,6,27], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79ac53270179d009a402596effdc9ebabdc":[5,19,6,21], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79acc1e82634c86fc3ee7c0a50026e901ad":[5,19,6,25], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79acf4d35c0b2416225ace1794d2316d78b":[5,19,6,18], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79ad0716ea2f10ff5fbd04234889b1e53ed":[5,19,6,1], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79ae557054f417e495346ddcc3e7f7162ba":[5,19,6,9], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79af15b31098ffc1b3561d7ffbfd191c2e3":[5,19,6,3], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79af1e2e2ccc38fec30ae5b3e617524cdab":[5,19,6,40], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79af3bbdfacb373ba7dbcbedde68406d03f":[5,19,6,24], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79af6c85c442a0709477e5729c9dde36fdc":[5,19,6,11], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79af8ebc1a1ac7242706e0a4d3254de8a1a":[5,19,6,14], +"a00090.html#gga0330b1b5a30c88e7988cab35982afa79afa22c48b958dcc1d146a381ceb411dc6":[5,19,6,5], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a048cac659ef579d1358cc6d2a7db2c3a":[5,19,9,14], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a0ac9c784851c59d1c334fba730bdc091":[5,19,9,16], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a11e574aeb2ec56f282a103d78c49ccbe":[5,19,9,18], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a144b0c83acca82fa910848f7587af18e":[5,19,9,35], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a14bfd78536056ebdc4d2bfed0438b5cf":[5,19,9,13], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a167b30e083150f7ef078b3fc15b9a2fb":[5,19,9,28], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a209dc130cd5427683bedca3187726030":[5,19,9,7], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a3ab2e3d866e55d84dc2c342eb660c0d5":[5,19,9,19], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a3ca0015b97fb5a1b24b1f6fda113488d":[5,19,9,5], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a40ca641c0138d551c28bb9dd184bf948":[5,19,9,6], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a4be08849669f9f086a238335662c6ac1":[5,19,9,17], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a4bfff10a0df16a23aebb02bc2a19f662":[5,19,9,27], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a5673e5074470fd8c7773f987a45612ab":[5,19,9,32], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a5ba6a30f56eb6e001abb178bb215469a":[5,19,9,30], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a679e93580df161b665365f658345ed65":[5,19,9,20], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a6edbcf66e2b9d21b36413d5913952b7b":[5,19,9,26], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a6f0a53c70373fdbf41edf57122669a47":[5,19,9,21], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a74e21d63631f6e3eeae57269cc597ff9":[5,19,9,33], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a76769594d29a6d8659c5c625b4212a24":[5,19,9,22], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a7a0b07e7613ca95cbae02f51e74338ae":[5,19,9,0], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a83269426ac6b85e729bd940e7c6bc168":[5,19,9,2], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a839653f003a5f245984cbbb3e0ded090":[5,19,9,38], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a84653c7b62da4f773676a39a2abe597a":[5,19,9,10], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a867964bdb44cfca767b2fe212243ad68":[5,19,9,23], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a95076efb390207c31347c9d6eaf7a568":[5,19,9,4], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185a9e23b0bd838b14f99f22d1ae76d63143":[5,19,9,24], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185ab15fc1b1ba8e4f1a35fc314c60b467be":[5,19,9,29], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185abd1859e7fd97d6aad4edf95f92eb1df0":[5,19,9,8], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185abe002214e7dc409f243527310e689060":[5,19,9,37], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185ac38fd128ae8088af0ab82bb9e1ab2b0e":[5,19,9,1], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185ac735c6243e08a73181d0f0f8f6c130bb":[5,19,9,39], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185acd8498beecae42d50d55fd9135fa5554":[5,19,9,25], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185acdcd02ea5803089ebcd609e227acab8b":[5,19,9,12], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185acf5086c4d10114ed1e2adf80ef0c1d8e":[5,19,9,3], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185ad6e9059822d87be57e82960aa79d9229":[5,19,9,9], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185adad77032cc009f3690361c9f4d552cdd":[5,19,9,36], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185adeb15fd0fbfb9f438e22762cad170359":[5,19,9,11], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185ae11d56486ef46dfad9ea855f223483f2":[5,19,9,34], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185aef5103aadc41068ca6157543520491b2":[5,19,9,15], +"a00090.html#gga0de8c58793b6da2e30d6b2102344d185af4805a2bfb2a47017d373209a10ce52d":[5,19,9,31], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba1195026daab11c8e8e8a07ea2588dc59":[5,19,10,21], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba131299a8724b490b48ff5ea4ae88ec85":[5,19,10,14], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba1c8051ee75028cdb756ce666cc9b1bb9":[5,19,10,9], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba28fab9703b5177948f7409efa9ff848a":[5,19,10,0], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba2c3df2d1d6d1fb817003b824eb699585":[5,19,10,6], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba2cf1d219093b981b000fefd1b4b88835":[5,19,10,16], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba2f09e0ca30217841402ba6bf16f8f785":[5,19,10,3], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba332c28d49858ffceda3b7d9a9a317d0c":[5,19,10,19], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba3872c11496f6cd2755a2cc33edeee25e":[5,19,10,20], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba609f40f8714286a7fce78c131a97b69f":[5,19,10,24], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba8f49833db881a5cca72e39060510fe33":[5,19,10,15], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba9b86fc933fab954e947140ea4ca2ffe3":[5,19,10,4], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baab8f0dd610beed22acf2a5a2c3149a38":[5,19,10,12], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baafaffe0fe7c5ed4eaed04bd7acac4f0b":[5,19,10,23], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bab3e46c2499e53d6187acef5b9c92faeb":[5,19,10,13], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8babd4f15c4f7b44b457764ab2aa67ac5ac":[5,19,10,1], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bac0ebb404f4a50e198c79a35af9721e6c":[5,19,10,5], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baca55eb81b04844960f74d6b6f735f406":[5,19,10,18], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bacc0420d5b902bffdc029c0a179fd9653":[5,19,10,10], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bad1b1f498a44a52ed74eab7333c03e177":[5,19,10,22], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bad8072ce6e9985ae8377377ae49ba57d7":[5,19,10,2], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8badd9353fb1a660338dc4129f564d43c41":[5,19,10,7], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bae9a9d439d460166f8795ddd23c7135b5":[5,19,10,8], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baf60644696c7226d16b940a379e974d07":[5,19,10,17], +"a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baf96a078ddf8497b6680dcb8812abccaf":[5,19,10,11], +"a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a1dea0e7772dbe79bdf2cfb15e7a547a0":[5,19,8,0], +"a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a5bb0b796d35f26e384e79aea47cf62b7":[5,19,8,8], +"a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a669e23d5037d7508cace2b056a6e5299":[5,19,8,2], +"a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a66b170fb8a6d54c31eacce78c619adbf":[5,19,8,11], +"a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a865830e9fdc6bf03bf1d477ca3c6a6ca":[5,19,8,7], +"a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a8b3379b03023f7f9057837109b142230":[5,19,8,4], +"a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15aa2fbf0c2913f92571b79aebda5d5cec6":[5,19,8,6], +"a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15aafdc30e41c762800c39cd92cbffb2b31":[5,19,8,13], +"a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15ab1344f53d421d647d2f85460ff8630df":[5,19,8,14], +"a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15ac9ea3883dbd984c68e4049f5fa48903c":[5,19,8,1], +"a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15ad6866a46cce2335ee7228f696af14ea2":[5,19,8,9], +"a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15ae66a048f12b6af45145f2747fe9de530":[5,19,8,5], +"a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15aef14d94c177e35d440f3549aeed9c7b8":[5,19,8,10], +"a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15af70a738eecaddb8268f264bb7baf6b9b":[5,19,8,3] }; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex7.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex7.js new file mode 100644 index 0000000..ff4469b --- /dev/null +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex7.js @@ -0,0 +1,36 @@ +var NAVTREEINDEX7 = +{ +"a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15afae5eae69465f413508aac6ac906b7fe":[5,19,8,12], +"a00091.html":[5,20], +"a00091.html#ga130c64a7ec8145b6c0d68cc76858699b":[5,20,2], +"a00091.html#ga3d7a27cd4564bc389103aacd4a0a41e4":[5,20,1], +"a00091.html#gaa42f53e7578284bdc9816da8800e735b":[5,20,4], +"a00091.html#gaadba3526b7e160e7a91564ad01eb7e6f":[5,20,3], +"a00091.html#gacec975ef3b52ff6266f4bf055d8bbbc0":[5,20,0], +"a00091.html#ggaadba3526b7e160e7a91564ad01eb7e6fafe2a386c6f3e8884b995d08fd87de542":[5,20,3,0], +"a00092.html":[5,7], +"a00092.html#ga11898c5015274863741c4f3f4d9edc08":[5,7,18], +"a00092.html#ga12e50ee0450679fd8ca950a89338d366":[5,7,10], +"a00092.html#ga21831f5ee970f3a1f13ff375405f3592":[5,7,13], +"a00092.html#ga299a157e550c253df33f18180f21605e":[5,7,7], +"a00092.html#ga48560c409b88fbe195e140aa20c5307b":[5,7,15], +"a00092.html#ga53b115907016172dcf58fcffab144a6d":[5,7,17], +"a00092.html#ga58226e74553b7976713f08ab6cd1ead3":[5,7,8], +"a00092.html#ga7f9e0678f4c708ed5640b0823c07dc35":[5,7,14], +"a00092.html#ga7fdd594efdc8374ecd8684ed758d6cec":[5,7,4], +"a00092.html#ga8afb1b704fd0d836e6bd5aae3a417d65":[5,7,16], +"a00092.html#ga926f5c4b8929e99c9f977ad4c48928fd":[5,7,5], +"a00092.html#ga9ce272e795c2b235265d3dfb50669bee":[5,7,12], +"a00092.html#gabb8f0adbec02f143b4f84d2eb42126df":[5,7,1], +"a00092.html#gac33031f28afa29dc8fe1718bbc86ee23":[5,7,2], +"a00092.html#gacab0122ff00951d58b47d95d95c4d119":[5,7,6], +"a00092.html#gad80e7aa70bbb3fce1a9168621372833e":[5,7,11], +"a00092.html#gae1649fc947ca37a86917a08354f48d1a":[5,7,9], +"a00092.html#gaf8f85fd102e4aedcee3d061dc2d3e0c2":[5,7,3], +"a00093.html":[5,7,0], +"a00095.html":[4], +"index.html":[0], +"index.html":[], +"modules.html":[5], +"pages.html":[] +}; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/pages.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/pages.html index 455d200..3cab589 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/pages.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/pages.html @@ -32,7 +32,7 @@ Logo
MCUXpresso SDK API Reference Manual -  Rev 2.14.0 +  Rev 2.15.000
NXP Semiconductors
diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_5f.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_5f.js index cf15179..11b9abf 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_5f.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_5f.js @@ -1,30 +1,134 @@ var searchData= [ + ['_5facomp_5fconfig',['_acomp_config',['../a00008.html#a00096',1,'']]], + ['_5facomp_5fhysteresis_5fselection',['_acomp_hysteresis_selection',['../a00008.html#gaaf41a27470c09c9433454b94bec78133',1,'fsl_acomp.h']]], + ['_5facomp_5finterrupt_5fenable',['_acomp_interrupt_enable',['../a00008.html#ga7959160daeb14e107aa41d21b23ad7d8',1,'fsl_acomp.h']]], + ['_5facomp_5fladder_5fconfig',['_acomp_ladder_config',['../a00008.html#a00097',1,'']]], + ['_5facomp_5fladder_5freference_5fvoltage',['_acomp_ladder_reference_voltage',['../a00008.html#ga3cb7b227dfa6d29bc1d83fe22eba4ddc',1,'fsl_acomp.h']]], + ['_5fadc_5fconfig',['_adc_config',['../a00009.html#a00098',1,'']]], + ['_5fadc_5fconv_5fseq_5fconfig',['_adc_conv_seq_config',['../a00009.html#a00099',1,'']]], + ['_5fadc_5finforesultshift',['_adc_inforesultshift',['../a00009.html#gadd80a979d7edaf06b04a7720dcbf6560',1,'fsl_adc.h']]], ['_5fadc_5finterrupt_5fenable',['_adc_interrupt_enable',['../a00009.html#gac5899c7af1f9cac7695d7171f83be5f0',1,'fsl_adc.h']]], + ['_5fadc_5fpriority',['_adc_priority',['../a00009.html#ga895b86955b34760035b75f48ed891a7c',1,'fsl_adc.h']]], + ['_5fadc_5fresult_5finfo',['_adc_result_info',['../a00009.html#a00100',1,'']]], + ['_5fadc_5fsecond_5fcontrol',['_adc_second_control',['../a00009.html#ga30fbf503bbcb9bd9583b08e712e313a6',1,'fsl_adc.h']]], + ['_5fadc_5fseq_5finterrupt_5fmode',['_adc_seq_interrupt_mode',['../a00009.html#ga1e1cfa1d671392e8809b52b6257a7042',1,'fsl_adc.h']]], ['_5fadc_5fstatus_5fflags',['_adc_status_flags',['../a00009.html#ga417e499fb2f1ee7ba05088468b392ce1',1,'fsl_adc.h']]], + ['_5fadc_5ftempsensor_5fcommon_5fmode',['_adc_tempsensor_common_mode',['../a00009.html#gae379cff02514632d8af1b8f52b460cf2',1,'fsl_adc.h']]], + ['_5fadc_5fthreshold_5fcompare_5fstatus',['_adc_threshold_compare_status',['../a00009.html#ga0b4c2ffa22cd01dc1d08b0c6f3b08965',1,'fsl_adc.h']]], + ['_5fadc_5fthreshold_5fcrossing_5fstatus',['_adc_threshold_crossing_status',['../a00009.html#ga0aeab7e111fc623f3b1a20cf36884b9d',1,'fsl_adc.h']]], + ['_5fadc_5fthreshold_5finterrupt_5fmode',['_adc_threshold_interrupt_mode',['../a00009.html#ga703e45dd351d168a4d8ab2e5a605ec93',1,'fsl_adc.h']]], + ['_5fadc_5ftrigger_5fpolarity',['_adc_trigger_polarity',['../a00009.html#gafd224e352527936e20c50ac8c39ad9c8',1,'fsl_adc.h']]], + ['_5fcapt_5fconfig',['_capt_config',['../a00010.html#a00101',1,'']]], + ['_5fcapt_5fdma_5fmode',['_capt_dma_mode',['../a00010.html#ga00234907358f91917dca95eff851ead9',1,'fsl_capt.h']]], + ['_5fcapt_5finactive_5fxpins_5fmode',['_capt_inactive_xpins_mode',['../a00010.html#ga9f532395f74b4c57cf60754a72e619bd',1,'fsl_capt.h']]], ['_5fcapt_5finterrupt_5fenable',['_capt_interrupt_enable',['../a00010.html#ga6ac2e06f77196123f25e3f5c79ae6ea5',1,'fsl_capt.h']]], ['_5fcapt_5finterrupt_5fstatus_5fflags',['_capt_interrupt_status_flags',['../a00010.html#ga6764adb0a0bda236d301256120cddae0',1,'fsl_capt.h']]], + ['_5fcapt_5fmeasurement_5fdelay',['_capt_measurement_delay',['../a00010.html#ga981c7dd2659c3c59529d314722d3e937',1,'fsl_capt.h']]], + ['_5fcapt_5fpolling_5fmode',['_capt_polling_mode',['../a00010.html#ga66b33c0d7a75bb762caef24e9c2dca6d',1,'fsl_capt.h']]], + ['_5fcapt_5freset_5fdelay',['_capt_reset_delay',['../a00010.html#ga63b8630c7a923a59dc8c91390a749405',1,'fsl_capt.h']]], ['_5fcapt_5fstatus_5fflags',['_capt_status_flags',['../a00010.html#ga86048ff411e845cbde51d9324b5a4b0f',1,'fsl_capt.h']]], + ['_5fcapt_5ftouch_5fdata',['_capt_touch_data',['../a00010.html#a00102',1,'']]], + ['_5fcapt_5ftrigger_5fmode',['_capt_trigger_mode',['../a00010.html#gae36ac2d48fdabc6713754765cb654bd2',1,'fsl_capt.h']]], ['_5fcapt_5fxpins',['_capt_xpins',['../a00010.html#ga2c35cfaacd7e34465baab32d16a4abb5',1,'fsl_capt.h']]], + ['_5fclock_5fdivider',['_clock_divider',['../a00079.html#gaa690e42cbb0b0bc43944d28dfb89b9ba',1,'fsl_clock.h']]], + ['_5fclock_5ffro_5fosc_5ffreq',['_clock_fro_osc_freq',['../a00079.html#gabc4db77825e6eebefc0b80a4e5b9bdc7',1,'fsl_clock.h']]], + ['_5fclock_5fip_5fname',['_clock_ip_name',['../a00079.html#ga0d01cff2c331cdc2703b798930b1c9cd',1,'fsl_clock.h']]], + ['_5fclock_5fmain_5fclk_5fsrc',['_clock_main_clk_src',['../a00079.html#ga87881cb2f1147cca2f3b2b1f718565f5',1,'fsl_clock.h']]], + ['_5fclock_5fname',['_clock_name',['../a00079.html#ga10eb499a97d1bd20020841220bb5de74',1,'fsl_clock.h']]], + ['_5fclock_5fselect',['_clock_select',['../a00079.html#gaf6cf35ae56aa98ed8ff20eeecbcdfb85',1,'fsl_clock.h']]], + ['_5fcrc_5fconfig',['_crc_config',['../a00011.html#a00103',1,'']]], + ['_5fcrc_5fpolynomial',['_crc_polynomial',['../a00011.html#gac9e3abdb739c7842a6f26505b418540d',1,'fsl_crc.h']]], + ['_5fctimer_5fcapture_5fchannel',['_ctimer_capture_channel',['../a00012.html#gadb9b8699537a63ff6520274fd3d2fded',1,'fsl_ctimer.h']]], + ['_5fctimer_5fcapture_5fedge',['_ctimer_capture_edge',['../a00012.html#ga345fca07290119fa13234da1b38a6106',1,'fsl_ctimer.h']]], + ['_5fctimer_5fconfig',['_ctimer_config',['../a00012.html#a00104',1,'']]], + ['_5fctimer_5fexternal_5fmatch',['_ctimer_external_match',['../a00012.html#gab4782e716d63a0496aa9a80aaef07863',1,'fsl_ctimer.h']]], + ['_5fctimer_5finterrupt_5fenable',['_ctimer_interrupt_enable',['../a00012.html#gac328f6ccbe026c29679fda455577ebc8',1,'fsl_ctimer.h']]], + ['_5fctimer_5fmatch',['_ctimer_match',['../a00012.html#ga631867452d444e8d84ab4bc24570359b',1,'fsl_ctimer.h']]], + ['_5fctimer_5fmatch_5fconfig',['_ctimer_match_config',['../a00012.html#a00105',1,'']]], + ['_5fctimer_5fmatch_5foutput_5fcontrol',['_ctimer_match_output_control',['../a00012.html#gaf605923833c7eff925d35f1f8eb9011c',1,'fsl_ctimer.h']]], + ['_5fctimer_5fstatus_5fflags',['_ctimer_status_flags',['../a00012.html#gafb9f972964325723b7f3097e89f67f14',1,'fsl_ctimer.h']]], + ['_5fctimer_5ftimer_5fmode',['_ctimer_timer_mode',['../a00012.html#ga25a75b8276d2d13d35b8f9e3b0cf08d4',1,'fsl_ctimer.h']]], + ['_5fdac_5fconfig',['_dac_config',['../a00013.html#a00106',1,'']]], + ['_5fdac_5fsettling_5ftime',['_dac_settling_time',['../a00013.html#gaf0a17d3b5229418c020d333b7c88f2f8',1,'fsl_dac.h']]], ['_5fflash_5faccess_5ftime',['_flash_access_time',['../a00083.html#ga0efb897bafe816a8a87d92045a78ee9b',1,'fsl_iap.h']]], + ['_5fgpio_5fpin_5fconfig',['_gpio_pin_config',['../a00014.html#a00107',1,'']]], + ['_5fgpio_5fpin_5fdirection',['_gpio_pin_direction',['../a00014.html#ga0df4be96fa56f3bdd7bfa048fdaacd6b',1,'fsl_gpio.h']]], + ['_5fi2c_5fdirection',['_i2c_direction',['../a00015.html#ga1625497320644ba123718bafdd2c1f39',1,'fsl_i2c.h']]], + ['_5fi2c_5fmaster_5fconfig',['_i2c_master_config',['../a00015.html#a00108',1,'']]], ['_5fi2c_5fmaster_5fflags',['_i2c_master_flags',['../a00015.html#gac938392418ba1a891983d11ef5c9f2a3',1,'fsl_i2c.h']]], - ['_5fi2c_5fmaster_5fhandle',['_i2c_master_handle',['../a00015.html#a00096',1,'']]], - ['_5fi2c_5fmaster_5ftransfer',['_i2c_master_transfer',['../a00015.html#a00097',1,'']]], + ['_5fi2c_5fmaster_5fhandle',['_i2c_master_handle',['../a00015.html#a00109',1,'']]], + ['_5fi2c_5fmaster_5ftransfer',['_i2c_master_transfer',['../a00015.html#a00110',1,'']]], ['_5fi2c_5fmaster_5ftransfer_5fflags',['_i2c_master_transfer_flags',['../a00015.html#ga87ea07668194cfb46c7c368d2cb42433',1,'fsl_i2c.h']]], + ['_5fi2c_5fslave_5faddress',['_i2c_slave_address',['../a00016.html#a00111',1,'']]], + ['_5fi2c_5fslave_5faddress_5fqual_5fmode',['_i2c_slave_address_qual_mode',['../a00016.html#gadbb0ae033785e1ad024c953e24cc2f36',1,'fsl_i2c.h']]], + ['_5fi2c_5fslave_5faddress_5fregister',['_i2c_slave_address_register',['../a00016.html#gaa4d64624a394b298aeb74188f932406a',1,'fsl_i2c.h']]], + ['_5fi2c_5fslave_5fbus_5fspeed',['_i2c_slave_bus_speed',['../a00016.html#ga6c18ce95502d66818793c44df9029eae',1,'fsl_i2c.h']]], + ['_5fi2c_5fslave_5fconfig',['_i2c_slave_config',['../a00016.html#a00112',1,'']]], ['_5fi2c_5fslave_5fflags',['_i2c_slave_flags',['../a00016.html#ga419f2fc2e0684671c00ee97e962bbe0c',1,'fsl_i2c.h']]], - ['_5fi2c_5fslave_5fhandle',['_i2c_slave_handle',['../a00016.html#a00098',1,'']]], + ['_5fi2c_5fslave_5ffsm',['_i2c_slave_fsm',['../a00016.html#ga41bf767e5abf573149dd68e87fc45276',1,'fsl_i2c.h']]], + ['_5fi2c_5fslave_5fhandle',['_i2c_slave_handle',['../a00016.html#a00113',1,'']]], + ['_5fi2c_5fslave_5ftransfer',['_i2c_slave_transfer',['../a00016.html#a00114',1,'']]], + ['_5fi2c_5fslave_5ftransfer_5fevent',['_i2c_slave_transfer_event',['../a00016.html#ga87e42e170b60f17f657ef3c06a918133',1,'fsl_i2c.h']]], ['_5fi2c_5ftransfer_5fstates',['_i2c_transfer_states',['../a00015.html#gab08c1a0d50859637b4305687278941ee',1,'fsl_i2c.h']]], ['_5fiap_5fcommands',['_iap_commands',['../a00083.html#gaae3267ca6081e1f5620b0aa674c5e8ca',1,'fsl_iap.h']]], + ['_5fiocon_5fgroup',['_iocon_group',['../a00017.html#a00115',1,'']]], + ['_5fmrt_5fchnl',['_mrt_chnl',['../a00018.html#ga05660f6ec5e7c0ac6204165d7ab9bf35',1,'fsl_mrt.h']]], + ['_5fmrt_5fconfig',['_mrt_config',['../a00018.html#a00116',1,'']]], + ['_5fmrt_5finterrupt_5fenable',['_mrt_interrupt_enable',['../a00018.html#ga854d01b9575db5b6f0f7aaad49cf0c7d',1,'fsl_mrt.h']]], + ['_5fmrt_5fstatus_5fflags',['_mrt_status_flags',['../a00018.html#ga13878b183833475306c8aabb81391a8a',1,'fsl_mrt.h']]], + ['_5fmrt_5ftimer_5fmode',['_mrt_timer_mode',['../a00018.html#gae772c3f1dc986d55a787b987f731b389',1,'fsl_mrt.h']]], + ['_5fpint_5fint',['_pint_int',['../a00088.html#gaf3fe032c283828db1b5790b3922be911',1,'fsl_pint.h']]], + ['_5fpint_5fpin_5fenable',['_pint_pin_enable',['../a00088.html#ga2e08ec6acfb4d114d470ff86a0bef497',1,'fsl_pint.h']]], + ['_5fpint_5fpmatch_5fbslice',['_pint_pmatch_bslice',['../a00088.html#ga67c1b06ba8a5e6faf0af44c2bfeccc47',1,'fsl_pint.h']]], + ['_5fpint_5fpmatch_5fbslice_5fcfg',['_pint_pmatch_bslice_cfg',['../a00088.html#ga395ddf0144533abe3773a44dfc6a8a28',1,'fsl_pint.h']]], + ['_5fpint_5fpmatch_5finput_5fsrc',['_pint_pmatch_input_src',['../a00088.html#ga7adaf153a42d62fb9b02d6468f7279a9',1,'fsl_pint.h']]], + ['_5fplu_5flut_5fin_5findex',['_plu_lut_in_index',['../a00089.html#gaf04e2722181b97d14b151b43716647fd',1,'fsl_plu.h']]], + ['_5fplu_5flut_5findex',['_plu_lut_index',['../a00089.html#ga53709dc3cc8b1891ebc99b4b606d49d6',1,'fsl_plu.h']]], + ['_5fplu_5flut_5finput_5fsource',['_plu_lut_input_source',['../a00089.html#ga8b57cb85ad1b1f1685bbb8b85ce4e843',1,'fsl_plu.h']]], + ['_5fplu_5foutput_5findex',['_plu_output_index',['../a00089.html#gafab1f9e477a3f88661e3f54189ac52ff',1,'fsl_plu.h']]], + ['_5fplu_5foutput_5fsource',['_plu_output_source',['../a00089.html#ga3eadd99eeb98ae312c02a884168b2ac0',1,'fsl_plu.h']]], + ['_5fpower_5fbod_5finterrupt_5flevel',['_power_bod_interrupt_level',['../a00080.html#gaeadfcaceef8506b5b8fff8c2f1ff2908',1,'fsl_power.h']]], + ['_5fpower_5fbod_5freset_5flevel',['_power_bod_reset_level',['../a00080.html#gac443df372898826727572d3025ae104e',1,'fsl_power.h']]], ['_5fpower_5fdeep_5fsleep_5factive',['_power_deep_sleep_active',['../a00080.html#gab5d33167d8a4c9d9a407cc1bdd6346a9',1,'fsl_power.h']]], ['_5fpower_5fdpd_5fwakeup_5fpin',['_power_dpd_wakeup_pin',['../a00080.html#ga774c3c091d12f8f4ca768d112a7fda8d',1,'fsl_power.h']]], + ['_5fpower_5fgen_5freg',['_power_gen_reg',['../a00080.html#gae01dae3d7bbcc338031dfd019f93681b',1,'fsl_power.h']]], ['_5fpower_5fwakeup',['_power_wakeup',['../a00080.html#ga1680834b74ccfaca0cc98b954cfef0ec',1,'fsl_power.h']]], + ['_5fspi_5fclock_5fphase',['_spi_clock_phase',['../a00019.html#ga76b6ec98408fdaf2e9133952eefa1baa',1,'fsl_spi.h']]], + ['_5fspi_5fclock_5fpolarity',['_spi_clock_polarity',['../a00019.html#ga17f9450af8f63de3fa2b3b105eeec38d',1,'fsl_spi.h']]], + ['_5fspi_5fdata_5fwidth',['_spi_data_width',['../a00019.html#ga63554b1f1f01b827bf6449b55ca80280',1,'fsl_spi.h']]], + ['_5fspi_5fdelay_5fconfig',['_spi_delay_config',['../a00019.html#a00117',1,'']]], ['_5fspi_5finterrupt_5fenable',['_spi_interrupt_enable',['../a00019.html#gaedd690a0f91a0a9eb0fd573b57e31f67',1,'fsl_spi.h']]], - ['_5fspi_5fmaster_5fhandle',['_spi_master_handle',['../a00019.html#a00099',1,'']]], + ['_5fspi_5fmaster_5fconfig',['_spi_master_config',['../a00019.html#a00118',1,'']]], + ['_5fspi_5fmaster_5fhandle',['_spi_master_handle',['../a00019.html#a00119',1,'']]], + ['_5fspi_5fshift_5fdirection',['_spi_shift_direction',['../a00019.html#ga1737fd82344e0800f66b541342894b85',1,'fsl_spi.h']]], + ['_5fspi_5fslave_5fconfig',['_spi_slave_config',['../a00019.html#a00120',1,'']]], + ['_5fspi_5fspol',['_spi_spol',['../a00019.html#gaace382ece8210631749c404f115f2998',1,'fsl_spi.h']]], + ['_5fspi_5fssel',['_spi_ssel',['../a00019.html#ga6cb1b64722deb9a98a4f1a442f58f8a9',1,'fsl_spi.h']]], ['_5fspi_5fstatus_5fflags',['_spi_status_flags',['../a00019.html#ga17a846c851b3b17e6a1564a5fab48e09',1,'fsl_spi.h']]], + ['_5fspi_5ftransfer',['_spi_transfer',['../a00019.html#a00121',1,'']]], ['_5fspi_5fxfer_5foption',['_spi_xfer_option',['../a00019.html#gaaa2e2f2efa7be228c775fa239ab5ea03',1,'fsl_spi.h']]], ['_5fstatus_5fgroups',['_status_groups',['../a00082.html#ga7ff0b98bb1341c07acefb1473b6eda29',1,'fsl_common.h']]], + ['_5fswm_5fpinassignfixed_5fport_5fpin_5ftype_5ft',['_swm_pinassignfixed_port_pin_type_t',['../a00090.html#ga0330b1b5a30c88e7988cab35982afa79',1,'fsl_swm_connections.h']]], + ['_5fswm_5fpinassignfixed_5fselect_5fmovable_5ft',['_swm_pinassignfixed_select_movable_t',['../a00090.html#gadaa2f3f3cbfd49301b5bf9243b9bec15',1,'fsl_swm_connections.h']]], + ['_5fswm_5fport_5fpin_5ftype_5ft',['_swm_port_pin_type_t',['../a00090.html#ga026be0053c5c663a386264d645ab1ef8',1,'fsl_swm_connections.h']]], + ['_5fswm_5fselect_5ffixed_5fpin_5ft',['_swm_select_fixed_pin_t',['../a00090.html#ga2a9a2be2e5b32c223a550ff31812ae8b',1,'fsl_swm_connections.h']]], + ['_5fswm_5fselect_5fmovable_5ft',['_swm_select_movable_t',['../a00090.html#ga0de8c58793b6da2e30d6b2102344d185',1,'fsl_swm_connections.h']]], + ['_5fsyscon_5fconnection_5ft',['_syscon_connection_t',['../a00091.html#gaadba3526b7e160e7a91564ad01eb7e6f',1,'fsl_syscon_connections.h']]], + ['_5fsyscon_5frstn',['_SYSCON_RSTn',['../a00081.html#gad4aceeed7467f943d313d51ec38a1a20',1,'fsl_reset.h']]], + ['_5fusart_5fclock_5fpolarity',['_usart_clock_polarity',['../a00020.html#ga148de18c5f1501903739e858695dc1bd',1,'fsl_usart.h']]], + ['_5fusart_5fconfig',['_usart_config',['../a00020.html#a00122',1,'']]], + ['_5fusart_5fdata_5flen',['_usart_data_len',['../a00020.html#gaba5e41db910ac7f4e3a9732b1bfa002e',1,'fsl_usart.h']]], ['_5fusart_5fflags',['_usart_flags',['../a00020.html#gaa6a81e7e82e236bb1c3c5ba306466610',1,'fsl_usart.h']]], - ['_5fusart_5fhandle',['_usart_handle',['../a00020.html#a00100',1,'']]], + ['_5fusart_5fhandle',['_usart_handle',['../a00020.html#a00123',1,'']]], ['_5fusart_5finterrupt_5fenable',['_usart_interrupt_enable',['../a00020.html#ga78b340bf3b25bfae957d0c5532b9b853',1,'fsl_usart.h']]], + ['_5fusart_5fparity_5fmode',['_usart_parity_mode',['../a00020.html#ga8725fe345ac7bb48cc48f9553212f5db',1,'fsl_usart.h']]], + ['_5fusart_5fstop_5fbit_5fcount',['_usart_stop_bit_count',['../a00020.html#gaeeaf3b2b6394d3a656342cfbce0e39ee',1,'fsl_usart.h']]], + ['_5fusart_5fsync_5fmode',['_usart_sync_mode',['../a00020.html#gab3b6a5d80be9940d58f739ded5962a59',1,'fsl_usart.h']]], + ['_5fusart_5ftransfer',['_usart_transfer',['../a00020.html#a00124',1,'']]], + ['_5fwkt_5fclock_5fsource',['_wkt_clock_source',['../a00021.html#ga9f55ad94f6b9b9906690399c088b82b6',1,'fsl_wkt.h']]], + ['_5fwkt_5fconfig',['_wkt_config',['../a00021.html#a00125',1,'']]], + ['_5fwkt_5fstatus_5fflags',['_wkt_status_flags',['../a00021.html#gab79bd4bf294072d42f3eb1006503df5e',1,'fsl_wkt.h']]], + ['_5fwwdt_5fconfig',['_wwdt_config',['../a00022.html#a00126',1,'']]], ['_5fwwdt_5fstatus_5fflags_5ft',['_wwdt_status_flags_t',['../a00022.html#gaca0e9724bd1f25336527ea66c77e476f',1,'fsl_wwdt.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_61.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_61.js index f927e77..4d459eb 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_61.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_61.js @@ -2,26 +2,25 @@ var searchData= [ ['acmp_5fclocks',['ACMP_CLOCKS',['../a00079.html#gaa540fadbafefad92f9f7ae3f63b7a45c',1,'fsl_clock.h']]], ['acomp_5fclearinterruptsstatusflags',['ACOMP_ClearInterruptsStatusFlags',['../a00008.html#ga013efc3edfbbd3f7f5044fc7bc2ce802',1,'fsl_acomp.h']]], - ['acomp_5fconfig_5ft',['acomp_config_t',['../a00008.html#a00101',1,'']]], + ['acomp_5fconfig_5ft',['acomp_config_t',['../a00008.html#ga78066df1c1b1ff94c949c635062ba790',1,'fsl_acomp.h']]], ['acomp_5fdeinit',['ACOMP_Deinit',['../a00008.html#ga4e2589a3a011cb833649e301be7fff8c',1,'fsl_acomp.h']]], ['acomp_5fenableinterrupts',['ACOMP_EnableInterrupts',['../a00008.html#ga33fc82023d54cc469fc62ed866a3ca19',1,'fsl_acomp.h']]], ['acomp_5fgetdefaultconfig',['ACOMP_GetDefaultConfig',['../a00008.html#gae217e6b6f23e998b1bfa387e1f3f4181',1,'fsl_acomp.h']]], ['acomp_5fgetinterruptsstatusflags',['ACOMP_GetInterruptsStatusFlags',['../a00008.html#ga331e1a82fe5f3d36ee0b10ddea928cd0',1,'fsl_acomp.h']]], ['acomp_5fgetoutputstatusflags',['ACOMP_GetOutputStatusFlags',['../a00008.html#ga0ea4f61940e0c270858758d51ae1a4d3',1,'fsl_acomp.h']]], - ['acomp_5fhysteresis_5fselection_5ft',['acomp_hysteresis_selection_t',['../a00008.html#gaa7c0a15052b6421941faad3c6ea3a5ac',1,'fsl_acomp.h']]], + ['acomp_5fhysteresis_5fselection_5ft',['acomp_hysteresis_selection_t',['../a00008.html#ga96d96cca1e3c96dba2753a4db623cb22',1,'fsl_acomp.h']]], ['acomp_5finit',['ACOMP_Init',['../a00008.html#gae8afb04a61cd16f74eba67061409cd30',1,'fsl_acomp.h']]], - ['acomp_5finterrupt_5fenable_5ft',['acomp_interrupt_enable_t',['../a00008.html#ga178d521ca2ee7f33d518b30dfb627d20',1,'fsl_acomp.h']]], - ['acomp_5fladder_5fconfig_5ft',['acomp_ladder_config_t',['../a00008.html#a00102',1,'']]], - ['acomp_5fladder_5freference_5fvoltage_5ft',['acomp_ladder_reference_voltage_t',['../a00008.html#ga7ad629d52b0a70f95cd2ddb3bee8ab5f',1,'fsl_acomp.h']]], + ['acomp_5finterrupt_5fenable_5ft',['acomp_interrupt_enable_t',['../a00008.html#ga00a425fdc3a8f0270f6b1496c9718898',1,'fsl_acomp.h']]], + ['acomp_5fladder_5fconfig_5ft',['acomp_ladder_config_t',['../a00008.html#gaf0ae8abcb6f3e8fde08615f492df7fc3',1,'fsl_acomp.h']]], + ['acomp_5fladder_5freference_5fvoltage_5ft',['acomp_ladder_reference_voltage_t',['../a00008.html#gafdeea2fb570a5d98264ced03af561757',1,'fsl_acomp.h']]], ['acomp_5fsetinputchannel',['ACOMP_SetInputChannel',['../a00008.html#gaff80c9cfc3eb8114fcdb525b507f7ba3',1,'fsl_acomp.h']]], ['acomp_5fsetladderconfig',['ACOMP_SetLadderConfig',['../a00008.html#ga6b5b26d4b5e00361635aede71b048ffb',1,'fsl_acomp.h']]], ['adc_5fclearstatusflags',['ADC_ClearStatusFlags',['../a00009.html#gaa94fca220976d31ee991f1eff9cbe0db',1,'fsl_adc.h']]], ['adc_5fclocks',['ADC_CLOCKS',['../a00079.html#gaef5bfe2c53a7ededa861c4e414cdbf36',1,'fsl_clock.h']]], - ['adc_5fconfig_5ft',['adc_config_t',['../a00009.html#a00103',1,'']]], - ['adc_5fconv_5fseq_5fconfig_5ft',['adc_conv_seq_config_t',['../a00009.html#a00104',1,'']]], + ['adc_5fconfig_5ft',['adc_config_t',['../a00009.html#ga3b22457f328dd120d593051f40db8e1a',1,'fsl_adc.h']]], + ['adc_5fconv_5fseq_5fconfig_5ft',['adc_conv_seq_config_t',['../a00009.html#ga1b511fba76a0acb8e8aa0b3844962e45',1,'fsl_adc.h']]], ['adc_5fdeinit',['ADC_Deinit',['../a00009.html#ga9e87d0842217dd30d2d9699df580e237',1,'fsl_adc.h']]], ['adc_5fdisableinterrupts',['ADC_DisableInterrupts',['../a00009.html#gaa134681cb86361faad65aa8c501be403',1,'fsl_adc.h']]], - ['adc_5fdoselfcalibration',['ADC_DoSelfCalibration',['../a00009.html#ga6c5be196874795f6647b18fd1f3443b4',1,'fsl_adc.h']]], ['adc_5fdosoftwaretriggerconvseqa',['ADC_DoSoftwareTriggerConvSeqA',['../a00009.html#ga13edd8c6ef6665907b00a6a819187292',1,'fsl_adc.h']]], ['adc_5fdosoftwaretriggerconvseqb',['ADC_DoSoftwareTriggerConvSeqB',['../a00009.html#ga6bee3a133b58f1badcfded306544d58f',1,'fsl_adc.h']]], ['adc_5fenableconvseqa',['ADC_EnableConvSeqA',['../a00009.html#ga0576bc613006f8a4d3bb6db93167be65',1,'fsl_adc.h']]], @@ -29,19 +28,18 @@ var searchData= ['adc_5fenableconvseqb',['ADC_EnableConvSeqB',['../a00009.html#ga93453ff5ee1ccf1429d5035920cdcd10',1,'fsl_adc.h']]], ['adc_5fenableconvseqbburstmode',['ADC_EnableConvSeqBBurstMode',['../a00009.html#ga4d72a0696ea69cf01a7d121b8e3d1efd',1,'fsl_adc.h']]], ['adc_5fenableinterrupts',['ADC_EnableInterrupts',['../a00009.html#gad4368ec191782815efeea62a95ad3744',1,'fsl_adc.h']]], - ['adc_5fenabletemperaturesensor',['ADC_EnableTemperatureSensor',['../a00009.html#ga038d00e89652d2b860ef23b79cdbe23d',1,'fsl_adc.h']]], ['adc_5fenablethresholdcompareinterrupt',['ADC_EnableThresholdCompareInterrupt',['../a00009.html#gaa1deefb49e6221ba772d755bf4aa1a57',1,'fsl_adc.h']]], ['adc_5fgetchannelconversionresult',['ADC_GetChannelConversionResult',['../a00009.html#gacbd1fa05c3e1095dd2a8a741db533780',1,'fsl_adc.h']]], ['adc_5fgetconvseqaglobalconversionresult',['ADC_GetConvSeqAGlobalConversionResult',['../a00009.html#ga06879c096d9702b2f378dc2db178e961',1,'fsl_adc.h']]], ['adc_5fgetconvseqbglobalconversionresult',['ADC_GetConvSeqBGlobalConversionResult',['../a00009.html#gad857bbdf02a2e6e828e45a8573238198',1,'fsl_adc.h']]], ['adc_5fgetdefaultconfig',['ADC_GetDefaultConfig',['../a00009.html#ga69c1682f87ee05264946329a2532792e',1,'fsl_adc.h']]], ['adc_5fgetstatusflags',['ADC_GetStatusFlags',['../a00009.html#ga92ee1fdbb8cdadbdc1850e94afed432b',1,'fsl_adc.h']]], - ['adc_5finforesult_5ft',['adc_inforesult_t',['../a00009.html#gaecfd3ba1bc4b014f3c11bc6f348a28cc',1,'fsl_adc.h']]], + ['adc_5finforesult_5ft',['adc_inforesult_t',['../a00009.html#ga508d5921baba373e9ee7145858e60635',1,'fsl_adc.h']]], ['adc_5finit',['ADC_Init',['../a00009.html#gaa407371ebd58e5aa7f75d38bfdad6169',1,'fsl_adc.h']]], - ['adc_5fpriority_5ft',['adc_priority_t',['../a00009.html#gab625534aa39c1cd25f18cdc0dc3b9981',1,'fsl_adc.h']]], - ['adc_5fresult_5finfo_5ft',['adc_result_info_t',['../a00009.html#a00105',1,'']]], - ['adc_5fsecond_5fcontrol_5ft',['adc_second_control_t',['../a00009.html#gafb17afb34b6114b97b8bfa331465fd23',1,'fsl_adc.h']]], - ['adc_5fseq_5finterrupt_5fmode_5ft',['adc_seq_interrupt_mode_t',['../a00009.html#ga3948c83397f351b5ed70bbaf1c5da35b',1,'fsl_adc.h']]], + ['adc_5fpriority_5ft',['adc_priority_t',['../a00009.html#ga252547d797d59b78370345cca566d037',1,'fsl_adc.h']]], + ['adc_5fresult_5finfo_5ft',['adc_result_info_t',['../a00009.html#gad4d586bb8e6bb1623c116c86586836ec',1,'fsl_adc.h']]], + ['adc_5fsecond_5fcontrol_5ft',['adc_second_control_t',['../a00009.html#gad2d3e423f1542e8e5bc6e063b34e818c',1,'fsl_adc.h']]], + ['adc_5fseq_5finterrupt_5fmode_5ft',['adc_seq_interrupt_mode_t',['../a00009.html#gaf7b92741cf8b758c97e9e6c57ba2461f',1,'fsl_adc.h']]], ['adc_5fsetchannelwiththresholdpair0',['ADC_SetChannelWithThresholdPair0',['../a00009.html#ga160dc82588afa2bca1388b35b8e3104d',1,'fsl_adc.h']]], ['adc_5fsetchannelwiththresholdpair1',['ADC_SetChannelWithThresholdPair1',['../a00009.html#ga833046b34deacc484675105225a4b0fb',1,'fsl_adc.h']]], ['adc_5fsetconvseqaconfig',['ADC_SetConvSeqAConfig',['../a00009.html#ga30e86d22d3680c1cf71d5121f162075b',1,'fsl_adc.h']]], @@ -50,18 +48,25 @@ var searchData= ['adc_5fsetconvseqbhighpriority',['ADC_SetConvSeqBHighPriority',['../a00009.html#ga51d600553349fbb81d96aaed4e1c22e4',1,'fsl_adc.h']]], ['adc_5fsetthresholdpair0',['ADC_SetThresholdPair0',['../a00009.html#ga473aadea5ea926efb3bc116089a45191',1,'fsl_adc.h']]], ['adc_5fsetthresholdpair1',['ADC_SetThresholdPair1',['../a00009.html#gac7a9fa5e3222604314a62d3b2f2331f7',1,'fsl_adc.h']]], - ['adc_5ftempsensor_5fcommon_5fmode_5ft',['adc_tempsensor_common_mode_t',['../a00009.html#ga2432b8bc48a2d3ede87fd021faefeaa5',1,'fsl_adc.h']]], - ['adc_5fthreshold_5fcompare_5fstatus_5ft',['adc_threshold_compare_status_t',['../a00009.html#gad47d3fd5553ab75cdf6b95268cb94f20',1,'fsl_adc.h']]], - ['adc_5fthreshold_5fcrossing_5fstatus_5ft',['adc_threshold_crossing_status_t',['../a00009.html#gae86929708f4bb8be4cc88dcf50c3db54',1,'fsl_adc.h']]], - ['adc_5fthreshold_5finterrupt_5fmode_5ft',['adc_threshold_interrupt_mode_t',['../a00009.html#gac6aab6fdd21723cfc6ed4437372521ac',1,'fsl_adc.h']]], - ['adc_5ftrigger_5fpolarity_5ft',['adc_trigger_polarity_t',['../a00009.html#ga538fb95659082602d6ebb205f21573a5',1,'fsl_adc.h']]], - ['address',['address',['../a00016.html#ad7af2a58faba6a178daa97bd06ebce36',1,'i2c_slave_address_t']]], - ['address0',['address0',['../a00016.html#a4738c7cd55260f7e8a3825d0b2278a34',1,'i2c_slave_config_t']]], - ['address1',['address1',['../a00016.html#ae19c45c96699bb3a6821150ab820b029',1,'i2c_slave_config_t']]], - ['address2',['address2',['../a00016.html#ae855ba5c53f7e585c44eae8bada85e9d',1,'i2c_slave_config_t']]], - ['address3',['address3',['../a00016.html#a213d1737a633686701581a09859213a6',1,'i2c_slave_config_t']]], - ['addressdisable',['addressDisable',['../a00016.html#aca3dcdb3ab2710d991ada52d64bf102c',1,'i2c_slave_address_t']]], + ['adc_5ftempsensor_5fcommon_5fmode_5ft',['adc_tempsensor_common_mode_t',['../a00009.html#ga45d95e134021b358833d8c08fad48806',1,'fsl_adc.h']]], + ['adc_5fthreshold_5fcompare_5fstatus_5ft',['adc_threshold_compare_status_t',['../a00009.html#ga002dc4b410e50f6ac5dfebdd2b805b53',1,'fsl_adc.h']]], + ['adc_5fthreshold_5fcrossing_5fstatus_5ft',['adc_threshold_crossing_status_t',['../a00009.html#gabc50fa38745a93781aa8e63efc39264e',1,'fsl_adc.h']]], + ['adc_5fthreshold_5finterrupt_5fmode_5ft',['adc_threshold_interrupt_mode_t',['../a00009.html#gade2b7fadaa94974ae0903b8dc2da139d',1,'fsl_adc.h']]], + ['adc_5ftrigger_5fpolarity_5ft',['adc_trigger_polarity_t',['../a00009.html#gabae195883a5fe2742c6ffc76cd605d74',1,'fsl_adc.h']]], + ['address',['address',['../a00016.html#aac0aeb8b419b1312b7f873549541be45',1,'_i2c_slave_address']]], + ['address0',['address0',['../a00016.html#a5203e2e0d3d7e4f33aae8ced4df94778',1,'_i2c_slave_config']]], + ['address1',['address1',['../a00016.html#ad750f43edf4fce1b7d46aa567fbef65e',1,'_i2c_slave_config']]], + ['address2',['address2',['../a00016.html#adc043a79268cdf3f2f9bb82f486f25ef',1,'_i2c_slave_config']]], + ['address3',['address3',['../a00016.html#aa8bf7ada2ce9d9b2fd3fe94c7cae63f2',1,'_i2c_slave_config']]], + ['addressdisable',['addressDisable',['../a00016.html#a554d799403bbf3b7a43ba535f77036de',1,'_i2c_slave_address']]], ['architectural_20overview',['Architectural Overview',['../a00004.html',1,'']]], ['array_5fsize',['ARRAY_SIZE',['../a00082.html#ga6242a25f9d996f0cc4f4cdb911218b75',1,'fsl_common.h']]], + ['at_5fnoncacheable_5fsection',['AT_NONCACHEABLE_SECTION',['../a00082.html#gad98e0c70f9195609e3c3d9e635bc207d',1,'fsl_common_arm.h']]], + ['at_5fnoncacheable_5fsection_5falign',['AT_NONCACHEABLE_SECTION_ALIGN',['../a00082.html#ga545036768d164aad61b71502371e7165',1,'fsl_common_arm.h']]], + ['at_5fnoncacheable_5fsection_5falign_5finit',['AT_NONCACHEABLE_SECTION_ALIGN_INIT',['../a00082.html#ga5861db7ec245a076dbb525bb75255cf0',1,'fsl_common_arm.h']]], + ['at_5fnoncacheable_5fsection_5finit',['AT_NONCACHEABLE_SECTION_INIT',['../a00082.html#ga0e6dbf5a33f2390db8f141f2df5055aa',1,'fsl_common_arm.h']]], + ['at_5fquickaccess_5fsection_5fcode',['AT_QUICKACCESS_SECTION_CODE',['../a00082.html#ga467c6a1ad5af6f887127bb059beb5369',1,'fsl_common_arm.h']]], + ['at_5fquickaccess_5fsection_5fdata',['AT_QUICKACCESS_SECTION_DATA',['../a00082.html#ga02126e90add2f23cf21504de04412d1a',1,'fsl_common_arm.h']]], + ['at_5fquickaccess_5fsection_5fdata_5falign',['AT_QUICKACCESS_SECTION_DATA_ALIGN',['../a00082.html#ga53074a5c435105610c452d6ac9d71ad8',1,'fsl_common_arm.h']]], ['adc_3a_2012_2dbit_20sar_20analog_2dto_2ddigital_20converter_20driver',['ADC: 12-bit SAR Analog-to-Digital Converter Driver',['../a00009.html',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_62.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_62.js index 3a92d33..928d67b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_62.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_62.js @@ -1,7 +1,7 @@ var searchData= [ - ['baudrate_5fbps',['baudRate_Bps',['../a00015.html#a2186844dc87bcde999fc12005f4c550a',1,'i2c_master_config_t::baudRate_Bps()'],['../a00019.html#ae7695987e044d80983fd98a43812b1ea',1,'spi_master_config_t::baudRate_Bps()'],['../a00020.html#a5d2631bc772901b4114b01770f9bb337',1,'usart_config_t::baudRate_Bps()']]], - ['bitcountperchar',['bitCountPerChar',['../a00020.html#ab964b3fbce4b824beff770a138fd4b6e',1,'usart_config_t']]], - ['buf',['buf',['../a00015.html#a9577474c0c4395355174df2b016108de',1,'_i2c_master_handle']]], - ['busspeed',['busSpeed',['../a00016.html#a14acc40a290c779fde0825f3a8bdbb25',1,'i2c_slave_config_t']]] + ['baudrate_5fbps',['baudRate_Bps',['../a00015.html#a282b6a9bd8e98bf35e8183665488d98c',1,'_i2c_master_config::baudRate_Bps()'],['../a00019.html#a8cba2f473c8c924773ddc933be83c939',1,'_spi_master_config::baudRate_Bps()'],['../a00020.html#a80339c90b740ca4d4417a97328641ec5',1,'_usart_config::baudRate_Bps()']]], + ['bitcountperchar',['bitCountPerChar',['../a00020.html#a610524a1732fca3c58c7f109f1a11ea6',1,'_usart_config']]], + ['buf',['buf',['../a00015.html#a62ffa1c0fea595fb26ac5604c437a549',1,'_i2c_master_handle']]], + ['busspeed',['busSpeed',['../a00016.html#a27793bbbe385b9446a1e10e1d109dbbd',1,'_i2c_slave_config']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_63.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_63.js index bf6b01c..4cd3813 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_63.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_63.js @@ -1,36 +1,36 @@ var searchData= [ - ['callback',['callback',['../a00016.html#a7229e894f762ead4bd08b4add49e6bc2',1,'_i2c_slave_handle::callback()'],['../a00019.html#a445e70d437c917e6af1b4037bdbb6a3f',1,'_spi_master_handle::callback()'],['../a00020.html#a50fd5afc23c86d872ee2a1d46bd4145e',1,'_usart_handle::callback()']]], + ['callback',['callback',['../a00016.html#aa1a2ab536368e7b2e8981879f1a3f5ca',1,'_i2c_slave_handle::callback()'],['../a00019.html#a23ddc6ed23916e8d059decde7018013b',1,'_spi_master_handle::callback()'],['../a00020.html#ac9be0049f0ca8da0b38a0bb9d09d541c',1,'_usart_handle::callback()']]], ['capt_3a_20capacitive_20touch',['CAPT: Capacitive Touch',['../a00010.html',1,'']]], ['capt_5fclearinterruptstatusflags',['CAPT_ClearInterruptStatusFlags',['../a00010.html#gaf59ec5edc272fd42a2b66231a16958eb',1,'fsl_capt.h']]], ['capt_5fclocks',['CAPT_CLOCKS',['../a00079.html#gad73456f246d91b7e9dcbe6c6fc180a2f',1,'fsl_clock.h']]], - ['capt_5fconfig_5ft',['capt_config_t',['../a00010.html#a00106',1,'']]], + ['capt_5fconfig_5ft',['capt_config_t',['../a00010.html#ga144d98c26ff4afcdeb44d1c7031cb7a0',1,'fsl_capt.h']]], ['capt_5fdeinit',['CAPT_Deinit',['../a00010.html#gaea982bc73916fdb713906a2ee49ca604',1,'fsl_capt.h']]], ['capt_5fdisableinterrupts',['CAPT_DisableInterrupts',['../a00010.html#ga382fc66ff401d2ba9bdee87e3c8c09ce',1,'fsl_capt.h']]], - ['capt_5fdma_5fmode_5ft',['capt_dma_mode_t',['../a00010.html#ga0be2df04c72a8847673bcb6d9714866a',1,'fsl_capt.h']]], + ['capt_5fdma_5fmode_5ft',['capt_dma_mode_t',['../a00010.html#ga606a6384f012744ab917b4344ec7a98d',1,'fsl_capt.h']]], ['capt_5fenableinterrupts',['CAPT_EnableInterrupts',['../a00010.html#ga14542b3f1b582974fb19b0bf359cfa17',1,'fsl_capt.h']]], ['capt_5fgetdefaultconfig',['CAPT_GetDefaultConfig',['../a00010.html#gabbf90a4a70ccba5078feb488011079c5',1,'fsl_capt.h']]], ['capt_5fgetinterruptstatusflags',['CAPT_GetInterruptStatusFlags',['../a00010.html#ga5c6166cad554e91dc0ed36d34b73ee47',1,'fsl_capt.h']]], ['capt_5fgetstatusflags',['CAPT_GetStatusFlags',['../a00010.html#ga6f6b09a873e82dd2fd25338458d0ec0d',1,'fsl_capt.h']]], ['capt_5fgettouchdata',['CAPT_GetTouchData',['../a00010.html#gaebd796b5bf0c9dff7df7921099d2febb',1,'fsl_capt.h']]], - ['capt_5finactive_5fxpins_5fmode_5ft',['capt_inactive_xpins_mode_t',['../a00010.html#ga01d767059334ad74d416f6c0287f101b',1,'fsl_capt.h']]], + ['capt_5finactive_5fxpins_5fmode_5ft',['capt_inactive_xpins_mode_t',['../a00010.html#ga0e056ff4422c6989865712a185a896d5',1,'fsl_capt.h']]], ['capt_5finit',['CAPT_Init',['../a00010.html#gafc552b775b278e7e6ea930bb42ca8506',1,'fsl_capt.h']]], - ['capt_5fmeasurement_5fdelay_5ft',['capt_measurement_delay_t',['../a00010.html#ga5ced51d15f9db8f1e6619a5859f6215d',1,'fsl_capt.h']]], - ['capt_5fpolling_5fmode_5ft',['capt_polling_mode_t',['../a00010.html#ga43dfe06b3cce27e03b4b131557a5237b',1,'fsl_capt.h']]], + ['capt_5fmeasurement_5fdelay_5ft',['capt_measurement_delay_t',['../a00010.html#ga0f65d6ba3713aaeb0b928bfadc58298d',1,'fsl_capt.h']]], + ['capt_5fpolling_5fmode_5ft',['capt_polling_mode_t',['../a00010.html#ga8bbc2f332ee8dbc12ed3da6214419ed4',1,'fsl_capt.h']]], ['capt_5fpollnow',['CAPT_PollNow',['../a00010.html#gae001e6c47608b339f65c8d2dab02c994',1,'fsl_capt.h']]], - ['capt_5freset_5fdelay_5ft',['capt_reset_delay_t',['../a00010.html#ga4394d9b57a062c83c444e391c4adf855',1,'fsl_capt.h']]], + ['capt_5freset_5fdelay_5ft',['capt_reset_delay_t',['../a00010.html#ga3d417e44bbd7b0f2c6379b6f2de6810e',1,'fsl_capt.h']]], ['capt_5fsetpollmode',['CAPT_SetPollMode',['../a00010.html#gaa5b1edec56bda3e46d42405ca8748b89',1,'fsl_capt.h']]], ['capt_5fsetthreshold',['CAPT_SetThreshold',['../a00010.html#gae9b35ae6b1978ce06851ce86a32af06e',1,'fsl_capt.h']]], - ['capt_5ftouch_5fdata_5ft',['capt_touch_data_t',['../a00010.html#a00107',1,'']]], - ['capt_5ftrigger_5fmode_5ft',['capt_trigger_mode_t',['../a00010.html#ga0c1eb1e31ad34c8654c981a5e643e2c9',1,'fsl_capt.h']]], - ['channelmask',['channelMask',['../a00009.html#ab63c0a8803f4d02e040d55efd8d58e88',1,'adc_conv_seq_config_t']]], - ['channelnumber',['channelNumber',['../a00009.html#a6829bb748bc55409fed8615f6a40bb84',1,'adc_result_info_t']]], + ['capt_5ftouch_5fdata_5ft',['capt_touch_data_t',['../a00010.html#ga5de965b76e76697fae576f93037a9d4d',1,'fsl_capt.h']]], + ['capt_5ftrigger_5fmode_5ft',['capt_trigger_mode_t',['../a00010.html#ga9e1bb8a7bd2cbff89e6f673ca57c6221',1,'fsl_capt.h']]], + ['channelmask',['channelMask',['../a00009.html#a9743fed64072f085713d02155a37ff10',1,'_adc_conv_seq_config']]], + ['channelnumber',['channelNumber',['../a00009.html#a133e25bdbfb7e5ffd30c94f5216433a5',1,'_adc_result_info']]], ['clk_5fgate_5fdefine',['CLK_GATE_DEFINE',['../a00079.html#gaea083b34e0ae608a94fce91da973a544',1,'fsl_clock.h']]], ['clock_20driver',['Clock Driver',['../a00079.html',1,'']]], ['clock_5fdeinitlposc',['CLOCK_DeinitLpOsc',['../a00079.html#gabfcd2e2eae3bb847bb6268557ad9e50f',1,'fsl_clock.h']]], - ['clock_5fdivider_5ft',['clock_divider_t',['../a00079.html#gac344a8334a482bcbcbdf0c4828db872c',1,'fsl_clock.h']]], + ['clock_5fdivider_5ft',['clock_divider_t',['../a00079.html#gafb07cc54075c6d7c97989dc0765a60f0',1,'fsl_clock.h']]], ['clock_5ffaim_5fbase',['CLOCK_FAIM_BASE',['../a00079.html#ga0da8ff794475b44b15cda07999bc8678',1,'fsl_clock.h']]], - ['clock_5ffro_5fosc_5ffreq_5ft',['clock_fro_osc_freq_t',['../a00079.html#ga85bf7440c0d77269e3db764083110334',1,'fsl_clock.h']]], + ['clock_5ffro_5fosc_5ffreq_5ft',['clock_fro_osc_freq_t',['../a00079.html#gaf6439c85442d8b622ae5932d89cee7c1',1,'fsl_clock.h']]], ['clock_5ffro_5fsetting_5fapi_5from_5faddress',['CLOCK_FRO_SETTING_API_ROM_ADDRESS',['../a00079.html#gaf4232ca3ff8c9ea3d8b3cbe4bac6d87a',1,'fsl_clock.h']]], ['clock_5fgetclockoutclkfreq',['CLOCK_GetClockOutClkFreq',['../a00079.html#gaf85cf02f515cd756873bf2a74ee143e2',1,'fsl_clock.h']]], ['clock_5fgetcoresysclkfreq',['CLOCK_GetCoreSysClkFreq',['../a00079.html#gaca0f0dcb61434d727340ddde5f131d91',1,'fsl_clock.h']]], @@ -43,30 +43,30 @@ var searchData= ['clock_5fgetuart0clkfreq',['CLOCK_GetUart0ClkFreq',['../a00079.html#ga47b7ce0a4c83a359f4bc1f2714a13761',1,'fsl_clock.h']]], ['clock_5fgetuart1clkfreq',['CLOCK_GetUart1ClkFreq',['../a00079.html#ga10c8c8ccc077404a00e1121b5d18e4a8',1,'fsl_clock.h']]], ['clock_5finitextclkin',['CLOCK_InitExtClkin',['../a00079.html#ga6506e7cdad8dcf18347cdcb1acd8c17d',1,'fsl_clock.h']]], - ['clock_5fip_5fname_5ft',['clock_ip_name_t',['../a00079.html#ga23c8b3ae62f7865b2e228408be95946d',1,'fsl_clock.h']]], - ['clock_5fmain_5fclk_5fsrc_5ft',['clock_main_clk_src_t',['../a00079.html#gab68022e8d8f60a72f86a6a6c13b6e2f4',1,'fsl_clock.h']]], - ['clock_5fname_5ft',['clock_name_t',['../a00079.html#gaf74854e9bcee544d7646c5bafdc00bd3',1,'fsl_clock.h']]], - ['clock_5fselect_5ft',['clock_select_t',['../a00079.html#ga59a363f0296ea51011dd86b405d5db58',1,'fsl_clock.h']]], + ['clock_5fip_5fname_5ft',['clock_ip_name_t',['../a00079.html#gaf3dd76fcb181c1abd9eff8ddfc4f1c13',1,'fsl_clock.h']]], + ['clock_5fmain_5fclk_5fsrc_5ft',['clock_main_clk_src_t',['../a00079.html#gaaeb9d8a57f6119f6c1cf201205a72767',1,'fsl_clock.h']]], + ['clock_5fname_5ft',['clock_name_t',['../a00079.html#gacb9ec0a8b3aa569692b550ebdcac7b3c',1,'fsl_clock.h']]], + ['clock_5fselect_5ft',['clock_select_t',['../a00079.html#gac1d9d53822e555ef06047c649968efc3',1,'fsl_clock.h']]], ['clock_5fsetfrg0clkfreq',['CLOCK_SetFRG0ClkFreq',['../a00079.html#ga4eb9737ada73b9a575250de526433dff',1,'fsl_clock.h']]], ['clock_5fsetfrooscfreq',['CLOCK_SetFroOscFreq',['../a00079.html#ga4f99eead2ff26c245d72917e9308ac1d',1,'fsl_clock.h']]], ['clock_5fsetmainclksrc',['CLOCK_SetMainClkSrc',['../a00079.html#gaa6be3b84fd2a57ec1165954d6c4564e8',1,'fsl_clock.h']]], - ['clockdivider',['clockDivider',['../a00010.html#a9a8da1759cc4ea2b284400908075c871',1,'capt_config_t']]], - ['clockdividernumber',['clockDividerNumber',['../a00009.html#abb59c0a54988e01653f80c8a59d18b1a',1,'adc_config_t']]], - ['clockfreq_5fhz',['clockFreq_Hz',['../a00022.html#a15e2f3ecd343280edfd8fbaa0bf8c9f4',1,'wwdt_config_t']]], - ['clockphase',['clockPhase',['../a00019.html#a7fbed6c387c99764c4f405fc7d9761ee',1,'spi_master_config_t::clockPhase()'],['../a00019.html#a56c657f64c2e26fe7dd772c1a77efb9e',1,'spi_slave_config_t::clockPhase()']]], - ['clockpolarity',['clockPolarity',['../a00019.html#a780c1f03e9670a2c38068a7bd49d4f13',1,'spi_master_config_t::clockPolarity()'],['../a00019.html#afd5bb71a7dc1908cb8a1860564601a45',1,'spi_slave_config_t::clockPolarity()'],['../a00020.html#ac808e4908f1d6f9ca78fec3d0b8f118a',1,'usart_config_t::clockPolarity()']]], - ['clocksource',['clockSource',['../a00021.html#ae5078ce05e0689966c2c5c49f9aaf89a',1,'wkt_config_t']]], - ['complementin',['complementIn',['../a00011.html#a9982af011e3e1fc9756dcee96281ebda',1,'crc_config_t']]], - ['complementout',['complementOut',['../a00011.html#a673b6508efa086da7b7bd537a876241e',1,'crc_config_t']]], - ['completioncallback',['completionCallback',['../a00015.html#a15b84b8a94c2b2e5ace0a695c79edd84',1,'_i2c_master_handle']]], - ['completionstatus',['completionStatus',['../a00016.html#a35adbf64ca65dd2c1b52f9260f5b9e90',1,'i2c_slave_transfer_t']]], - ['configflags',['configFlags',['../a00019.html#a582eea734badd0049c98ea3cf89b3e4b',1,'spi_transfer_t']]], - ['count',['count',['../a00010.html#a2b0d01a9feb8b378e76f4d3240e9ebe8',1,'capt_touch_data_t']]], + ['clockdivider',['clockDivider',['../a00010.html#a6261f0e14942576f0b3e5065876a142f',1,'_capt_config']]], + ['clockdividernumber',['clockDividerNumber',['../a00009.html#a55737a4c28557ec53b39ede642c6fd15',1,'_adc_config']]], + ['clockfreq_5fhz',['clockFreq_Hz',['../a00022.html#acbab700e360be852f9b80ab4a37d8f68',1,'_wwdt_config']]], + ['clockphase',['clockPhase',['../a00019.html#af9e0087b540a3d80d58ab1cd7384538f',1,'_spi_master_config::clockPhase()'],['../a00019.html#abcaa0eec27e2e09c26c4eb4d7a516de8',1,'_spi_slave_config::clockPhase()']]], + ['clockpolarity',['clockPolarity',['../a00019.html#ae90ee3831c40c91378c657046f74825f',1,'_spi_master_config::clockPolarity()'],['../a00019.html#ad3c74e7d0889da63926fe36ab14ec477',1,'_spi_slave_config::clockPolarity()'],['../a00020.html#aad8518580e6b01e84394fda5aaaac498',1,'_usart_config::clockPolarity()']]], + ['clocksource',['clockSource',['../a00021.html#a661c018494a522eba0c6bf127bd684ab',1,'_wkt_config']]], + ['complementin',['complementIn',['../a00011.html#a21fbdaae981f48037ac2ae4f17014d4e',1,'_crc_config']]], + ['complementout',['complementOut',['../a00011.html#a6b4f17bf4ed5716ce78d1505bb3b7df8',1,'_crc_config']]], + ['completioncallback',['completionCallback',['../a00015.html#a0c6862e22cf2047661ce05975756093a',1,'_i2c_master_handle']]], + ['completionstatus',['completionStatus',['../a00016.html#a5c09cb680bd188a4176823b6dfa21335',1,'_i2c_slave_transfer']]], + ['configflags',['configFlags',['../a00019.html#a59471cf32712d027d561669583d2f89f',1,'_spi_transfer']]], + ['count',['count',['../a00010.html#a0d0af0032496196c04ff3c21e08da611',1,'_capt_touch_data']]], ['count_5fto_5fmsec',['COUNT_TO_MSEC',['../a00082.html#gafa0fe6bd95d5455c99aee949cb285b20',1,'fsl_common_arm.h']]], ['count_5fto_5fusec',['COUNT_TO_USEC',['../a00082.html#ga601b69842ec962d1babd627e5a3541fd',1,'fsl_common_arm.h']]], ['crc_3a_20cyclic_20redundancy_20check_20driver',['CRC: Cyclic Redundancy Check Driver',['../a00011.html',1,'']]], ['crc_5fclocks',['CRC_CLOCKS',['../a00079.html#ga661e3aff590ce2f086ba06745317480b',1,'fsl_clock.h']]], - ['crc_5fconfig_5ft',['crc_config_t',['../a00011.html#a00108',1,'']]], + ['crc_5fconfig_5ft',['crc_config_t',['../a00011.html#ga8d7443c594312c4c8a5418fd12aa8881',1,'fsl_crc.h']]], ['crc_5fdeinit',['CRC_Deinit',['../a00011.html#ga7a4d725b011a98efb29a26bcca04c35b',1,'fsl_crc.h']]], ['crc_5fdriver_5fuse_5fcrc16_5fccitt_5ffalse_5fas_5fdefault',['CRC_DRIVER_USE_CRC16_CCITT_FALSE_AS_DEFAULT',['../a00011.html#ga37d19c87e3adeb47db8f6967df0496e1',1,'fsl_crc.h']]], ['crc_5fget16bitresult',['CRC_Get16bitResult',['../a00011.html#ga5ce766fd31166d3b0d2d7ba594dac0ce',1,'fsl_crc.h']]], @@ -74,25 +74,26 @@ var searchData= ['crc_5fgetconfig',['CRC_GetConfig',['../a00011.html#gac745b626d9c7bd9f3da7986374483389',1,'fsl_crc.h']]], ['crc_5fgetdefaultconfig',['CRC_GetDefaultConfig',['../a00011.html#gab681cd7c82fbafd927d6b22f23a81804',1,'fsl_crc.h']]], ['crc_5finit',['CRC_Init',['../a00011.html#ga7114311534a33b6688f35ceaaa3f7832',1,'fsl_crc.h']]], - ['crc_5fpolynomial_5ft',['crc_polynomial_t',['../a00011.html#ga7daa8fa0df9f42a98afcf766a63d931d',1,'fsl_crc.h']]], + ['crc_5fpolynomial_5ft',['crc_polynomial_t',['../a00011.html#ga5196acf4fbe44e56f5b3609c524d737d',1,'fsl_crc.h']]], ['crc_5freset',['CRC_Reset',['../a00011.html#ga3c2e6ecc571510470849dc078685d71f',1,'fsl_crc.h']]], ['crc_5fwritedata',['CRC_WriteData',['../a00011.html#ga33f99b0cb581e677dbc009a695adf5d5',1,'fsl_crc.h']]], ['crc_5fwriteseed',['CRC_WriteSeed',['../a00011.html#ga43edd3bec710cb1ca121bfcadbc9b6f7',1,'fsl_crc.h']]], ['ctimer_3a_20standard_20counter_2ftimers',['CTIMER: Standard counter/timers',['../a00012.html',1,'']]], ['ctimer_5fcallback_5ftype_5ft',['ctimer_callback_type_t',['../a00012.html#ga740677adee6ada817bb45ed62607c3c4',1,'fsl_ctimer.h']]], - ['ctimer_5fcapture_5fchannel_5ft',['ctimer_capture_channel_t',['../a00012.html#ga2fbf5cfa219c31ac16f3786d6897dc3f',1,'fsl_ctimer.h']]], - ['ctimer_5fcapture_5fedge_5ft',['ctimer_capture_edge_t',['../a00012.html#gac37706bc06bc7590ce1e3d1b4bf73638',1,'fsl_ctimer.h']]], + ['ctimer_5fcapture_5fchannel_5ft',['ctimer_capture_channel_t',['../a00012.html#gab58c5d25a397ad3ea4f089720cc8d173',1,'fsl_ctimer.h']]], + ['ctimer_5fcapture_5fedge_5ft',['ctimer_capture_edge_t',['../a00012.html#gaa8f3f17e5986e89e8833dfd3a6f73e49',1,'fsl_ctimer.h']]], ['ctimer_5fclearstatusflags',['CTIMER_ClearStatusFlags',['../a00012.html#ga43b7410d9c6aab6aad466bd481b9222b',1,'fsl_ctimer.h']]], ['ctimer_5fclocks',['CTIMER_CLOCKS',['../a00079.html#gabdb5fc301b32e8998fb9b52e86c5cc1a',1,'fsl_clock.h']]], - ['ctimer_5fconfig_5ft',['ctimer_config_t',['../a00012.html#a00109',1,'']]], + ['ctimer_5fconfig_5ft',['ctimer_config_t',['../a00012.html#gae51a84eed9514dfaf69dc96f0573ed66',1,'fsl_ctimer.h']]], ['ctimer_5fdeinit',['CTIMER_Deinit',['../a00012.html#ga97daa711029883d46933204381f44c9f',1,'fsl_ctimer.h']]], ['ctimer_5fdisableinterrupts',['CTIMER_DisableInterrupts',['../a00012.html#gaf1b8088779288346a7508dc7ef6e99d1',1,'fsl_ctimer.h']]], ['ctimer_5fenablefallingedgecapture',['CTIMER_EnableFallingEdgeCapture',['../a00012.html#ga37f6a866661fa105f4e66e76e02d4236',1,'fsl_ctimer.h']]], ['ctimer_5fenableinterrupts',['CTIMER_EnableInterrupts',['../a00012.html#ga24464606cafc0f316116b164966a906e',1,'fsl_ctimer.h']]], + ['ctimer_5fenablematchchannelreload',['CTIMER_EnableMatchChannelReload',['../a00012.html#ga47db49d83551ef1e38375570f29e5f0e',1,'fsl_ctimer.h']]], ['ctimer_5fenableresetmatchchannel',['CTIMER_EnableResetMatchChannel',['../a00012.html#gaeb3527b175c6158c02d76e063e2794b5',1,'fsl_ctimer.h']]], ['ctimer_5fenablerisingedgecapture',['CTIMER_EnableRisingEdgeCapture',['../a00012.html#ga0b4954f928c8e278c29325ea10aa7fa5',1,'fsl_ctimer.h']]], ['ctimer_5fenablestopmatchchannel',['CTIMER_EnableStopMatchChannel',['../a00012.html#gaf575852d70d9db218b0def620a0b7302',1,'fsl_ctimer.h']]], - ['ctimer_5fexternal_5fmatch_5ft',['ctimer_external_match_t',['../a00012.html#ga09f5eb858c001377062fe0b8a0d5bd29',1,'fsl_ctimer.h']]], + ['ctimer_5fexternal_5fmatch_5ft',['ctimer_external_match_t',['../a00012.html#ga0b8ebcf3ce7d5c70171eb3a81c5e40d7',1,'fsl_ctimer.h']]], ['ctimer_5fgetcapturevalue',['CTIMER_GetCaptureValue',['../a00012.html#gad50a3d5668e4b0e9aba06d4d555139b9',1,'fsl_ctimer.h']]], ['ctimer_5fgetdefaultconfig',['CTIMER_GetDefaultConfig',['../a00012.html#gac44f44c3f88125a41081ca2a7cf06223',1,'fsl_ctimer.h']]], ['ctimer_5fgetenabledinterrupts',['CTIMER_GetEnabledInterrupts',['../a00012.html#ga635d2570c0274ff40f10ac7b5f3317df',1,'fsl_ctimer.h']]], @@ -100,21 +101,22 @@ var searchData= ['ctimer_5fgetstatusflags',['CTIMER_GetStatusFlags',['../a00012.html#gad65b4a95cbfca13083f6d93e7a46f1cb',1,'fsl_ctimer.h']]], ['ctimer_5fgettimercountvalue',['CTIMER_GetTimerCountValue',['../a00012.html#ga0b2f1b62dee7adf60574c3410e7bd26f',1,'fsl_ctimer.h']]], ['ctimer_5finit',['CTIMER_Init',['../a00012.html#gacae8e1dbb60368ab01a9564de7eda037',1,'fsl_ctimer.h']]], - ['ctimer_5finterrupt_5fenable_5ft',['ctimer_interrupt_enable_t',['../a00012.html#ga0971c614f932bcf55994bf6c92325eb2',1,'fsl_ctimer.h']]], - ['ctimer_5fmatch_5fconfig_5ft',['ctimer_match_config_t',['../a00012.html#a00110',1,'']]], - ['ctimer_5fmatch_5foutput_5fcontrol_5ft',['ctimer_match_output_control_t',['../a00012.html#ga22cef1fc5f8e23a35b6c3a012e3d143c',1,'fsl_ctimer.h']]], - ['ctimer_5fmatch_5ft',['ctimer_match_t',['../a00012.html#gae60f7d34c9e499abba96e5979ee1818d',1,'fsl_ctimer.h']]], + ['ctimer_5finterrupt_5fenable_5ft',['ctimer_interrupt_enable_t',['../a00012.html#ga6ad362eb6d1fccbbf692e624140f8089',1,'fsl_ctimer.h']]], + ['ctimer_5fmatch_5fconfig_5ft',['ctimer_match_config_t',['../a00012.html#ga40092936e611d9c5525182c48b92a064',1,'fsl_ctimer.h']]], + ['ctimer_5fmatch_5foutput_5fcontrol_5ft',['ctimer_match_output_control_t',['../a00012.html#gaf25bea100cf94b195a396ed113f8efdf',1,'fsl_ctimer.h']]], + ['ctimer_5fmatch_5ft',['ctimer_match_t',['../a00012.html#gafaaa3af278c6301f84854a06d39fd29c',1,'fsl_ctimer.h']]], ['ctimer_5fregistercallback',['CTIMER_RegisterCallBack',['../a00012.html#gaa7de0b40e3127d3d8901398df50feb20',1,'fsl_ctimer.h']]], ['ctimer_5freset',['CTIMER_Reset',['../a00012.html#ga7ea373165af081e0e1700f7a3ba56642',1,'fsl_ctimer.h']]], ['ctimer_5fsetprescale',['CTIMER_SetPrescale',['../a00012.html#gacd6bd05573ab1128b8f3feaf30058e32',1,'fsl_ctimer.h']]], + ['ctimer_5fsetshadowvalue',['CTIMER_SetShadowValue',['../a00012.html#gabe141933756553c5fcbb23160f065b00',1,'fsl_ctimer.h']]], ['ctimer_5fsetupcapture',['CTIMER_SetupCapture',['../a00012.html#gae279db71f27ceb5a6d15ad8d17cbd670',1,'fsl_ctimer.h']]], ['ctimer_5fsetupmatch',['CTIMER_SetupMatch',['../a00012.html#ga64b1d0ba86d952b5ec30e201880c7bb5',1,'fsl_ctimer.h']]], ['ctimer_5fsetuppwm',['CTIMER_SetupPwm',['../a00012.html#ga2a70f03b835a36e5b321861d0b0ebb41',1,'fsl_ctimer.h']]], ['ctimer_5fsetuppwmperiod',['CTIMER_SetupPwmPeriod',['../a00012.html#gacb1f53d8b6a2b157194983172c448707',1,'fsl_ctimer.h']]], ['ctimer_5fstarttimer',['CTIMER_StartTimer',['../a00012.html#gae9a75a0e18a696baa795ae67aa30a120',1,'fsl_ctimer.h']]], - ['ctimer_5fstatus_5fflags_5ft',['ctimer_status_flags_t',['../a00012.html#gae64285eb2e52bf5cc2b723870392ff60',1,'fsl_ctimer.h']]], + ['ctimer_5fstatus_5fflags_5ft',['ctimer_status_flags_t',['../a00012.html#ga0004b37966f280b656f02a7879c5892d',1,'fsl_ctimer.h']]], ['ctimer_5fstoptimer',['CTIMER_StopTimer',['../a00012.html#ga3c7e8e1eb12e5f48ecca04c8128a9545',1,'fsl_ctimer.h']]], - ['ctimer_5ftimer_5fmode_5ft',['ctimer_timer_mode_t',['../a00012.html#ga9cc18e14c871b2a79888a4cdacbb0eee',1,'fsl_ctimer.h']]], + ['ctimer_5ftimer_5fmode_5ft',['ctimer_timer_mode_t',['../a00012.html#ga88c4223ed0ddac8579baee9a943fac13',1,'fsl_ctimer.h']]], ['ctimer_5fupdatepwmdutycycle',['CTIMER_UpdatePwmDutycycle',['../a00012.html#ga5d04834d4b29c8505b0a89b8875c2e78',1,'fsl_ctimer.h']]], ['ctimer_5fupdatepwmpulseperiod',['CTIMER_UpdatePwmPulsePeriod',['../a00012.html#gad9f4a6073c669662f300d395d66e16bb',1,'fsl_ctimer.h']]], ['common_20driver',['Common Driver',['../a00082.html',1,'']]] diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_64.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_64.js index 7a034b5..ba87b81 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_64.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_64.js @@ -1,7 +1,7 @@ var searchData= [ ['dac_5fclocks',['DAC_CLOCKS',['../a00079.html#gab3c8a974e25f615fe023579c847fae6c',1,'fsl_clock.h']]], - ['dac_5fconfig_5ft',['dac_config_t',['../a00013.html#a00111',1,'']]], + ['dac_5fconfig_5ft',['dac_config_t',['../a00013.html#gab9703d5a615e29deaeaa91ff01ef240f',1,'fsl_dac.h']]], ['dac_5fdeinit',['DAC_Deinit',['../a00013.html#gab9cec79763041b1df321a5839982feba',1,'fsl_dac.h']]], ['dac_5fenablecounter',['DAC_EnableCounter',['../a00013.html#gabee47ca15a3a779e490f073aa1c43563',1,'fsl_dac.h']]], ['dac_5fenabledoublebuffering',['DAC_EnableDoubleBuffering',['../a00013.html#ga5ecbd1908f7743934ccdb090ca0cb1d8',1,'fsl_dac.h']]], @@ -10,17 +10,17 @@ var searchData= ['dac_5finit',['DAC_Init',['../a00013.html#ga0331d8c8f699fac12c93a7796d961923',1,'fsl_dac.h']]], ['dac_5fsetbuffervalue',['DAC_SetBufferValue',['../a00013.html#gabaeabddab20ad3c45aed786a7d12d350',1,'fsl_dac.h']]], ['dac_5fsetcountervalue',['DAC_SetCounterValue',['../a00013.html#gabd7c9e5a5c0b6b35ed2854581f1c6fe8',1,'fsl_dac.h']]], - ['dac_5fsettling_5ftime_5ft',['dac_settling_time_t',['../a00013.html#ga82eecb700b3afeda8b95a6151d8904fc',1,'fsl_dac.h']]], - ['data',['data',['../a00015.html#a8c7f63bd1e7de04e40f5cd733f5c7388',1,'_i2c_master_transfer::data()'],['../a00020.html#acad21ced979ce0c2af98f0b1837863c9',1,'usart_transfer_t::data()']]], - ['datasize',['dataSize',['../a00015.html#a68e2dd69e1e34b1b505270e1e07e8a34',1,'_i2c_master_transfer::dataSize()'],['../a00019.html#ae003337c9398e5f5e3189f5b2ba6335b',1,'spi_transfer_t::dataSize()'],['../a00020.html#a605c0a56df2815ffb3461aaaf116752a',1,'usart_transfer_t::dataSize()']]], - ['datawidth',['dataWidth',['../a00019.html#a018402d4d181b482376a878b38db740f',1,'spi_master_config_t::dataWidth()'],['../a00019.html#adc19252b2493f3f9d12f029e57908ce5',1,'spi_slave_config_t::dataWidth()'],['../a00019.html#a11b51e4d88d3f8d9137a13ce301ed46c',1,'_spi_master_handle::dataWidth()']]], + ['dac_5fsettling_5ftime_5ft',['dac_settling_time_t',['../a00013.html#ga73e8aad041168c603df5aa5e27a4fec5',1,'fsl_dac.h']]], + ['data',['data',['../a00015.html#a083727a4268398628225a68cad8c4a50',1,'_i2c_master_transfer::data()'],['../a00020.html#af4347f3022beb6cb6d930582eaa7d284',1,'_usart_transfer::data()']]], + ['datasize',['dataSize',['../a00015.html#a7034b157201c46c116dc251e3b56412d',1,'_i2c_master_transfer::dataSize()'],['../a00019.html#a79e2b8992abc1795158494ee18ad4473',1,'_spi_transfer::dataSize()'],['../a00020.html#a772fe840060516d61371497eeda93bca',1,'_usart_transfer::dataSize()']]], + ['datawidth',['dataWidth',['../a00019.html#a8d506d4156e7e9d46ba531ff56ddd3a7',1,'_spi_master_config::dataWidth()'],['../a00019.html#a7313f9e492afbf8ff4680502124b4fe2',1,'_spi_slave_config::dataWidth()'],['../a00019.html#a078d736075e4466b9b45e066f33bfb6f',1,'_spi_master_handle::dataWidth()']]], ['dbgconsole_5fdeinit',['DbgConsole_Deinit',['../a00092.html#gad80e7aa70bbb3fce1a9168621372833e',1,'fsl_debug_console.h']]], ['dbgconsole_5fenterlowpower',['DbgConsole_EnterLowpower',['../a00092.html#ga9ce272e795c2b235265d3dfb50669bee',1,'fsl_debug_console.h']]], ['dbgconsole_5fexitlowpower',['DbgConsole_ExitLowpower',['../a00092.html#ga21831f5ee970f3a1f13ff375405f3592',1,'fsl_debug_console.h']]], ['dbgconsole_5fgetchar',['DbgConsole_Getchar',['../a00092.html#ga11898c5015274863741c4f3f4d9edc08',1,'fsl_debug_console.h']]], ['dbgconsole_5finit',['DbgConsole_Init',['../a00092.html#ga12e50ee0450679fd8ca950a89338d366',1,'fsl_debug_console.h']]], ['dbgconsole_5fprintf',['DbgConsole_Printf',['../a00092.html#ga7f9e0678f4c708ed5640b0823c07dc35',1,'fsl_debug_console.h']]], - ['dbgconsole_5fputchar',['DbgConsole_Putchar',['../a00092.html#gada572d86a06f028b5b1a5d0440f683e3',1,'fsl_debug_console.h']]], + ['dbgconsole_5fputchar',['DbgConsole_Putchar',['../a00092.html#ga8afb1b704fd0d836e6bd5aae3a417d65',1,'fsl_debug_console.h']]], ['dbgconsole_5fscanf',['DbgConsole_Scanf',['../a00092.html#ga53b115907016172dcf58fcffab144a6d',1,'fsl_debug_console.h']]], ['dbgconsole_5fvprintf',['DbgConsole_Vprintf',['../a00092.html#ga48560c409b88fbe195e140aa20c5307b',1,'fsl_debug_console.h']]], ['debug_5fconsole_5fdevice_5ftype_5fflexcomm',['DEBUG_CONSOLE_DEVICE_TYPE_FLEXCOMM',['../a00082.html#gad4f7f7e51a84c34f06bbbb34973ae97a',1,'fsl_common.h']]], @@ -38,9 +38,10 @@ var searchData= ['debugconsole_5fredirect_5fto_5fsdk',['DEBUGCONSOLE_REDIRECT_TO_SDK',['../a00092.html#gac33031f28afa29dc8fe1718bbc86ee23',1,'fsl_debug_console.h']]], ['debugconsole_5fredirect_5fto_5ftoolchain',['DEBUGCONSOLE_REDIRECT_TO_TOOLCHAIN',['../a00092.html#gabb8f0adbec02f143b4f84d2eb42126df',1,'fsl_debug_console.h']]], ['debug_20console_20lite',['Debug Console Lite',['../a00092.html',1,'']]], - ['delayconfig',['delayConfig',['../a00019.html#a787477e7b0ae7833dcbdb1c85fef361c',1,'spi_master_config_t']]], + ['delayconfig',['delayConfig',['../a00019.html#a0dd557fb69673bc7e825d0e9845fd575',1,'_spi_master_config']]], ['deprecated_20list',['Deprecated List',['../a00095.html',1,'']]], - ['direction',['direction',['../a00015.html#ac5b89dc9115b7760431c981e0c1fd443',1,'_i2c_master_transfer::direction()'],['../a00019.html#aace9261acfad8526f3d5b0b59346629a',1,'spi_master_config_t::direction()'],['../a00019.html#ad4eb458a0c1a0c0934eec53d71b78ffb',1,'spi_slave_config_t::direction()']]], + ['direction',['direction',['../a00015.html#a7bd3afcf89b78d9397b2f0c55f9deb27',1,'_i2c_master_transfer::direction()'],['../a00019.html#ae222bf1f735603efc912489f7d3b778c',1,'_spi_master_config::direction()'],['../a00019.html#aa45fa3b6868b3efab6bb769342a83b08',1,'_spi_slave_config::direction()']]], + ['disabledeepsleepirq',['DisableDeepSleepIRQ',['../a00082.html#ga73a306a0d86cc31334f1825593c613a1',1,'fsl_common_arm.h']]], ['disableglobalirq',['DisableGlobalIRQ',['../a00082.html#ga4f63764780e8eae7726d7a7e10f4b2a1',1,'fsl_common_arm.h']]], ['disableirq',['DisableIRQ',['../a00082.html#ga7f9bbd23e70eaf6670d3425683753961',1,'fsl_common_arm.h']]], ['driver_20errors_20status',['Driver errors status',['../a00006.html',1,'']]], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_65.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_65.js index eb26a71..4c3022d 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_65.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_65.js @@ -1,30 +1,32 @@ var searchData= [ - ['enablecontinuoussclk',['enableContinuousSCLK',['../a00020.html#a8d618d37f6867480bd0e1fcd9e5b50a5',1,'usart_config_t']]], - ['enablecounterreset',['enableCounterReset',['../a00012.html#aaa00b16aba273e3f11f9480154acc1e5',1,'ctimer_match_config_t']]], - ['enablecounterstop',['enableCounterStop',['../a00012.html#a94fe2c63ce7fcb5cf68f9ce73974a3e8',1,'ctimer_match_config_t']]], + ['enablecontinuoussclk',['enableContinuousSCLK',['../a00020.html#a691ca4c00ee5bd1bde98fd7c6b63a131',1,'_usart_config']]], + ['enablecounterreset',['enableCounterReset',['../a00012.html#a21541c95f8155a7668f604269630112c',1,'_ctimer_match_config']]], + ['enablecounterstop',['enableCounterStop',['../a00012.html#a20b9c2ce6be8ecf9f0f640bba9828851',1,'_ctimer_match_config']]], + ['enabledeepsleepirq',['EnableDeepSleepIRQ',['../a00082.html#ga00a1c5f2555215dc1ac509052e0f3376',1,'fsl_common_arm.h']]], ['enableglobalirq',['EnableGlobalIRQ',['../a00082.html#gacca38e4e11db8e795201c82f6ce4c9d5',1,'fsl_common_arm.h']]], - ['enablehardwareflowcontrol',['enableHardwareFlowControl',['../a00020.html#ac34e16abe408d6e9daa37741420f7ec0',1,'usart_config_t']]], - ['enableinterrupt',['enableInterrupt',['../a00012.html#ae09fd69820c9285d7f146260d2c51584',1,'ctimer_match_config_t']]], + ['enablehardwareflowcontrol',['enableHardwareFlowControl',['../a00020.html#a4d3990d1b607803e6f17c97678de7883',1,'_usart_config']]], + ['enableinterrupt',['enableInterrupt',['../a00012.html#a65dfe86005e09a191b64c31726f5b8ad',1,'_ctimer_match_config']]], ['enableirq',['EnableIRQ',['../a00082.html#ga2b92855a9ebf6eadeed1527b8339d50a',1,'fsl_common_arm.h']]], ['enableirqwithpriority',['EnableIRQWithPriority',['../a00082.html#gaa9463731425e110e2d841820f4559a3f',1,'fsl_common_arm.h']]], - ['enablelockoscillator',['enableLockOscillator',['../a00022.html#ac0e6a4a8be4bd468fdb082a156219a44',1,'wwdt_config_t']]], - ['enableloopback',['enableLoopback',['../a00019.html#a384bc00ef4cd5b4e9cb6a4d48ec336bd',1,'spi_master_config_t']]], - ['enablemaster',['enableMaster',['../a00015.html#a2419131a10906475fd31950f17ac8895',1,'i2c_master_config_t::enableMaster()'],['../a00019.html#aa033bd20cfbb1a14f0fd43f4b31bb27e',1,'spi_master_config_t::enableMaster()']]], - ['enablemultitask',['enableMultiTask',['../a00018.html#aa8d8b810ee17770fd48674454f59bb08',1,'mrt_config_t']]], - ['enablerx',['enableRx',['../a00020.html#a8b9813693fdfd2116e0d6019ea39b41d',1,'usart_config_t']]], - ['enablesinglestep',['enableSingleStep',['../a00009.html#abe7f67296372743da67e2eac58f9aafe',1,'adc_conv_seq_config_t']]], - ['enableslave',['enableSlave',['../a00016.html#a92d20835618a946b8f7702455877ef7a',1,'i2c_slave_config_t::enableSlave()'],['../a00019.html#a9fcd3fae6d886c7dfd0c3fd4cd51e6fe',1,'spi_slave_config_t::enableSlave()']]], - ['enablesyncbypass',['enableSyncBypass',['../a00009.html#aaf2640b291a24e4222953c7686007a3b',1,'adc_conv_seq_config_t']]], - ['enablesynctobusclk',['enableSyncToBusClk',['../a00008.html#a6f9542645b06542277cb07ea138e5064',1,'acomp_config_t']]], - ['enabletimeout',['enableTimeout',['../a00015.html#a1c6831135c83c013d2a3cfc3e7210357',1,'i2c_master_config_t']]], - ['enabletouchlower',['enableTouchLower',['../a00010.html#af153fbc551235805bebdcae4efa95462',1,'capt_config_t']]], - ['enabletx',['enableTx',['../a00020.html#a2c3b5faf44be34a152232b9dfaaf064d',1,'usart_config_t']]], - ['enablewaitmode',['enableWaitMode',['../a00010.html#a65c26d6fd762782ca1370d3de678e98b',1,'capt_config_t']]], - ['enablewatchdogprotect',['enableWatchdogProtect',['../a00022.html#adc32c01dcffb7f140c8e1fd049862928',1,'wwdt_config_t']]], - ['enablewatchdogreset',['enableWatchdogReset',['../a00022.html#a78ce1ec4de049becaae7e3be51772313',1,'wwdt_config_t']]], - ['enablewwdt',['enableWwdt',['../a00022.html#a405b63e15703dc92b3179b5089319e38',1,'wwdt_config_t']]], - ['enablexpins',['enableXpins',['../a00010.html#a22171a4d042ea93fa19f6d7e22caa516',1,'capt_config_t']]], - ['event',['event',['../a00016.html#ad0a9e837e9df16d89bab98a78af5bd10',1,'i2c_slave_transfer_t']]], - ['eventmask',['eventMask',['../a00016.html#a1be21257ec375e09106dfe242c02beea',1,'i2c_slave_transfer_t']]] + ['enablelockoscillator',['enableLockOscillator',['../a00022.html#aa664f6d30e5b267bf960ad09fb36275f',1,'_wwdt_config']]], + ['enableloopback',['enableLoopback',['../a00019.html#a1677d25ffaa802e2a44ea7ed20d2ca18',1,'_spi_master_config']]], + ['enablelowpowermode',['enableLowPowerMode',['../a00009.html#a0f905ed7fa606d287ad9fff223a84efb',1,'_adc_config']]], + ['enablemaster',['enableMaster',['../a00015.html#a7a58fd313d0615ac1ca70d2b4f17ae9a',1,'_i2c_master_config::enableMaster()'],['../a00019.html#a21f290440b9d5e90f40c297a35e9d5ec',1,'_spi_master_config::enableMaster()']]], + ['enablemultitask',['enableMultiTask',['../a00018.html#adf44f75ebc1e4c27ed0cf88c03c122d9',1,'_mrt_config']]], + ['enablerx',['enableRx',['../a00020.html#a370b6e0a9f1c05e037f9e940d7e36694',1,'_usart_config']]], + ['enablesinglestep',['enableSingleStep',['../a00009.html#a965d79f3c62d5614f423a1a34b72a8ea',1,'_adc_conv_seq_config']]], + ['enableslave',['enableSlave',['../a00016.html#ad720331a891010209a1209585056a2b7',1,'_i2c_slave_config::enableSlave()'],['../a00019.html#a9cd2350556d5f374c285e1cc82d1a0cd',1,'_spi_slave_config::enableSlave()']]], + ['enablesyncbypass',['enableSyncBypass',['../a00009.html#a7658740de8624cabaf36e55569f5e0a5',1,'_adc_conv_seq_config']]], + ['enablesynctobusclk',['enableSyncToBusClk',['../a00008.html#aadb2c1f3afec1367d80ee500fecf9924',1,'_acomp_config']]], + ['enabletimeout',['enableTimeout',['../a00015.html#ae638534f9f5735a294e951652c1d650b',1,'_i2c_master_config']]], + ['enabletouchlower',['enableTouchLower',['../a00010.html#afabf0825d1df7f15902138064c9dff9d',1,'_capt_config']]], + ['enabletx',['enableTx',['../a00020.html#a1d7ec8bb576610aa864cbc0107349a21',1,'_usart_config']]], + ['enablewaitmode',['enableWaitMode',['../a00010.html#a99e3cabacd7886f131f941d4ff6cf96a',1,'_capt_config']]], + ['enablewatchdogprotect',['enableWatchdogProtect',['../a00022.html#adf57c281f83db946ed4553f513f00061',1,'_wwdt_config']]], + ['enablewatchdogreset',['enableWatchdogReset',['../a00022.html#a6ff22b44e352c0182bdd87b9ff61ee2e',1,'_wwdt_config']]], + ['enablewwdt',['enableWwdt',['../a00022.html#a4a2401c8e97f8eced0fdca7ffdabacbc',1,'_wwdt_config']]], + ['enablexpins',['enableXpins',['../a00010.html#af0e94cfd8917e5b0190c2a8abff13692',1,'_capt_config']]], + ['event',['event',['../a00016.html#a461d482d4a91765e8820b55b17e7e53c',1,'_i2c_slave_transfer']]], + ['eventmask',['eventMask',['../a00016.html#a26fb4094fa78037240e2dd1d71637026',1,'_i2c_slave_transfer']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_66.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_66.js index 7a608d9..3b1372b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_66.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_66.js @@ -1,8 +1,8 @@ var searchData= [ - ['flags',['flags',['../a00015.html#a8835787e1b0f9a4b8868e7cbe53e45d5',1,'_i2c_master_transfer']]], + ['flags',['flags',['../a00015.html#a84861e140902bd67ae901b2f4c53bfc0',1,'_i2c_master_transfer']]], ['flash_5frsts_5fn',['FLASH_RSTS_N',['../a00081.html#ga337911597d5b1d45ac9ac6abb705a5ef',1,'fsl_reset.h']]], - ['framedelay',['frameDelay',['../a00019.html#a9609e5c510bf5d0c120a403ed40aed42',1,'spi_delay_config_t']]], + ['framedelay',['frameDelay',['../a00019.html#abf7584dd222128b434052c58d1bdecbc',1,'_spi_delay_config']]], ['fsl_5facomp_5fdriver_5fversion',['FSL_ACOMP_DRIVER_VERSION',['../a00008.html#ga856c35b78deb42642464ecfdb8dfa8aa',1,'fsl_acomp.h']]], ['fsl_5fadc_5fdriver_5fversion',['FSL_ADC_DRIVER_VERSION',['../a00009.html#ga5838d9fe654d6e1503be047d08ce5b32',1,'fsl_adc.h']]], ['fsl_5fcapt_5fdriver_5fversion',['FSL_CAPT_DRIVER_VERSION',['../a00010.html#ga9b69d5e0db52ef3ba10acf4f190b9fc2',1,'fsl_capt.h']]], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_67.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_67.js index 47504e9..e37820a 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_67.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_67.js @@ -5,8 +5,8 @@ var searchData= ['g_5flp_5fosc_5ffreq',['g_LP_Osc_Freq',['../a00079.html#gaee8dd95ea14cf8363042356e9fb2e5cb',1,'fsl_clock.h']]], ['gpio_5fclocks',['GPIO_CLOCKS',['../a00079.html#gac86986db423673c1d9b46882ccd6b3a9',1,'fsl_clock.h']]], ['gpio_5fint_5fclocks',['GPIO_INT_CLOCKS',['../a00079.html#ga4b1754d575449d4dab7f7e3f0131650c',1,'fsl_clock.h']]], - ['gpio_5fpin_5fconfig_5ft',['gpio_pin_config_t',['../a00014.html#a00112',1,'']]], - ['gpio_5fpin_5fdirection_5ft',['gpio_pin_direction_t',['../a00014.html#gada41ca0a2ce239fe125ee96833e715c0',1,'fsl_gpio.h']]], + ['gpio_5fpin_5fconfig_5ft',['gpio_pin_config_t',['../a00014.html#ga9279df0a87f2432dc3c5d596028223b7',1,'fsl_gpio.h']]], + ['gpio_5fpin_5fdirection_5ft',['gpio_pin_direction_t',['../a00014.html#gaf7d75755774a0f20385fbdda546f1f1d',1,'fsl_gpio.h']]], ['gpio_5fpininit',['GPIO_PinInit',['../a00014.html#ga9b8fa194d8fa5a1bf933a709d4dde014',1,'fsl_gpio.h']]], ['gpio_5fpinread',['GPIO_PinRead',['../a00014.html#gabad8dfa62a3e414cc23ff8b32eea81d0',1,'fsl_gpio.h']]], ['gpio_5fpinwrite',['GPIO_PinWrite',['../a00014.html#ga2a1113d96cdf973bb5b1eade3521adf8',1,'fsl_gpio.h']]], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_68.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_68.js index 8af68ec..b054657 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_68.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_68.js @@ -1,5 +1,5 @@ var searchData= [ - ['handle',['handle',['../a00016.html#ab74516c1edb1424ddb1554de7cae69bc',1,'i2c_slave_transfer_t']]], - ['hysteresisselection',['hysteresisSelection',['../a00008.html#a46ecb6f7efe54967d816deafdf1c65ce',1,'acomp_config_t']]] + ['handle',['handle',['../a00016.html#a5138a6295a93e877a8de83980095b8ab',1,'_i2c_slave_transfer']]], + ['hysteresisselection',['hysteresisSelection',['../a00008.html#a4263e26731a1edc99ab1334412794b0a',1,'_acomp_config']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_69.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_69.js index 9be2304..5d56fc8 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_69.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_69.js @@ -2,7 +2,7 @@ var searchData= [ ['i2c_3a_20inter_2dintegrated_20circuit_20driver',['I2C: Inter-Integrated Circuit Driver',['../a00084.html',1,'']]], ['i2c_5fclocks',['I2C_CLOCKS',['../a00079.html#gac0c74371f4f724c78ef233de79c3cf14',1,'fsl_clock.h']]], - ['i2c_5fdirection_5ft',['i2c_direction_t',['../a00015.html#gab49c827b45635206f06e5737606e4611',1,'fsl_i2c.h']]], + ['i2c_5fdirection_5ft',['i2c_direction_t',['../a00015.html#ga4bf954d998f086594eece268c780bec7',1,'fsl_i2c.h']]], ['i2c_5fdisableinterrupts',['I2C_DisableInterrupts',['../a00015.html#gac3e053611231cc886bfa38831a1ac26e',1,'fsl_i2c.h']]], ['i2c_20driver',['I2C Driver',['../a00085.html',1,'']]], ['i2c_5fenableinterrupts',['I2C_EnableInterrupts',['../a00015.html#ga0f6f1f2fe150661f8eb0a072665a9020',1,'fsl_i2c.h']]], @@ -10,9 +10,11 @@ var searchData= ['i2c_5fgetinstance',['I2C_GetInstance',['../a00015.html#ga07cc6bd20f700249c335893427bf462a',1,'fsl_i2c.h']]], ['i2c_5fgetstatusflags',['I2C_GetStatusFlags',['../a00015.html#ga441017241044ffdb828385e473f5fb58',1,'fsl_i2c.h']]], ['i2c_5fisr_5ft',['i2c_isr_t',['../a00016.html#ga64e4839b34e9156358c4eaa717b1c14a',1,'fsl_i2c.h']]], - ['i2c_5fmaster_5fconfig_5ft',['i2c_master_config_t',['../a00015.html#a00113',1,'']]], + ['i2c_5fmaster_5fconfig_5ft',['i2c_master_config_t',['../a00015.html#gad739710b6b65e12ca5ba9dc2a2a2b463',1,'fsl_i2c.h']]], ['i2c_20master_20driver',['I2C Master Driver',['../a00015.html',1,'']]], + ['i2c_5fmaster_5fhandle_5ft',['i2c_master_handle_t',['../a00015.html#ga2fd51f58b8cfd309be985bce4b817fdc',1,'fsl_i2c.h']]], ['i2c_5fmaster_5ftransfer_5fcallback_5ft',['i2c_master_transfer_callback_t',['../a00015.html#gad292a48f957a9b76593c1779d9dce497',1,'fsl_i2c.h']]], + ['i2c_5fmaster_5ftransfer_5ft',['i2c_master_transfer_t',['../a00015.html#ga7edd253f4df51a13bd0a6a0461b9f93a',1,'fsl_i2c.h']]], ['i2c_5fmasterclearstatusflags',['I2C_MasterClearStatusFlags',['../a00015.html#ga437271ffb955b77df0a5dee9ea80cc63',1,'fsl_i2c.h']]], ['i2c_5fmasterdeinit',['I2C_MasterDeinit',['../a00015.html#gadcf7122f0a38d4d9da0f052fcb167957',1,'fsl_i2c.h']]], ['i2c_5fmasterenable',['I2C_MasterEnable',['../a00015.html#ga164d97e40eb5ad625a41ad43cf938153',1,'fsl_i2c.h']]], @@ -33,16 +35,17 @@ var searchData= ['i2c_5fmastertransfernonblocking',['I2C_MasterTransferNonBlocking',['../a00015.html#gac7f76a04b6f6873c52ad176d58901fe9',1,'fsl_i2c.h']]], ['i2c_5fmasterwriteblocking',['I2C_MasterWriteBlocking',['../a00015.html#ga3b4d71b59e118adc63afbc5434e5e7dd',1,'fsl_i2c.h']]], ['i2c_5fretry_5ftimes',['I2C_RETRY_TIMES',['../a00085.html#gaac288786aaa7d0cb947c91591baf42d7',1,'fsl_i2c.h']]], - ['i2c_5fslave_5faddress_5fqual_5fmode_5ft',['i2c_slave_address_qual_mode_t',['../a00016.html#ga719dc02b99647eb8f08a05d4d6066c51',1,'fsl_i2c.h']]], - ['i2c_5fslave_5faddress_5fregister_5ft',['i2c_slave_address_register_t',['../a00016.html#ga833a7311515f1a3bf5cb8da2355cc661',1,'fsl_i2c.h']]], - ['i2c_5fslave_5faddress_5ft',['i2c_slave_address_t',['../a00016.html#a00114',1,'']]], - ['i2c_5fslave_5fbus_5fspeed_5ft',['i2c_slave_bus_speed_t',['../a00016.html#ga5f368505586dd356fc680711023ace7f',1,'fsl_i2c.h']]], - ['i2c_5fslave_5fconfig_5ft',['i2c_slave_config_t',['../a00016.html#a00115',1,'']]], + ['i2c_5fslave_5faddress_5fqual_5fmode_5ft',['i2c_slave_address_qual_mode_t',['../a00016.html#ga02d133f25b39ab4842c870de2d66179f',1,'fsl_i2c.h']]], + ['i2c_5fslave_5faddress_5fregister_5ft',['i2c_slave_address_register_t',['../a00016.html#ga5011aa3cf4340912b0a54c5fd2ccc881',1,'fsl_i2c.h']]], + ['i2c_5fslave_5faddress_5ft',['i2c_slave_address_t',['../a00016.html#ga4952975f11796f57c1dbc8c9352ee496',1,'fsl_i2c.h']]], + ['i2c_5fslave_5fbus_5fspeed_5ft',['i2c_slave_bus_speed_t',['../a00016.html#ga222f565dee8428d8f882203a4a6c22ff',1,'fsl_i2c.h']]], + ['i2c_5fslave_5fconfig_5ft',['i2c_slave_config_t',['../a00016.html#gaa70dd0ec9815617269569190efd2de5d',1,'fsl_i2c.h']]], ['i2c_20slave_20driver',['I2C Slave Driver',['../a00016.html',1,'']]], - ['i2c_5fslave_5ffsm_5ft',['i2c_slave_fsm_t',['../a00016.html#gad6564299304730416461f62255fcd37c',1,'fsl_i2c.h']]], + ['i2c_5fslave_5ffsm_5ft',['i2c_slave_fsm_t',['../a00016.html#gace8044962fd3c872ae2d7952de85525a',1,'fsl_i2c.h']]], + ['i2c_5fslave_5fhandle_5ft',['i2c_slave_handle_t',['../a00016.html#ga394e5278816efe62815de9d25be84752',1,'fsl_i2c.h']]], ['i2c_5fslave_5ftransfer_5fcallback_5ft',['i2c_slave_transfer_callback_t',['../a00016.html#ga0704c8f14da38feb11555e4127d86a18',1,'fsl_i2c.h']]], - ['i2c_5fslave_5ftransfer_5fevent_5ft',['i2c_slave_transfer_event_t',['../a00016.html#gac53e5c96a2eed1b5a95b7d84be48f4ac',1,'fsl_i2c.h']]], - ['i2c_5fslave_5ftransfer_5ft',['i2c_slave_transfer_t',['../a00016.html#a00116',1,'']]], + ['i2c_5fslave_5ftransfer_5fevent_5ft',['i2c_slave_transfer_event_t',['../a00016.html#ga95f120f6a73af41648364538cf9a6eca',1,'fsl_i2c.h']]], + ['i2c_5fslave_5ftransfer_5ft',['i2c_slave_transfer_t',['../a00016.html#ga69e696cb21165bc58176475879a471cf',1,'fsl_i2c.h']]], ['i2c_5fslaveclearstatusflags',['I2C_SlaveClearStatusFlags',['../a00016.html#ga545c1a43d8d49c4675de493d45a4063a',1,'fsl_i2c.h']]], ['i2c_5fslavedeinit',['I2C_SlaveDeinit',['../a00016.html#gadb50014db29dd75ea2001fb7bc837e77',1,'fsl_i2c.h']]], ['i2c_5fslaveenable',['I2C_SlaveEnable',['../a00016.html#gacbb93d5ea4f4b40038f41e0e942a9ee1',1,'fsl_i2c.h']]], @@ -64,20 +67,26 @@ var searchData= ['i2c_5fstat_5fmstcode_5fnackdat',['I2C_STAT_MSTCODE_NACKDAT',['../a00085.html#gac815d26a6d964108edbc558afe774828',1,'fsl_i2c.h']]], ['i2c_5fstat_5fmstcode_5frxready',['I2C_STAT_MSTCODE_RXREADY',['../a00085.html#ga6d910a54f8271411dbed62a08ac462a2',1,'fsl_i2c.h']]], ['i2c_5fstat_5fmstcode_5ftxready',['I2C_STAT_MSTCODE_TXREADY',['../a00085.html#gaa638faf1f9e205ac8df1e4cf44de0a14',1,'fsl_i2c.h']]], + ['iap_5fblankchecksector',['IAP_BlankCheckSector',['../a00083.html#ga93a68a9ca640a51b56350d59cac4dd7c',1,'fsl_iap.h']]], + ['iap_5fcompare',['IAP_Compare',['../a00083.html#ga48b79c8dc56de9714927e70ad230fa0b',1,'fsl_iap.h']]], + ['iap_5fcopyramtoflash',['IAP_CopyRamToFlash',['../a00083.html#ga6b58df04b8aae97c7e31884f3fe3413f',1,'fsl_iap.h']]], ['iap_3a_20in_20application_20programming_20driver',['IAP: In Application Programming Driver',['../a00083.html',1,'']]], + ['iap_5ferasepage',['IAP_ErasePage',['../a00083.html#ga93474c7fb9be2c15eabc9dbf73c99bdb',1,'fsl_iap.h']]], + ['iap_5ferasesector',['IAP_EraseSector',['../a00083.html#ga0093db979d7b9afca6dca250f42b2eef',1,'fsl_iap.h']]], + ['iap_5fpreparesectorforwrite',['IAP_PrepareSectorForWrite',['../a00083.html#ga361041aa626a50391c40c9d6936ba2c0',1,'fsl_iap.h']]], ['iap_5freadbootcodeversion',['IAP_ReadBootCodeVersion',['../a00083.html#ga747cfb2ed95cefa6f39ae2ad089f8ade',1,'fsl_iap.h']]], ['iap_5freadpartid',['IAP_ReadPartID',['../a00083.html#ga916f8b461de93f08044df1724290dcbd',1,'fsl_iap.h']]], ['iap_5freaduniqueid',['IAP_ReadUniqueID',['../a00083.html#ga258d8c49d494cbd0d18321af56e11440',1,'fsl_iap.h']]], ['iap_5freinvokeisp',['IAP_ReinvokeISP',['../a00083.html#ga98407c578584b1d88d7be1b3e6d9656a',1,'fsl_iap.h']]], ['introduction',['Introduction',['../a00007.html',1,'']]], - ['input',['input',['../a00012.html#ac07c23c0bbadea74c24c03e401321fbc',1,'ctimer_config_t']]], - ['interruptmode',['interruptMode',['../a00009.html#aa37fa0f5c6c3351f811d4f31f40290ad',1,'adc_conv_seq_config_t']]], + ['input',['input',['../a00012.html#ae81716b8893efcbe0a03b7a96215cd66',1,'_ctimer_config']]], + ['interruptmode',['interruptMode',['../a00009.html#a9113b389cf95ab7cbe6b6127dce14406',1,'_adc_conv_seq_config']]], ['iocon_5fclocks',['IOCON_CLOCKS',['../a00079.html#ga7c6017a8657328bdaad58beb19de84e1',1,'fsl_clock.h']]], - ['iocon_5fgroup_5ft',['iocon_group_t',['../a00017.html#a00117',1,'']]], + ['iocon_5fgroup_5ft',['iocon_group_t',['../a00017.html#ga06bfcbf91ce61bfc72ca270889515d26',1,'fsl_iocon.h']]], ['iocon_5fpinmuxset',['IOCON_PinMuxSet',['../a00017.html#gaf9258f56192a94928f67940eee5dd879',1,'fsl_iocon.h']]], ['iocon_5fsetpinmuxing',['IOCON_SetPinMuxing',['../a00017.html#gaf2cc5d2d92b4e2898a197479e77a326e',1,'fsl_iocon.h']]], ['irq_5fclearpendingirq',['IRQ_ClearPendingIRQ',['../a00082.html#gac9b0f720fcb617b3aa9cb554d87dbc55',1,'fsl_common_arm.h']]], ['irq_5fsetpriority',['IRQ_SetPriority',['../a00082.html#ga9d1df086c696c7864d98ea6ec28846f3',1,'fsl_common_arm.h']]], - ['isbusy',['isBusy',['../a00016.html#a81ece18a362fc9779750be91f7cc6b30',1,'_i2c_slave_handle']]], + ['isbusy',['isBusy',['../a00016.html#af6d7a3aa8f16a2ddacb9cf58977fd67c',1,'_i2c_slave_handle']]], ['iocon_3a_20i_2fo_20pin_20configuration',['IOCON: I/O pin configuration',['../a00017.html',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6b.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6b.js index 98be3ea..a97150b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6b.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6b.js @@ -1,35 +1,36 @@ var searchData= [ - ['kacmp_5frst_5fn_5fshift_5frstn',['kACMP_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ac1a3b1156e6dbc9d6fecb867f1486c63',1,'fsl_reset.h']]], - ['kacomp_5fhysteresis10mvselection',['kACOMP_Hysteresis10MVSelection',['../a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5aca25ed95469736b1383c06835f1a59a6f7',1,'fsl_acomp.h']]], - ['kacomp_5fhysteresis20mvselection',['kACOMP_Hysteresis20MVSelection',['../a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acab609612c0f5c6987b71f287d0ab8e02c',1,'fsl_acomp.h']]], - ['kacomp_5fhysteresis5mvselection',['kACOMP_Hysteresis5MVSelection',['../a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acae6c2b1547a7e476425962d46b44a00f9',1,'fsl_acomp.h']]], - ['kacomp_5fhysteresisnoneselection',['kACOMP_HysteresisNoneSelection',['../a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acae003c683374deba88f335221e4f6c62b',1,'fsl_acomp.h']]], - ['kacomp_5finterruptsbothedgesenable',['kACOMP_InterruptsBothEdgesEnable',['../a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a23743234a07f6c25a2b14cca0cd863c7',1,'fsl_acomp.h']]], - ['kacomp_5finterruptsfallingedgeenable',['kACOMP_InterruptsFallingEdgeEnable',['../a00008.html#gga178d521ca2ee7f33d518b30dfb627d20ad015e6ad9b09be27a6de122fde6b3997',1,'fsl_acomp.h']]], - ['kacomp_5finterruptsrisingedgeenable',['kACOMP_InterruptsRisingEdgeEnable',['../a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a25801b009aba402b9810189eb8545b8b',1,'fsl_acomp.h']]], - ['kacomp_5fladderrefvoltagepinvdd',['kACOMP_LadderRefVoltagePinVDD',['../a00008.html#gga7ad629d52b0a70f95cd2ddb3bee8ab5fa70a5aa61894fc8d2195652c2fa3423aa',1,'fsl_acomp.h']]], - ['kacomp_5fladderrefvoltagepinvddcmp',['kACOMP_LadderRefVoltagePinVDDCMP',['../a00008.html#gga7ad629d52b0a70f95cd2ddb3bee8ab5fa56718eb64fdbbd04648466547b326c35',1,'fsl_acomp.h']]], - ['kadc_5fadcinunitygainmode',['kADC_ADCInUnityGainMode',['../a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a520d81c1c70fab0701cfdcfaa46e1cae',1,'fsl_adc.h']]], - ['kadc_5fclk_5ffrom_5fextclk',['kADC_Clk_From_Extclk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a62d1ae5b5b1bb400be6618e4e2ad45ea',1,'fsl_clock.h']]], - ['kadc_5fclk_5ffrom_5ffro',['kADC_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a91f272ba2ca7171ce0689d39f9a894af',1,'fsl_clock.h']]], + ['kacmp_5frst_5fn_5fshift_5frstn',['kACMP_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ac1a3b1156e6dbc9d6fecb867f1486c63',1,'fsl_reset.h']]], + ['kacomp_5fhysteresis10mvselection',['kACOMP_Hysteresis10MVSelection',['../a00008.html#ggaaf41a27470c09c9433454b94bec78133a25ed95469736b1383c06835f1a59a6f7',1,'fsl_acomp.h']]], + ['kacomp_5fhysteresis20mvselection',['kACOMP_Hysteresis20MVSelection',['../a00008.html#ggaaf41a27470c09c9433454b94bec78133ab609612c0f5c6987b71f287d0ab8e02c',1,'fsl_acomp.h']]], + ['kacomp_5fhysteresis5mvselection',['kACOMP_Hysteresis5MVSelection',['../a00008.html#ggaaf41a27470c09c9433454b94bec78133ae6c2b1547a7e476425962d46b44a00f9',1,'fsl_acomp.h']]], + ['kacomp_5fhysteresisnoneselection',['kACOMP_HysteresisNoneSelection',['../a00008.html#ggaaf41a27470c09c9433454b94bec78133ae003c683374deba88f335221e4f6c62b',1,'fsl_acomp.h']]], + ['kacomp_5finterruptsbothedgesenable',['kACOMP_InterruptsBothEdgesEnable',['../a00008.html#gga7959160daeb14e107aa41d21b23ad7d8a23743234a07f6c25a2b14cca0cd863c7',1,'fsl_acomp.h']]], + ['kacomp_5finterruptsdisable',['kACOMP_InterruptsDisable',['../a00008.html#gga7959160daeb14e107aa41d21b23ad7d8a629a3a054d3fde07b8f1d4974a9d070d',1,'fsl_acomp.h']]], + ['kacomp_5finterruptsfallingedgeenable',['kACOMP_InterruptsFallingEdgeEnable',['../a00008.html#gga7959160daeb14e107aa41d21b23ad7d8ad015e6ad9b09be27a6de122fde6b3997',1,'fsl_acomp.h']]], + ['kacomp_5finterruptsrisingedgeenable',['kACOMP_InterruptsRisingEdgeEnable',['../a00008.html#gga7959160daeb14e107aa41d21b23ad7d8a25801b009aba402b9810189eb8545b8b',1,'fsl_acomp.h']]], + ['kacomp_5fladderrefvoltagepinvdd',['kACOMP_LadderRefVoltagePinVDD',['../a00008.html#gga3cb7b227dfa6d29bc1d83fe22eba4ddca70a5aa61894fc8d2195652c2fa3423aa',1,'fsl_acomp.h']]], + ['kacomp_5fladderrefvoltagepinvddcmp',['kACOMP_LadderRefVoltagePinVDDCMP',['../a00008.html#gga3cb7b227dfa6d29bc1d83fe22eba4ddca56718eb64fdbbd04648466547b326c35',1,'fsl_acomp.h']]], + ['kadc_5fadcinunitygainmode',['kADC_ADCInUnityGainMode',['../a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a520d81c1c70fab0701cfdcfaa46e1cae',1,'fsl_adc.h']]], + ['kadc_5fclk_5ffrom_5fextclk',['kADC_Clk_From_Extclk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a62d1ae5b5b1bb400be6618e4e2ad45ea',1,'fsl_clock.h']]], + ['kadc_5fclk_5ffrom_5ffro',['kADC_Clk_From_Fro',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a91f272ba2ca7171ce0689d39f9a894af',1,'fsl_clock.h']]], ['kadc_5fconvseqainterruptenable',['kADC_ConvSeqAInterruptEnable',['../a00009.html#ggac5899c7af1f9cac7695d7171f83be5f0a729a5315c1792954ab9455cc6fdb324a',1,'fsl_adc.h']]], ['kadc_5fconvseqainterruptflag',['kADC_ConvSeqAInterruptFlag',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a6387795dcc8897a8d256cdc4a005d1b7',1,'fsl_adc.h']]], ['kadc_5fconvseqbinterruptenable',['kADC_ConvSeqBInterruptEnable',['../a00009.html#ggac5899c7af1f9cac7695d7171f83be5f0ac8937ac90396e6c1ab8b3a8c83c530f8',1,'fsl_adc.h']]], ['kadc_5fconvseqbinterruptflag',['kADC_ConvSeqBInterruptFlag',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1ae98f97677a7acd69db8c3f1449eb59a2',1,'fsl_adc.h']]], ['kadc_5fglobaloverrunflagforseqa',['kADC_GlobalOverrunFlagForSeqA',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a991137c98bcceb8aa70a1a0184117e04',1,'fsl_adc.h']]], ['kadc_5fglobaloverrunflagforseqb',['kADC_GlobalOverrunFlagForSeqB',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a49619f2fea3c5946285d19531f70246b',1,'fsl_adc.h']]], - ['kadc_5fhighnegativeoffsetadded',['kADC_HighNegativeOffsetAdded',['../a00009.html#gga2432b8bc48a2d3ede87fd021faefeaa5a7a88de53f3fa62d9c9d073619e6f7b77',1,'fsl_adc.h']]], - ['kadc_5fimpedance55kohm',['kADC_Impedance55kOhm',['../a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a272bdcdc0d5ebca10a10d7f6927f031f',1,'fsl_adc.h']]], - ['kadc_5fimpedance621ohm',['kADC_Impedance621Ohm',['../a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a5f5dc970993e744974494e283be79e2c',1,'fsl_adc.h']]], - ['kadc_5fimpedance87kohm',['kADC_Impedance87kOhm',['../a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a4538ae9bd911369a26f43c1b5fa1150f',1,'fsl_adc.h']]], - ['kadc_5fintermediatenegativeoffsetadded',['kADC_IntermediateNegativeOffsetAdded',['../a00009.html#gga2432b8bc48a2d3ede87fd021faefeaa5a705b5ce05ce8d2499b4ae652c138339b',1,'fsl_adc.h']]], - ['kadc_5finterruptforeachconversion',['kADC_InterruptForEachConversion',['../a00009.html#gga3948c83397f351b5ed70bbaf1c5da35ba0e0b964334a5fafa867734f43c9302d1',1,'fsl_adc.h']]], - ['kadc_5finterruptforeachsequence',['kADC_InterruptForEachSequence',['../a00009.html#gga3948c83397f351b5ed70bbaf1c5da35ba77c1e0c1efa82a0e1d23b38dcdc42e8d',1,'fsl_adc.h']]], - ['kadc_5flowpositiveoffsetadded',['kADC_LowPositiveOffsetAdded',['../a00009.html#gga2432b8bc48a2d3ede87fd021faefeaa5ad18ff3e548f2107156eb50a604d26ee5',1,'fsl_adc.h']]], - ['kadc_5fmultiplexetestmode',['kADC_MultiplexeTestMode',['../a00009.html#ggafb17afb34b6114b97b8bfa331465fd23aa806598c66d17dadc451cfaab2e6af45',1,'fsl_adc.h']]], - ['kadc_5fnooffsetadded',['kADC_NoOffsetAdded',['../a00009.html#gga2432b8bc48a2d3ede87fd021faefeaa5a524bba486c728e5af9ae263057fe7475',1,'fsl_adc.h']]], - ['kadc_5fnormalfunctionalmode',['kADC_NormalFunctionalMode',['../a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a8792af738570ae4baa6f58baecc04eff',1,'fsl_adc.h']]], + ['kadc_5fhighnegativeoffsetadded',['kADC_HighNegativeOffsetAdded',['../a00009.html#ggae379cff02514632d8af1b8f52b460cf2a7a88de53f3fa62d9c9d073619e6f7b77',1,'fsl_adc.h']]], + ['kadc_5fimpedance55kohm',['kADC_Impedance55kOhm',['../a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a272bdcdc0d5ebca10a10d7f6927f031f',1,'fsl_adc.h']]], + ['kadc_5fimpedance621ohm',['kADC_Impedance621Ohm',['../a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a5f5dc970993e744974494e283be79e2c',1,'fsl_adc.h']]], + ['kadc_5fimpedance87kohm',['kADC_Impedance87kOhm',['../a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a4538ae9bd911369a26f43c1b5fa1150f',1,'fsl_adc.h']]], + ['kadc_5fintermediatenegativeoffsetadded',['kADC_IntermediateNegativeOffsetAdded',['../a00009.html#ggae379cff02514632d8af1b8f52b460cf2a705b5ce05ce8d2499b4ae652c138339b',1,'fsl_adc.h']]], + ['kadc_5finterruptforeachconversion',['kADC_InterruptForEachConversion',['../a00009.html#gga1e1cfa1d671392e8809b52b6257a7042a0e0b964334a5fafa867734f43c9302d1',1,'fsl_adc.h']]], + ['kadc_5finterruptforeachsequence',['kADC_InterruptForEachSequence',['../a00009.html#gga1e1cfa1d671392e8809b52b6257a7042a77c1e0c1efa82a0e1d23b38dcdc42e8d',1,'fsl_adc.h']]], + ['kadc_5flowpositiveoffsetadded',['kADC_LowPositiveOffsetAdded',['../a00009.html#ggae379cff02514632d8af1b8f52b460cf2ad18ff3e548f2107156eb50a604d26ee5',1,'fsl_adc.h']]], + ['kadc_5fmultiplexetestmode',['kADC_MultiplexeTestMode',['../a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6aa806598c66d17dadc451cfaab2e6af45',1,'fsl_adc.h']]], + ['kadc_5fnooffsetadded',['kADC_NoOffsetAdded',['../a00009.html#ggae379cff02514632d8af1b8f52b460cf2a524bba486c728e5af9ae263057fe7475',1,'fsl_adc.h']]], + ['kadc_5fnormalfunctionalmode',['kADC_NormalFunctionalMode',['../a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a8792af738570ae4baa6f58baecc04eff',1,'fsl_adc.h']]], ['kadc_5foverrunflagforchn0',['kADC_OverrunFlagForChn0',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a0086e00d201a353a233cd570617b9128',1,'fsl_adc.h']]], ['kadc_5foverrunflagforchn1',['kADC_OverrunFlagForChn1',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1aacd6f79515273a505a65a0dd5c8690b5',1,'fsl_adc.h']]], ['kadc_5foverrunflagforchn10',['kADC_OverrunFlagForChn10',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a373a8f9c3452766d74c2af2dd40a1dd4',1,'fsl_adc.h']]], @@ -44,15 +45,15 @@ var searchData= ['kadc_5foverrunflagforchn9',['kADC_OverrunFlagForChn9',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1aabc9b70b8c8f836ce36bc5fb29b2638c',1,'fsl_adc.h']]], ['kadc_5foverruninterruptenable',['kADC_OverrunInterruptEnable',['../a00009.html#ggac5899c7af1f9cac7695d7171f83be5f0a81d0490f6a7b7fd8301c7af3162c9770',1,'fsl_adc.h']]], ['kadc_5foverruninterruptflag',['kADC_OverrunInterruptFlag',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a648b51f9b03d99e58197f077323cada4',1,'fsl_adc.h']]], - ['kadc_5fpriorityhigh',['kADC_PriorityHigh',['../a00009.html#ggab625534aa39c1cd25f18cdc0dc3b9981a591af3687c8df2663d34e7d8ff942abe',1,'fsl_adc.h']]], - ['kadc_5fprioritylow',['kADC_PriorityLow',['../a00009.html#ggab625534aa39c1cd25f18cdc0dc3b9981aa47123e81ebbce4dd5905b0b93d0303d',1,'fsl_adc.h']]], - ['kadc_5fresolution10bitinforesultshift',['kADC_Resolution10bitInfoResultShift',['../a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28cca9923280b0a76bc961bed79aef5ecb0f3',1,'fsl_adc.h']]], - ['kadc_5fresolution12bitinforesultshift',['kADC_Resolution12bitInfoResultShift',['../a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28cca5925d865b6882eeef54d3389dc2e9f98',1,'fsl_adc.h']]], - ['kadc_5fresolution6bitinforesultshift',['kADC_Resolution6bitInfoResultShift',['../a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28ccaa51dfd6f4acca775dd0838c40eebe25e',1,'fsl_adc.h']]], - ['kadc_5fresolution8bitinforesultshift',['kADC_Resolution8bitInfoResultShift',['../a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28ccae60e431363f005f7830c1751ec9dbb3b',1,'fsl_adc.h']]], - ['kadc_5frst_5fn_5fshift_5frstn',['kADC_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a99e18503426294e439f4bb181a451999',1,'fsl_reset.h']]], - ['kadc_5fthresholdcompareaboverange',['kADC_ThresholdCompareAboveRange',['../a00009.html#ggad47d3fd5553ab75cdf6b95268cb94f20a88f6c1b1c2081034a524d1f07bda8479',1,'fsl_adc.h']]], - ['kadc_5fthresholdcomparebelowrange',['kADC_ThresholdCompareBelowRange',['../a00009.html#ggad47d3fd5553ab75cdf6b95268cb94f20a4957dc189299bb3397555dbb37991903',1,'fsl_adc.h']]], + ['kadc_5fpriorityhigh',['kADC_PriorityHigh',['../a00009.html#gga895b86955b34760035b75f48ed891a7ca591af3687c8df2663d34e7d8ff942abe',1,'fsl_adc.h']]], + ['kadc_5fprioritylow',['kADC_PriorityLow',['../a00009.html#gga895b86955b34760035b75f48ed891a7caa47123e81ebbce4dd5905b0b93d0303d',1,'fsl_adc.h']]], + ['kadc_5fresolution10bitinforesultshift',['kADC_Resolution10bitInfoResultShift',['../a00009.html#ggadd80a979d7edaf06b04a7720dcbf6560a9923280b0a76bc961bed79aef5ecb0f3',1,'fsl_adc.h']]], + ['kadc_5fresolution12bitinforesultshift',['kADC_Resolution12bitInfoResultShift',['../a00009.html#ggadd80a979d7edaf06b04a7720dcbf6560a5925d865b6882eeef54d3389dc2e9f98',1,'fsl_adc.h']]], + ['kadc_5fresolution6bitinforesultshift',['kADC_Resolution6bitInfoResultShift',['../a00009.html#ggadd80a979d7edaf06b04a7720dcbf6560aa51dfd6f4acca775dd0838c40eebe25e',1,'fsl_adc.h']]], + ['kadc_5fresolution8bitinforesultshift',['kADC_Resolution8bitInfoResultShift',['../a00009.html#ggadd80a979d7edaf06b04a7720dcbf6560ae60e431363f005f7830c1751ec9dbb3b',1,'fsl_adc.h']]], + ['kadc_5frst_5fn_5fshift_5frstn',['kADC_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a99e18503426294e439f4bb181a451999',1,'fsl_reset.h']]], + ['kadc_5fthresholdcompareaboverange',['kADC_ThresholdCompareAboveRange',['../a00009.html#gga0b4c2ffa22cd01dc1d08b0c6f3b08965a88f6c1b1c2081034a524d1f07bda8479',1,'fsl_adc.h']]], + ['kadc_5fthresholdcomparebelowrange',['kADC_ThresholdCompareBelowRange',['../a00009.html#gga0b4c2ffa22cd01dc1d08b0c6f3b08965a4957dc189299bb3397555dbb37991903',1,'fsl_adc.h']]], ['kadc_5fthresholdcompareflagonchn0',['kADC_ThresholdCompareFlagOnChn0',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a07b97b0e0329e40c1829ac1e54467279',1,'fsl_adc.h']]], ['kadc_5fthresholdcompareflagonchn1',['kADC_ThresholdCompareFlagOnChn1',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a5f66d1dcf413402eff0001649d32f853',1,'fsl_adc.h']]], ['kadc_5fthresholdcompareflagonchn10',['kADC_ThresholdCompareFlagOnChn10',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a50a526a957a500f1d1230856e5f62cb0',1,'fsl_adc.h']]], @@ -65,32 +66,32 @@ var searchData= ['kadc_5fthresholdcompareflagonchn7',['kADC_ThresholdCompareFlagOnChn7',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a261489b6eaf9fd1c667f3b7730670c35',1,'fsl_adc.h']]], ['kadc_5fthresholdcompareflagonchn8',['kADC_ThresholdCompareFlagOnChn8',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a091532f8a931257bed8d481d746ce483',1,'fsl_adc.h']]], ['kadc_5fthresholdcompareflagonchn9',['kADC_ThresholdCompareFlagOnChn9',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1aad52525faafab93597654764e5b76e97',1,'fsl_adc.h']]], - ['kadc_5fthresholdcompareinrange',['kADC_ThresholdCompareInRange',['../a00009.html#ggad47d3fd5553ab75cdf6b95268cb94f20a0879cb322fa21eea083514475b2e5c92',1,'fsl_adc.h']]], + ['kadc_5fthresholdcompareinrange',['kADC_ThresholdCompareInRange',['../a00009.html#gga0b4c2ffa22cd01dc1d08b0c6f3b08965a0879cb322fa21eea083514475b2e5c92',1,'fsl_adc.h']]], ['kadc_5fthresholdcompareinterruptflag',['kADC_ThresholdCompareInterruptFlag',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a33cf119645a07f65a85a0330e8caac59',1,'fsl_adc.h']]], - ['kadc_5fthresholdcrossingdownward',['kADC_ThresholdCrossingDownward',['../a00009.html#ggae86929708f4bb8be4cc88dcf50c3db54ac5aec5587ce5a042aed8506c8e732422',1,'fsl_adc.h']]], - ['kadc_5fthresholdcrossingnodetected',['kADC_ThresholdCrossingNoDetected',['../a00009.html#ggae86929708f4bb8be4cc88dcf50c3db54abf34f1a6b0ab0bb0338143eba9344156',1,'fsl_adc.h']]], - ['kadc_5fthresholdcrossingupward',['kADC_ThresholdCrossingUpward',['../a00009.html#ggae86929708f4bb8be4cc88dcf50c3db54a84de2355e5d40cc75c1ac6e56feb7153',1,'fsl_adc.h']]], - ['kadc_5fthresholdinterruptdisabled',['kADC_ThresholdInterruptDisabled',['../a00009.html#ggac6aab6fdd21723cfc6ed4437372521aca9302e8f9009ba4561655bb2903156522',1,'fsl_adc.h']]], - ['kadc_5fthresholdinterruptoncrossing',['kADC_ThresholdInterruptOnCrossing',['../a00009.html#ggac6aab6fdd21723cfc6ed4437372521acab2db65594aeb9ce82f73ace49535d278',1,'fsl_adc.h']]], - ['kadc_5fthresholdinterruptonoutside',['kADC_ThresholdInterruptOnOutside',['../a00009.html#ggac6aab6fdd21723cfc6ed4437372521aca699feabaae444d40c7261e26a2872af8',1,'fsl_adc.h']]], - ['kadc_5ftriggerpolaritynegativeedge',['kADC_TriggerPolarityNegativeEdge',['../a00009.html#gga538fb95659082602d6ebb205f21573a5a2515d6b46f5b471d516ceff71dda5bb5',1,'fsl_adc.h']]], - ['kadc_5ftriggerpolaritypositiveedge',['kADC_TriggerPolarityPositiveEdge',['../a00009.html#gga538fb95659082602d6ebb205f21573a5a1e29943afcd9c5266a8401565798febe',1,'fsl_adc.h']]], - ['kbod_5finterruptlevel1',['kBod_InterruptLevel1',['../a00080.html#ggad856ab8003eb9953525518cddbc7814ca186e757d5a202f806afd889315af3639',1,'fsl_power.h']]], - ['kbod_5finterruptlevel2',['kBod_InterruptLevel2',['../a00080.html#ggad856ab8003eb9953525518cddbc7814ca2094c3f450488ea077bf45edabd227ba',1,'fsl_power.h']]], - ['kbod_5finterruptlevel3',['kBod_InterruptLevel3',['../a00080.html#ggad856ab8003eb9953525518cddbc7814cab28fa5a4937806aefbc4d259a419d354',1,'fsl_power.h']]], - ['kbod_5finterruptlevelreserved',['kBod_InterruptLevelReserved',['../a00080.html#ggad856ab8003eb9953525518cddbc7814cabc8bc042a952213b5364fcc75fb2e459',1,'fsl_power.h']]], - ['kbod_5fresetlevel0',['kBod_ResetLevel0',['../a00080.html#ggad4414c07be8e296fdf41c256e605c161a6767e4aee5d7fbe50704f21d413f5442',1,'fsl_power.h']]], + ['kadc_5fthresholdcrossingdownward',['kADC_ThresholdCrossingDownward',['../a00009.html#gga0aeab7e111fc623f3b1a20cf36884b9dac5aec5587ce5a042aed8506c8e732422',1,'fsl_adc.h']]], + ['kadc_5fthresholdcrossingnodetected',['kADC_ThresholdCrossingNoDetected',['../a00009.html#gga0aeab7e111fc623f3b1a20cf36884b9dabf34f1a6b0ab0bb0338143eba9344156',1,'fsl_adc.h']]], + ['kadc_5fthresholdcrossingupward',['kADC_ThresholdCrossingUpward',['../a00009.html#gga0aeab7e111fc623f3b1a20cf36884b9da84de2355e5d40cc75c1ac6e56feb7153',1,'fsl_adc.h']]], + ['kadc_5fthresholdinterruptdisabled',['kADC_ThresholdInterruptDisabled',['../a00009.html#gga703e45dd351d168a4d8ab2e5a605ec93a9302e8f9009ba4561655bb2903156522',1,'fsl_adc.h']]], + ['kadc_5fthresholdinterruptoncrossing',['kADC_ThresholdInterruptOnCrossing',['../a00009.html#gga703e45dd351d168a4d8ab2e5a605ec93ab2db65594aeb9ce82f73ace49535d278',1,'fsl_adc.h']]], + ['kadc_5fthresholdinterruptonoutside',['kADC_ThresholdInterruptOnOutside',['../a00009.html#gga703e45dd351d168a4d8ab2e5a605ec93a699feabaae444d40c7261e26a2872af8',1,'fsl_adc.h']]], + ['kadc_5ftriggerpolaritynegativeedge',['kADC_TriggerPolarityNegativeEdge',['../a00009.html#ggafd224e352527936e20c50ac8c39ad9c8a2515d6b46f5b471d516ceff71dda5bb5',1,'fsl_adc.h']]], + ['kadc_5ftriggerpolaritypositiveedge',['kADC_TriggerPolarityPositiveEdge',['../a00009.html#ggafd224e352527936e20c50ac8c39ad9c8a1e29943afcd9c5266a8401565798febe',1,'fsl_adc.h']]], + ['kbod_5finterruptlevel1',['kBod_InterruptLevel1',['../a00080.html#ggaeadfcaceef8506b5b8fff8c2f1ff2908a186e757d5a202f806afd889315af3639',1,'fsl_power.h']]], + ['kbod_5finterruptlevel2',['kBod_InterruptLevel2',['../a00080.html#ggaeadfcaceef8506b5b8fff8c2f1ff2908a2094c3f450488ea077bf45edabd227ba',1,'fsl_power.h']]], + ['kbod_5finterruptlevel3',['kBod_InterruptLevel3',['../a00080.html#ggaeadfcaceef8506b5b8fff8c2f1ff2908ab28fa5a4937806aefbc4d259a419d354',1,'fsl_power.h']]], + ['kbod_5finterruptlevelreserved',['kBod_InterruptLevelReserved',['../a00080.html#ggaeadfcaceef8506b5b8fff8c2f1ff2908abc8bc042a952213b5364fcc75fb2e459',1,'fsl_power.h']]], + ['kbod_5fresetlevel0',['kBod_ResetLevel0',['../a00080.html#ggac443df372898826727572d3025ae104ea6767e4aee5d7fbe50704f21d413f5442',1,'fsl_power.h']]], ['kcapt_5fbusystatusflag',['kCAPT_BusyStatusFlag',['../a00010.html#gga86048ff411e845cbde51d9324b5a4b0fa1a35bccccdc8b9c911a96a14f6413579',1,'fsl_capt.h']]], - ['kcapt_5fclk_5ffrom_5ffro',['kCAPT_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58af1d8576caccfd1e48d121fae4315c10d',1,'fsl_clock.h']]], - ['kcapt_5fclk_5ffrom_5ffro_5fdiv',['kCAPT_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58abae02f94616cc17800067d5601943b5e',1,'fsl_clock.h']]], - ['kcapt_5fclk_5ffrom_5flposc',['kCAPT_Clk_From_LPOsc',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58aea7f16ca2ff13032c4cc33a2c45332ae',1,'fsl_clock.h']]], - ['kcapt_5fclk_5ffrom_5fmainclk',['kCAPT_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a28b61eb46fb752a05934e2d44450acc0',1,'fsl_clock.h']]], - ['kcapt_5fcomparatortriggermode',['kCAPT_ComparatorTriggerMode',['../a00010.html#gga0c1eb1e31ad34c8654c981a5e643e2c9a29ad6662e14cd08a6d3771ecbd591619',1,'fsl_capt.h']]], - ['kcapt_5fdmatriggeronallmode',['kCAPT_DMATriggerOnAllMode',['../a00010.html#gga0be2df04c72a8847673bcb6d9714866aae7dbcc430de0ec04afa4b600985bfaf7',1,'fsl_capt.h']]], - ['kcapt_5fdmatriggeronbothmode',['kCAPT_DMATriggerOnBothMode',['../a00010.html#gga0be2df04c72a8847673bcb6d9714866aac710d56353625bbc2ed100f6dc28111d',1,'fsl_capt.h']]], - ['kcapt_5fdmatriggerontouchmode',['kCAPT_DMATriggerOnTouchMode',['../a00010.html#gga0be2df04c72a8847673bcb6d9714866aacf5512e0ec996846318dc272e7366887',1,'fsl_capt.h']]], - ['kcapt_5finactivexpinsdrivenlowmode',['kCAPT_InactiveXpinsDrivenLowMode',['../a00010.html#gga01d767059334ad74d416f6c0287f101ba080299d9cd40fafc670901715422dbbc',1,'fsl_capt.h']]], - ['kcapt_5finactivexpinshighzmode',['kCAPT_InactiveXpinsHighZMode',['../a00010.html#gga01d767059334ad74d416f6c0287f101bac07d74a17c9f9d1b9119b89639f54b9b',1,'fsl_capt.h']]], + ['kcapt_5fclk_5ffrom_5ffro',['kCAPT_Clk_From_Fro',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85af1d8576caccfd1e48d121fae4315c10d',1,'fsl_clock.h']]], + ['kcapt_5fclk_5ffrom_5ffro_5fdiv',['kCAPT_Clk_From_Fro_Div',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85abae02f94616cc17800067d5601943b5e',1,'fsl_clock.h']]], + ['kcapt_5fclk_5ffrom_5flposc',['kCAPT_Clk_From_LPOsc',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85aea7f16ca2ff13032c4cc33a2c45332ae',1,'fsl_clock.h']]], + ['kcapt_5fclk_5ffrom_5fmainclk',['kCAPT_Clk_From_MainClk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a28b61eb46fb752a05934e2d44450acc0',1,'fsl_clock.h']]], + ['kcapt_5fcomparatortriggermode',['kCAPT_ComparatorTriggerMode',['../a00010.html#ggae36ac2d48fdabc6713754765cb654bd2a29ad6662e14cd08a6d3771ecbd591619',1,'fsl_capt.h']]], + ['kcapt_5fdmatriggeronallmode',['kCAPT_DMATriggerOnAllMode',['../a00010.html#gga00234907358f91917dca95eff851ead9ae7dbcc430de0ec04afa4b600985bfaf7',1,'fsl_capt.h']]], + ['kcapt_5fdmatriggeronbothmode',['kCAPT_DMATriggerOnBothMode',['../a00010.html#gga00234907358f91917dca95eff851ead9ac710d56353625bbc2ed100f6dc28111d',1,'fsl_capt.h']]], + ['kcapt_5fdmatriggerontouchmode',['kCAPT_DMATriggerOnTouchMode',['../a00010.html#gga00234907358f91917dca95eff851ead9acf5512e0ec996846318dc272e7366887',1,'fsl_capt.h']]], + ['kcapt_5finactivexpinsdrivenlowmode',['kCAPT_InactiveXpinsDrivenLowMode',['../a00010.html#gga9f532395f74b4c57cf60754a72e619bda080299d9cd40fafc670901715422dbbc',1,'fsl_capt.h']]], + ['kcapt_5finactivexpinshighzmode',['kCAPT_InactiveXpinsHighZMode',['../a00010.html#gga9f532395f74b4c57cf60754a72e619bdac07d74a17c9f9d1b9119b89639f54b9b',1,'fsl_capt.h']]], ['kcapt_5finterruptofnotouchenable',['kCAPT_InterruptOfNoTouchEnable',['../a00010.html#gga6ac2e06f77196123f25e3f5c79ae6ea5afbc435647bf5d8ec4b8133bb54457d8b',1,'fsl_capt.h']]], ['kcapt_5finterruptofnotouchstatusflag',['kCAPT_InterruptOfNoTouchStatusFlag',['../a00010.html#gga6764adb0a0bda236d301256120cddae0a1d4948f18e10cda189c9c9f89b76f0f8',1,'fsl_capt.h']]], ['kcapt_5finterruptofoverrunenable',['kCAPT_InterruptOfOverRunEnable',['../a00010.html#gga6ac2e06f77196123f25e3f5c79ae6ea5af27b5126282bcda28c64a9e9be550b88',1,'fsl_capt.h']]], @@ -101,18 +102,18 @@ var searchData= ['kcapt_5finterruptoftimeoutstatusflag',['kCAPT_InterruptOfTimeOutStatusFlag',['../a00010.html#gga6764adb0a0bda236d301256120cddae0a84d8d50b7d358cbc9612a1751074ee15',1,'fsl_capt.h']]], ['kcapt_5finterruptofyestouchenable',['kCAPT_InterruptOfYesTouchEnable',['../a00010.html#gga6ac2e06f77196123f25e3f5c79ae6ea5ae1bd26a87ec4a5bb4c250856cf1c1994',1,'fsl_capt.h']]], ['kcapt_5finterruptofyestouchstatusflag',['kCAPT_InterruptOfYesTouchStatusFlag',['../a00010.html#gga6764adb0a0bda236d301256120cddae0a404cfdab3986fef656232281d68a4e6b',1,'fsl_capt.h']]], - ['kcapt_5fmeasuredelaynowait',['kCAPT_MeasureDelayNoWait',['../a00010.html#gga5ced51d15f9db8f1e6619a5859f6215dafe63c76a86da5c022a74985bd052a07f',1,'fsl_capt.h']]], - ['kcapt_5fmeasuredelaywait3fclks',['kCAPT_MeasureDelayWait3FCLKs',['../a00010.html#gga5ced51d15f9db8f1e6619a5859f6215dae787b59b2f377b1ef65d0c13f45e757e',1,'fsl_capt.h']]], - ['kcapt_5fmeasuredelaywait5fclks',['kCAPT_MeasureDelayWait5FCLKs',['../a00010.html#gga5ced51d15f9db8f1e6619a5859f6215dae746038d0fc4423106a252b02ec58ac2',1,'fsl_capt.h']]], - ['kcapt_5fmeasuredelaywait9fclks',['kCAPT_MeasureDelayWait9FCLKs',['../a00010.html#gga5ced51d15f9db8f1e6619a5859f6215da839864dc82a65aa09bccd6c672f23a80',1,'fsl_capt.h']]], - ['kcapt_5fpollcontinuousmode',['kCAPT_PollContinuousMode',['../a00010.html#gga43dfe06b3cce27e03b4b131557a5237baca7d1fdc5128af90a17c6e71a9133dda',1,'fsl_capt.h']]], - ['kcapt_5fpollinactivemode',['kCAPT_PollInactiveMode',['../a00010.html#gga43dfe06b3cce27e03b4b131557a5237ba70a38885a33a8996934ad68c3322df89',1,'fsl_capt.h']]], - ['kcapt_5fpollnowmode',['kCAPT_PollNowMode',['../a00010.html#gga43dfe06b3cce27e03b4b131557a5237ba50f00dbce20a1a3390c77501baccf4a0',1,'fsl_capt.h']]], - ['kcapt_5fresetdelaynowait',['kCAPT_ResetDelayNoWait',['../a00010.html#gga4394d9b57a062c83c444e391c4adf855a306721a69ff013b3f7784bb5d503fa1a',1,'fsl_capt.h']]], - ['kcapt_5fresetdelaywait3fclks',['kCAPT_ResetDelayWait3FCLKs',['../a00010.html#gga4394d9b57a062c83c444e391c4adf855a505d962a510aaf415ee47e6d92ca932c',1,'fsl_capt.h']]], - ['kcapt_5fresetdelaywait5fclks',['kCAPT_ResetDelayWait5FCLKs',['../a00010.html#gga4394d9b57a062c83c444e391c4adf855aadd3a0bd70e2f2795032fc953d97c677',1,'fsl_capt.h']]], - ['kcapt_5fresetdelaywait9fclks',['kCAPT_ResetDelayWait9FCLKs',['../a00010.html#gga4394d9b57a062c83c444e391c4adf855a4d1bfa8129b289d5fe1f56dd980343ea',1,'fsl_capt.h']]], - ['kcapt_5frst_5fn_5fshift_5frstn',['kCAPT_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a6946809531736fcf4d7067e31f73813b',1,'fsl_reset.h']]], + ['kcapt_5fmeasuredelaynowait',['kCAPT_MeasureDelayNoWait',['../a00010.html#gga981c7dd2659c3c59529d314722d3e937afe63c76a86da5c022a74985bd052a07f',1,'fsl_capt.h']]], + ['kcapt_5fmeasuredelaywait3fclks',['kCAPT_MeasureDelayWait3FCLKs',['../a00010.html#gga981c7dd2659c3c59529d314722d3e937ae787b59b2f377b1ef65d0c13f45e757e',1,'fsl_capt.h']]], + ['kcapt_5fmeasuredelaywait5fclks',['kCAPT_MeasureDelayWait5FCLKs',['../a00010.html#gga981c7dd2659c3c59529d314722d3e937ae746038d0fc4423106a252b02ec58ac2',1,'fsl_capt.h']]], + ['kcapt_5fmeasuredelaywait9fclks',['kCAPT_MeasureDelayWait9FCLKs',['../a00010.html#gga981c7dd2659c3c59529d314722d3e937a839864dc82a65aa09bccd6c672f23a80',1,'fsl_capt.h']]], + ['kcapt_5fpollcontinuousmode',['kCAPT_PollContinuousMode',['../a00010.html#gga66b33c0d7a75bb762caef24e9c2dca6daca7d1fdc5128af90a17c6e71a9133dda',1,'fsl_capt.h']]], + ['kcapt_5fpollinactivemode',['kCAPT_PollInactiveMode',['../a00010.html#gga66b33c0d7a75bb762caef24e9c2dca6da70a38885a33a8996934ad68c3322df89',1,'fsl_capt.h']]], + ['kcapt_5fpollnowmode',['kCAPT_PollNowMode',['../a00010.html#gga66b33c0d7a75bb762caef24e9c2dca6da50f00dbce20a1a3390c77501baccf4a0',1,'fsl_capt.h']]], + ['kcapt_5fresetdelaynowait',['kCAPT_ResetDelayNoWait',['../a00010.html#gga63b8630c7a923a59dc8c91390a749405a306721a69ff013b3f7784bb5d503fa1a',1,'fsl_capt.h']]], + ['kcapt_5fresetdelaywait3fclks',['kCAPT_ResetDelayWait3FCLKs',['../a00010.html#gga63b8630c7a923a59dc8c91390a749405a505d962a510aaf415ee47e6d92ca932c',1,'fsl_capt.h']]], + ['kcapt_5fresetdelaywait5fclks',['kCAPT_ResetDelayWait5FCLKs',['../a00010.html#gga63b8630c7a923a59dc8c91390a749405aadd3a0bd70e2f2795032fc953d97c677',1,'fsl_capt.h']]], + ['kcapt_5fresetdelaywait9fclks',['kCAPT_ResetDelayWait9FCLKs',['../a00010.html#gga63b8630c7a923a59dc8c91390a749405a4d1bfa8129b289d5fe1f56dd980343ea',1,'fsl_capt.h']]], + ['kcapt_5frst_5fn_5fshift_5frstn',['kCAPT_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a6946809531736fcf4d7067e31f73813b',1,'fsl_reset.h']]], ['kcapt_5fx0pin',['kCAPT_X0Pin',['../a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5ad4b60dd2d35d11a91c11630a7fe91640',1,'fsl_capt.h']]], ['kcapt_5fx10pin',['kCAPT_X10Pin',['../a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a72314787f99f4a5ba7e51c1445fe65f2',1,'fsl_capt.h']]], ['kcapt_5fx11pin',['kCAPT_X11Pin',['../a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a53723bf555f64a437f12dff73e7f2188',1,'fsl_capt.h']]], @@ -130,139 +131,139 @@ var searchData= ['kcapt_5fx8pin',['kCAPT_X8Pin',['../a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a9801372f0a31c85b186120eea0c658f8',1,'fsl_capt.h']]], ['kcapt_5fx9pin',['kCAPT_X9Pin',['../a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a85bab394942771628a37eb7b0fd5998f',1,'fsl_capt.h']]], ['kcapt_5fxmaxstatusflag',['kCAPT_XMAXStatusFlag',['../a00010.html#gga86048ff411e845cbde51d9324b5a4b0fa9210dee59a14aaec97257fac9a20ae9c',1,'fsl_capt.h']]], - ['kcapt_5fyhporttriggermode',['kCAPT_YHPortTriggerMode',['../a00010.html#gga0c1eb1e31ad34c8654c981a5e643e2c9aba5349742fd4b19f8a7ccc0bdc9cb514',1,'fsl_capt.h']]], - ['kclkout_5ffrom_5fextclk',['kCLKOUT_From_ExtClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a8671f2c92f6e049ed8b2f3b7a5b9b296',1,'fsl_clock.h']]], - ['kclkout_5ffrom_5ffro',['kCLKOUT_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58af2ea89b865003dc40f8923f67d7bb366',1,'fsl_clock.h']]], - ['kclkout_5ffrom_5flposc',['kCLKOUT_From_Lposc',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a34737a1ca71167db472e07d6d9bd4b39',1,'fsl_clock.h']]], - ['kclkout_5ffrom_5fmainclk',['kCLKOUT_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a20d47beaa61ee59b61c34fa4f7e30d21',1,'fsl_clock.h']]], - ['kclock_5facmp',['kCLOCK_Acmp',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da5c44f9347603d8c7fd8eda74e3b3e40f',1,'fsl_clock.h']]], - ['kclock_5fadc',['kCLOCK_Adc',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da2bc16e3ee343b4abfc46ae9506461e97',1,'fsl_clock.h']]], - ['kclock_5fcapt',['kCLOCK_Capt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da0ffae7aa0d9dbc52524beb5f82ced038',1,'fsl_clock.h']]], - ['kclock_5fcoresysclk',['kCLOCK_CoreSysClk',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a1de4a40f5399eb025d5faefda7491918',1,'fsl_clock.h']]], - ['kclock_5fcrc',['kCLOCK_Crc',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946daa1cfbe8497997fc6dff0d7f0c070aa5a',1,'fsl_clock.h']]], - ['kclock_5fctimer0',['kCLOCK_Ctimer0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946dad2e9ebc3825a65fae3c13efbc805a128',1,'fsl_clock.h']]], - ['kclock_5fdac',['kCLOCK_Dac',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da32dc8a8723c16dbf0af95927f54a4dcc',1,'fsl_clock.h']]], - ['kclock_5fdivadcclk',['kCLOCK_DivAdcClk',['../a00079.html#ggac344a8334a482bcbcbdf0c4828db872ca7b3998fdf96eb884e35ff56f40feffbd',1,'fsl_clock.h']]], - ['kclock_5fdivahbclk',['kCLOCK_DivAhbClk',['../a00079.html#ggac344a8334a482bcbcbdf0c4828db872caf4f25357ef9794d7d72417977d27ffb2',1,'fsl_clock.h']]], - ['kclock_5fdivclkout',['kCLOCK_DivClkOut',['../a00079.html#ggac344a8334a482bcbcbdf0c4828db872caa7236a2c00237ca7ee5b2ff55058ded6',1,'fsl_clock.h']]], - ['kclock_5fextclk',['kCLOCK_ExtClk',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a30e6333c132740b84bf2ce94b8c44a8f',1,'fsl_clock.h']]], - ['kclock_5fflash',['kCLOCK_Flash',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da00b88c0e9bb08d8b622140c46416d4b9',1,'fsl_clock.h']]], - ['kclock_5ffrg0',['kCLOCK_Frg0',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3aa27972710b7df62d66918584843aee06',1,'fsl_clock.h']]], - ['kclock_5ffro',['kCLOCK_Fro',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01a946b874db04d12aba4c3bbe461580',1,'fsl_clock.h']]], - ['kclock_5ffrodiv',['kCLOCK_FroDiv',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01d0c099adda9e435281c887b12095a8',1,'fsl_clock.h']]], - ['kclock_5ffrooscout18m',['kCLOCK_FroOscOut18M',['../a00079.html#gga85bf7440c0d77269e3db764083110334a60f89d729c96295d1aaa741a412d58ab',1,'fsl_clock.h']]], - ['kclock_5ffrooscout24m',['kCLOCK_FroOscOut24M',['../a00079.html#gga85bf7440c0d77269e3db764083110334a01135115df0c0b0525f2b1391ee9d9ca',1,'fsl_clock.h']]], - ['kclock_5ffrooscout30m',['kCLOCK_FroOscOut30M',['../a00079.html#gga85bf7440c0d77269e3db764083110334a29c4fdcb48fba40928b278765d0e3843',1,'fsl_clock.h']]], - ['kclock_5fgpio0',['kCLOCK_Gpio0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da9ec19029dc1ad34cb7ae0091e97b7f7d',1,'fsl_clock.h']]], - ['kclock_5fgpioint',['kCLOCK_GpioInt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da3922459ccb3f2fd90ac39813c0dc39f0',1,'fsl_clock.h']]], - ['kclock_5fi2c0',['kCLOCK_I2c0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946dac6e6ee6e9f7b28e5c3818324c852b05f',1,'fsl_clock.h']]], - ['kclock_5fi2c1',['kCLOCK_I2c1',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da689e957d82ca723a1a0cc671ba216bf5',1,'fsl_clock.h']]], - ['kclock_5fiocon',['kCLOCK_Iocon',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da909ccfe84f4275dd7b6ba09235583230',1,'fsl_clock.h']]], - ['kclock_5fipinvalid',['kCLOCK_IpInvalid',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da11dd047399d3cf7893682897e34682de',1,'fsl_clock.h']]], - ['kclock_5flposc',['kCLOCK_LPOsc',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3aab25a81f1aefcd33b6de1e10e3169c41',1,'fsl_clock.h']]], - ['kclock_5fmainclk',['kCLOCK_MainClk',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a86d48329b3492ab94a5da3925c73622f',1,'fsl_clock.h']]], - ['kclock_5fmainclksrcextclk',['kCLOCK_MainClkSrcExtClk',['../a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a85dbf0a96c672abcfbc08dfc231088d6',1,'fsl_clock.h']]], - ['kclock_5fmainclksrcfro',['kCLOCK_MainClkSrcFro',['../a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a0aecd9451c5bf4525fe17a7b4734f58f',1,'fsl_clock.h']]], - ['kclock_5fmainclksrcfrodiv',['kCLOCK_MainClkSrcFroDiv',['../a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4afa92bbf8fcfb74ceea172667409f7643',1,'fsl_clock.h']]], - ['kclock_5fmainclksrclposc',['kCLOCK_MainClkSrcLPOsc',['../a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4acb9810ab5676cca7da756b2c28205c12',1,'fsl_clock.h']]], - ['kclock_5fmrt',['kCLOCK_Mrt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946daace73b709cda5c34ba5bdf6888605f1f',1,'fsl_clock.h']]], - ['kclock_5fplu',['kCLOCK_PLU',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da9facf6722503308f657e1d1da679065a',1,'fsl_clock.h']]], - ['kclock_5fram0',['kCLOCK_Ram0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da89556150c0329c54c4b6150030e416ff',1,'fsl_clock.h']]], - ['kclock_5from',['kCLOCK_Rom',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da1b9f348e8d5bc1715af7366be3ca87c7',1,'fsl_clock.h']]], - ['kclock_5fspi0',['kCLOCK_Spi0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946dac6997ec12e66b5e4fad9aa52ef6a2db0',1,'fsl_clock.h']]], - ['kclock_5fswm',['kCLOCK_Swm',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da63bcbbef2627c667b204eae91c3a0718',1,'fsl_clock.h']]], - ['kclock_5fsys',['kCLOCK_Sys',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da0a7890c63167a690ed5199ec17d46670',1,'fsl_clock.h']]], - ['kclock_5fuart0',['kCLOCK_Uart0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da26876b4bdecc15c46c379a289441b3c6',1,'fsl_clock.h']]], - ['kclock_5fuart1',['kCLOCK_Uart1',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da1d4898f8634e2f18e6a154e2b1a4120e',1,'fsl_clock.h']]], - ['kclock_5fwkt',['kCLOCK_Wkt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946dad386313192805ba225b0da24e078d387',1,'fsl_clock.h']]], - ['kclock_5fwwdt',['kCLOCK_Wwdt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946daf1e8b91e80a3a9a4db038c0b4cf7e381',1,'fsl_clock.h']]], - ['kcrc_5fpolynomial_5fcrc_5f16',['kCRC_Polynomial_CRC_16',['../a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da4a13840f3031b3aed89f60ba37a34c8b',1,'fsl_crc.h']]], - ['kcrc_5fpolynomial_5fcrc_5f32',['kCRC_Polynomial_CRC_32',['../a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da12ca2ee766202eb962135c76c00b10aa',1,'fsl_crc.h']]], - ['kcrc_5fpolynomial_5fcrc_5fccitt',['kCRC_Polynomial_CRC_CCITT',['../a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da9ef1c3d85d4f13199e7df63d170bf6f6',1,'fsl_crc.h']]], - ['kcrc_5frst_5fshift_5frstn',['kCRC_RST_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a194baa96cd93ef108f2724fa98352387',1,'fsl_reset.h']]], - ['kctimer0_5frst_5fn_5fshift_5frstn',['kCTIMER0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a900b1deecf2f2316b30e40ff81330499',1,'fsl_reset.h']]], - ['kctimer_5fcapture0flag',['kCTIMER_Capture0Flag',['../a00012.html#ggae64285eb2e52bf5cc2b723870392ff60a5504b0ec94b832a46824ec297a106df1',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture0interruptenable',['kCTIMER_Capture0InterruptEnable',['../a00012.html#gga0971c614f932bcf55994bf6c92325eb2a5fe0ea705babd6351564e59c8db8e928',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture1flag',['kCTIMER_Capture1Flag',['../a00012.html#ggae64285eb2e52bf5cc2b723870392ff60af3a0db73d48116ea419af737c706b30b',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture1interruptenable',['kCTIMER_Capture1InterruptEnable',['../a00012.html#gga0971c614f932bcf55994bf6c92325eb2a5d70800d3cc33733d42f525242edbbf4',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture2flag',['kCTIMER_Capture2Flag',['../a00012.html#ggae64285eb2e52bf5cc2b723870392ff60aaf0224295d4f330d0d61d10ab30f38ea',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture2interruptenable',['kCTIMER_Capture2InterruptEnable',['../a00012.html#gga0971c614f932bcf55994bf6c92325eb2a68a56db3574cd0ea3bb783c7d13f0894',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture_5f0',['kCTIMER_Capture_0',['../a00012.html#gga2fbf5cfa219c31ac16f3786d6897dc3faa13dee3bb29b8962385da2ac6d8dc938',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture_5f1',['kCTIMER_Capture_1',['../a00012.html#gga2fbf5cfa219c31ac16f3786d6897dc3fac4fcf23f15faa447489ef7ccc4d6cb25',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture_5f2',['kCTIMER_Capture_2',['../a00012.html#gga2fbf5cfa219c31ac16f3786d6897dc3fa9d6d30f6a5498d009cd87fafee3bccd5',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture_5fbothedge',['kCTIMER_Capture_BothEdge',['../a00012.html#ggac37706bc06bc7590ce1e3d1b4bf73638ab73ca62f50120abc4662c750925e9b5a',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture_5ffalledge',['kCTIMER_Capture_FallEdge',['../a00012.html#ggac37706bc06bc7590ce1e3d1b4bf73638a18a0af674c980ebbdfd0413dcfa3f3c0',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture_5friseedge',['kCTIMER_Capture_RiseEdge',['../a00012.html#ggac37706bc06bc7590ce1e3d1b4bf73638ac8297b7a4be687e63200fc22be1d2f02',1,'fsl_ctimer.h']]], - ['kctimer_5fexternal_5fmatch_5f0',['kCTIMER_External_Match_0',['../a00012.html#gga09f5eb858c001377062fe0b8a0d5bd29a42b2f4d5cb9a524f87f6626169155207',1,'fsl_ctimer.h']]], - ['kctimer_5fexternal_5fmatch_5f1',['kCTIMER_External_Match_1',['../a00012.html#gga09f5eb858c001377062fe0b8a0d5bd29a90643d7c03749f64e0d20cfcf55a80ed',1,'fsl_ctimer.h']]], - ['kctimer_5fexternal_5fmatch_5f2',['kCTIMER_External_Match_2',['../a00012.html#gga09f5eb858c001377062fe0b8a0d5bd29ab4602750f83eba0e5cebd0f2ed91a3c4',1,'fsl_ctimer.h']]], - ['kctimer_5fexternal_5fmatch_5f3',['kCTIMER_External_Match_3',['../a00012.html#gga09f5eb858c001377062fe0b8a0d5bd29aba7194efe10386e467b082fc0b847031',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch0flag',['kCTIMER_Match0Flag',['../a00012.html#ggae64285eb2e52bf5cc2b723870392ff60ad76fd4735e0604307b50d2fd12cba3c0',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch0interruptenable',['kCTIMER_Match0InterruptEnable',['../a00012.html#gga0971c614f932bcf55994bf6c92325eb2a05694ae09ff514b7237388b33acaaa99',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch1flag',['kCTIMER_Match1Flag',['../a00012.html#ggae64285eb2e52bf5cc2b723870392ff60a901042ca905603329df3cf1d316a2e53',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch1interruptenable',['kCTIMER_Match1InterruptEnable',['../a00012.html#gga0971c614f932bcf55994bf6c92325eb2a47f020e940359f6f08c5c8171d2942b9',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch2flag',['kCTIMER_Match2Flag',['../a00012.html#ggae64285eb2e52bf5cc2b723870392ff60af5a6c5ee43a01803e82c26181dedfa97',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch2interruptenable',['kCTIMER_Match2InterruptEnable',['../a00012.html#gga0971c614f932bcf55994bf6c92325eb2ab15c129ca07d334ae13d77e0646c7287',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch3flag',['kCTIMER_Match3Flag',['../a00012.html#ggae64285eb2e52bf5cc2b723870392ff60a02e58518795f05162313b509a600a3d3',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch3interruptenable',['kCTIMER_Match3InterruptEnable',['../a00012.html#gga0971c614f932bcf55994bf6c92325eb2a1f67c8c1e8039ca326e9f25fabffdd0d',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch_5f0',['kCTIMER_Match_0',['../a00012.html#ggae60f7d34c9e499abba96e5979ee1818dac06fa7bc66bbb978bc14348fc0cb4633',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch_5f1',['kCTIMER_Match_1',['../a00012.html#ggae60f7d34c9e499abba96e5979ee1818da38a7356dba8d8a5a8877e9de9fde2faf',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch_5f2',['kCTIMER_Match_2',['../a00012.html#ggae60f7d34c9e499abba96e5979ee1818da98b35c6f057b201073c8afa4bdce60ed',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch_5f3',['kCTIMER_Match_3',['../a00012.html#ggae60f7d34c9e499abba96e5979ee1818da6acca2e2ccd6cc6d08a3d958e47c80fb',1,'fsl_ctimer.h']]], + ['kcapt_5fyhporttriggermode',['kCAPT_YHPortTriggerMode',['../a00010.html#ggae36ac2d48fdabc6713754765cb654bd2aba5349742fd4b19f8a7ccc0bdc9cb514',1,'fsl_capt.h']]], + ['kclkout_5ffrom_5fextclk',['kCLKOUT_From_ExtClk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a8671f2c92f6e049ed8b2f3b7a5b9b296',1,'fsl_clock.h']]], + ['kclkout_5ffrom_5ffro',['kCLKOUT_From_Fro',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85af2ea89b865003dc40f8923f67d7bb366',1,'fsl_clock.h']]], + ['kclkout_5ffrom_5flposc',['kCLKOUT_From_Lposc',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a34737a1ca71167db472e07d6d9bd4b39',1,'fsl_clock.h']]], + ['kclkout_5ffrom_5fmainclk',['kCLKOUT_From_MainClk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a20d47beaa61ee59b61c34fa4f7e30d21',1,'fsl_clock.h']]], + ['kclock_5facmp',['kCLOCK_Acmp',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda5c44f9347603d8c7fd8eda74e3b3e40f',1,'fsl_clock.h']]], + ['kclock_5fadc',['kCLOCK_Adc',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda2bc16e3ee343b4abfc46ae9506461e97',1,'fsl_clock.h']]], + ['kclock_5fcapt',['kCLOCK_Capt',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda0ffae7aa0d9dbc52524beb5f82ced038',1,'fsl_clock.h']]], + ['kclock_5fcoresysclk',['kCLOCK_CoreSysClk',['../a00079.html#gga10eb499a97d1bd20020841220bb5de74a1de4a40f5399eb025d5faefda7491918',1,'fsl_clock.h']]], + ['kclock_5fcrc',['kCLOCK_Crc',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdaa1cfbe8497997fc6dff0d7f0c070aa5a',1,'fsl_clock.h']]], + ['kclock_5fctimer0',['kCLOCK_Ctimer0',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdad2e9ebc3825a65fae3c13efbc805a128',1,'fsl_clock.h']]], + ['kclock_5fdac',['kCLOCK_Dac',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda32dc8a8723c16dbf0af95927f54a4dcc',1,'fsl_clock.h']]], + ['kclock_5fdivadcclk',['kCLOCK_DivAdcClk',['../a00079.html#ggaa690e42cbb0b0bc43944d28dfb89b9baa7b3998fdf96eb884e35ff56f40feffbd',1,'fsl_clock.h']]], + ['kclock_5fdivahbclk',['kCLOCK_DivAhbClk',['../a00079.html#ggaa690e42cbb0b0bc43944d28dfb89b9baaf4f25357ef9794d7d72417977d27ffb2',1,'fsl_clock.h']]], + ['kclock_5fdivclkout',['kCLOCK_DivClkOut',['../a00079.html#ggaa690e42cbb0b0bc43944d28dfb89b9baaa7236a2c00237ca7ee5b2ff55058ded6',1,'fsl_clock.h']]], + ['kclock_5fextclk',['kCLOCK_ExtClk',['../a00079.html#gga10eb499a97d1bd20020841220bb5de74a30e6333c132740b84bf2ce94b8c44a8f',1,'fsl_clock.h']]], + ['kclock_5fflash',['kCLOCK_Flash',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda00b88c0e9bb08d8b622140c46416d4b9',1,'fsl_clock.h']]], + ['kclock_5ffrg0',['kCLOCK_Frg0',['../a00079.html#gga10eb499a97d1bd20020841220bb5de74aa27972710b7df62d66918584843aee06',1,'fsl_clock.h']]], + ['kclock_5ffro',['kCLOCK_Fro',['../a00079.html#gga10eb499a97d1bd20020841220bb5de74a01a946b874db04d12aba4c3bbe461580',1,'fsl_clock.h']]], + ['kclock_5ffrodiv',['kCLOCK_FroDiv',['../a00079.html#gga10eb499a97d1bd20020841220bb5de74a01d0c099adda9e435281c887b12095a8',1,'fsl_clock.h']]], + ['kclock_5ffrooscout18m',['kCLOCK_FroOscOut18M',['../a00079.html#ggabc4db77825e6eebefc0b80a4e5b9bdc7a60f89d729c96295d1aaa741a412d58ab',1,'fsl_clock.h']]], + ['kclock_5ffrooscout24m',['kCLOCK_FroOscOut24M',['../a00079.html#ggabc4db77825e6eebefc0b80a4e5b9bdc7a01135115df0c0b0525f2b1391ee9d9ca',1,'fsl_clock.h']]], + ['kclock_5ffrooscout30m',['kCLOCK_FroOscOut30M',['../a00079.html#ggabc4db77825e6eebefc0b80a4e5b9bdc7a29c4fdcb48fba40928b278765d0e3843',1,'fsl_clock.h']]], + ['kclock_5fgpio0',['kCLOCK_Gpio0',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda9ec19029dc1ad34cb7ae0091e97b7f7d',1,'fsl_clock.h']]], + ['kclock_5fgpioint',['kCLOCK_GpioInt',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda3922459ccb3f2fd90ac39813c0dc39f0',1,'fsl_clock.h']]], + ['kclock_5fi2c0',['kCLOCK_I2c0',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdac6e6ee6e9f7b28e5c3818324c852b05f',1,'fsl_clock.h']]], + ['kclock_5fi2c1',['kCLOCK_I2c1',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda689e957d82ca723a1a0cc671ba216bf5',1,'fsl_clock.h']]], + ['kclock_5fiocon',['kCLOCK_Iocon',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda909ccfe84f4275dd7b6ba09235583230',1,'fsl_clock.h']]], + ['kclock_5fipinvalid',['kCLOCK_IpInvalid',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda11dd047399d3cf7893682897e34682de',1,'fsl_clock.h']]], + ['kclock_5flposc',['kCLOCK_LPOsc',['../a00079.html#gga10eb499a97d1bd20020841220bb5de74aab25a81f1aefcd33b6de1e10e3169c41',1,'fsl_clock.h']]], + ['kclock_5fmainclk',['kCLOCK_MainClk',['../a00079.html#gga10eb499a97d1bd20020841220bb5de74a86d48329b3492ab94a5da3925c73622f',1,'fsl_clock.h']]], + ['kclock_5fmainclksrcextclk',['kCLOCK_MainClkSrcExtClk',['../a00079.html#gga87881cb2f1147cca2f3b2b1f718565f5a85dbf0a96c672abcfbc08dfc231088d6',1,'fsl_clock.h']]], + ['kclock_5fmainclksrcfro',['kCLOCK_MainClkSrcFro',['../a00079.html#gga87881cb2f1147cca2f3b2b1f718565f5a0aecd9451c5bf4525fe17a7b4734f58f',1,'fsl_clock.h']]], + ['kclock_5fmainclksrcfrodiv',['kCLOCK_MainClkSrcFroDiv',['../a00079.html#gga87881cb2f1147cca2f3b2b1f718565f5afa92bbf8fcfb74ceea172667409f7643',1,'fsl_clock.h']]], + ['kclock_5fmainclksrclposc',['kCLOCK_MainClkSrcLPOsc',['../a00079.html#gga87881cb2f1147cca2f3b2b1f718565f5acb9810ab5676cca7da756b2c28205c12',1,'fsl_clock.h']]], + ['kclock_5fmrt',['kCLOCK_Mrt',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdaace73b709cda5c34ba5bdf6888605f1f',1,'fsl_clock.h']]], + ['kclock_5fplu',['kCLOCK_PLU',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda9facf6722503308f657e1d1da679065a',1,'fsl_clock.h']]], + ['kclock_5fram0',['kCLOCK_Ram0',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda89556150c0329c54c4b6150030e416ff',1,'fsl_clock.h']]], + ['kclock_5from',['kCLOCK_Rom',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda1b9f348e8d5bc1715af7366be3ca87c7',1,'fsl_clock.h']]], + ['kclock_5fspi0',['kCLOCK_Spi0',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdac6997ec12e66b5e4fad9aa52ef6a2db0',1,'fsl_clock.h']]], + ['kclock_5fswm',['kCLOCK_Swm',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda63bcbbef2627c667b204eae91c3a0718',1,'fsl_clock.h']]], + ['kclock_5fsys',['kCLOCK_Sys',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda0a7890c63167a690ed5199ec17d46670',1,'fsl_clock.h']]], + ['kclock_5fuart0',['kCLOCK_Uart0',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda26876b4bdecc15c46c379a289441b3c6',1,'fsl_clock.h']]], + ['kclock_5fuart1',['kCLOCK_Uart1',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda1d4898f8634e2f18e6a154e2b1a4120e',1,'fsl_clock.h']]], + ['kclock_5fwkt',['kCLOCK_Wkt',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdad386313192805ba225b0da24e078d387',1,'fsl_clock.h']]], + ['kclock_5fwwdt',['kCLOCK_Wwdt',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdaf1e8b91e80a3a9a4db038c0b4cf7e381',1,'fsl_clock.h']]], + ['kcrc_5fpolynomial_5fcrc_5f16',['kCRC_Polynomial_CRC_16',['../a00011.html#ggac9e3abdb739c7842a6f26505b418540da4a13840f3031b3aed89f60ba37a34c8b',1,'fsl_crc.h']]], + ['kcrc_5fpolynomial_5fcrc_5f32',['kCRC_Polynomial_CRC_32',['../a00011.html#ggac9e3abdb739c7842a6f26505b418540da12ca2ee766202eb962135c76c00b10aa',1,'fsl_crc.h']]], + ['kcrc_5fpolynomial_5fcrc_5fccitt',['kCRC_Polynomial_CRC_CCITT',['../a00011.html#ggac9e3abdb739c7842a6f26505b418540da9ef1c3d85d4f13199e7df63d170bf6f6',1,'fsl_crc.h']]], + ['kcrc_5frst_5fshift_5frstn',['kCRC_RST_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a194baa96cd93ef108f2724fa98352387',1,'fsl_reset.h']]], + ['kctimer0_5frst_5fn_5fshift_5frstn',['kCTIMER0_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a900b1deecf2f2316b30e40ff81330499',1,'fsl_reset.h']]], + ['kctimer_5fcapture0flag',['kCTIMER_Capture0Flag',['../a00012.html#ggafb9f972964325723b7f3097e89f67f14a5504b0ec94b832a46824ec297a106df1',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture0interruptenable',['kCTIMER_Capture0InterruptEnable',['../a00012.html#ggac328f6ccbe026c29679fda455577ebc8a5fe0ea705babd6351564e59c8db8e928',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture1flag',['kCTIMER_Capture1Flag',['../a00012.html#ggafb9f972964325723b7f3097e89f67f14af3a0db73d48116ea419af737c706b30b',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture1interruptenable',['kCTIMER_Capture1InterruptEnable',['../a00012.html#ggac328f6ccbe026c29679fda455577ebc8a5d70800d3cc33733d42f525242edbbf4',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture2flag',['kCTIMER_Capture2Flag',['../a00012.html#ggafb9f972964325723b7f3097e89f67f14aaf0224295d4f330d0d61d10ab30f38ea',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture2interruptenable',['kCTIMER_Capture2InterruptEnable',['../a00012.html#ggac328f6ccbe026c29679fda455577ebc8a68a56db3574cd0ea3bb783c7d13f0894',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture_5f0',['kCTIMER_Capture_0',['../a00012.html#ggadb9b8699537a63ff6520274fd3d2fdedaa13dee3bb29b8962385da2ac6d8dc938',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture_5f1',['kCTIMER_Capture_1',['../a00012.html#ggadb9b8699537a63ff6520274fd3d2fdedac4fcf23f15faa447489ef7ccc4d6cb25',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture_5f2',['kCTIMER_Capture_2',['../a00012.html#ggadb9b8699537a63ff6520274fd3d2fdeda9d6d30f6a5498d009cd87fafee3bccd5',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture_5fbothedge',['kCTIMER_Capture_BothEdge',['../a00012.html#gga345fca07290119fa13234da1b38a6106ab73ca62f50120abc4662c750925e9b5a',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture_5ffalledge',['kCTIMER_Capture_FallEdge',['../a00012.html#gga345fca07290119fa13234da1b38a6106a18a0af674c980ebbdfd0413dcfa3f3c0',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture_5friseedge',['kCTIMER_Capture_RiseEdge',['../a00012.html#gga345fca07290119fa13234da1b38a6106ac8297b7a4be687e63200fc22be1d2f02',1,'fsl_ctimer.h']]], + ['kctimer_5fexternal_5fmatch_5f0',['kCTIMER_External_Match_0',['../a00012.html#ggab4782e716d63a0496aa9a80aaef07863a42b2f4d5cb9a524f87f6626169155207',1,'fsl_ctimer.h']]], + ['kctimer_5fexternal_5fmatch_5f1',['kCTIMER_External_Match_1',['../a00012.html#ggab4782e716d63a0496aa9a80aaef07863a90643d7c03749f64e0d20cfcf55a80ed',1,'fsl_ctimer.h']]], + ['kctimer_5fexternal_5fmatch_5f2',['kCTIMER_External_Match_2',['../a00012.html#ggab4782e716d63a0496aa9a80aaef07863ab4602750f83eba0e5cebd0f2ed91a3c4',1,'fsl_ctimer.h']]], + ['kctimer_5fexternal_5fmatch_5f3',['kCTIMER_External_Match_3',['../a00012.html#ggab4782e716d63a0496aa9a80aaef07863aba7194efe10386e467b082fc0b847031',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch0flag',['kCTIMER_Match0Flag',['../a00012.html#ggafb9f972964325723b7f3097e89f67f14ad76fd4735e0604307b50d2fd12cba3c0',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch0interruptenable',['kCTIMER_Match0InterruptEnable',['../a00012.html#ggac328f6ccbe026c29679fda455577ebc8a05694ae09ff514b7237388b33acaaa99',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch1flag',['kCTIMER_Match1Flag',['../a00012.html#ggafb9f972964325723b7f3097e89f67f14a901042ca905603329df3cf1d316a2e53',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch1interruptenable',['kCTIMER_Match1InterruptEnable',['../a00012.html#ggac328f6ccbe026c29679fda455577ebc8a47f020e940359f6f08c5c8171d2942b9',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch2flag',['kCTIMER_Match2Flag',['../a00012.html#ggafb9f972964325723b7f3097e89f67f14af5a6c5ee43a01803e82c26181dedfa97',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch2interruptenable',['kCTIMER_Match2InterruptEnable',['../a00012.html#ggac328f6ccbe026c29679fda455577ebc8ab15c129ca07d334ae13d77e0646c7287',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch3flag',['kCTIMER_Match3Flag',['../a00012.html#ggafb9f972964325723b7f3097e89f67f14a02e58518795f05162313b509a600a3d3',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch3interruptenable',['kCTIMER_Match3InterruptEnable',['../a00012.html#ggac328f6ccbe026c29679fda455577ebc8a1f67c8c1e8039ca326e9f25fabffdd0d',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch_5f0',['kCTIMER_Match_0',['../a00012.html#gga631867452d444e8d84ab4bc24570359bac06fa7bc66bbb978bc14348fc0cb4633',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch_5f1',['kCTIMER_Match_1',['../a00012.html#gga631867452d444e8d84ab4bc24570359ba38a7356dba8d8a5a8877e9de9fde2faf',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch_5f2',['kCTIMER_Match_2',['../a00012.html#gga631867452d444e8d84ab4bc24570359ba98b35c6f057b201073c8afa4bdce60ed',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch_5f3',['kCTIMER_Match_3',['../a00012.html#gga631867452d444e8d84ab4bc24570359ba6acca2e2ccd6cc6d08a3d958e47c80fb',1,'fsl_ctimer.h']]], ['kctimer_5fmultiplecallback',['kCTIMER_MultipleCallback',['../a00012.html#gga740677adee6ada817bb45ed62607c3c4a38d629ce598178fd96f48660e63ae1e7',1,'fsl_ctimer.h']]], - ['kctimer_5foutput_5fclear',['kCTIMER_Output_Clear',['../a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143ca2086fd6712693ac8f0758553ff7bb3d3',1,'fsl_ctimer.h']]], - ['kctimer_5foutput_5fnoaction',['kCTIMER_Output_NoAction',['../a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143cac387e950c7d8c19c1464dd27e5c7396f',1,'fsl_ctimer.h']]], - ['kctimer_5foutput_5fset',['kCTIMER_Output_Set',['../a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143cae1b0b02fe1e0af81f62fb40ff8339b8f',1,'fsl_ctimer.h']]], - ['kctimer_5foutput_5ftoggle',['kCTIMER_Output_Toggle',['../a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143ca4ad940f7bea1dbae09b156ec0415f5f2',1,'fsl_ctimer.h']]], + ['kctimer_5foutput_5fclear',['kCTIMER_Output_Clear',['../a00012.html#ggaf605923833c7eff925d35f1f8eb9011ca2086fd6712693ac8f0758553ff7bb3d3',1,'fsl_ctimer.h']]], + ['kctimer_5foutput_5fnoaction',['kCTIMER_Output_NoAction',['../a00012.html#ggaf605923833c7eff925d35f1f8eb9011cac387e950c7d8c19c1464dd27e5c7396f',1,'fsl_ctimer.h']]], + ['kctimer_5foutput_5fset',['kCTIMER_Output_Set',['../a00012.html#ggaf605923833c7eff925d35f1f8eb9011cae1b0b02fe1e0af81f62fb40ff8339b8f',1,'fsl_ctimer.h']]], + ['kctimer_5foutput_5ftoggle',['kCTIMER_Output_Toggle',['../a00012.html#ggaf605923833c7eff925d35f1f8eb9011ca4ad940f7bea1dbae09b156ec0415f5f2',1,'fsl_ctimer.h']]], ['kctimer_5fsinglecallback',['kCTIMER_SingleCallback',['../a00012.html#gga740677adee6ada817bb45ed62607c3c4af805d881e4dc37e339a8434c1816f930',1,'fsl_ctimer.h']]], - ['kdac0_5frst_5fn_5fshift_5frstn',['kDAC0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a25d5f742c3cbcf85a4e9c388c5c9e708',1,'fsl_reset.h']]], - ['kdac_5fsettlingtimeis1us',['kDAC_SettlingTimeIs1us',['../a00013.html#gga82eecb700b3afeda8b95a6151d8904fca302776aaf6d8780d9b57e9f910615d6f',1,'fsl_dac.h']]], - ['kdac_5fsettlingtimeis25us',['kDAC_SettlingTimeIs25us',['../a00013.html#gga82eecb700b3afeda8b95a6151d8904fcad460e5e0da52ad16bf771892ebfce1f8',1,'fsl_dac.h']]], + ['kdac0_5frst_5fn_5fshift_5frstn',['kDAC0_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a25d5f742c3cbcf85a4e9c388c5c9e708',1,'fsl_reset.h']]], + ['kdac_5fsettlingtimeis1us',['kDAC_SettlingTimeIs1us',['../a00013.html#ggaf0a17d3b5229418c020d333b7c88f2f8a302776aaf6d8780d9b57e9f910615d6f',1,'fsl_dac.h']]], + ['kdac_5fsettlingtimeis25us',['kDAC_SettlingTimeIs25us',['../a00013.html#ggaf0a17d3b5229418c020d333b7c88f2f8ad460e5e0da52ad16bf771892ebfce1f8',1,'fsl_dac.h']]], ['kflash_5fiap_5fthreesystemclocktime',['kFlash_IAP_ThreeSystemClockTime',['../a00083.html#gga0efb897bafe816a8a87d92045a78ee9ba14466fd6ca33233907a499072ed0ea04',1,'fsl_iap.h']]], ['kflash_5fiap_5ftwosystemclocktime',['kFlash_IAP_TwoSystemClockTime',['../a00083.html#gga0efb897bafe816a8a87d92045a78ee9ba0576e02942748ad3a4b1bb0904267ad4',1,'fsl_iap.h']]], - ['kflash_5frst_5fn_5fshift_5frstn',['kFLASH_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ac1601058bee0888d89675b528432a496',1,'fsl_reset.h']]], - ['kfrg0_5fclk_5ffrom_5ffro',['kFRG0_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58afa871e16cf926d8a08dce1a52f418066',1,'fsl_clock.h']]], - ['kfrg0_5fclk_5ffrom_5fmainclk',['kFRG0_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a1fe8170ca9a5fcee3e0b3fa1453eb481',1,'fsl_clock.h']]], - ['kfrg0_5frst_5fn_5fshift_5frstn',['kFRG0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a7a5b1b185218feb35ce91ce8f77b2106',1,'fsl_reset.h']]], - ['kgpio0_5frst_5fn_5fshift_5frstn',['kGPIO0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a244e5cce727a529563a83a1a78f8b3f1',1,'fsl_reset.h']]], - ['kgpio_5fdigitalinput',['kGPIO_DigitalInput',['../a00014.html#ggada41ca0a2ce239fe125ee96833e715c0abacf19933be1940ab40c83535e6a46d4',1,'fsl_gpio.h']]], - ['kgpio_5fdigitaloutput',['kGPIO_DigitalOutput',['../a00014.html#ggada41ca0a2ce239fe125ee96833e715c0a509ebcd228fc813cf4afcacd258680f9',1,'fsl_gpio.h']]], - ['kgpioint_5frst_5fn_5fshift_5frstn',['kGPIOINT_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a20fd1ac8739a143dddb40a6349a758a6',1,'fsl_reset.h']]], - ['ki2c0_5fclk_5ffrom_5ffrg0clk',['kI2C0_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a92f67286aebd8e0fde633cf4b3bd8b57',1,'fsl_clock.h']]], - ['ki2c0_5fclk_5ffrom_5ffro',['kI2C0_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ab8065d6f5e9b16e9410feccef7f1c10b',1,'fsl_clock.h']]], - ['ki2c0_5fclk_5ffrom_5ffro_5fdiv',['kI2C0_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a9fe34f41e6cfa3cd3a0ef15ba9184bb1',1,'fsl_clock.h']]], - ['ki2c0_5fclk_5ffrom_5fmainclk',['kI2C0_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ab434281b6471a116a444172bf8a7bf91',1,'fsl_clock.h']]], - ['ki2c0_5frst_5fn_5fshift_5frstn',['kI2C0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770aee6d4f65797043b10be7d4a7ae95d206',1,'fsl_reset.h']]], - ['ki2c1_5fclk_5ffrom_5ffrg0clk',['kI2C1_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ac891ded4c265bfab790d733acbacb753',1,'fsl_clock.h']]], - ['ki2c1_5fclk_5ffrom_5ffro',['kI2C1_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ae19e4e49559079c2f95f998c37029642',1,'fsl_clock.h']]], - ['ki2c1_5fclk_5ffrom_5ffro_5fdiv',['kI2C1_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a8670e7d6a9f2afb045fbf54dc13c4bb2',1,'fsl_clock.h']]], - ['ki2c1_5fclk_5ffrom_5fmainclk',['kI2C1_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a5cff4ddf26d639e435ce79fe5e83d866',1,'fsl_clock.h']]], - ['ki2c1_5frst_5fn_5fshift_5frstn',['kI2C1_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ad1ef18ed84027fdc4d41567ae894ea10',1,'fsl_reset.h']]], + ['kflash_5frst_5fn_5fshift_5frstn',['kFLASH_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ac1601058bee0888d89675b528432a496',1,'fsl_reset.h']]], + ['kfrg0_5fclk_5ffrom_5ffro',['kFRG0_Clk_From_Fro',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85afa871e16cf926d8a08dce1a52f418066',1,'fsl_clock.h']]], + ['kfrg0_5fclk_5ffrom_5fmainclk',['kFRG0_Clk_From_MainClk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a1fe8170ca9a5fcee3e0b3fa1453eb481',1,'fsl_clock.h']]], + ['kfrg0_5frst_5fn_5fshift_5frstn',['kFRG0_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a7a5b1b185218feb35ce91ce8f77b2106',1,'fsl_reset.h']]], + ['kgpio0_5frst_5fn_5fshift_5frstn',['kGPIO0_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a244e5cce727a529563a83a1a78f8b3f1',1,'fsl_reset.h']]], + ['kgpio_5fdigitalinput',['kGPIO_DigitalInput',['../a00014.html#gga0df4be96fa56f3bdd7bfa048fdaacd6babacf19933be1940ab40c83535e6a46d4',1,'fsl_gpio.h']]], + ['kgpio_5fdigitaloutput',['kGPIO_DigitalOutput',['../a00014.html#gga0df4be96fa56f3bdd7bfa048fdaacd6ba509ebcd228fc813cf4afcacd258680f9',1,'fsl_gpio.h']]], + ['kgpioint_5frst_5fn_5fshift_5frstn',['kGPIOINT_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a20fd1ac8739a143dddb40a6349a758a6',1,'fsl_reset.h']]], + ['ki2c0_5fclk_5ffrom_5ffrg0clk',['kI2C0_Clk_From_Frg0Clk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a92f67286aebd8e0fde633cf4b3bd8b57',1,'fsl_clock.h']]], + ['ki2c0_5fclk_5ffrom_5ffro',['kI2C0_Clk_From_Fro',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ab8065d6f5e9b16e9410feccef7f1c10b',1,'fsl_clock.h']]], + ['ki2c0_5fclk_5ffrom_5ffro_5fdiv',['kI2C0_Clk_From_Fro_Div',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a9fe34f41e6cfa3cd3a0ef15ba9184bb1',1,'fsl_clock.h']]], + ['ki2c0_5fclk_5ffrom_5fmainclk',['kI2C0_Clk_From_MainClk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ab434281b6471a116a444172bf8a7bf91',1,'fsl_clock.h']]], + ['ki2c0_5frst_5fn_5fshift_5frstn',['kI2C0_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20aee6d4f65797043b10be7d4a7ae95d206',1,'fsl_reset.h']]], + ['ki2c1_5fclk_5ffrom_5ffrg0clk',['kI2C1_Clk_From_Frg0Clk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ac891ded4c265bfab790d733acbacb753',1,'fsl_clock.h']]], + ['ki2c1_5fclk_5ffrom_5ffro',['kI2C1_Clk_From_Fro',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ae19e4e49559079c2f95f998c37029642',1,'fsl_clock.h']]], + ['ki2c1_5fclk_5ffrom_5ffro_5fdiv',['kI2C1_Clk_From_Fro_Div',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a8670e7d6a9f2afb045fbf54dc13c4bb2',1,'fsl_clock.h']]], + ['ki2c1_5fclk_5ffrom_5fmainclk',['kI2C1_Clk_From_MainClk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a5cff4ddf26d639e435ce79fe5e83d866',1,'fsl_clock.h']]], + ['ki2c1_5frst_5fn_5fshift_5frstn',['kI2C1_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ad1ef18ed84027fdc4d41567ae894ea10',1,'fsl_reset.h']]], ['ki2c_5fmasterarbitrationlostflag',['kI2C_MasterArbitrationLostFlag',['../a00015.html#ggac938392418ba1a891983d11ef5c9f2a3a7b487779b58af99a7a8c179545f78ccd',1,'fsl_i2c.h']]], ['ki2c_5fmasterpendingflag',['kI2C_MasterPendingFlag',['../a00015.html#ggac938392418ba1a891983d11ef5c9f2a3a9cc49a1ca21e87058a7fbf733cce0e62',1,'fsl_i2c.h']]], ['ki2c_5fmasterstartstoperrorflag',['kI2C_MasterStartStopErrorFlag',['../a00015.html#ggac938392418ba1a891983d11ef5c9f2a3ab5cec1d9be7dc5fb2c106e2b87889517',1,'fsl_i2c.h']]], - ['ki2c_5fqualmodeextend',['kI2C_QualModeExtend',['../a00016.html#gga719dc02b99647eb8f08a05d4d6066c51a6b755a1d30a626380730ce947d09335f',1,'fsl_i2c.h']]], - ['ki2c_5fqualmodemask',['kI2C_QualModeMask',['../a00016.html#gga719dc02b99647eb8f08a05d4d6066c51af542f0269c83549e7b492911e281a902',1,'fsl_i2c.h']]], - ['ki2c_5fread',['kI2C_Read',['../a00015.html#ggab49c827b45635206f06e5737606e4611a3a7bb24dc8d1c1be8925603eeafe9b30',1,'fsl_i2c.h']]], + ['ki2c_5fqualmodeextend',['kI2C_QualModeExtend',['../a00016.html#ggadbb0ae033785e1ad024c953e24cc2f36a6b755a1d30a626380730ce947d09335f',1,'fsl_i2c.h']]], + ['ki2c_5fqualmodemask',['kI2C_QualModeMask',['../a00016.html#ggadbb0ae033785e1ad024c953e24cc2f36af542f0269c83549e7b492911e281a902',1,'fsl_i2c.h']]], + ['ki2c_5fread',['kI2C_Read',['../a00015.html#gga1625497320644ba123718bafdd2c1f39a3a7bb24dc8d1c1be8925603eeafe9b30',1,'fsl_i2c.h']]], ['ki2c_5fsavedeselected',['kI2C_SaveDeselected',['../a00016.html#gga419f2fc2e0684671c00ee97e962bbe0cad834aafd823863602d905d4b3d8c9430',1,'fsl_i2c.h']]], - ['ki2c_5fslaveaddressmatchevent',['kI2C_SlaveAddressMatchEvent',['../a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4acacb1ae174dd6798a6fd79800a9e39a3c6',1,'fsl_i2c.h']]], - ['ki2c_5fslaveaddressregister0',['kI2C_SlaveAddressRegister0',['../a00016.html#gga833a7311515f1a3bf5cb8da2355cc661a39e0e158674bd8a6e254a6a42b5ce50f',1,'fsl_i2c.h']]], - ['ki2c_5fslaveaddressregister1',['kI2C_SlaveAddressRegister1',['../a00016.html#gga833a7311515f1a3bf5cb8da2355cc661a42a589b5e31f29ddc41088c3fb4bfcf1',1,'fsl_i2c.h']]], - ['ki2c_5fslaveaddressregister2',['kI2C_SlaveAddressRegister2',['../a00016.html#gga833a7311515f1a3bf5cb8da2355cc661a8381dd229f545df5e6a32ee5f0aac871',1,'fsl_i2c.h']]], - ['ki2c_5fslaveaddressregister3',['kI2C_SlaveAddressRegister3',['../a00016.html#gga833a7311515f1a3bf5cb8da2355cc661a9e73a2b09fe3943d51849a7d87fbe8a3',1,'fsl_i2c.h']]], - ['ki2c_5fslaveallevents',['kI2C_SlaveAllEvents',['../a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca94b030ebdac378a84961893ae567bfbc',1,'fsl_i2c.h']]], - ['ki2c_5fslavecompletionevent',['kI2C_SlaveCompletionEvent',['../a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca38cca3cd668e73f51b8e574835d419df',1,'fsl_i2c.h']]], - ['ki2c_5fslavedeselectedevent',['kI2C_SlaveDeselectedEvent',['../a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca5e765c1c316a51908b68f1f32a7b524d',1,'fsl_i2c.h']]], + ['ki2c_5fslaveaddressmatchevent',['kI2C_SlaveAddressMatchEvent',['../a00016.html#gga87e42e170b60f17f657ef3c06a918133acb1ae174dd6798a6fd79800a9e39a3c6',1,'fsl_i2c.h']]], + ['ki2c_5fslaveaddressregister0',['kI2C_SlaveAddressRegister0',['../a00016.html#ggaa4d64624a394b298aeb74188f932406aa39e0e158674bd8a6e254a6a42b5ce50f',1,'fsl_i2c.h']]], + ['ki2c_5fslaveaddressregister1',['kI2C_SlaveAddressRegister1',['../a00016.html#ggaa4d64624a394b298aeb74188f932406aa42a589b5e31f29ddc41088c3fb4bfcf1',1,'fsl_i2c.h']]], + ['ki2c_5fslaveaddressregister2',['kI2C_SlaveAddressRegister2',['../a00016.html#ggaa4d64624a394b298aeb74188f932406aa8381dd229f545df5e6a32ee5f0aac871',1,'fsl_i2c.h']]], + ['ki2c_5fslaveaddressregister3',['kI2C_SlaveAddressRegister3',['../a00016.html#ggaa4d64624a394b298aeb74188f932406aa9e73a2b09fe3943d51849a7d87fbe8a3',1,'fsl_i2c.h']]], + ['ki2c_5fslaveallevents',['kI2C_SlaveAllEvents',['../a00016.html#gga87e42e170b60f17f657ef3c06a918133a94b030ebdac378a84961893ae567bfbc',1,'fsl_i2c.h']]], + ['ki2c_5fslavecompletionevent',['kI2C_SlaveCompletionEvent',['../a00016.html#gga87e42e170b60f17f657ef3c06a918133a38cca3cd668e73f51b8e574835d419df',1,'fsl_i2c.h']]], + ['ki2c_5fslavedeselectedevent',['kI2C_SlaveDeselectedEvent',['../a00016.html#gga87e42e170b60f17f657ef3c06a918133a5e765c1c316a51908b68f1f32a7b524d',1,'fsl_i2c.h']]], ['ki2c_5fslavenotstretching',['kI2C_SlaveNotStretching',['../a00016.html#gga419f2fc2e0684671c00ee97e962bbe0caf7ad09504bcf02f9c1177431ee44562e',1,'fsl_i2c.h']]], ['ki2c_5fslavependingflag',['kI2C_SlavePendingFlag',['../a00016.html#gga419f2fc2e0684671c00ee97e962bbe0cabd274f2defb8f0f8d2f6c2fcdd71c63c',1,'fsl_i2c.h']]], - ['ki2c_5fslavereceiveevent',['kI2C_SlaveReceiveEvent',['../a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4acaac8593e808a8137d1b5d3a51640779de',1,'fsl_i2c.h']]], + ['ki2c_5fslavereceiveevent',['kI2C_SlaveReceiveEvent',['../a00016.html#gga87e42e170b60f17f657ef3c06a918133aac8593e808a8137d1b5d3a51640779de',1,'fsl_i2c.h']]], ['ki2c_5fslaveselected',['kI2C_SlaveSelected',['../a00016.html#gga419f2fc2e0684671c00ee97e962bbe0ca2d0c7dc4bf2815768510b916b7c1d54e',1,'fsl_i2c.h']]], - ['ki2c_5fslavetransmitevent',['kI2C_SlaveTransmitEvent',['../a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca2f85039a57379838909876a1d509b7aa',1,'fsl_i2c.h']]], + ['ki2c_5fslavetransmitevent',['kI2C_SlaveTransmitEvent',['../a00016.html#gga87e42e170b60f17f657ef3c06a918133a2f85039a57379838909876a1d509b7aa',1,'fsl_i2c.h']]], ['ki2c_5ftransferdefaultflag',['kI2C_TransferDefaultFlag',['../a00015.html#gga87ea07668194cfb46c7c368d2cb42433ae80f7b768b1621e42ac965d3d23de5e2',1,'fsl_i2c.h']]], ['ki2c_5ftransfernostartflag',['kI2C_TransferNoStartFlag',['../a00015.html#gga87ea07668194cfb46c7c368d2cb42433a5cb44bf860c0482c0ca0165cf0d31d8a',1,'fsl_i2c.h']]], ['ki2c_5ftransfernostopflag',['kI2C_TransferNoStopFlag',['../a00015.html#gga87ea07668194cfb46c7c368d2cb42433afb8aeea71b5b7475e3d3df86220db566',1,'fsl_i2c.h']]], ['ki2c_5ftransferrepeatedstartflag',['kI2C_TransferRepeatedStartFlag',['../a00015.html#gga87ea07668194cfb46c7c368d2cb42433aadf112471ef12f194985a093cd9b9721',1,'fsl_i2c.h']]], - ['ki2c_5fwrite',['kI2C_Write',['../a00015.html#ggab49c827b45635206f06e5737606e4611a93b476b469c2a4bfed5916b458ae9bb0',1,'fsl_i2c.h']]], + ['ki2c_5fwrite',['kI2C_Write',['../a00015.html#gga1625497320644ba123718bafdd2c1f39a93b476b469c2a4bfed5916b458ae9bb0',1,'fsl_i2c.h']]], ['kiapcmd_5fiap_5fblankchecksector',['kIapCmd_IAP_BlankCheckSector',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9b439af9906d00c0f5a83e5c878c2e50',1,'fsl_iap.h']]], ['kiapcmd_5fiap_5fcompare',['kIapCmd_IAP_Compare',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caabda85aeb932e76c81db46f45a8c6f656',1,'fsl_iap.h']]], ['kiapcmd_5fiap_5fcopyramtoflash',['kIapCmd_IAP_CopyRamToFlash',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3fa0f400c5389e777ba1f8d6acb27d72',1,'fsl_iap.h']]], @@ -278,183 +279,197 @@ var searchData= ['kiapcmd_5fiap_5freaduid',['kIapCmd_IAP_ReadUid',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae3058d7cb02b689b3993fae3c913e0f0',1,'fsl_iap.h']]], ['kiapcmd_5fiap_5freinvokeisp',['kIapCmd_IAP_ReinvokeISP',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caaf09dfcba592f2a1e02727d9eeebee15d',1,'fsl_iap.h']]], ['kiapcmd_5fiap_5fwriteeeprompage',['kIapCmd_IAP_WriteEEPROMPage',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9d9f078feeaf1c2c85c6e1a57a043dd2',1,'fsl_iap.h']]], - ['kiocon_5frst_5fn_5fshift_5frstn',['kIOCON_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ab95a1dd8a7fbc479365344d58ac55737',1,'fsl_reset.h']]], - ['kmrt_5fchannel_5f0',['kMRT_Channel_0',['../a00018.html#ggaece5c1972e35dec2efcce98847a09622a27e83d437e2798b19c59c2af4d99e1dc',1,'fsl_mrt.h']]], - ['kmrt_5fchannel_5f1',['kMRT_Channel_1',['../a00018.html#ggaece5c1972e35dec2efcce98847a09622a44b7807f36fe0a21240e721d46d8f9d7',1,'fsl_mrt.h']]], - ['kmrt_5fchannel_5f2',['kMRT_Channel_2',['../a00018.html#ggaece5c1972e35dec2efcce98847a09622a17597fb66d9b1d3e97b318db79267f52',1,'fsl_mrt.h']]], - ['kmrt_5fchannel_5f3',['kMRT_Channel_3',['../a00018.html#ggaece5c1972e35dec2efcce98847a09622af57e6e009258a71bc3a2890aedff34b3',1,'fsl_mrt.h']]], - ['kmrt_5foneshotmode',['kMRT_OneShotMode',['../a00018.html#ggad481f648f1c89a1eab327530d6fef1d0abe4ba0a30bc09f1921a0504eb826b3dc',1,'fsl_mrt.h']]], - ['kmrt_5foneshotstallmode',['kMRT_OneShotStallMode',['../a00018.html#ggad481f648f1c89a1eab327530d6fef1d0add9f55173bb54f623c955c29c22dd5b2',1,'fsl_mrt.h']]], - ['kmrt_5frepeatmode',['kMRT_RepeatMode',['../a00018.html#ggad481f648f1c89a1eab327530d6fef1d0a6b415c060dc09ed293ec3dda829cb257',1,'fsl_mrt.h']]], - ['kmrt_5frst_5fn_5fshift_5frstn',['kMRT_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a81a00b3196e6197af1ca45b8a5bac450',1,'fsl_reset.h']]], - ['kmrt_5ftimerinterruptenable',['kMRT_TimerInterruptEnable',['../a00018.html#gga9d2f90ae2c6f99410e2908dac8cc6943a2a5e8ee568da2c888093b732f2157ea5',1,'fsl_mrt.h']]], - ['kmrt_5ftimerinterruptflag',['kMRT_TimerInterruptFlag',['../a00018.html#gga0bb94508d8cf924c3a6971364377673aa6942598faf3aef148a850f8850cf01c3',1,'fsl_mrt.h']]], - ['kmrt_5ftimerrunflag',['kMRT_TimerRunFlag',['../a00018.html#gga0bb94508d8cf924c3a6971364377673aa745c62687191197b48b35dbd1aac40db',1,'fsl_mrt.h']]], - ['kpint_5fpatternmatchalways',['kPINT_PatternMatchAlways',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa128703d2c2175a315aaffad869ed6a32',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbothedges',['kPINT_PatternMatchBothEdges',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aaac967156901735a43316f7f1c4720328',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbslice0',['kPINT_PatternMatchBSlice0',['../a00088.html#gga048bc24e58d7df40af2a45efaabeea9ba106986aeb5e12c2b76e070def3b37d95',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchhigh',['kPINT_PatternMatchHigh',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa7dc0770cf7ffe184788c30bd1ac9c515',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp0src',['kPINT_PatternMatchInp0Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a51f543e6f680df9beb5841231b7b3a96',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp1src',['kPINT_PatternMatchInp1Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6fc5c9dbee30b375feb9ce6c86b224c2',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp2src',['kPINT_PatternMatchInp2Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a41b057b493937cd87bc212cb2e316f8a',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp3src',['kPINT_PatternMatchInp3Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6be4432cb9e687d043a2c25c1af48869',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp4src',['kPINT_PatternMatchInp4Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76aabc93fc28498f5577939f38e0513304c',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp5src',['kPINT_PatternMatchInp5Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a78ff6e40ab17d81181284c56b62b77aa',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp6src',['kPINT_PatternMatchInp6Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a9b2f5abc74e498a599f5c005a6cc911f',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp7src',['kPINT_PatternMatchInp7Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a2c93115b816dc676460cfc1be85778f0',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchlow',['kPINT_PatternMatchLow',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa3b4af984526871b66489b0eb294d2df5',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchnever',['kPINT_PatternMatchNever',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aab5630ebfbc6e52c9b04f659918c094f2',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchstickybothedges',['kPINT_PatternMatchStickyBothEdges',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa059c3472509304186252b655cf486d8b',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchstickyfall',['kPINT_PatternMatchStickyFall',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa9d2a3e9717c34de3a884b695f35a6c37',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchstickyrise',['kPINT_PatternMatchStickyRise',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa654c70eeed38b0be2271dc51d7cc04f5',1,'fsl_pint.h']]], - ['kpint_5fpinint0',['kPINT_PinInt0',['../a00088.html#gga15da1f70e8d0a05e9d492d01ceca7da8a5df52c4fbb437e61b638d35cbc550162',1,'fsl_pint.h']]], - ['kpint_5fpinintenablebothedges',['kPINT_PinIntEnableBothEdges',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a58ac8e76b409274b7d02ef6e9805dcef',1,'fsl_pint.h']]], - ['kpint_5fpinintenablefalledge',['kPINT_PinIntEnableFallEdge',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a6f54d55ac52e8e697f83637109560e89',1,'fsl_pint.h']]], - ['kpint_5fpinintenablehighlevel',['kPINT_PinIntEnableHighLevel',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91affc9b2756b7642ddb60ea78f4bbbd592',1,'fsl_pint.h']]], - ['kpint_5fpinintenablelowlevel',['kPINT_PinIntEnableLowLevel',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a3bd6a570eee5c1fddd95ef2411b35a7e',1,'fsl_pint.h']]], - ['kpint_5fpinintenablenone',['kPINT_PinIntEnableNone',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91aa48de84b39b48759086f2d7ae0c8091e',1,'fsl_pint.h']]], - ['kpint_5fpinintenableriseedge',['kPINT_PinIntEnableRiseEdge',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91ae873d30aec2ca54de88c69f55ea42a07',1,'fsl_pint.h']]], - ['kpint_5fsecpatternmatchinp0src',['kPINT_SecPatternMatchInp0Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a1f7f561990336a81a46f22395820907d',1,'fsl_pint.h']]], - ['kpint_5fsecpatternmatchinp1src',['kPINT_SecPatternMatchInp1Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a8cc05c9aec163085b7a2b4481fdc971d',1,'fsl_pint.h']]], - ['kplu_5flut_5f0',['kPLU_LUT_0',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab2e2c007b30459eb00fedba56e37da25',1,'fsl_plu.h']]], - ['kplu_5flut_5f1',['kPLU_LUT_1',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0784ad660fcb92a3859ed2c0efa77819',1,'fsl_plu.h']]], - ['kplu_5flut_5f10',['kPLU_LUT_10',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a9beff09b11808602b2120b42d9ab724e',1,'fsl_plu.h']]], - ['kplu_5flut_5f11',['kPLU_LUT_11',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a63e2b4a4b53cde3b148d52f26d92e1d3',1,'fsl_plu.h']]], - ['kplu_5flut_5f12',['kPLU_LUT_12',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a15bd1d988c6677a6a41edc0846851338',1,'fsl_plu.h']]], - ['kplu_5flut_5f13',['kPLU_LUT_13',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a30bd16853ab1723b58f1747def9e4252',1,'fsl_plu.h']]], - ['kplu_5flut_5f14',['kPLU_LUT_14',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7ccad915ba0e8df2a152409690994f16',1,'fsl_plu.h']]], - ['kplu_5flut_5f15',['kPLU_LUT_15',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1af09d4068b7ef636c55a7ab0feb950a36',1,'fsl_plu.h']]], - ['kplu_5flut_5f16',['kPLU_LUT_16',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1abcda2f4fbf266f73a80298ae2d090e47',1,'fsl_plu.h']]], - ['kplu_5flut_5f17',['kPLU_LUT_17',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a6529478ac43b74b509ff0c2cd437e495',1,'fsl_plu.h']]], - ['kplu_5flut_5f18',['kPLU_LUT_18',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7a4daf25836c5010a6b9ccc29f159091',1,'fsl_plu.h']]], - ['kplu_5flut_5f19',['kPLU_LUT_19',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ada22615f50454e9ebd246a18a985f016',1,'fsl_plu.h']]], - ['kplu_5flut_5f2',['kPLU_LUT_2',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5e95a4db197106de75dfccf6e5504364',1,'fsl_plu.h']]], - ['kplu_5flut_5f20',['kPLU_LUT_20',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0646ea5aa4c85d9c19a43f8211d0ffeb',1,'fsl_plu.h']]], - ['kplu_5flut_5f21',['kPLU_LUT_21',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a31c3637504bb180309ccf71210ff6fd7',1,'fsl_plu.h']]], - ['kplu_5flut_5f22',['kPLU_LUT_22',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5f6d7c46d68bd39e999c53ffabfa8372',1,'fsl_plu.h']]], - ['kplu_5flut_5f23',['kPLU_LUT_23',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a55b6304360a86b29fb90a42da5aaf493',1,'fsl_plu.h']]], - ['kplu_5flut_5f24',['kPLU_LUT_24',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa6e7a35876133d26d47ce9f76b72d520',1,'fsl_plu.h']]], - ['kplu_5flut_5f25',['kPLU_LUT_25',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1afff7e144292e1eb0a47774207f42a102',1,'fsl_plu.h']]], - ['kplu_5flut_5f3',['kPLU_LUT_3',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7f11a678cf930523e06f52b367f2eca5',1,'fsl_plu.h']]], - ['kplu_5flut_5f4',['kPLU_LUT_4',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab8a42508ab4be0320796b2eba3b57a7d',1,'fsl_plu.h']]], - ['kplu_5flut_5f5',['kPLU_LUT_5',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a60ff9ca06daaf5b4f945b6e2e89f4b36',1,'fsl_plu.h']]], - ['kplu_5flut_5f6',['kPLU_LUT_6',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ae68d03749187a90877d1742a3d61e4a1',1,'fsl_plu.h']]], - ['kplu_5flut_5f7',['kPLU_LUT_7',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a98359b577ce66e191e23d776d0d0628f',1,'fsl_plu.h']]], - ['kplu_5flut_5f8',['kPLU_LUT_8',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa5bff988a77fc711fd0c7b84c1fb105c',1,'fsl_plu.h']]], - ['kplu_5flut_5f9',['kPLU_LUT_9',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a518c8602828f0e104a12623958f587fc',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f0',['kPLU_LUT_IN_0',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa648d32891b76f5ea3e6e5cf8a296c01b',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f1',['kPLU_LUT_IN_1',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa62c7580fd67b1ffa1385d370a5d3a4e6',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f2',['kPLU_LUT_IN_2',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa8587d933d69f3c3bfe42e848db879a20',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f3',['kPLU_LUT_IN_3',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa06891c0dcbd6029f027b2d6398a447df',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f4',['kPLU_LUT_IN_4',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa6b62d55b282bfe85f5de3d7d38c8c5fa',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fflipflop_5f0',['kPLU_LUT_IN_SRC_FLIPFLOP_0',['../a00089.html#ggaec74828294222d85c4341013b842eb05ad7617c8c6cd8f49c832f501129279b8e',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fflipflop_5f1',['kPLU_LUT_IN_SRC_FLIPFLOP_1',['../a00089.html#ggaec74828294222d85c4341013b842eb05ac741b79171d673ec73a93a7c5e77cb10',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fflipflop_5f2',['kPLU_LUT_IN_SRC_FLIPFLOP_2',['../a00089.html#ggaec74828294222d85c4341013b842eb05ab46ce34ffd4906d48a7f1829861ff193',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fflipflop_5f3',['kPLU_LUT_IN_SRC_FLIPFLOP_3',['../a00089.html#ggaec74828294222d85c4341013b842eb05a26b5bf6af4b2b774757fc7fa42cdebdf',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f0',['kPLU_LUT_IN_SRC_LUT_OUT_0',['../a00089.html#ggaec74828294222d85c4341013b842eb05a2e915ea983c34dc1e202a3ea99ece27b',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f1',['kPLU_LUT_IN_SRC_LUT_OUT_1',['../a00089.html#ggaec74828294222d85c4341013b842eb05ad79e240fc201ef98da1a4e217968f087',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f10',['kPLU_LUT_IN_SRC_LUT_OUT_10',['../a00089.html#ggaec74828294222d85c4341013b842eb05a5e28e0b496b69e7a57f43e810d1179b9',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f11',['kPLU_LUT_IN_SRC_LUT_OUT_11',['../a00089.html#ggaec74828294222d85c4341013b842eb05a19ecdb96a7c9b797b938851a9f22611c',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f12',['kPLU_LUT_IN_SRC_LUT_OUT_12',['../a00089.html#ggaec74828294222d85c4341013b842eb05a315a9f58216b1ac361dc5452805f4cb9',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f13',['kPLU_LUT_IN_SRC_LUT_OUT_13',['../a00089.html#ggaec74828294222d85c4341013b842eb05a23c7b1eac9733cda25f050d543111d89',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f14',['kPLU_LUT_IN_SRC_LUT_OUT_14',['../a00089.html#ggaec74828294222d85c4341013b842eb05a0e731c7f1daf5be7aa344b9f793ef02c',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f15',['kPLU_LUT_IN_SRC_LUT_OUT_15',['../a00089.html#ggaec74828294222d85c4341013b842eb05ae8e8fa7853444eb933183fd905b9ce9d',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f16',['kPLU_LUT_IN_SRC_LUT_OUT_16',['../a00089.html#ggaec74828294222d85c4341013b842eb05a8ba7dca9c3475364351932fc1a248ffc',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f17',['kPLU_LUT_IN_SRC_LUT_OUT_17',['../a00089.html#ggaec74828294222d85c4341013b842eb05a357a302e7e9f65b3c24b32b3348a18b1',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f18',['kPLU_LUT_IN_SRC_LUT_OUT_18',['../a00089.html#ggaec74828294222d85c4341013b842eb05a9b1b21d8bd068e9cb385406f5d2ae0f1',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f19',['kPLU_LUT_IN_SRC_LUT_OUT_19',['../a00089.html#ggaec74828294222d85c4341013b842eb05af73267254ab79f85cbbd45b698baf790',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f2',['kPLU_LUT_IN_SRC_LUT_OUT_2',['../a00089.html#ggaec74828294222d85c4341013b842eb05ad0c5942c2fb503a4561cb64b1b8b2ba5',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f20',['kPLU_LUT_IN_SRC_LUT_OUT_20',['../a00089.html#ggaec74828294222d85c4341013b842eb05a2a7360dbf2b1ce3c37fec99ab6ea95c2',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f21',['kPLU_LUT_IN_SRC_LUT_OUT_21',['../a00089.html#ggaec74828294222d85c4341013b842eb05aea4c749172f50d02938115e943b1a62f',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f22',['kPLU_LUT_IN_SRC_LUT_OUT_22',['../a00089.html#ggaec74828294222d85c4341013b842eb05a2206f6b0a4c280e058652b183d193ad7',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f23',['kPLU_LUT_IN_SRC_LUT_OUT_23',['../a00089.html#ggaec74828294222d85c4341013b842eb05a7a4eb0b7daa528b354646ae876fdbc7d',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f24',['kPLU_LUT_IN_SRC_LUT_OUT_24',['../a00089.html#ggaec74828294222d85c4341013b842eb05a42744ca56fd5b26094f7f7ebc8142518',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f25',['kPLU_LUT_IN_SRC_LUT_OUT_25',['../a00089.html#ggaec74828294222d85c4341013b842eb05a0866c5dfcf79f24a034e5487325ad089',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f3',['kPLU_LUT_IN_SRC_LUT_OUT_3',['../a00089.html#ggaec74828294222d85c4341013b842eb05a7d02ada60d9a59c5bba04cd6a2ca146c',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f4',['kPLU_LUT_IN_SRC_LUT_OUT_4',['../a00089.html#ggaec74828294222d85c4341013b842eb05a7701a2d64699c85a464acfe6d6f3ec21',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f5',['kPLU_LUT_IN_SRC_LUT_OUT_5',['../a00089.html#ggaec74828294222d85c4341013b842eb05abb535644479d02d916b2d2606934e28f',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f6',['kPLU_LUT_IN_SRC_LUT_OUT_6',['../a00089.html#ggaec74828294222d85c4341013b842eb05af941e0debe79fb78f1fdcf24068298b3',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f7',['kPLU_LUT_IN_SRC_LUT_OUT_7',['../a00089.html#ggaec74828294222d85c4341013b842eb05aa7ca2e6b97d2947ae2c9781eb66d9a38',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f8',['kPLU_LUT_IN_SRC_LUT_OUT_8',['../a00089.html#ggaec74828294222d85c4341013b842eb05a279388c181b6df93831630b7b3748fcc',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f9',['kPLU_LUT_IN_SRC_LUT_OUT_9',['../a00089.html#ggaec74828294222d85c4341013b842eb05a7cbee20d065a1de8eeb2e842fdca95f2',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f0',['kPLU_LUT_IN_SRC_PLU_IN_0',['../a00089.html#ggaec74828294222d85c4341013b842eb05a8de9649d2a2fb07fe4e675f6401869d2',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f1',['kPLU_LUT_IN_SRC_PLU_IN_1',['../a00089.html#ggaec74828294222d85c4341013b842eb05a70ba87af436721a2783e56f3117594ce',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f2',['kPLU_LUT_IN_SRC_PLU_IN_2',['../a00089.html#ggaec74828294222d85c4341013b842eb05a1b56e9b3c1c5e46478eb5673c5bddaed',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f3',['kPLU_LUT_IN_SRC_PLU_IN_3',['../a00089.html#ggaec74828294222d85c4341013b842eb05a45f568953423b0976250843eade62716',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f4',['kPLU_LUT_IN_SRC_PLU_IN_4',['../a00089.html#ggaec74828294222d85c4341013b842eb05a6b32cf2706b79fff3a7fc2a1d1159e1b',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f5',['kPLU_LUT_IN_SRC_PLU_IN_5',['../a00089.html#ggaec74828294222d85c4341013b842eb05ac68302205f055d68cd0833e6ec89cacb',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5fflipflop_5f0',['kPLU_OUT_SRC_FLIPFLOP_0',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a22a26e5d5f65d4ac8491ad2c87ebeef3',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5fflipflop_5f1',['kPLU_OUT_SRC_FLIPFLOP_1',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a1afdc6f86b2c95d086b0c7d2d60d26cc',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5fflipflop_5f2',['kPLU_OUT_SRC_FLIPFLOP_2',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a117f999dffa45b676b077d373cb2e086',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5fflipflop_5f3',['kPLU_OUT_SRC_FLIPFLOP_3',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a2a0154f5345c71bae6f44244fcb30d46',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f0',['kPLU_OUT_SRC_LUT_0',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a4ce7c06a3aa62401716670839ee2db9d',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f1',['kPLU_OUT_SRC_LUT_1',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a7ee81d7d75ed79784d00718ee8b52883',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f10',['kPLU_OUT_SRC_LUT_10',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2aa0c5d92b16c617f2c77ab0b2e2e6ebb1',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f11',['kPLU_OUT_SRC_LUT_11',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a6c8dcd271aa50f8847c21031ca1651cc',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f12',['kPLU_OUT_SRC_LUT_12',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a5b731b787441958b61eb1ef6f13c0a8c',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f13',['kPLU_OUT_SRC_LUT_13',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a55478a1fa529d12411191d3b57b6aa6f',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f14',['kPLU_OUT_SRC_LUT_14',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2acf68ff6a086185f803b22698327e3109',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f15',['kPLU_OUT_SRC_LUT_15',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2afa8b125d171fda1128d55ee1ce6b1bb8',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f16',['kPLU_OUT_SRC_LUT_16',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2acb147f2edce9b8da4c454f80f94ac43c',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f17',['kPLU_OUT_SRC_LUT_17',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a9dca2593aa3f9571111177158871a171',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f18',['kPLU_OUT_SRC_LUT_18',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a74581067715a2b1448ded8335f1618a2',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f19',['kPLU_OUT_SRC_LUT_19',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ad50df8fa854b16d2945d2e98dceb167d',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f2',['kPLU_OUT_SRC_LUT_2',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a71f80a9c0585243f033e80575cc9ef71',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f20',['kPLU_OUT_SRC_LUT_20',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae6dd471565ca87404e3e950f0b4896ec',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f21',['kPLU_OUT_SRC_LUT_21',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a3cffbba2da3be0f386061cdc43c5c58a',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f22',['kPLU_OUT_SRC_LUT_22',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2af659716e11aa0911a531d2cdc19bf3ea',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f23',['kPLU_OUT_SRC_LUT_23',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a47395d18c548dff7cf1e82891808ecc6',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f24',['kPLU_OUT_SRC_LUT_24',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae648baa1c80d2711d1bb2bb4fc077827',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f25',['kPLU_OUT_SRC_LUT_25',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a0912636699433338f1c5db68c7bbec7f',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f3',['kPLU_OUT_SRC_LUT_3',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a318c6f61334de6ee3dfdfedd85c892aa',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f4',['kPLU_OUT_SRC_LUT_4',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2af58d23d9fe1120ca0bd0c9832b9c81df',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f5',['kPLU_OUT_SRC_LUT_5',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a3e4635d41b82d9da053cda8b53b16237',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f6',['kPLU_OUT_SRC_LUT_6',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae8a09b9553f3e191688cfe6844bc26b9',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f7',['kPLU_OUT_SRC_LUT_7',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ac5055185f27452b220491009e47a8843',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f8',['kPLU_OUT_SRC_LUT_8',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ab1a914c426c7434b66a2a70e28c91d6d',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f9',['kPLU_OUT_SRC_LUT_9',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a1bfd5fb60cb03e1ec3ff1cc2b859205d',1,'fsl_plu.h']]], - ['kplu_5foutput_5f0',['kPLU_OUTPUT_0',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a40255673c924ddc3a47215102db19c23',1,'fsl_plu.h']]], - ['kplu_5foutput_5f1',['kPLU_OUTPUT_1',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab977a1ed5f8dda05f237315bdacbfdce',1,'fsl_plu.h']]], - ['kplu_5foutput_5f2',['kPLU_OUTPUT_2',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a7f7830f2f3a70270d451466e7fd81b8e',1,'fsl_plu.h']]], - ['kplu_5foutput_5f3',['kPLU_OUTPUT_3',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a05f4915e3590779c64072c567ea8ef77',1,'fsl_plu.h']]], - ['kplu_5foutput_5f4',['kPLU_OUTPUT_4',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3b4542ce1acdb7bd4c87e184129a1cd2',1,'fsl_plu.h']]], - ['kplu_5foutput_5f5',['kPLU_OUTPUT_5',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3db75ac7e6eb4bd49bc6dfcbdca28bab',1,'fsl_plu.h']]], - ['kplu_5foutput_5f6',['kPLU_OUTPUT_6',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a42d0169861f7e6874c6cc1cfd38cfdee',1,'fsl_plu.h']]], - ['kplu_5foutput_5f7',['kPLU_OUTPUT_7',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab84844ba156c357257d0be920c79459d',1,'fsl_plu.h']]], - ['kplu_5frst_5fn_5fshift_5frstn',['kPLU_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ac0e9dc6f492774ceef33623e62882da5',1,'fsl_reset.h']]], - ['kpmu_5fgenreg0',['kPmu_GenReg0',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492a80dcccd621286706e1c8a4ebe9c10f0a',1,'fsl_power.h']]], - ['kpmu_5fgenreg1',['kPmu_GenReg1',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492a740c74b8de11afea3adf94099430d43f',1,'fsl_power.h']]], - ['kpmu_5fgenreg2',['kPmu_GenReg2',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492ad0fed29c216cb44973a5720f27c25b57',1,'fsl_power.h']]], - ['kpmu_5fgenreg3',['kPmu_GenReg3',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492a8464e8acd4980abf527cdc08a1039f03',1,'fsl_power.h']]], - ['kpmu_5fgenreg4',['kPmu_GenReg4',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492ad41dcf0263e8b148f96f850b2be396ac',1,'fsl_power.h']]], - ['kspi0_5fclk_5ffrom_5ffrg0clk',['kSPI0_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a845c271da53362455548e3a2d785e46f',1,'fsl_clock.h']]], - ['kspi0_5fclk_5ffrom_5ffro',['kSPI0_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a225c7ee90596ed70ba883f4db61aa8a7',1,'fsl_clock.h']]], - ['kspi0_5fclk_5ffrom_5ffro_5fdiv',['kSPI0_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58aad0657c34aef515cd39d693c8a7896b2',1,'fsl_clock.h']]], - ['kspi0_5fclk_5ffrom_5fmainclk',['kSPI0_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ae27646652709428349bea6c7044c070e',1,'fsl_clock.h']]], - ['kspi0_5frst_5fn_5fshift_5frstn',['kSPI0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ac5dc2b0f880a50d33e7d79f7af4c4f33',1,'fsl_reset.h']]], - ['kspi_5fclockphasefirstedge',['kSPI_ClockPhaseFirstEdge',['../a00019.html#gga9ad313685ade497f5cbcb71c74a1b4dcad15d61c6fd642f13101edbf401d0c72e',1,'fsl_spi.h']]], - ['kspi_5fclockphasesecondedge',['kSPI_ClockPhaseSecondEdge',['../a00019.html#gga9ad313685ade497f5cbcb71c74a1b4dca18a98985c1f7dd56175e4a2724db3675',1,'fsl_spi.h']]], - ['kspi_5fclockpolarityactivehigh',['kSPI_ClockPolarityActiveHigh',['../a00019.html#gga3e5a7cd043c9596779bc23b34cb3d1f9a031c0ee2693cf1fd621e8b8cba676629',1,'fsl_spi.h']]], - ['kspi_5fclockpolarityactivelow',['kSPI_ClockPolarityActiveLow',['../a00019.html#gga3e5a7cd043c9596779bc23b34cb3d1f9acfc8257079c9604086622df6de326aea',1,'fsl_spi.h']]], - ['kspi_5fdata10bits',['kSPI_Data10Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731a2b2887663c9d025a4137263fc7984024',1,'fsl_spi.h']]], - ['kspi_5fdata11bits',['kSPI_Data11Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731a91e908a7a4725ab9df05549a2b034dec',1,'fsl_spi.h']]], - ['kspi_5fdata12bits',['kSPI_Data12Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731ad1cfe18fd912c0d25dc32e6ca9fa8f97',1,'fsl_spi.h']]], - ['kspi_5fdata13bits',['kSPI_Data13Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731ad8c2fa2e2f5bf137176dc736cc6a2531',1,'fsl_spi.h']]], - ['kspi_5fdata14bits',['kSPI_Data14Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731ae83435f494d1b9f3ce2f2da0ac03fc38',1,'fsl_spi.h']]], - ['kspi_5fdata15bits',['kSPI_Data15Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731add11012a8887066fad29e23c20d66af8',1,'fsl_spi.h']]], - ['kspi_5fdata16bits',['kSPI_Data16Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731abbc2566ba4eb2b5e5dc259a7c4f80bcf',1,'fsl_spi.h']]], - ['kspi_5fdata4bits',['kSPI_Data4Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731a0cb8b30c67c53c6d53712d5bacc818ce',1,'fsl_spi.h']]], - ['kspi_5fdata5bits',['kSPI_Data5Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731a7232b4afb5bd82503252ce608638e6eb',1,'fsl_spi.h']]], - ['kspi_5fdata6bits',['kSPI_Data6Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731a6604fcf5c8bebf904c5b072295027bba',1,'fsl_spi.h']]], - ['kspi_5fdata7bits',['kSPI_Data7Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731a2c62d3d7d92866c97bb513d4e603518e',1,'fsl_spi.h']]], - ['kspi_5fdata8bits',['kSPI_Data8Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731ad6b98474a7f14ae7665b7b6d3355657c',1,'fsl_spi.h']]], - ['kspi_5fdata9bits',['kSPI_Data9Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731a4b864a74545165c2cf2dd74134fdadd1',1,'fsl_spi.h']]], + ['kiocon_5frst_5fn_5fshift_5frstn',['kIOCON_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ab95a1dd8a7fbc479365344d58ac55737',1,'fsl_reset.h']]], + ['kmrt_5fchannel_5f0',['kMRT_Channel_0',['../a00018.html#gga05660f6ec5e7c0ac6204165d7ab9bf35a27e83d437e2798b19c59c2af4d99e1dc',1,'fsl_mrt.h']]], + ['kmrt_5fchannel_5f1',['kMRT_Channel_1',['../a00018.html#gga05660f6ec5e7c0ac6204165d7ab9bf35a44b7807f36fe0a21240e721d46d8f9d7',1,'fsl_mrt.h']]], + ['kmrt_5fchannel_5f2',['kMRT_Channel_2',['../a00018.html#gga05660f6ec5e7c0ac6204165d7ab9bf35a17597fb66d9b1d3e97b318db79267f52',1,'fsl_mrt.h']]], + ['kmrt_5fchannel_5f3',['kMRT_Channel_3',['../a00018.html#gga05660f6ec5e7c0ac6204165d7ab9bf35af57e6e009258a71bc3a2890aedff34b3',1,'fsl_mrt.h']]], + ['kmrt_5foneshotmode',['kMRT_OneShotMode',['../a00018.html#ggae772c3f1dc986d55a787b987f731b389abe4ba0a30bc09f1921a0504eb826b3dc',1,'fsl_mrt.h']]], + ['kmrt_5foneshotstallmode',['kMRT_OneShotStallMode',['../a00018.html#ggae772c3f1dc986d55a787b987f731b389add9f55173bb54f623c955c29c22dd5b2',1,'fsl_mrt.h']]], + ['kmrt_5frepeatmode',['kMRT_RepeatMode',['../a00018.html#ggae772c3f1dc986d55a787b987f731b389a6b415c060dc09ed293ec3dda829cb257',1,'fsl_mrt.h']]], + ['kmrt_5frst_5fn_5fshift_5frstn',['kMRT_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a81a00b3196e6197af1ca45b8a5bac450',1,'fsl_reset.h']]], + ['kmrt_5ftimerinterruptenable',['kMRT_TimerInterruptEnable',['../a00018.html#gga854d01b9575db5b6f0f7aaad49cf0c7da2a5e8ee568da2c888093b732f2157ea5',1,'fsl_mrt.h']]], + ['kmrt_5ftimerinterruptflag',['kMRT_TimerInterruptFlag',['../a00018.html#gga13878b183833475306c8aabb81391a8aa6942598faf3aef148a850f8850cf01c3',1,'fsl_mrt.h']]], + ['kmrt_5ftimerrunflag',['kMRT_TimerRunFlag',['../a00018.html#gga13878b183833475306c8aabb81391a8aa745c62687191197b48b35dbd1aac40db',1,'fsl_mrt.h']]], + ['kpint_5fpatternmatchalways',['kPINT_PatternMatchAlways',['../a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a128703d2c2175a315aaffad869ed6a32',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbothedges',['kPINT_PatternMatchBothEdges',['../a00088.html#gga395ddf0144533abe3773a44dfc6a8a28aac967156901735a43316f7f1c4720328',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbslice0',['kPINT_PatternMatchBSlice0',['../a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a106986aeb5e12c2b76e070def3b37d95',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbslice1',['kPINT_PatternMatchBSlice1',['../a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a0d3bf0a5022325acaae66e172c984db0',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbslice2',['kPINT_PatternMatchBSlice2',['../a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47af00e092237b1b299bdfdd901f207c468',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbslice3',['kPINT_PatternMatchBSlice3',['../a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47ae5db81838d55c8a8839b1dd47954d865',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbslice4',['kPINT_PatternMatchBSlice4',['../a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47ac0ce3f2fbc216bc3cec68c47263530c5',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbslice5',['kPINT_PatternMatchBSlice5',['../a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a1ca87ca7db6ec59b675c6e70abc45da0',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbslice6',['kPINT_PatternMatchBSlice6',['../a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a86a79a77b44e91f432bb8ee9070f9602',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbslice7',['kPINT_PatternMatchBSlice7',['../a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a3a75ed56ef8a3429c01fc686f22b8e8a',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchhigh',['kPINT_PatternMatchHigh',['../a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a7dc0770cf7ffe184788c30bd1ac9c515',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp0src',['kPINT_PatternMatchInp0Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a51f543e6f680df9beb5841231b7b3a96',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp1src',['kPINT_PatternMatchInp1Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a6fc5c9dbee30b375feb9ce6c86b224c2',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp2src',['kPINT_PatternMatchInp2Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a41b057b493937cd87bc212cb2e316f8a',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp3src',['kPINT_PatternMatchInp3Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a6be4432cb9e687d043a2c25c1af48869',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp4src',['kPINT_PatternMatchInp4Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9aabc93fc28498f5577939f38e0513304c',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp5src',['kPINT_PatternMatchInp5Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a78ff6e40ab17d81181284c56b62b77aa',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp6src',['kPINT_PatternMatchInp6Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a9b2f5abc74e498a599f5c005a6cc911f',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp7src',['kPINT_PatternMatchInp7Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a2c93115b816dc676460cfc1be85778f0',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchlow',['kPINT_PatternMatchLow',['../a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a3b4af984526871b66489b0eb294d2df5',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchnever',['kPINT_PatternMatchNever',['../a00088.html#gga395ddf0144533abe3773a44dfc6a8a28ab5630ebfbc6e52c9b04f659918c094f2',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchstickybothedges',['kPINT_PatternMatchStickyBothEdges',['../a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a059c3472509304186252b655cf486d8b',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchstickyfall',['kPINT_PatternMatchStickyFall',['../a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a9d2a3e9717c34de3a884b695f35a6c37',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchstickyrise',['kPINT_PatternMatchStickyRise',['../a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a654c70eeed38b0be2271dc51d7cc04f5',1,'fsl_pint.h']]], + ['kpint_5fpinint0',['kPINT_PinInt0',['../a00088.html#ggaf3fe032c283828db1b5790b3922be911a5df52c4fbb437e61b638d35cbc550162',1,'fsl_pint.h']]], + ['kpint_5fpinint1',['kPINT_PinInt1',['../a00088.html#ggaf3fe032c283828db1b5790b3922be911ab62e1c2d3cdccef05e45ae9028cecbb4',1,'fsl_pint.h']]], + ['kpint_5fpinint2',['kPINT_PinInt2',['../a00088.html#ggaf3fe032c283828db1b5790b3922be911a81913bc912b5164bb749b6a2e8823808',1,'fsl_pint.h']]], + ['kpint_5fpinint3',['kPINT_PinInt3',['../a00088.html#ggaf3fe032c283828db1b5790b3922be911a751ce5a16f196ca04d08d2e5d65af47c',1,'fsl_pint.h']]], + ['kpint_5fpinint4',['kPINT_PinInt4',['../a00088.html#ggaf3fe032c283828db1b5790b3922be911acf173e0dc3d44c77bb08146494a0249b',1,'fsl_pint.h']]], + ['kpint_5fpinint5',['kPINT_PinInt5',['../a00088.html#ggaf3fe032c283828db1b5790b3922be911a45fc443b2ec03c89708ac897fc3fc902',1,'fsl_pint.h']]], + ['kpint_5fpinint6',['kPINT_PinInt6',['../a00088.html#ggaf3fe032c283828db1b5790b3922be911adf92ec20d1fa6a12bda6c42e5304cf46',1,'fsl_pint.h']]], + ['kpint_5fpinint7',['kPINT_PinInt7',['../a00088.html#ggaf3fe032c283828db1b5790b3922be911aae1e0da9add6e3e1dd57705a682d65fa',1,'fsl_pint.h']]], + ['kpint_5fpinintenablebothedges',['kPINT_PinIntEnableBothEdges',['../a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497a58ac8e76b409274b7d02ef6e9805dcef',1,'fsl_pint.h']]], + ['kpint_5fpinintenablefalledge',['kPINT_PinIntEnableFallEdge',['../a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497a6f54d55ac52e8e697f83637109560e89',1,'fsl_pint.h']]], + ['kpint_5fpinintenablehighlevel',['kPINT_PinIntEnableHighLevel',['../a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497affc9b2756b7642ddb60ea78f4bbbd592',1,'fsl_pint.h']]], + ['kpint_5fpinintenablelowlevel',['kPINT_PinIntEnableLowLevel',['../a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497a3bd6a570eee5c1fddd95ef2411b35a7e',1,'fsl_pint.h']]], + ['kpint_5fpinintenablenone',['kPINT_PinIntEnableNone',['../a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497aa48de84b39b48759086f2d7ae0c8091e',1,'fsl_pint.h']]], + ['kpint_5fpinintenableriseedge',['kPINT_PinIntEnableRiseEdge',['../a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497ae873d30aec2ca54de88c69f55ea42a07',1,'fsl_pint.h']]], + ['kpint_5fsecpatternmatchinp0src',['kPINT_SecPatternMatchInp0Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a1f7f561990336a81a46f22395820907d',1,'fsl_pint.h']]], + ['kpint_5fsecpatternmatchinp1src',['kPINT_SecPatternMatchInp1Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a8cc05c9aec163085b7a2b4481fdc971d',1,'fsl_pint.h']]], + ['kplu_5flut_5f0',['kPLU_LUT_0',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6ab2e2c007b30459eb00fedba56e37da25',1,'fsl_plu.h']]], + ['kplu_5flut_5f1',['kPLU_LUT_1',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a0784ad660fcb92a3859ed2c0efa77819',1,'fsl_plu.h']]], + ['kplu_5flut_5f10',['kPLU_LUT_10',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a9beff09b11808602b2120b42d9ab724e',1,'fsl_plu.h']]], + ['kplu_5flut_5f11',['kPLU_LUT_11',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a63e2b4a4b53cde3b148d52f26d92e1d3',1,'fsl_plu.h']]], + ['kplu_5flut_5f12',['kPLU_LUT_12',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a15bd1d988c6677a6a41edc0846851338',1,'fsl_plu.h']]], + ['kplu_5flut_5f13',['kPLU_LUT_13',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a30bd16853ab1723b58f1747def9e4252',1,'fsl_plu.h']]], + ['kplu_5flut_5f14',['kPLU_LUT_14',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a7ccad915ba0e8df2a152409690994f16',1,'fsl_plu.h']]], + ['kplu_5flut_5f15',['kPLU_LUT_15',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6af09d4068b7ef636c55a7ab0feb950a36',1,'fsl_plu.h']]], + ['kplu_5flut_5f16',['kPLU_LUT_16',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6abcda2f4fbf266f73a80298ae2d090e47',1,'fsl_plu.h']]], + ['kplu_5flut_5f17',['kPLU_LUT_17',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a6529478ac43b74b509ff0c2cd437e495',1,'fsl_plu.h']]], + ['kplu_5flut_5f18',['kPLU_LUT_18',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a7a4daf25836c5010a6b9ccc29f159091',1,'fsl_plu.h']]], + ['kplu_5flut_5f19',['kPLU_LUT_19',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6ada22615f50454e9ebd246a18a985f016',1,'fsl_plu.h']]], + ['kplu_5flut_5f2',['kPLU_LUT_2',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a5e95a4db197106de75dfccf6e5504364',1,'fsl_plu.h']]], + ['kplu_5flut_5f20',['kPLU_LUT_20',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a0646ea5aa4c85d9c19a43f8211d0ffeb',1,'fsl_plu.h']]], + ['kplu_5flut_5f21',['kPLU_LUT_21',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a31c3637504bb180309ccf71210ff6fd7',1,'fsl_plu.h']]], + ['kplu_5flut_5f22',['kPLU_LUT_22',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a5f6d7c46d68bd39e999c53ffabfa8372',1,'fsl_plu.h']]], + ['kplu_5flut_5f23',['kPLU_LUT_23',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a55b6304360a86b29fb90a42da5aaf493',1,'fsl_plu.h']]], + ['kplu_5flut_5f24',['kPLU_LUT_24',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6aa6e7a35876133d26d47ce9f76b72d520',1,'fsl_plu.h']]], + ['kplu_5flut_5f25',['kPLU_LUT_25',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6afff7e144292e1eb0a47774207f42a102',1,'fsl_plu.h']]], + ['kplu_5flut_5f3',['kPLU_LUT_3',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a7f11a678cf930523e06f52b367f2eca5',1,'fsl_plu.h']]], + ['kplu_5flut_5f4',['kPLU_LUT_4',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6ab8a42508ab4be0320796b2eba3b57a7d',1,'fsl_plu.h']]], + ['kplu_5flut_5f5',['kPLU_LUT_5',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a60ff9ca06daaf5b4f945b6e2e89f4b36',1,'fsl_plu.h']]], + ['kplu_5flut_5f6',['kPLU_LUT_6',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6ae68d03749187a90877d1742a3d61e4a1',1,'fsl_plu.h']]], + ['kplu_5flut_5f7',['kPLU_LUT_7',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a98359b577ce66e191e23d776d0d0628f',1,'fsl_plu.h']]], + ['kplu_5flut_5f8',['kPLU_LUT_8',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6aa5bff988a77fc711fd0c7b84c1fb105c',1,'fsl_plu.h']]], + ['kplu_5flut_5f9',['kPLU_LUT_9',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a518c8602828f0e104a12623958f587fc',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f0',['kPLU_LUT_IN_0',['../a00089.html#ggaf04e2722181b97d14b151b43716647fda648d32891b76f5ea3e6e5cf8a296c01b',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f1',['kPLU_LUT_IN_1',['../a00089.html#ggaf04e2722181b97d14b151b43716647fda62c7580fd67b1ffa1385d370a5d3a4e6',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f2',['kPLU_LUT_IN_2',['../a00089.html#ggaf04e2722181b97d14b151b43716647fda8587d933d69f3c3bfe42e848db879a20',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f3',['kPLU_LUT_IN_3',['../a00089.html#ggaf04e2722181b97d14b151b43716647fda06891c0dcbd6029f027b2d6398a447df',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f4',['kPLU_LUT_IN_4',['../a00089.html#ggaf04e2722181b97d14b151b43716647fda6b62d55b282bfe85f5de3d7d38c8c5fa',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fflipflop_5f0',['kPLU_LUT_IN_SRC_FLIPFLOP_0',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ad7617c8c6cd8f49c832f501129279b8e',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fflipflop_5f1',['kPLU_LUT_IN_SRC_FLIPFLOP_1',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ac741b79171d673ec73a93a7c5e77cb10',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fflipflop_5f2',['kPLU_LUT_IN_SRC_FLIPFLOP_2',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ab46ce34ffd4906d48a7f1829861ff193',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fflipflop_5f3',['kPLU_LUT_IN_SRC_FLIPFLOP_3',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a26b5bf6af4b2b774757fc7fa42cdebdf',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f0',['kPLU_LUT_IN_SRC_LUT_OUT_0',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a2e915ea983c34dc1e202a3ea99ece27b',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f1',['kPLU_LUT_IN_SRC_LUT_OUT_1',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ad79e240fc201ef98da1a4e217968f087',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f10',['kPLU_LUT_IN_SRC_LUT_OUT_10',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a5e28e0b496b69e7a57f43e810d1179b9',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f11',['kPLU_LUT_IN_SRC_LUT_OUT_11',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a19ecdb96a7c9b797b938851a9f22611c',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f12',['kPLU_LUT_IN_SRC_LUT_OUT_12',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a315a9f58216b1ac361dc5452805f4cb9',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f13',['kPLU_LUT_IN_SRC_LUT_OUT_13',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a23c7b1eac9733cda25f050d543111d89',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f14',['kPLU_LUT_IN_SRC_LUT_OUT_14',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a0e731c7f1daf5be7aa344b9f793ef02c',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f15',['kPLU_LUT_IN_SRC_LUT_OUT_15',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ae8e8fa7853444eb933183fd905b9ce9d',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f16',['kPLU_LUT_IN_SRC_LUT_OUT_16',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a8ba7dca9c3475364351932fc1a248ffc',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f17',['kPLU_LUT_IN_SRC_LUT_OUT_17',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a357a302e7e9f65b3c24b32b3348a18b1',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f18',['kPLU_LUT_IN_SRC_LUT_OUT_18',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a9b1b21d8bd068e9cb385406f5d2ae0f1',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f19',['kPLU_LUT_IN_SRC_LUT_OUT_19',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843af73267254ab79f85cbbd45b698baf790',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f2',['kPLU_LUT_IN_SRC_LUT_OUT_2',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ad0c5942c2fb503a4561cb64b1b8b2ba5',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f20',['kPLU_LUT_IN_SRC_LUT_OUT_20',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a2a7360dbf2b1ce3c37fec99ab6ea95c2',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f21',['kPLU_LUT_IN_SRC_LUT_OUT_21',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843aea4c749172f50d02938115e943b1a62f',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f22',['kPLU_LUT_IN_SRC_LUT_OUT_22',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a2206f6b0a4c280e058652b183d193ad7',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f23',['kPLU_LUT_IN_SRC_LUT_OUT_23',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a7a4eb0b7daa528b354646ae876fdbc7d',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f24',['kPLU_LUT_IN_SRC_LUT_OUT_24',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a42744ca56fd5b26094f7f7ebc8142518',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f25',['kPLU_LUT_IN_SRC_LUT_OUT_25',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a0866c5dfcf79f24a034e5487325ad089',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f3',['kPLU_LUT_IN_SRC_LUT_OUT_3',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a7d02ada60d9a59c5bba04cd6a2ca146c',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f4',['kPLU_LUT_IN_SRC_LUT_OUT_4',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a7701a2d64699c85a464acfe6d6f3ec21',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f5',['kPLU_LUT_IN_SRC_LUT_OUT_5',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843abb535644479d02d916b2d2606934e28f',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f6',['kPLU_LUT_IN_SRC_LUT_OUT_6',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843af941e0debe79fb78f1fdcf24068298b3',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f7',['kPLU_LUT_IN_SRC_LUT_OUT_7',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843aa7ca2e6b97d2947ae2c9781eb66d9a38',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f8',['kPLU_LUT_IN_SRC_LUT_OUT_8',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a279388c181b6df93831630b7b3748fcc',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f9',['kPLU_LUT_IN_SRC_LUT_OUT_9',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a7cbee20d065a1de8eeb2e842fdca95f2',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f0',['kPLU_LUT_IN_SRC_PLU_IN_0',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a8de9649d2a2fb07fe4e675f6401869d2',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f1',['kPLU_LUT_IN_SRC_PLU_IN_1',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a70ba87af436721a2783e56f3117594ce',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f2',['kPLU_LUT_IN_SRC_PLU_IN_2',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a1b56e9b3c1c5e46478eb5673c5bddaed',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f3',['kPLU_LUT_IN_SRC_PLU_IN_3',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a45f568953423b0976250843eade62716',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f4',['kPLU_LUT_IN_SRC_PLU_IN_4',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a6b32cf2706b79fff3a7fc2a1d1159e1b',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f5',['kPLU_LUT_IN_SRC_PLU_IN_5',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ac68302205f055d68cd0833e6ec89cacb',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5fflipflop_5f0',['kPLU_OUT_SRC_FLIPFLOP_0',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a22a26e5d5f65d4ac8491ad2c87ebeef3',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5fflipflop_5f1',['kPLU_OUT_SRC_FLIPFLOP_1',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a1afdc6f86b2c95d086b0c7d2d60d26cc',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5fflipflop_5f2',['kPLU_OUT_SRC_FLIPFLOP_2',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a117f999dffa45b676b077d373cb2e086',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5fflipflop_5f3',['kPLU_OUT_SRC_FLIPFLOP_3',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a2a0154f5345c71bae6f44244fcb30d46',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f0',['kPLU_OUT_SRC_LUT_0',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a4ce7c06a3aa62401716670839ee2db9d',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f1',['kPLU_OUT_SRC_LUT_1',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a7ee81d7d75ed79784d00718ee8b52883',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f10',['kPLU_OUT_SRC_LUT_10',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0aa0c5d92b16c617f2c77ab0b2e2e6ebb1',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f11',['kPLU_OUT_SRC_LUT_11',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a6c8dcd271aa50f8847c21031ca1651cc',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f12',['kPLU_OUT_SRC_LUT_12',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a5b731b787441958b61eb1ef6f13c0a8c',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f13',['kPLU_OUT_SRC_LUT_13',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a55478a1fa529d12411191d3b57b6aa6f',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f14',['kPLU_OUT_SRC_LUT_14',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0acf68ff6a086185f803b22698327e3109',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f15',['kPLU_OUT_SRC_LUT_15',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0afa8b125d171fda1128d55ee1ce6b1bb8',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f16',['kPLU_OUT_SRC_LUT_16',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0acb147f2edce9b8da4c454f80f94ac43c',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f17',['kPLU_OUT_SRC_LUT_17',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a9dca2593aa3f9571111177158871a171',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f18',['kPLU_OUT_SRC_LUT_18',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a74581067715a2b1448ded8335f1618a2',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f19',['kPLU_OUT_SRC_LUT_19',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ad50df8fa854b16d2945d2e98dceb167d',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f2',['kPLU_OUT_SRC_LUT_2',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a71f80a9c0585243f033e80575cc9ef71',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f20',['kPLU_OUT_SRC_LUT_20',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ae6dd471565ca87404e3e950f0b4896ec',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f21',['kPLU_OUT_SRC_LUT_21',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a3cffbba2da3be0f386061cdc43c5c58a',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f22',['kPLU_OUT_SRC_LUT_22',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0af659716e11aa0911a531d2cdc19bf3ea',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f23',['kPLU_OUT_SRC_LUT_23',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a47395d18c548dff7cf1e82891808ecc6',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f24',['kPLU_OUT_SRC_LUT_24',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ae648baa1c80d2711d1bb2bb4fc077827',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f25',['kPLU_OUT_SRC_LUT_25',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a0912636699433338f1c5db68c7bbec7f',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f3',['kPLU_OUT_SRC_LUT_3',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a318c6f61334de6ee3dfdfedd85c892aa',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f4',['kPLU_OUT_SRC_LUT_4',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0af58d23d9fe1120ca0bd0c9832b9c81df',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f5',['kPLU_OUT_SRC_LUT_5',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a3e4635d41b82d9da053cda8b53b16237',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f6',['kPLU_OUT_SRC_LUT_6',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ae8a09b9553f3e191688cfe6844bc26b9',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f7',['kPLU_OUT_SRC_LUT_7',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ac5055185f27452b220491009e47a8843',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f8',['kPLU_OUT_SRC_LUT_8',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ab1a914c426c7434b66a2a70e28c91d6d',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f9',['kPLU_OUT_SRC_LUT_9',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a1bfd5fb60cb03e1ec3ff1cc2b859205d',1,'fsl_plu.h']]], + ['kplu_5foutput_5f0',['kPLU_OUTPUT_0',['../a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa40255673c924ddc3a47215102db19c23',1,'fsl_plu.h']]], + ['kplu_5foutput_5f1',['kPLU_OUTPUT_1',['../a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffab977a1ed5f8dda05f237315bdacbfdce',1,'fsl_plu.h']]], + ['kplu_5foutput_5f2',['kPLU_OUTPUT_2',['../a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa7f7830f2f3a70270d451466e7fd81b8e',1,'fsl_plu.h']]], + ['kplu_5foutput_5f3',['kPLU_OUTPUT_3',['../a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa05f4915e3590779c64072c567ea8ef77',1,'fsl_plu.h']]], + ['kplu_5foutput_5f4',['kPLU_OUTPUT_4',['../a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa3b4542ce1acdb7bd4c87e184129a1cd2',1,'fsl_plu.h']]], + ['kplu_5foutput_5f5',['kPLU_OUTPUT_5',['../a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa3db75ac7e6eb4bd49bc6dfcbdca28bab',1,'fsl_plu.h']]], + ['kplu_5foutput_5f6',['kPLU_OUTPUT_6',['../a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa42d0169861f7e6874c6cc1cfd38cfdee',1,'fsl_plu.h']]], + ['kplu_5foutput_5f7',['kPLU_OUTPUT_7',['../a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffab84844ba156c357257d0be920c79459d',1,'fsl_plu.h']]], + ['kplu_5frst_5fn_5fshift_5frstn',['kPLU_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ac0e9dc6f492774ceef33623e62882da5',1,'fsl_reset.h']]], + ['kpmu_5fgenreg0',['kPmu_GenReg0',['../a00080.html#ggae01dae3d7bbcc338031dfd019f93681ba80dcccd621286706e1c8a4ebe9c10f0a',1,'fsl_power.h']]], + ['kpmu_5fgenreg1',['kPmu_GenReg1',['../a00080.html#ggae01dae3d7bbcc338031dfd019f93681ba740c74b8de11afea3adf94099430d43f',1,'fsl_power.h']]], + ['kpmu_5fgenreg2',['kPmu_GenReg2',['../a00080.html#ggae01dae3d7bbcc338031dfd019f93681bad0fed29c216cb44973a5720f27c25b57',1,'fsl_power.h']]], + ['kpmu_5fgenreg3',['kPmu_GenReg3',['../a00080.html#ggae01dae3d7bbcc338031dfd019f93681ba8464e8acd4980abf527cdc08a1039f03',1,'fsl_power.h']]], + ['kpmu_5fgenreg4',['kPmu_GenReg4',['../a00080.html#ggae01dae3d7bbcc338031dfd019f93681bad41dcf0263e8b148f96f850b2be396ac',1,'fsl_power.h']]], + ['kspi0_5fclk_5ffrom_5ffrg0clk',['kSPI0_Clk_From_Frg0Clk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a845c271da53362455548e3a2d785e46f',1,'fsl_clock.h']]], + ['kspi0_5fclk_5ffrom_5ffro',['kSPI0_Clk_From_Fro',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a225c7ee90596ed70ba883f4db61aa8a7',1,'fsl_clock.h']]], + ['kspi0_5fclk_5ffrom_5ffro_5fdiv',['kSPI0_Clk_From_Fro_Div',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85aad0657c34aef515cd39d693c8a7896b2',1,'fsl_clock.h']]], + ['kspi0_5fclk_5ffrom_5fmainclk',['kSPI0_Clk_From_MainClk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ae27646652709428349bea6c7044c070e',1,'fsl_clock.h']]], + ['kspi0_5frst_5fn_5fshift_5frstn',['kSPI0_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ac5dc2b0f880a50d33e7d79f7af4c4f33',1,'fsl_reset.h']]], + ['kspi_5fclockphasefirstedge',['kSPI_ClockPhaseFirstEdge',['../a00019.html#gga76b6ec98408fdaf2e9133952eefa1baaad15d61c6fd642f13101edbf401d0c72e',1,'fsl_spi.h']]], + ['kspi_5fclockphasesecondedge',['kSPI_ClockPhaseSecondEdge',['../a00019.html#gga76b6ec98408fdaf2e9133952eefa1baaa18a98985c1f7dd56175e4a2724db3675',1,'fsl_spi.h']]], + ['kspi_5fclockpolarityactivehigh',['kSPI_ClockPolarityActiveHigh',['../a00019.html#gga17f9450af8f63de3fa2b3b105eeec38da031c0ee2693cf1fd621e8b8cba676629',1,'fsl_spi.h']]], + ['kspi_5fclockpolarityactivelow',['kSPI_ClockPolarityActiveLow',['../a00019.html#gga17f9450af8f63de3fa2b3b105eeec38dacfc8257079c9604086622df6de326aea',1,'fsl_spi.h']]], + ['kspi_5fdata10bits',['kSPI_Data10Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280a2b2887663c9d025a4137263fc7984024',1,'fsl_spi.h']]], + ['kspi_5fdata11bits',['kSPI_Data11Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280a91e908a7a4725ab9df05549a2b034dec',1,'fsl_spi.h']]], + ['kspi_5fdata12bits',['kSPI_Data12Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280ad1cfe18fd912c0d25dc32e6ca9fa8f97',1,'fsl_spi.h']]], + ['kspi_5fdata13bits',['kSPI_Data13Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280ad8c2fa2e2f5bf137176dc736cc6a2531',1,'fsl_spi.h']]], + ['kspi_5fdata14bits',['kSPI_Data14Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280ae83435f494d1b9f3ce2f2da0ac03fc38',1,'fsl_spi.h']]], + ['kspi_5fdata15bits',['kSPI_Data15Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280add11012a8887066fad29e23c20d66af8',1,'fsl_spi.h']]], + ['kspi_5fdata16bits',['kSPI_Data16Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280abbc2566ba4eb2b5e5dc259a7c4f80bcf',1,'fsl_spi.h']]], + ['kspi_5fdata4bits',['kSPI_Data4Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280a0cb8b30c67c53c6d53712d5bacc818ce',1,'fsl_spi.h']]], + ['kspi_5fdata5bits',['kSPI_Data5Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280a7232b4afb5bd82503252ce608638e6eb',1,'fsl_spi.h']]], + ['kspi_5fdata6bits',['kSPI_Data6Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280a6604fcf5c8bebf904c5b072295027bba',1,'fsl_spi.h']]], + ['kspi_5fdata7bits',['kSPI_Data7Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280a2c62d3d7d92866c97bb513d4e603518e',1,'fsl_spi.h']]], + ['kspi_5fdata8bits',['kSPI_Data8Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280ad6b98474a7f14ae7665b7b6d3355657c',1,'fsl_spi.h']]], + ['kspi_5fdata9bits',['kSPI_Data9Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280a4b864a74545165c2cf2dd74134fdadd1',1,'fsl_spi.h']]], ['kspi_5fendofframe',['kSPI_EndOfFrame',['../a00019.html#ggaaa2e2f2efa7be228c775fa239ab5ea03a3bc0d76da8f97613467566dc39bee3b4',1,'fsl_spi.h']]], ['kspi_5fendoftransfer',['kSPI_EndOfTransfer',['../a00019.html#ggaaa2e2f2efa7be228c775fa239ab5ea03a857a31be854542eafecfea1484ad38b5',1,'fsl_spi.h']]], ['kspi_5fendtransferflag',['kSPI_EndTransferFlag',['../a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a4f52f3d130ab947331e668c7856d8551',1,'fsl_spi.h']]], - ['kspi_5flsbfirst',['kSPI_LsbFirst',['../a00019.html#ggaa68518c16202382c2e1f1c7c66a9d53da20ac53684c3e1ad338553cecbfab94a9',1,'fsl_spi.h']]], + ['kspi_5flsbfirst',['kSPI_LsbFirst',['../a00019.html#gga1737fd82344e0800f66b541342894b85a20ac53684c3e1ad338553cecbfab94a9',1,'fsl_spi.h']]], ['kspi_5fmasteridleflag',['kSPI_MasterIdleFlag',['../a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a03ba57874691d230ad0f657cf01a7978',1,'fsl_spi.h']]], - ['kspi_5fmsbfirst',['kSPI_MsbFirst',['../a00019.html#ggaa68518c16202382c2e1f1c7c66a9d53dae7b997e8cff761aab865cbd42c4c3989',1,'fsl_spi.h']]], + ['kspi_5fmsbfirst',['kSPI_MsbFirst',['../a00019.html#gga1737fd82344e0800f66b541342894b85ae7b997e8cff761aab865cbd42c4c3989',1,'fsl_spi.h']]], ['kspi_5freceiveignore',['kSPI_ReceiveIgnore',['../a00019.html#ggaaa2e2f2efa7be228c775fa239ab5ea03a2616295b1939805764ffb815876b9eea',1,'fsl_spi.h']]], ['kspi_5frxoverrunflag',['kSPI_RxOverrunFlag',['../a00019.html#gga17a846c851b3b17e6a1564a5fab48e09ae281d12263ce63ef7c964f8564bea473',1,'fsl_spi.h']]], ['kspi_5frxoverruninterruptenable',['kSPI_RxOverrunInterruptEnable',['../a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67a4acd737132f9c3cbd8cac500767289e5',1,'fsl_spi.h']]], @@ -464,7 +479,7 @@ var searchData= ['kspi_5fslaveselectassertinterruptenable',['kSPI_SlaveSelectAssertInterruptEnable',['../a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67ad1de0c0c42c7a707e305edbd3fde88b7',1,'fsl_spi.h']]], ['kspi_5fslaveselectdeassertflag',['kSPI_SlaveSelectDeassertFlag',['../a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a1f153042fdb9681e7bd435c4cb9bd7c5',1,'fsl_spi.h']]], ['kspi_5fslaveselectdeassertinterruptenable',['kSPI_SlaveSelectDeassertInterruptEnable',['../a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67a8f55948795fa8d256b5b6e520a95f145',1,'fsl_spi.h']]], - ['kspi_5fssel0assert',['kSPI_Ssel0Assert',['../a00019.html#gga420a3d3f841957068648585b89fa66d1af64655214a47d11f960f633b1478b117',1,'fsl_spi.h']]], + ['kspi_5fssel0assert',['kSPI_Ssel0Assert',['../a00019.html#gga6cb1b64722deb9a98a4f1a442f58f8a9af64655214a47d11f960f633b1478b117',1,'fsl_spi.h']]], ['kspi_5fstallflag',['kSPI_StallFlag',['../a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a214bc23d48bafcc89241530e55195f76',1,'fsl_spi.h']]], ['kspi_5ftxreadyflag',['kSPI_TxReadyFlag',['../a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a3f796180c934ef3b688530986a844fe5',1,'fsl_spi.h']]], ['kspi_5ftxreadyinterruptenable',['kSPI_TxReadyInterruptEnable',['../a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67a0ec3e79b793d213ed30f74a338437129',1,'fsl_spi.h']]], @@ -545,6 +560,8 @@ var searchData= ['kstatusgroup_5fdspi',['kStatusGroup_DSPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aee549dc5fb5e05a0d8daaa61a089b222',1,'fsl_common.h']]], ['kstatusgroup_5fecspi',['kStatusGroup_ECSPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab99693af818132e3cb4f9198965ad637',1,'fsl_common.h']]], ['kstatusgroup_5fedma',['kStatusGroup_EDMA',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0ec7f0302a8f7eb082f449933880a1ad',1,'fsl_common.h']]], + ['kstatusgroup_5fele',['kStatusGroup_ELE',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a446c74416be882daecb3038dbe42e9de',1,'fsl_common.h']]], + ['kstatusgroup_5felemu',['kStatusGroup_ELEMU',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae9d67092ecc6b4f406fc0fb862174476',1,'fsl_common.h']]], ['kstatusgroup_5fels_5fpkc',['kStatusGroup_ELS_PKC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a70c9114d88c3a9b48cabb36cd4b2884d',1,'fsl_common.h']]], ['kstatusgroup_5fenet',['kStatusGroup_ENET',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7d6b922ab2a42d6ef35cfb2be4f80251',1,'fsl_common.h']]], ['kstatusgroup_5fenet_5fqos',['kStatusGroup_ENET_QOS',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aae462c0663af9e2d180cd06c5798ac3b',1,'fsl_common.h']]], @@ -635,7 +652,6 @@ var searchData= ['kstatusgroup_5fsfa',['kStatusGroup_SFA',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9cf111a176ebe1210ae6faaa950aac54',1,'fsl_common.h']]], ['kstatusgroup_5fshell',['kStatusGroup_SHELL',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a660addd059be8786f2aa3d9a1a196ae1',1,'fsl_common.h']]], ['kstatusgroup_5fsmartcard',['kStatusGroup_SMARTCARD',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae16d6bba44ae6f24187946960fb826dd',1,'fsl_common.h']]], - ['kstatusgroup_5fsnt',['kStatusGroup_SNT',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae67462f0d7dbac886d8a1272a29b846c',1,'fsl_common.h']]], ['kstatusgroup_5fspc',['kStatusGroup_SPC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7491bc6ede9a2eb6e7f292bb1530b7d7',1,'fsl_common.h']]], ['kstatusgroup_5fspdif',['kStatusGroup_SPDIF',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8bea2b5f8b1458aaa0dc29ddbb972d87',1,'fsl_common.h']]], ['kstatusgroup_5fspi',['kStatusGroup_SPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a60c31c70600aff4f290ca2d790622977',1,'fsl_common.h']]], @@ -647,176 +663,178 @@ var searchData= ['kstatusgroup_5fusdhc',['kStatusGroup_USDHC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae2f54d029f0e74c8fed8812e24000a74',1,'fsl_common.h']]], ['kstatusgroup_5fvbat',['kStatusGroup_VBAT',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af4cabd4468ae9e670d9c188064c56f41',1,'fsl_common.h']]], ['kstatusgroup_5fxrdc',['kStatusGroup_XRDC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af98b407f4e66e7046a3173031b45253d',1,'fsl_common.h']]], - ['kswm_5facmp_5finput1',['kSWM_ACMP_INPUT1',['../a00090.html#gga6db188a71a269613f30825af80c02053a28fab9703b5177948f7409efa9ff848a',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5finput2',['kSWM_ACMP_INPUT2',['../a00090.html#gga6db188a71a269613f30825af80c02053abd4f15c4f7b44b457764ab2aa67ac5ac',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5finput3',['kSWM_ACMP_INPUT3',['../a00090.html#gga6db188a71a269613f30825af80c02053ad8072ce6e9985ae8377377ae49ba57d7',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5finput4',['kSWM_ACMP_INPUT4',['../a00090.html#gga6db188a71a269613f30825af80c02053a2f09e0ca30217841402ba6bf16f8f785',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5finput5',['kSWM_ACMP_INPUT5',['../a00090.html#gga6db188a71a269613f30825af80c02053ad1b1f498a44a52ed74eab7333c03e177',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5fout',['kSWM_ACMP_OUT',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da76769594d29a6d8659c5c625b4212a24',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn0',['kSWM_ADC_CHN0',['../a00090.html#gga6db188a71a269613f30825af80c02053acc0420d5b902bffdc029c0a179fd9653',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn1',['kSWM_ADC_CHN1',['../a00090.html#gga6db188a71a269613f30825af80c02053af96a078ddf8497b6680dcb8812abccaf',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn10',['kSWM_ADC_CHN10',['../a00090.html#gga6db188a71a269613f30825af80c02053a3872c11496f6cd2755a2cc33edeee25e',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn11',['kSWM_ADC_CHN11',['../a00090.html#gga6db188a71a269613f30825af80c02053a1195026daab11c8e8e8a07ea2588dc59',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn2',['kSWM_ADC_CHN2',['../a00090.html#gga6db188a71a269613f30825af80c02053aab8f0dd610beed22acf2a5a2c3149a38',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn3',['kSWM_ADC_CHN3',['../a00090.html#gga6db188a71a269613f30825af80c02053ab3e46c2499e53d6187acef5b9c92faeb',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn4',['kSWM_ADC_CHN4',['../a00090.html#gga6db188a71a269613f30825af80c02053a131299a8724b490b48ff5ea4ae88ec85',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn5',['kSWM_ADC_CHN5',['../a00090.html#gga6db188a71a269613f30825af80c02053a8f49833db881a5cca72e39060510fe33',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn6',['kSWM_ADC_CHN6',['../a00090.html#gga6db188a71a269613f30825af80c02053a2cf1d219093b981b000fefd1b4b88835',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn7',['kSWM_ADC_CHN7',['../a00090.html#gga6db188a71a269613f30825af80c02053af60644696c7226d16b940a379e974d07',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn8',['kSWM_ADC_CHN8',['../a00090.html#gga6db188a71a269613f30825af80c02053aca55eb81b04844960f74d6b6f735f406',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn9',['kSWM_ADC_CHN9',['../a00090.html#gga6db188a71a269613f30825af80c02053a332c28d49858ffceda3b7d9a9a317d0c',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx0',['kSWM_CAPT_X0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da5673e5074470fd8c7773f987a45612ab',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx1',['kSWM_CAPT_X1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da74e21d63631f6e3eeae57269cc597ff9',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx2',['kSWM_CAPT_X2',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dae11d56486ef46dfad9ea855f223483f2',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx3',['kSWM_CAPT_X3',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da144b0c83acca82fa910848f7587af18e',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx4',['kSWM_CAPT_X4',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dadad77032cc009f3690361c9f4d552cdd',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fyh',['kSWM_CAPT_YH',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da839653f003a5f245984cbbb3e0ded090',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fyl',['kSWM_CAPT_YL',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dabe002214e7dc409f243527310e689060',1,'fsl_swm_connections.h']]], - ['kswm_5fclkin',['kSWM_CLKIN',['../a00090.html#gga6db188a71a269613f30825af80c02053add9353fb1a660338dc4129f564d43c41',1,'fsl_swm_connections.h']]], - ['kswm_5fclkout',['kSWM_CLKOUT',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da867964bdb44cfca767b2fe212243ad68',1,'fsl_swm_connections.h']]], - ['kswm_5fdac_5fout0',['kSWM_DAC_OUT0',['../a00090.html#gga6db188a71a269613f30825af80c02053aafaffe0fe7c5ed4eaed04bd7acac4f0b',1,'fsl_swm_connections.h']]], - ['kswm_5ffixedpin_5fnum_5ffuncs',['kSWM_FIXEDPIN_NUM_FUNCS',['../a00090.html#gga6db188a71a269613f30825af80c02053a609f40f8714286a7fce78c131a97b69f',1,'fsl_swm_connections.h']]], - ['kswm_5fgpio_5fint_5fbmat',['kSWM_GPIO_INT_BMAT',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da9e23b0bd838b14f99f22d1ae76d63143',1,'fsl_swm_connections.h']]], - ['kswm_5fi2c0_5fscl',['kSWM_I2C0_SCL',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da6f0a53c70373fdbf41edf57122669a47',1,'fsl_swm_connections.h']]], - ['kswm_5fi2c0_5fsda',['kSWM_I2C0_SDA',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da679e93580df161b665365f658345ed65',1,'fsl_swm_connections.h']]], - ['kswm_5fi2c1_5fscl',['kSWM_I2C1_SCL',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da5ba6a30f56eb6e001abb178bb215469a',1,'fsl_swm_connections.h']]], - ['kswm_5fi2c1_5fsda',['kSWM_I2C1_SDA',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dab15fc1b1ba8e4f1a35fc314c60b467be',1,'fsl_swm_connections.h']]], - ['kswm_5flvlshft_5fin0',['kSWM_LVLSHFT_IN0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dacd8498beecae42d50d55fd9135fa5554',1,'fsl_swm_connections.h']]], - ['kswm_5flvlshft_5fin1',['kSWM_LVLSHFT_IN1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da6edbcf66e2b9d21b36413d5913952b7b',1,'fsl_swm_connections.h']]], - ['kswm_5flvlshft_5fout0',['kSWM_LVLSHFT_OUT0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da4bfff10a0df16a23aebb02bc2a19f662',1,'fsl_swm_connections.h']]], - ['kswm_5flvlshft_5fout1',['kSWM_LVLSHFT_OUT1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da167b30e083150f7ef078b3fc15b9a2fb',1,'fsl_swm_connections.h']]], - ['kswm_5fmovable_5fnum_5ffuncs',['kSWM_MOVABLE_NUM_FUNCS',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dac735c6243e08a73181d0f0f8f6c130bb',1,'fsl_swm_connections.h']]], - ['kswm_5fpinassingnfixed_5fmovable_5fnum_5ffuncs',['kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdab1344f53d421d647d2f85460ff8630df',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fclkin_5fin',['kSWM_PLU_CLKIN_IN',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13daf4805a2bfb2a47017d373209a10ce52d',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput0',['kSWM_PLU_INPUT0',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda1dea0e7772dbe79bdf2cfb15e7a547a0',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput0_5fportpin_5fp0_5f0',['kSWM_PLU_INPUT0_PortPin_P0_0',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9238a533be8bd8e0955b7a90f5ae4d44',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput0_5fportpin_5fp0_5f17',['kSWM_PLU_INPUT0_PortPin_P0_17',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a34bca304086fb621de87b04528f8164b',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput0_5fportpin_5fp0_5f8',['kSWM_PLU_INPUT0_PortPin_P0_8',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ad0716ea2f10ff5fbd04234889b1e53ed',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput1',['kSWM_PLU_INPUT1',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdac9ea3883dbd984c68e4049f5fa48903c',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput1_5fportpin_5fp0_5f1',['kSWM_PLU_INPUT1_PortPin_P0_1',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af15b31098ffc1b3561d7ffbfd191c2e3',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput1_5fportpin_5fp0_5f18',['kSWM_PLU_INPUT1_PortPin_P0_18',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475afa22c48b958dcc1d146a381ceb411dc6',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput1_5fportpin_5fp0_5f9',['kSWM_PLU_INPUT1_PortPin_P0_9',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa54388872ede616ae8431f22adf9b883',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput2',['kSWM_PLU_INPUT2',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda669e23d5037d7508cace2b056a6e5299',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput2_5fportpin_5fp0_5f10',['kSWM_PLU_INPUT2_PortPin_P0_10',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2e20c680e47cfed45e9ccd1462b921a1',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput2_5fportpin_5fp0_5f19',['kSWM_PLU_INPUT2_PortPin_P0_19',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a576c74aa596dfebd41123a123b1e086f',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput2_5fportpin_5fp0_5f2',['kSWM_PLU_INPUT2_PortPin_P0_2',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a7ac7b3d83eff1aca3ca60bf8102dac',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput3',['kSWM_PLU_INPUT3',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaf70a738eecaddb8268f264bb7baf6b9b',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput3_5fportpin_5fp0_5f11',['kSWM_PLU_INPUT3_PortPin_P0_11',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0ff213062c17f56f0bd74cf6510b1ee',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput3_5fportpin_5fp0_5f20',['kSWM_PLU_INPUT3_PortPin_P0_20',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af6c85c442a0709477e5729c9dde36fdc',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput3_5fportpin_5fp0_5f3',['kSWM_PLU_INPUT3_PortPin_P0_3',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ae557054f417e495346ddcc3e7f7162ba',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput4',['kSWM_PLU_INPUT4',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda8b3379b03023f7f9057837109b142230',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput4_5fportpin_5fp0_5f12',['kSWM_PLU_INPUT4_PortPin_P0_12',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a09729e747b6419e05e6b80eecd08b380',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput4_5fportpin_5fp0_5f21',['kSWM_PLU_INPUT4_PortPin_P0_21',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af8ebc1a1ac7242706e0a4d3254de8a1a',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput4_5fportpin_5fp0_5f4',['kSWM_PLU_INPUT4_PortPin_P0_4',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a88cbbe93397f750184a74a2fb1635441',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput5',['kSWM_PLU_INPUT5',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdae66a048f12b6af45145f2747fe9de530',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput5_5fportpin_5fp0_5f13',['kSWM_PLU_INPUT5_PortPin_P0_13',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a30425caf231771228aa99f2871efa005',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput5_5fportpin_5fp0_5f22',['kSWM_PLU_INPUT5_PortPin_P0_22',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a78528eef89bede351c7f85b2de156747',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput5_5fportpin_5fp0_5f5',['kSWM_PLU_INPUT5_PortPin_P0_5',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a4de20ff3218f9af0175dfba0a1aaa38d',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout0',['kSWM_PLU_OUT0',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaa2fbf0c2913f92571b79aebda5d5cec6',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout0_5fportpin_5fp0_5f14',['kSWM_PLU_OUT0_PortPin_P0_14',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa99b5d54d06869f8977cf41527daf915',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout0_5fportpin_5fp0_5f23',['kSWM_PLU_OUT0_PortPin_P0_23',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a8b81353af093a8df62363344a1359c42',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout0_5fportpin_5fp0_5f7',['kSWM_PLU_OUT0_PortPin_P0_7',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475acf4d35c0b2416225ace1794d2316d78b',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout1',['kSWM_PLU_OUT1',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda865830e9fdc6bf03bf1d477ca3c6a6ca',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout1_5fportpin_5fp0_5f15',['kSWM_PLU_OUT1_PortPin_P0_15',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0c66d3751768e52c7b283f7c94b17bce',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout1_5fportpin_5fp0_5f24',['kSWM_PLU_OUT1_PortPin_P0_24',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a1b0e5339f4df77ac5085a16d8d0ff7',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout1_5fportpin_5fp0_5f8',['kSWM_PLU_OUT1_PortPin_P0_8',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ac53270179d009a402596effdc9ebabdc',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout2',['kSWM_PLU_OUT2',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda5bb0b796d35f26e384e79aea47cf62b7',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout2_5fportpin_5fp0_5f16',['kSWM_PLU_OUT2_PortPin_P0_16',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475acc1e82634c86fc3ee7c0a50026e901ad',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout2_5fportpin_5fp0_5f25',['kSWM_PLU_OUT2_PortPin_P0_25',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2d217651e60f137293707c19d717af37',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout2_5fportpin_5fp0_5f9',['kSWM_PLU_OUT2_PortPin_P0_9',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af3bbdfacb373ba7dbcbedde68406d03f',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout3',['kSWM_PLU_OUT3',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdad6866a46cce2335ee7228f696af14ea2',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout3_5fportpin_5fp0_5f10',['kSWM_PLU_OUT3_PortPin_P0_10',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa9aeb1c6417d18b72b3d94aec5ac7431',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout3_5fportpin_5fp0_5f17',['kSWM_PLU_OUT3_PortPin_P0_17',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a77450c6220c5a32fde70c7e91d3edbb0',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout3_5fportpin_5fp0_5f26',['kSWM_PLU_OUT3_PortPin_P0_26',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0c298ece28ee4367783dcf472fe20b6',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout4',['kSWM_PLU_OUT4',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaef14d94c177e35d440f3549aeed9c7b8',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout4_5fportpin_5fp0_5f11',['kSWM_PLU_OUT4_PortPin_P0_11',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2da86e45befea6a916816c5e523daad5',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout4_5fportpin_5fp0_5f18',['kSWM_PLU_OUT4_PortPin_P0_18',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a73d53097414e5fc5f4cbe2bcdc5b16d1',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout4_5fportpin_5fp0_5f27',['kSWM_PLU_OUT4_PortPin_P0_27',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a58d7c20b50aaa229094c5dc60a0cb14b',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout5',['kSWM_PLU_OUT5',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda66b170fb8a6d54c31eacce78c619adbf',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout5_5fportpin_5fp0_5f12',['kSWM_PLU_OUT5_PortPin_P0_12',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9c78a8bb274fb2604cb4e80af4da988c',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout5_5fportpin_5fp0_5f19',['kSWM_PLU_OUT5_PortPin_P0_19',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa4096fcdb3eb88b567870cf2f250208e',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout5_5fportpin_5fp0_5f28',['kSWM_PLU_OUT5_PortPin_P0_28',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a31d722ef719c89924cd70efe94723ad3',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout6',['kSWM_PLU_OUT6',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdafae5eae69465f413508aac6ac906b7fe',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout6_5fportpin_5fp0_5f13',['kSWM_PLU_OUT6_PortPin_P0_13',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a7ea7748ab2a2bb448eca9daab449a9fa',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout6_5fportpin_5fp0_5f20',['kSWM_PLU_OUT6_PortPin_P0_20',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a89ea9c75bd1ef71e7f1d4c52544dad9f',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout6_5fportpin_5fp0_5f29',['kSWM_PLU_OUT6_PortPin_P0_29',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2b352e3ffe67686dac289a8f8d86fba0',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout7',['kSWM_PLU_OUT7',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaafdc30e41c762800c39cd92cbffb2b31',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout7_5fportpin_5fp0_5f14',['kSWM_PLU_OUT7_PortPin_P0_14',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0038fe47f7308af0fb046b6977b5928e',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout7_5fportpin_5fp0_5f21',['kSWM_PLU_OUT7_PortPin_P0_21',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af1e2e2ccc38fec30ae5b3e617524cdab',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout7_5fportpin_5fp0_5f30',['kSWM_PLU_OUT7_PortPin_P0_30',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0ead9e203d786e410f1d21f19f02aed2',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f0',['kSWM_PortPin_P0_0',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35abf7ea2c0f06252d5e966ca63f23dba2e',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f1',['kSWM_PortPin_P0_1',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a9010bbdc4d0ba698a18c200f27586c5a',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f10',['kSWM_PortPin_P0_10',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35adb2af26fbb96cad1a3f4d666e3759e39',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f11',['kSWM_PortPin_P0_11',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a6514b3be861759fdc7f645c4a8190176',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f12',['kSWM_PortPin_P0_12',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a473548308d7fac0d5fa4ac959f81e444',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f13',['kSWM_PortPin_P0_13',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a0efea555d71ff08c985b3c002e49f25a',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f14',['kSWM_PortPin_P0_14',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ae2a752505db2acdb06e6047c3c447f63',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f15',['kSWM_PortPin_P0_15',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a21dd2c94b4ab7b133e9818a5a394f967',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f16',['kSWM_PortPin_P0_16',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a0c38ea80f06768e2ad6282fbc11d5187',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f17',['kSWM_PortPin_P0_17',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a74b6edcb7dba9f95182a4faa57dd110d',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f18',['kSWM_PortPin_P0_18',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a1eb0795f2598b9acf64e7abcb63f78d5',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f19',['kSWM_PortPin_P0_19',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a488a17a4e210b5000df9ecc534d24c8b',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f2',['kSWM_PortPin_P0_2',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aa8e8084f5ea75a2e776f4a8f8a8d5e61',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f20',['kSWM_PortPin_P0_20',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a1127b8f8732d34f2c80daf825bb14853',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f21',['kSWM_PortPin_P0_21',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a8ec22695a3c8fbc028cc86299ca76b9a',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f22',['kSWM_PortPin_P0_22',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35af2a8176b461addf3d18b1128800dd7ef',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f23',['kSWM_PortPin_P0_23',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ae6795c51fac27d7426848568f125a6e8',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f24',['kSWM_PortPin_P0_24',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ac61483b0bbae6a06d6789e41aaf450fc',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f25',['kSWM_PortPin_P0_25',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a7a625624c8520faff1f2e6b0a1230ba5',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f26',['kSWM_PortPin_P0_26',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ac557f3233abd222c5cf7d809e082f94b',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f27',['kSWM_PortPin_P0_27',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aea9feb0c4582cb00ea087fef692f7623',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f28',['kSWM_PortPin_P0_28',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35af3005bf86c4488117ca1396151d2c2af',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f29',['kSWM_PortPin_P0_29',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35acc5bcdfe59c04f2da33835496b3a2ddf',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f3',['kSWM_PortPin_P0_3',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a3a31a8f0c23e7d75db386e0f1705fcb8',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f30',['kSWM_PortPin_P0_30',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aeba0cfeb40308a0bd10bd33298bf9e84',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f31',['kSWM_PortPin_P0_31',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a7b0ebc4f977a126eb51422b3df962e87',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f4',['kSWM_PortPin_P0_4',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a933c38c001bf24a62f0c8bf62e9bbbfb',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f5',['kSWM_PortPin_P0_5',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a75b66d0e23730af2446bdec683db11d3',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f6',['kSWM_PortPin_P0_6',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a4e2797855da4686eb7de9e75c5034d66',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f7',['kSWM_PortPin_P0_7',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a8a3af6c1f0f5791666b2c8409321ee0b',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f8',['kSWM_PortPin_P0_8',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ab0f66b94af6c72515e705077a0aea28b',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f9',['kSWM_PortPin_P0_9',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ace9b73074e1c03234c89b40ecd52a1e6',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5freset',['kSWM_PortPin_Reset',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a6873cb2ccda9efd34d7fdd75f3829f1c',1,'fsl_swm_connections.h']]], - ['kswm_5fresetn',['kSWM_RESETN',['../a00090.html#gga6db188a71a269613f30825af80c02053a2c3df2d1d6d1fb817003b824eb699585',1,'fsl_swm_connections.h']]], - ['kswm_5frst_5fn_5fshift_5frstn',['kSWM_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770abbd5b2eb886157d35a4ff88077fa192b',1,'fsl_reset.h']]], - ['kswm_5fspi0_5fmiso',['kSWM_SPI0_MISO',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da84653c7b62da4f773676a39a2abe597a',1,'fsl_swm_connections.h']]], - ['kswm_5fspi0_5fmosi',['kSWM_SPI0_MOSI',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dad6e9059822d87be57e82960aa79d9229',1,'fsl_swm_connections.h']]], - ['kswm_5fspi0_5fsck',['kSWM_SPI0_SCK',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dabd1859e7fd97d6aad4edf95f92eb1df0',1,'fsl_swm_connections.h']]], - ['kswm_5fspi0_5fssel0',['kSWM_SPI0_SSEL0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dadeb15fd0fbfb9f438e22762cad170359',1,'fsl_swm_connections.h']]], - ['kswm_5fspi0_5fssel1',['kSWM_SPI0_SSEL1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dacdcd02ea5803089ebcd609e227acab8b',1,'fsl_swm_connections.h']]], - ['kswm_5fswclk',['kSWM_SWCLK',['../a00090.html#gga6db188a71a269613f30825af80c02053a9b86fc933fab954e947140ea4ca2ffe3',1,'fsl_swm_connections.h']]], - ['kswm_5fswdio',['kSWM_SWDIO',['../a00090.html#gga6db188a71a269613f30825af80c02053ac0ebb404f4a50e198c79a35af9721e6c',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fcap_5fchn0',['kSWM_T0_CAP_CHN0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da14bfd78536056ebdc4d2bfed0438b5cf',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fcap_5fchn1',['kSWM_T0_CAP_CHN1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da048cac659ef579d1358cc6d2a7db2c3a',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fcap_5fchn2',['kSWM_T0_CAP_CHN2',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13daef5103aadc41068ca6157543520491b2',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fmat_5fchn0',['kSWM_T0_MAT_CHN0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da0ac9c784851c59d1c334fba730bdc091',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fmat_5fchn1',['kSWM_T0_MAT_CHN1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da4be08849669f9f086a238335662c6ac1',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fmat_5fchn2',['kSWM_T0_MAT_CHN2',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da11e574aeb2ec56f282a103d78c49ccbe',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fmat_5fchn3',['kSWM_T0_MAT_CHN3',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da3ab2e3d866e55d84dc2c342eb660c0d5',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5fcts',['kSWM_USART0_CTS',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dacf5086c4d10114ed1e2adf80ef0c1d8e',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5frts',['kSWM_USART0_RTS',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da83269426ac6b85e729bd940e7c6bc168',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5frxd',['kSWM_USART0_RXD',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dac38fd128ae8088af0ab82bb9e1ab2b0e',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5fsclk',['kSWM_USART0_SCLK',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da95076efb390207c31347c9d6eaf7a568',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5ftxd',['kSWM_USART0_TXD',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da7a0b07e7613ca95cbae02f51e74338ae',1,'fsl_swm_connections.h']]], - ['kswm_5fusart1_5frxd',['kSWM_USART1_RXD',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da40ca641c0138d551c28bb9dd184bf948',1,'fsl_swm_connections.h']]], - ['kswm_5fusart1_5fsclk',['kSWM_USART1_SCLK',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da209dc130cd5427683bedca3187726030',1,'fsl_swm_connections.h']]], - ['kswm_5fusart1_5ftxd',['kSWM_USART1_TXD',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da3ca0015b97fb5a1b24b1f6fda113488d',1,'fsl_swm_connections.h']]], - ['kswm_5fvddcmp',['kSWM_VDDCMP',['../a00090.html#gga6db188a71a269613f30825af80c02053a1c8051ee75028cdb756ce666cc9b1bb9',1,'fsl_swm_connections.h']]], - ['kswm_5fwkclkin',['kSWM_WKCLKIN',['../a00090.html#gga6db188a71a269613f30825af80c02053ae9a9d439d460166f8795ddd23c7135b5',1,'fsl_swm_connections.h']]], - ['ksyscon_5fgpioport0pin0topintsel',['kSYSCON_GpioPort0Pin0ToPintsel',['../a00091.html#gga4a63ee69b68459770551a0e4d7b6cdadafe2a386c6f3e8884b995d08fd87de542',1,'fsl_syscon_connections.h']]], - ['kuart0_5fclk_5ffrom_5ffrg0clk',['kUART0_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ad0784125581fd7bf09f4b3bab3af725d',1,'fsl_clock.h']]], - ['kuart0_5fclk_5ffrom_5ffro',['kUART0_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a67d2eb5235a0677d1ef161e5e1c2b340',1,'fsl_clock.h']]], - ['kuart0_5fclk_5ffrom_5ffro_5fdiv',['kUART0_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58af06770587aa45bbb62d1e4fe36d03d81',1,'fsl_clock.h']]], - ['kuart0_5fclk_5ffrom_5fmainclk',['kUART0_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a841785f980e4c406eeecdcf07559fe66',1,'fsl_clock.h']]], - ['kuart0_5frst_5fn_5fshift_5frstn',['kUART0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770aa294a3c09c1565947ebcd78694acff24',1,'fsl_reset.h']]], - ['kuart1_5fclk_5ffrom_5ffrg0clk',['kUART1_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58af45a833dead43235df03a982dc25ea33',1,'fsl_clock.h']]], - ['kuart1_5fclk_5ffrom_5ffro',['kUART1_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a9fbd759cc60932bb0896625543477290',1,'fsl_clock.h']]], - ['kuart1_5fclk_5ffrom_5ffro_5fdiv',['kUART1_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a1b183d67629d90ddc1a9b9baf2ebc285',1,'fsl_clock.h']]], - ['kuart1_5fclk_5ffrom_5fmainclk',['kUART1_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a066679b9e0a01ccd0aad6e6caa643f2f',1,'fsl_clock.h']]], - ['kuart1_5frst_5fn_5fshift_5frstn',['kUART1_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a8bc751e4927f0c20a0695b284fc40c38',1,'fsl_reset.h']]], - ['kusart_5f7bitsperchar',['kUSART_7BitsPerChar',['../a00020.html#gga28e46a3538cf5f5140523132a963283ca9562d6cdd240e9808a9a1d1946f400b7',1,'fsl_usart.h']]], - ['kusart_5f8bitsperchar',['kUSART_8BitsPerChar',['../a00020.html#gga28e46a3538cf5f5140523132a963283cad71aee19329a4127614132d30e50ad8a',1,'fsl_usart.h']]], + ['kswm_5facmp_5finput1',['kSWM_ACMP_INPUT1',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba28fab9703b5177948f7409efa9ff848a',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5finput2',['kSWM_ACMP_INPUT2',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8babd4f15c4f7b44b457764ab2aa67ac5ac',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5finput3',['kSWM_ACMP_INPUT3',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bad8072ce6e9985ae8377377ae49ba57d7',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5finput4',['kSWM_ACMP_INPUT4',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba2f09e0ca30217841402ba6bf16f8f785',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5finput5',['kSWM_ACMP_INPUT5',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bad1b1f498a44a52ed74eab7333c03e177',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5fout',['kSWM_ACMP_OUT',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a76769594d29a6d8659c5c625b4212a24',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn0',['kSWM_ADC_CHN0',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bacc0420d5b902bffdc029c0a179fd9653',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn1',['kSWM_ADC_CHN1',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baf96a078ddf8497b6680dcb8812abccaf',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn10',['kSWM_ADC_CHN10',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba3872c11496f6cd2755a2cc33edeee25e',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn11',['kSWM_ADC_CHN11',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba1195026daab11c8e8e8a07ea2588dc59',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn2',['kSWM_ADC_CHN2',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baab8f0dd610beed22acf2a5a2c3149a38',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn3',['kSWM_ADC_CHN3',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bab3e46c2499e53d6187acef5b9c92faeb',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn4',['kSWM_ADC_CHN4',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba131299a8724b490b48ff5ea4ae88ec85',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn5',['kSWM_ADC_CHN5',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba8f49833db881a5cca72e39060510fe33',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn6',['kSWM_ADC_CHN6',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba2cf1d219093b981b000fefd1b4b88835',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn7',['kSWM_ADC_CHN7',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baf60644696c7226d16b940a379e974d07',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn8',['kSWM_ADC_CHN8',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baca55eb81b04844960f74d6b6f735f406',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn9',['kSWM_ADC_CHN9',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba332c28d49858ffceda3b7d9a9a317d0c',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx0',['kSWM_CAPT_X0',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a5673e5074470fd8c7773f987a45612ab',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx1',['kSWM_CAPT_X1',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a74e21d63631f6e3eeae57269cc597ff9',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx2',['kSWM_CAPT_X2',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185ae11d56486ef46dfad9ea855f223483f2',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx3',['kSWM_CAPT_X3',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a144b0c83acca82fa910848f7587af18e',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx4',['kSWM_CAPT_X4',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185adad77032cc009f3690361c9f4d552cdd',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fyh',['kSWM_CAPT_YH',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a839653f003a5f245984cbbb3e0ded090',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fyl',['kSWM_CAPT_YL',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185abe002214e7dc409f243527310e689060',1,'fsl_swm_connections.h']]], + ['kswm_5fclkin',['kSWM_CLKIN',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8badd9353fb1a660338dc4129f564d43c41',1,'fsl_swm_connections.h']]], + ['kswm_5fclkout',['kSWM_CLKOUT',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a867964bdb44cfca767b2fe212243ad68',1,'fsl_swm_connections.h']]], + ['kswm_5fdac_5fout0',['kSWM_DAC_OUT0',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baafaffe0fe7c5ed4eaed04bd7acac4f0b',1,'fsl_swm_connections.h']]], + ['kswm_5ffixedpin_5fnum_5ffuncs',['kSWM_FIXEDPIN_NUM_FUNCS',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba609f40f8714286a7fce78c131a97b69f',1,'fsl_swm_connections.h']]], + ['kswm_5fgpio_5fint_5fbmat',['kSWM_GPIO_INT_BMAT',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a9e23b0bd838b14f99f22d1ae76d63143',1,'fsl_swm_connections.h']]], + ['kswm_5fi2c0_5fscl',['kSWM_I2C0_SCL',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a6f0a53c70373fdbf41edf57122669a47',1,'fsl_swm_connections.h']]], + ['kswm_5fi2c0_5fsda',['kSWM_I2C0_SDA',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a679e93580df161b665365f658345ed65',1,'fsl_swm_connections.h']]], + ['kswm_5fi2c1_5fscl',['kSWM_I2C1_SCL',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a5ba6a30f56eb6e001abb178bb215469a',1,'fsl_swm_connections.h']]], + ['kswm_5fi2c1_5fsda',['kSWM_I2C1_SDA',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185ab15fc1b1ba8e4f1a35fc314c60b467be',1,'fsl_swm_connections.h']]], + ['kswm_5flvlshft_5fin0',['kSWM_LVLSHFT_IN0',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185acd8498beecae42d50d55fd9135fa5554',1,'fsl_swm_connections.h']]], + ['kswm_5flvlshft_5fin1',['kSWM_LVLSHFT_IN1',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a6edbcf66e2b9d21b36413d5913952b7b',1,'fsl_swm_connections.h']]], + ['kswm_5flvlshft_5fout0',['kSWM_LVLSHFT_OUT0',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a4bfff10a0df16a23aebb02bc2a19f662',1,'fsl_swm_connections.h']]], + ['kswm_5flvlshft_5fout1',['kSWM_LVLSHFT_OUT1',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a167b30e083150f7ef078b3fc15b9a2fb',1,'fsl_swm_connections.h']]], + ['kswm_5fmovable_5fnum_5ffuncs',['kSWM_MOVABLE_NUM_FUNCS',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185ac735c6243e08a73181d0f0f8f6c130bb',1,'fsl_swm_connections.h']]], + ['kswm_5fpinassingnfixed_5fmovable_5fnum_5ffuncs',['kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15ab1344f53d421d647d2f85460ff8630df',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fclkin_5fin',['kSWM_PLU_CLKIN_IN',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185af4805a2bfb2a47017d373209a10ce52d',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput0',['kSWM_PLU_INPUT0',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a1dea0e7772dbe79bdf2cfb15e7a547a0',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput0_5fportpin_5fp0_5f0',['kSWM_PLU_INPUT0_PortPin_P0_0',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a9238a533be8bd8e0955b7a90f5ae4d44',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput0_5fportpin_5fp0_5f17',['kSWM_PLU_INPUT0_PortPin_P0_17',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a34bca304086fb621de87b04528f8164b',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput0_5fportpin_5fp0_5f8',['kSWM_PLU_INPUT0_PortPin_P0_8',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79ad0716ea2f10ff5fbd04234889b1e53ed',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput1',['kSWM_PLU_INPUT1',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15ac9ea3883dbd984c68e4049f5fa48903c',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput1_5fportpin_5fp0_5f1',['kSWM_PLU_INPUT1_PortPin_P0_1',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79af15b31098ffc1b3561d7ffbfd191c2e3',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput1_5fportpin_5fp0_5f18',['kSWM_PLU_INPUT1_PortPin_P0_18',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79afa22c48b958dcc1d146a381ceb411dc6',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput1_5fportpin_5fp0_5f9',['kSWM_PLU_INPUT1_PortPin_P0_9',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa54388872ede616ae8431f22adf9b883',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput2',['kSWM_PLU_INPUT2',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a669e23d5037d7508cace2b056a6e5299',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput2_5fportpin_5fp0_5f10',['kSWM_PLU_INPUT2_PortPin_P0_10',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a2e20c680e47cfed45e9ccd1462b921a1',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput2_5fportpin_5fp0_5f19',['kSWM_PLU_INPUT2_PortPin_P0_19',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a576c74aa596dfebd41123a123b1e086f',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput2_5fportpin_5fp0_5f2',['kSWM_PLU_INPUT2_PortPin_P0_2',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a5a7ac7b3d83eff1aca3ca60bf8102dac',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput3',['kSWM_PLU_INPUT3',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15af70a738eecaddb8268f264bb7baf6b9b',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput3_5fportpin_5fp0_5f11',['kSWM_PLU_INPUT3_PortPin_P0_11',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa0ff213062c17f56f0bd74cf6510b1ee',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput3_5fportpin_5fp0_5f20',['kSWM_PLU_INPUT3_PortPin_P0_20',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79af6c85c442a0709477e5729c9dde36fdc',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput3_5fportpin_5fp0_5f3',['kSWM_PLU_INPUT3_PortPin_P0_3',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79ae557054f417e495346ddcc3e7f7162ba',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput4',['kSWM_PLU_INPUT4',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a8b3379b03023f7f9057837109b142230',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput4_5fportpin_5fp0_5f12',['kSWM_PLU_INPUT4_PortPin_P0_12',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a09729e747b6419e05e6b80eecd08b380',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput4_5fportpin_5fp0_5f21',['kSWM_PLU_INPUT4_PortPin_P0_21',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79af8ebc1a1ac7242706e0a4d3254de8a1a',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput4_5fportpin_5fp0_5f4',['kSWM_PLU_INPUT4_PortPin_P0_4',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a88cbbe93397f750184a74a2fb1635441',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput5',['kSWM_PLU_INPUT5',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15ae66a048f12b6af45145f2747fe9de530',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput5_5fportpin_5fp0_5f13',['kSWM_PLU_INPUT5_PortPin_P0_13',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a30425caf231771228aa99f2871efa005',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput5_5fportpin_5fp0_5f22',['kSWM_PLU_INPUT5_PortPin_P0_22',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a78528eef89bede351c7f85b2de156747',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput5_5fportpin_5fp0_5f5',['kSWM_PLU_INPUT5_PortPin_P0_5',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a4de20ff3218f9af0175dfba0a1aaa38d',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout0',['kSWM_PLU_OUT0',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15aa2fbf0c2913f92571b79aebda5d5cec6',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout0_5fportpin_5fp0_5f14',['kSWM_PLU_OUT0_PortPin_P0_14',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa99b5d54d06869f8977cf41527daf915',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout0_5fportpin_5fp0_5f23',['kSWM_PLU_OUT0_PortPin_P0_23',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a8b81353af093a8df62363344a1359c42',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout0_5fportpin_5fp0_5f7',['kSWM_PLU_OUT0_PortPin_P0_7',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79acf4d35c0b2416225ace1794d2316d78b',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout1',['kSWM_PLU_OUT1',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a865830e9fdc6bf03bf1d477ca3c6a6ca',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout1_5fportpin_5fp0_5f15',['kSWM_PLU_OUT1_PortPin_P0_15',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a0c66d3751768e52c7b283f7c94b17bce',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout1_5fportpin_5fp0_5f24',['kSWM_PLU_OUT1_PortPin_P0_24',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a5a1b0e5339f4df77ac5085a16d8d0ff7',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout1_5fportpin_5fp0_5f8',['kSWM_PLU_OUT1_PortPin_P0_8',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79ac53270179d009a402596effdc9ebabdc',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout2',['kSWM_PLU_OUT2',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a5bb0b796d35f26e384e79aea47cf62b7',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout2_5fportpin_5fp0_5f16',['kSWM_PLU_OUT2_PortPin_P0_16',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79acc1e82634c86fc3ee7c0a50026e901ad',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout2_5fportpin_5fp0_5f25',['kSWM_PLU_OUT2_PortPin_P0_25',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a2d217651e60f137293707c19d717af37',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout2_5fportpin_5fp0_5f9',['kSWM_PLU_OUT2_PortPin_P0_9',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79af3bbdfacb373ba7dbcbedde68406d03f',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout3',['kSWM_PLU_OUT3',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15ad6866a46cce2335ee7228f696af14ea2',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout3_5fportpin_5fp0_5f10',['kSWM_PLU_OUT3_PortPin_P0_10',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa9aeb1c6417d18b72b3d94aec5ac7431',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout3_5fportpin_5fp0_5f17',['kSWM_PLU_OUT3_PortPin_P0_17',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a77450c6220c5a32fde70c7e91d3edbb0',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout3_5fportpin_5fp0_5f26',['kSWM_PLU_OUT3_PortPin_P0_26',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa0c298ece28ee4367783dcf472fe20b6',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout4',['kSWM_PLU_OUT4',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15aef14d94c177e35d440f3549aeed9c7b8',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout4_5fportpin_5fp0_5f11',['kSWM_PLU_OUT4_PortPin_P0_11',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a2da86e45befea6a916816c5e523daad5',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout4_5fportpin_5fp0_5f18',['kSWM_PLU_OUT4_PortPin_P0_18',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a73d53097414e5fc5f4cbe2bcdc5b16d1',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout4_5fportpin_5fp0_5f27',['kSWM_PLU_OUT4_PortPin_P0_27',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a58d7c20b50aaa229094c5dc60a0cb14b',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout5',['kSWM_PLU_OUT5',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a66b170fb8a6d54c31eacce78c619adbf',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout5_5fportpin_5fp0_5f12',['kSWM_PLU_OUT5_PortPin_P0_12',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a9c78a8bb274fb2604cb4e80af4da988c',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout5_5fportpin_5fp0_5f19',['kSWM_PLU_OUT5_PortPin_P0_19',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa4096fcdb3eb88b567870cf2f250208e',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout5_5fportpin_5fp0_5f28',['kSWM_PLU_OUT5_PortPin_P0_28',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a31d722ef719c89924cd70efe94723ad3',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout6',['kSWM_PLU_OUT6',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15afae5eae69465f413508aac6ac906b7fe',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout6_5fportpin_5fp0_5f13',['kSWM_PLU_OUT6_PortPin_P0_13',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a7ea7748ab2a2bb448eca9daab449a9fa',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout6_5fportpin_5fp0_5f20',['kSWM_PLU_OUT6_PortPin_P0_20',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a89ea9c75bd1ef71e7f1d4c52544dad9f',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout6_5fportpin_5fp0_5f29',['kSWM_PLU_OUT6_PortPin_P0_29',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a2b352e3ffe67686dac289a8f8d86fba0',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout7',['kSWM_PLU_OUT7',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15aafdc30e41c762800c39cd92cbffb2b31',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout7_5fportpin_5fp0_5f14',['kSWM_PLU_OUT7_PortPin_P0_14',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a0038fe47f7308af0fb046b6977b5928e',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout7_5fportpin_5fp0_5f21',['kSWM_PLU_OUT7_PortPin_P0_21',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79af1e2e2ccc38fec30ae5b3e617524cdab',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout7_5fportpin_5fp0_5f30',['kSWM_PLU_OUT7_PortPin_P0_30',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a0ead9e203d786e410f1d21f19f02aed2',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f0',['kSWM_PortPin_P0_0',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8abf7ea2c0f06252d5e966ca63f23dba2e',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f1',['kSWM_PortPin_P0_1',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a9010bbdc4d0ba698a18c200f27586c5a',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f10',['kSWM_PortPin_P0_10',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8adb2af26fbb96cad1a3f4d666e3759e39',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f11',['kSWM_PortPin_P0_11',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a6514b3be861759fdc7f645c4a8190176',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f12',['kSWM_PortPin_P0_12',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a473548308d7fac0d5fa4ac959f81e444',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f13',['kSWM_PortPin_P0_13',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a0efea555d71ff08c985b3c002e49f25a',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f14',['kSWM_PortPin_P0_14',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8ae2a752505db2acdb06e6047c3c447f63',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f15',['kSWM_PortPin_P0_15',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a21dd2c94b4ab7b133e9818a5a394f967',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f16',['kSWM_PortPin_P0_16',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a0c38ea80f06768e2ad6282fbc11d5187',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f17',['kSWM_PortPin_P0_17',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a74b6edcb7dba9f95182a4faa57dd110d',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f18',['kSWM_PortPin_P0_18',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a1eb0795f2598b9acf64e7abcb63f78d5',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f19',['kSWM_PortPin_P0_19',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a488a17a4e210b5000df9ecc534d24c8b',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f2',['kSWM_PortPin_P0_2',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8aa8e8084f5ea75a2e776f4a8f8a8d5e61',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f20',['kSWM_PortPin_P0_20',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a1127b8f8732d34f2c80daf825bb14853',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f21',['kSWM_PortPin_P0_21',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a8ec22695a3c8fbc028cc86299ca76b9a',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f22',['kSWM_PortPin_P0_22',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8af2a8176b461addf3d18b1128800dd7ef',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f23',['kSWM_PortPin_P0_23',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8ae6795c51fac27d7426848568f125a6e8',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f24',['kSWM_PortPin_P0_24',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8ac61483b0bbae6a06d6789e41aaf450fc',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f25',['kSWM_PortPin_P0_25',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a7a625624c8520faff1f2e6b0a1230ba5',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f26',['kSWM_PortPin_P0_26',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8ac557f3233abd222c5cf7d809e082f94b',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f27',['kSWM_PortPin_P0_27',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8aea9feb0c4582cb00ea087fef692f7623',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f28',['kSWM_PortPin_P0_28',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8af3005bf86c4488117ca1396151d2c2af',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f29',['kSWM_PortPin_P0_29',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8acc5bcdfe59c04f2da33835496b3a2ddf',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f3',['kSWM_PortPin_P0_3',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a3a31a8f0c23e7d75db386e0f1705fcb8',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f30',['kSWM_PortPin_P0_30',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8aeba0cfeb40308a0bd10bd33298bf9e84',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f31',['kSWM_PortPin_P0_31',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a7b0ebc4f977a126eb51422b3df962e87',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f4',['kSWM_PortPin_P0_4',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a933c38c001bf24a62f0c8bf62e9bbbfb',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f5',['kSWM_PortPin_P0_5',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a75b66d0e23730af2446bdec683db11d3',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f6',['kSWM_PortPin_P0_6',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a4e2797855da4686eb7de9e75c5034d66',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f7',['kSWM_PortPin_P0_7',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a8a3af6c1f0f5791666b2c8409321ee0b',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f8',['kSWM_PortPin_P0_8',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8ab0f66b94af6c72515e705077a0aea28b',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f9',['kSWM_PortPin_P0_9',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8ace9b73074e1c03234c89b40ecd52a1e6',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5freset',['kSWM_PortPin_Reset',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a6873cb2ccda9efd34d7fdd75f3829f1c',1,'fsl_swm_connections.h']]], + ['kswm_5fresetn',['kSWM_RESETN',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba2c3df2d1d6d1fb817003b824eb699585',1,'fsl_swm_connections.h']]], + ['kswm_5frst_5fn_5fshift_5frstn',['kSWM_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20abbd5b2eb886157d35a4ff88077fa192b',1,'fsl_reset.h']]], + ['kswm_5fspi0_5fmiso',['kSWM_SPI0_MISO',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a84653c7b62da4f773676a39a2abe597a',1,'fsl_swm_connections.h']]], + ['kswm_5fspi0_5fmosi',['kSWM_SPI0_MOSI',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185ad6e9059822d87be57e82960aa79d9229',1,'fsl_swm_connections.h']]], + ['kswm_5fspi0_5fsck',['kSWM_SPI0_SCK',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185abd1859e7fd97d6aad4edf95f92eb1df0',1,'fsl_swm_connections.h']]], + ['kswm_5fspi0_5fssel0',['kSWM_SPI0_SSEL0',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185adeb15fd0fbfb9f438e22762cad170359',1,'fsl_swm_connections.h']]], + ['kswm_5fspi0_5fssel1',['kSWM_SPI0_SSEL1',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185acdcd02ea5803089ebcd609e227acab8b',1,'fsl_swm_connections.h']]], + ['kswm_5fswclk',['kSWM_SWCLK',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba9b86fc933fab954e947140ea4ca2ffe3',1,'fsl_swm_connections.h']]], + ['kswm_5fswdio',['kSWM_SWDIO',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bac0ebb404f4a50e198c79a35af9721e6c',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fcap_5fchn0',['kSWM_T0_CAP_CHN0',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a14bfd78536056ebdc4d2bfed0438b5cf',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fcap_5fchn1',['kSWM_T0_CAP_CHN1',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a048cac659ef579d1358cc6d2a7db2c3a',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fcap_5fchn2',['kSWM_T0_CAP_CHN2',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185aef5103aadc41068ca6157543520491b2',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fmat_5fchn0',['kSWM_T0_MAT_CHN0',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a0ac9c784851c59d1c334fba730bdc091',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fmat_5fchn1',['kSWM_T0_MAT_CHN1',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a4be08849669f9f086a238335662c6ac1',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fmat_5fchn2',['kSWM_T0_MAT_CHN2',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a11e574aeb2ec56f282a103d78c49ccbe',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fmat_5fchn3',['kSWM_T0_MAT_CHN3',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a3ab2e3d866e55d84dc2c342eb660c0d5',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5fcts',['kSWM_USART0_CTS',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185acf5086c4d10114ed1e2adf80ef0c1d8e',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5frts',['kSWM_USART0_RTS',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a83269426ac6b85e729bd940e7c6bc168',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5frxd',['kSWM_USART0_RXD',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185ac38fd128ae8088af0ab82bb9e1ab2b0e',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5fsclk',['kSWM_USART0_SCLK',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a95076efb390207c31347c9d6eaf7a568',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5ftxd',['kSWM_USART0_TXD',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a7a0b07e7613ca95cbae02f51e74338ae',1,'fsl_swm_connections.h']]], + ['kswm_5fusart1_5frxd',['kSWM_USART1_RXD',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a40ca641c0138d551c28bb9dd184bf948',1,'fsl_swm_connections.h']]], + ['kswm_5fusart1_5fsclk',['kSWM_USART1_SCLK',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a209dc130cd5427683bedca3187726030',1,'fsl_swm_connections.h']]], + ['kswm_5fusart1_5ftxd',['kSWM_USART1_TXD',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a3ca0015b97fb5a1b24b1f6fda113488d',1,'fsl_swm_connections.h']]], + ['kswm_5fvddcmp',['kSWM_VDDCMP',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba1c8051ee75028cdb756ce666cc9b1bb9',1,'fsl_swm_connections.h']]], + ['kswm_5fwkclkin',['kSWM_WKCLKIN',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bae9a9d439d460166f8795ddd23c7135b5',1,'fsl_swm_connections.h']]], + ['ksyscon_5fgpioport0pin0topintsel',['kSYSCON_GpioPort0Pin0ToPintsel',['../a00091.html#ggaadba3526b7e160e7a91564ad01eb7e6fafe2a386c6f3e8884b995d08fd87de542',1,'fsl_syscon_connections.h']]], + ['kuart0_5fclk_5ffrom_5ffrg0clk',['kUART0_Clk_From_Frg0Clk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ad0784125581fd7bf09f4b3bab3af725d',1,'fsl_clock.h']]], + ['kuart0_5fclk_5ffrom_5ffro',['kUART0_Clk_From_Fro',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a67d2eb5235a0677d1ef161e5e1c2b340',1,'fsl_clock.h']]], + ['kuart0_5fclk_5ffrom_5ffro_5fdiv',['kUART0_Clk_From_Fro_Div',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85af06770587aa45bbb62d1e4fe36d03d81',1,'fsl_clock.h']]], + ['kuart0_5fclk_5ffrom_5fmainclk',['kUART0_Clk_From_MainClk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a841785f980e4c406eeecdcf07559fe66',1,'fsl_clock.h']]], + ['kuart0_5frst_5fn_5fshift_5frstn',['kUART0_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20aa294a3c09c1565947ebcd78694acff24',1,'fsl_reset.h']]], + ['kuart1_5fclk_5ffrom_5ffrg0clk',['kUART1_Clk_From_Frg0Clk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85af45a833dead43235df03a982dc25ea33',1,'fsl_clock.h']]], + ['kuart1_5fclk_5ffrom_5ffro',['kUART1_Clk_From_Fro',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a9fbd759cc60932bb0896625543477290',1,'fsl_clock.h']]], + ['kuart1_5fclk_5ffrom_5ffro_5fdiv',['kUART1_Clk_From_Fro_Div',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a1b183d67629d90ddc1a9b9baf2ebc285',1,'fsl_clock.h']]], + ['kuart1_5fclk_5ffrom_5fmainclk',['kUART1_Clk_From_MainClk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a066679b9e0a01ccd0aad6e6caa643f2f',1,'fsl_clock.h']]], + ['kuart1_5frst_5fn_5fshift_5frstn',['kUART1_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a8bc751e4927f0c20a0695b284fc40c38',1,'fsl_reset.h']]], + ['kusart_5f7bitsperchar',['kUSART_7BitsPerChar',['../a00020.html#ggaba5e41db910ac7f4e3a9732b1bfa002ea9562d6cdd240e9808a9a1d1946f400b7',1,'fsl_usart.h']]], + ['kusart_5f8bitsperchar',['kUSART_8BitsPerChar',['../a00020.html#ggaba5e41db910ac7f4e3a9732b1bfa002ead71aee19329a4127614132d30e50ad8a',1,'fsl_usart.h']]], ['kusart_5fallinterruptenable',['kUSART_AllInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ad97d89d6e3a853cc07c854838a4f31f9',1,'fsl_usart.h']]], + ['kusart_5fautobauderrorflag',['kUSART_AutoBaudErrorFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610aa655bdfd278003a00cf5195986a38892',1,'fsl_usart.h']]], + ['kusart_5fautobauderrorinterruptenable',['kUSART_AutoBaudErrorInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a7154c6ede60243bf5f9e727a5cb18ce5',1,'fsl_usart.h']]], ['kusart_5fctsstate',['kUSART_CtsState',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a2515d20982ec4edf617f6872e666a1d3',1,'fsl_usart.h']]], ['kusart_5fdeltactsflag',['kUSART_DeltaCtsFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a722bb0119b5dc19d471b62c622aca9c7',1,'fsl_usart.h']]], ['kusart_5fdeltactsinterruptenable',['kUSART_DeltaCtsInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ac01c5ca3a2671c568e35d196ea223ada',1,'fsl_usart.h']]], @@ -824,12 +842,12 @@ var searchData= ['kusart_5fframerrorinterruptenable',['kUSART_FramErrorInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853acc912dfbb3e827b37e9a942bf601672d',1,'fsl_usart.h']]], ['kusart_5fhardwareoverrunflag',['kUSART_HardwareOverrunFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610ade5bc3f17cf2c303ba2e0eeedafd858c',1,'fsl_usart.h']]], ['kusart_5fhardwareoverruninterruptenable',['kUSART_HardwareOverRunInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853afb9ef5dc29f02f42773fb5b7fcda2e3e',1,'fsl_usart.h']]], - ['kusart_5fonestopbit',['kUSART_OneStopBit',['../a00020.html#gga58ab07609b094f719f903475de6e57b4aa637b8f5f0edd262181a20c1fbed7c12',1,'fsl_usart.h']]], - ['kusart_5fparitydisabled',['kUSART_ParityDisabled',['../a00020.html#gga9b5ca9521874092ccb637a02d7b26ba2a46309b174047a84a78c77b7648bdf21b',1,'fsl_usart.h']]], + ['kusart_5fonestopbit',['kUSART_OneStopBit',['../a00020.html#ggaeeaf3b2b6394d3a656342cfbce0e39eeaa637b8f5f0edd262181a20c1fbed7c12',1,'fsl_usart.h']]], + ['kusart_5fparitydisabled',['kUSART_ParityDisabled',['../a00020.html#gga8725fe345ac7bb48cc48f9553212f5dba46309b174047a84a78c77b7648bdf21b',1,'fsl_usart.h']]], ['kusart_5fparityerrorflag',['kUSART_ParityErrorFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a46d487d50d8392d25c5c4e76cdfb6674',1,'fsl_usart.h']]], ['kusart_5fparityerrorinterruptenable',['kUSART_ParityErrorInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a3dc275bab22f77a1182bbab5fd5cea3b',1,'fsl_usart.h']]], - ['kusart_5fparityeven',['kUSART_ParityEven',['../a00020.html#gga9b5ca9521874092ccb637a02d7b26ba2aad8d786301da1bb92e2b911c386d39eb',1,'fsl_usart.h']]], - ['kusart_5fparityodd',['kUSART_ParityOdd',['../a00020.html#gga9b5ca9521874092ccb637a02d7b26ba2a64df3b823d2ab5f3f56ffb3f520eafb1',1,'fsl_usart.h']]], + ['kusart_5fparityeven',['kUSART_ParityEven',['../a00020.html#gga8725fe345ac7bb48cc48f9553212f5dbaad8d786301da1bb92e2b911c386d39eb',1,'fsl_usart.h']]], + ['kusart_5fparityodd',['kUSART_ParityOdd',['../a00020.html#gga8725fe345ac7bb48cc48f9553212f5dba64df3b823d2ab5f3f56ffb3f520eafb1',1,'fsl_usart.h']]], ['kusart_5frxbreakflag',['kUSART_RxBreakFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610af0ae1c44d00b140e86202627084f8638',1,'fsl_usart.h']]], ['kusart_5frxbreakinterruptenable',['kUSART_RxBreakInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a9c9626a150100989578d9f18f9b3d59a',1,'fsl_usart.h']]], ['kusart_5frxidleflag',['kUSART_RxIdleFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610abc766d479d00f480e78d05f21f8e1d59',1,'fsl_usart.h']]], @@ -837,24 +855,25 @@ var searchData= ['kusart_5frxnoiseinterruptenable',['kUSART_RxNoiseInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a7d342596a68c59d4e38bfe33c60f53c9',1,'fsl_usart.h']]], ['kusart_5frxready',['kUSART_RxReady',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a03db476c7c52f9624dab00d9af430411',1,'fsl_usart.h']]], ['kusart_5frxreadyinterruptenable',['kUSART_RxReadyInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853addb8c45f45a91da558ae553a72557445',1,'fsl_usart.h']]], - ['kusart_5frxsampleonfallingedge',['kUSART_RxSampleOnFallingEdge',['../a00020.html#gga786ba5b98195c3df810a061b6c0cca91a40fdc56c60a07652a4283491087eed6b',1,'fsl_usart.h']]], - ['kusart_5frxsampleonrisingedge',['kUSART_RxSampleOnRisingEdge',['../a00020.html#gga786ba5b98195c3df810a061b6c0cca91aea6908db956ab3f686c7ee54d68d401c',1,'fsl_usart.h']]], + ['kusart_5frxsampleonfallingedge',['kUSART_RxSampleOnFallingEdge',['../a00020.html#gga148de18c5f1501903739e858695dc1bda40fdc56c60a07652a4283491087eed6b',1,'fsl_usart.h']]], + ['kusart_5frxsampleonrisingedge',['kUSART_RxSampleOnRisingEdge',['../a00020.html#gga148de18c5f1501903739e858695dc1bdaea6908db956ab3f686c7ee54d68d401c',1,'fsl_usart.h']]], ['kusart_5frxstartflag',['kUSART_RxStartFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a2fab8368a6a3246714c83405202626b3',1,'fsl_usart.h']]], ['kusart_5frxstartinterruptenable',['kUSART_RxStartInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ae642012aae33bd8954699572e4adb475',1,'fsl_usart.h']]], - ['kusart_5fsyncmodedisabled',['kUSART_SyncModeDisabled',['../a00020.html#gga7ecd603d2579abbe714d58eb582821b8af7a378247f82677090007d0c38be78f2',1,'fsl_usart.h']]], - ['kusart_5fsyncmodemaster',['kUSART_SyncModeMaster',['../a00020.html#gga7ecd603d2579abbe714d58eb582821b8af279132afb0bee88bae3065c20c79ab2',1,'fsl_usart.h']]], - ['kusart_5fsyncmodeslave',['kUSART_SyncModeSlave',['../a00020.html#gga7ecd603d2579abbe714d58eb582821b8a71df5907ecd16c5f08fe1e6673064958',1,'fsl_usart.h']]], - ['kusart_5ftwostopbit',['kUSART_TwoStopBit',['../a00020.html#gga58ab07609b094f719f903475de6e57b4a3aee3195dc850778e33f2ebacf8847d3',1,'fsl_usart.h']]], + ['kusart_5fsyncmodedisabled',['kUSART_SyncModeDisabled',['../a00020.html#ggab3b6a5d80be9940d58f739ded5962a59af7a378247f82677090007d0c38be78f2',1,'fsl_usart.h']]], + ['kusart_5fsyncmodemaster',['kUSART_SyncModeMaster',['../a00020.html#ggab3b6a5d80be9940d58f739ded5962a59af279132afb0bee88bae3065c20c79ab2',1,'fsl_usart.h']]], + ['kusart_5fsyncmodeslave',['kUSART_SyncModeSlave',['../a00020.html#ggab3b6a5d80be9940d58f739ded5962a59a71df5907ecd16c5f08fe1e6673064958',1,'fsl_usart.h']]], + ['kusart_5ftwostopbit',['kUSART_TwoStopBit',['../a00020.html#ggaeeaf3b2b6394d3a656342cfbce0e39eea3aee3195dc850778e33f2ebacf8847d3',1,'fsl_usart.h']]], ['kusart_5ftxdisableflag',['kUSART_TxDisableFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a615387365fba3c394b1a595e9baa3c95',1,'fsl_usart.h']]], ['kusart_5ftxdisableinterruptenable',['kUSART_TxDisableInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a2dae162d4f0509695fce62b0ed1b9e4b',1,'fsl_usart.h']]], ['kusart_5ftxidleflag',['kUSART_TxIdleFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a8106fa188ea138f48c7740dcf5c2b294',1,'fsl_usart.h']]], + ['kusart_5ftxidleinterruptenable',['kUSART_TxIdleInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ac5611ab6b973e6777a7d7db8321f62f2',1,'fsl_usart.h']]], ['kusart_5ftxready',['kUSART_TxReady',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610ab35037d2ed958a740984aa0a125d72aa',1,'fsl_usart.h']]], ['kusart_5ftxreadyinterruptenable',['kUSART_TxReadyInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a42c8946331d417404af48aed9fe53f88',1,'fsl_usart.h']]], - ['kwkt_5falarmflag',['kWKT_AlarmFlag',['../a00021.html#gga0c4c3a2aa689d7cdd0608eb1076d83aaaf60149e2b9f22db27ef273f412e86150',1,'fsl_wkt.h']]], - ['kwkt_5fdividedfroclocksource',['kWKT_DividedFROClockSource',['../a00021.html#ggaf985ebf5e165e2fbda510cac71cad222a22e7e8fe1fd3cc9d4d3278e0fb7bae32',1,'fsl_wkt.h']]], - ['kwkt_5fexternalclocksource',['kWKT_ExternalClockSource',['../a00021.html#ggaf985ebf5e165e2fbda510cac71cad222afe5c2695869b965f7833386743cc1147',1,'fsl_wkt.h']]], - ['kwkt_5flowpowerclocksource',['kWKT_LowPowerClockSource',['../a00021.html#ggaf985ebf5e165e2fbda510cac71cad222a3c617873be9cb696f53cca9529ca6dfc',1,'fsl_wkt.h']]], - ['kwkt_5frst_5fn_5fshift_5frstn',['kWKT_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a80aae2b47fdc2701cb923d27ca9e5a7a',1,'fsl_reset.h']]], + ['kwkt_5falarmflag',['kWKT_AlarmFlag',['../a00021.html#ggab79bd4bf294072d42f3eb1006503df5eaf60149e2b9f22db27ef273f412e86150',1,'fsl_wkt.h']]], + ['kwkt_5fdividedfroclocksource',['kWKT_DividedFROClockSource',['../a00021.html#gga9f55ad94f6b9b9906690399c088b82b6a22e7e8fe1fd3cc9d4d3278e0fb7bae32',1,'fsl_wkt.h']]], + ['kwkt_5fexternalclocksource',['kWKT_ExternalClockSource',['../a00021.html#gga9f55ad94f6b9b9906690399c088b82b6afe5c2695869b965f7833386743cc1147',1,'fsl_wkt.h']]], + ['kwkt_5flowpowerclocksource',['kWKT_LowPowerClockSource',['../a00021.html#gga9f55ad94f6b9b9906690399c088b82b6a3c617873be9cb696f53cca9529ca6dfc',1,'fsl_wkt.h']]], + ['kwkt_5frst_5fn_5fshift_5frstn',['kWKT_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a80aae2b47fdc2701cb923d27ca9e5a7a',1,'fsl_reset.h']]], ['kwwdt_5ftimeoutflag',['kWWDT_TimeoutFlag',['../a00022.html#ggaca0e9724bd1f25336527ea66c77e476faea9db9afc1f9c70872a755eaa24a4184',1,'fsl_wwdt.h']]], ['kwwdt_5fwarningflag',['kWWDT_WarningFlag',['../a00022.html#ggaca0e9724bd1f25336527ea66c77e476fa825be03d771f06e1d6cf947ca78f857e',1,'fsl_wwdt.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6c.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6c.js index 0ae5226..046f8ca 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6c.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6c.js @@ -1,8 +1,8 @@ var searchData= [ - ['laddervalue',['ladderValue',['../a00008.html#a8271667393096dad310f8b2e486b1c4b',1,'acomp_ladder_config_t']]], - ['lastcommand',['lastCommand',['../a00019.html#a4e66b572aa792a179028af5340bc83cb',1,'_spi_master_handle']]], - ['loopback',['loopback',['../a00020.html#a9892d7a138f2245bc9b7fe4e6c1652fb',1,'usart_config_t']]], + ['laddervalue',['ladderValue',['../a00008.html#adcb0d4715a113383eeff5c8099c6179f',1,'_acomp_ladder_config']]], + ['lastcommand',['lastCommand',['../a00019.html#abd11fc7796a0daf717776f0aa4bd1a90',1,'_spi_master_handle']]], + ['loopback',['loopback',['../a00020.html#a36c8c2286aaeb9a7c0e2fc5e716b1230',1,'_usart_config']]], ['lpc_5facomp_3a_20analog_20comparator_20driver',['LPC_ACOMP: Analog comparator Driver',['../a00008.html',1,'']]], ['lpc_5fdac_5fdriver_5fversion',['LPC_DAC_DRIVER_VERSION',['../a00013.html#ga92d95f6b17de859a557ed350a86ff56e',1,'fsl_dac.h']]], ['lpc_5fiocon_5fdriver_5fversion',['LPC_IOCON_DRIVER_VERSION',['../a00017.html#gac4193f0e0cb9b0469f58af2648024059',1,'fsl_iocon.h']]] diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6d.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6d.js index 6942da5..1ae159e 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6d.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6d.js @@ -2,14 +2,16 @@ var searchData= [ ['make_5fstatus',['MAKE_STATUS',['../a00082.html#gad76dfbb0423d0cd3a7b77cbcd9043146',1,'fsl_common.h']]], ['make_5fversion',['MAKE_VERSION',['../a00082.html#ga812138aa3315b0c6953c1a26130bcc37',1,'fsl_common.h']]], - ['matchvalue',['matchValue',['../a00012.html#afa3614f09e787565b3514ddd4d9545a0',1,'ctimer_match_config_t']]], - ['mdelay',['mDelay',['../a00010.html#a6f8664474411be56853bb70cd8de8b70',1,'capt_config_t']]], - ['mode',['mode',['../a00012.html#ab72ef3a10cab9754d3cecb44ef7ad6ac',1,'ctimer_config_t']]], + ['matchvalue',['matchValue',['../a00012.html#a5960cac6e983dce00a98f942e22f5832',1,'_ctimer_match_config']]], + ['max',['MAX',['../a00082.html#gafa99ec4acc4ecb2dc3c2d05da15d0e3f',1,'fsl_common.h']]], + ['mdelay',['mDelay',['../a00010.html#ac15874a392739d70799948b6d9d1ac64',1,'_capt_config']]], + ['min',['MIN',['../a00082.html#ga3acffbd305ee72dcd4593c0d8af64a4f',1,'fsl_common.h']]], + ['mode',['mode',['../a00012.html#ae5118e6ce0406226a78b579332afc0e7',1,'_ctimer_config']]], ['mrt_3a_20multi_2drate_20timer',['MRT: Multi-Rate Timer',['../a00018.html',1,'']]], - ['mrt_5fchnl_5ft',['mrt_chnl_t',['../a00018.html#gaece5c1972e35dec2efcce98847a09622',1,'fsl_mrt.h']]], + ['mrt_5fchnl_5ft',['mrt_chnl_t',['../a00018.html#gaf78437e7a713ae57fd5c12135af514ba',1,'fsl_mrt.h']]], ['mrt_5fclearstatusflags',['MRT_ClearStatusFlags',['../a00018.html#ga959db20281cfce080c684bd94f667799',1,'fsl_mrt.h']]], ['mrt_5fclocks',['MRT_CLOCKS',['../a00079.html#gac3a1bd1656603951722b345b45f888e9',1,'fsl_clock.h']]], - ['mrt_5fconfig_5ft',['mrt_config_t',['../a00018.html#a00118',1,'']]], + ['mrt_5fconfig_5ft',['mrt_config_t',['../a00018.html#gadb656916391d01109e84f6e5d97fd5c3',1,'fsl_mrt.h']]], ['mrt_5fdeinit',['MRT_Deinit',['../a00018.html#ga5d988ee09b604ab28f1b37320ee8d2d9',1,'fsl_mrt.h']]], ['mrt_5fdisableinterrupts',['MRT_DisableInterrupts',['../a00018.html#ga7a8066680089523fa602dbe58b0c0505',1,'fsl_mrt.h']]], ['mrt_5fenableinterrupts',['MRT_EnableInterrupts',['../a00018.html#gae875817838659e596dc1cb1527c948c3',1,'fsl_mrt.h']]], @@ -19,13 +21,12 @@ var searchData= ['mrt_5fgetidlechannel',['MRT_GetIdleChannel',['../a00018.html#ga320ba098c93a30174254eb763dd37dde',1,'fsl_mrt.h']]], ['mrt_5fgetstatusflags',['MRT_GetStatusFlags',['../a00018.html#gafa8493a0faee1cc356da26ca99a54da8',1,'fsl_mrt.h']]], ['mrt_5finit',['MRT_Init',['../a00018.html#ga44091408531a61dfac7c4ee4982be317',1,'fsl_mrt.h']]], - ['mrt_5finterrupt_5fenable_5ft',['mrt_interrupt_enable_t',['../a00018.html#ga9d2f90ae2c6f99410e2908dac8cc6943',1,'fsl_mrt.h']]], - ['mrt_5freleasechannel',['MRT_ReleaseChannel',['../a00018.html#ga8e55bb859e4f9b98ea8ec580ed7b106a',1,'fsl_mrt.h']]], + ['mrt_5finterrupt_5fenable_5ft',['mrt_interrupt_enable_t',['../a00018.html#ga35fd7f67352e6660946fe980fcd4fc5d',1,'fsl_mrt.h']]], ['mrt_5fsetupchannelmode',['MRT_SetupChannelMode',['../a00018.html#ga8f4d38afa34e99ba521d9ca08be06355',1,'fsl_mrt.h']]], ['mrt_5fstarttimer',['MRT_StartTimer',['../a00018.html#ga388dd5041e6c179d637e17cd6e5a607e',1,'fsl_mrt.h']]], - ['mrt_5fstatus_5fflags_5ft',['mrt_status_flags_t',['../a00018.html#ga0bb94508d8cf924c3a6971364377673a',1,'fsl_mrt.h']]], + ['mrt_5fstatus_5fflags_5ft',['mrt_status_flags_t',['../a00018.html#ga42f664094e65ac53728a8bb0dea0d641',1,'fsl_mrt.h']]], ['mrt_5fstoptimer',['MRT_StopTimer',['../a00018.html#ga7620866a54acdcc17abab2b46a94dc6c',1,'fsl_mrt.h']]], - ['mrt_5ftimer_5fmode_5ft',['mrt_timer_mode_t',['../a00018.html#gad481f648f1c89a1eab327530d6fef1d0',1,'fsl_mrt.h']]], + ['mrt_5ftimer_5fmode_5ft',['mrt_timer_mode_t',['../a00018.html#gaff791fb43331fc1ae8f4e0d9003ac286',1,'fsl_mrt.h']]], ['mrt_5fupdatetimerperiod',['MRT_UpdateTimerPeriod',['../a00018.html#ga57f3d18e0ec88a5eb04b3282e0f3dc95',1,'fsl_mrt.h']]], ['msec_5fto_5fcount',['MSEC_TO_COUNT',['../a00082.html#gaa9fb2136029d807bd7c349c30348b52a',1,'fsl_common_arm.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6f.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6f.js index 0a11ecd..a6fad57 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6f.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6f.js @@ -1,7 +1,7 @@ var searchData= [ - ['outcontrol',['outControl',['../a00012.html#a0e8b29f0c0f74c5273942a521e3b16d6',1,'ctimer_match_config_t']]], - ['outpininitstate',['outPinInitState',['../a00012.html#a31ca8ee3406b7a17c793d94469e1e68d',1,'ctimer_match_config_t']]], - ['outputlogic',['outputLogic',['../a00014.html#a9d37ffd9a2943f10a91095759bd52da5',1,'gpio_pin_config_t']]], - ['overrunflag',['overrunFlag',['../a00009.html#a5d9fd2ad5f95c7b55a72ac1b5d10221f',1,'adc_result_info_t']]] + ['outcontrol',['outControl',['../a00012.html#aebc9be7186748d055b9f5a32c241c51e',1,'_ctimer_match_config']]], + ['outpininitstate',['outPinInitState',['../a00012.html#a742e587e497d79f10529d45268078d15',1,'_ctimer_match_config']]], + ['outputlogic',['outputLogic',['../a00014.html#a70ede6dc868ff6dd15987ba62c5d574b',1,'_gpio_pin_config']]], + ['overrunflag',['overrunFlag',['../a00009.html#ac203d9e6924d2b46deb5611052543f16',1,'_adc_result_info']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_70.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_70.js index fd5a3d0..43b2ca4 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_70.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_70.js @@ -1,7 +1,7 @@ var searchData= [ - ['paritymode',['parityMode',['../a00020.html#aff1d7e368b35ae89db8aa393207e7ccc',1,'usart_config_t']]], - ['pindirection',['pinDirection',['../a00014.html#a70aed128003103272f5740f12fbff525',1,'gpio_pin_config_t']]], + ['paritymode',['parityMode',['../a00020.html#a596335f12cc74301076951ce438b29e8',1,'_usart_config']]], + ['pindirection',['pinDirection',['../a00014.html#ae01198d65e4be510c4468dce75b6d779',1,'_gpio_pin_config']]], ['pint_5fcb_5ft',['pint_cb_t',['../a00088.html#ga262ac9596c0926fbe5f346e0f6aaf9f5',1,'fsl_pint.h']]], ['pint_5fdeinit',['PINT_Deinit',['../a00088.html#ga78ffa3e09e17db8dc6a9038123ad1c46',1,'fsl_pint.h']]], ['pint_5fdisablecallback',['PINT_DisableCallback',['../a00088.html#gada262638d555d9a503ddcdbed24ec475',1,'fsl_pint.h']]], @@ -19,8 +19,8 @@ var searchData= ['pint_5fpatternmatchgetstatus',['PINT_PatternMatchGetStatus',['../a00088.html#ga74b3eece6c6eabc38f3194b125ff80d5',1,'fsl_pint.h']]], ['pint_5fpatternmatchgetstatusall',['PINT_PatternMatchGetStatusAll',['../a00088.html#ga567b159bbfa265ed02c4900769ed8fe4',1,'fsl_pint.h']]], ['pint_5fpatternmatchresetdetectlogic',['PINT_PatternMatchResetDetectLogic',['../a00088.html#ga00d40f5f01c445206f1f800dcc7b6e89',1,'fsl_pint.h']]], - ['pint_5fpin_5fenable_5ft',['pint_pin_enable_t',['../a00088.html#ga6a17e5c52721f6eb754f54cc72b58c91',1,'fsl_pint.h']]], - ['pint_5fpin_5fint_5ft',['pint_pin_int_t',['../a00088.html#ga15da1f70e8d0a05e9d492d01ceca7da8',1,'fsl_pint.h']]], + ['pint_5fpin_5fenable_5ft',['pint_pin_enable_t',['../a00088.html#ga1444166a5b3130cdd3f3c5a40f102ff3',1,'fsl_pint.h']]], + ['pint_5fpin_5fint_5ft',['pint_pin_int_t',['../a00088.html#gaa230ebd4a048282d15d3ce2d9fa9deac',1,'fsl_pint.h']]], ['pint_5fpininterruptclrfallflag',['PINT_PinInterruptClrFallFlag',['../a00088.html#ga055c655c611b5645ce6903557ef809bb',1,'fsl_pint.h']]], ['pint_5fpininterruptclrfallflagall',['PINT_PinInterruptClrFallFlagAll',['../a00088.html#ga11c44cbe5b42c79b22a3053c85b1e5d0',1,'fsl_pint.h']]], ['pint_5fpininterruptclrriseflag',['PINT_PinInterruptClrRiseFlag',['../a00088.html#ga269af5856a4cfd5d2a171b4777d6884a',1,'fsl_pint.h']]], @@ -35,30 +35,30 @@ var searchData= ['pint_5fpininterruptgetriseflagall',['PINT_PinInterruptGetRiseFlagAll',['../a00088.html#ga08b623f67c277521182f8c9e630037ae',1,'fsl_pint.h']]], ['pint_5fpininterruptgetstatus',['PINT_PinInterruptGetStatus',['../a00088.html#ga99a96e386a822de59ac2d60149ec47f3',1,'fsl_pint.h']]], ['pint_5fpininterruptgetstatusall',['PINT_PinInterruptGetStatusAll',['../a00088.html#gab5dbaf58c90b1ef54bcd2c5dba9e178f',1,'fsl_pint.h']]], - ['pint_5fpmatch_5fbslice_5fcfg_5ft',['pint_pmatch_bslice_cfg_t',['../a00088.html#gae1e5bfc17515fab76a1deab955203c6a',1,'fsl_pint.h']]], - ['pint_5fpmatch_5fbslice_5ft',['pint_pmatch_bslice_t',['../a00088.html#ga048bc24e58d7df40af2a45efaabeea9b',1,'fsl_pint.h']]], - ['pint_5fpmatch_5finput_5fsrc_5ft',['pint_pmatch_input_src_t',['../a00088.html#ga2e05f827d6a43eade4c22e9d75bc5d76',1,'fsl_pint.h']]], + ['pint_5fpmatch_5fbslice_5fcfg_5ft',['pint_pmatch_bslice_cfg_t',['../a00088.html#ga17c131767c557e4e95809612c27fa2b5',1,'fsl_pint.h']]], + ['pint_5fpmatch_5fbslice_5ft',['pint_pmatch_bslice_t',['../a00088.html#ga859582ee39bfbd70c9305dbd2d68459d',1,'fsl_pint.h']]], + ['pint_5fpmatch_5finput_5fsrc_5ft',['pint_pmatch_input_src_t',['../a00088.html#ga69c6555d76c0c009931e669464c9be5d',1,'fsl_pint.h']]], ['pintsel_5fid',['PINTSEL_ID',['../a00091.html#gacec975ef3b52ff6266f4bf055d8bbbc0',1,'fsl_syscon_connections.h']]], ['plu_3a_20programmable_20logic_20unit',['PLU: Programmable Logic Unit',['../a00089.html',1,'']]], ['plu_5fclocks',['PLU_CLOCKS',['../a00079.html#gadfccab39614758cd5e147aafb5157610',1,'fsl_clock.h']]], ['plu_5fdeinit',['PLU_Deinit',['../a00089.html#ga4d562c154da2a9152f224e0dbeddb82c',1,'fsl_plu.h']]], ['plu_5finit',['PLU_Init',['../a00089.html#ga9223774c024634ea6aef20ccd4768810',1,'fsl_plu.h']]], - ['plu_5flut_5fin_5findex_5ft',['plu_lut_in_index_t',['../a00089.html#gab4037d3c440abe260269986fbfd2087f',1,'fsl_plu.h']]], - ['plu_5flut_5findex_5ft',['plu_lut_index_t',['../a00089.html#gafe6cff20e6fc0c79d076a7b878f875a1',1,'fsl_plu.h']]], - ['plu_5flut_5finput_5fsource_5ft',['plu_lut_input_source_t',['../a00089.html#gaec74828294222d85c4341013b842eb05',1,'fsl_plu.h']]], - ['plu_5foutput_5findex_5ft',['plu_output_index_t',['../a00089.html#gab2d50bbe43b91c74ff406a8d4c359f40',1,'fsl_plu.h']]], - ['plu_5foutput_5fsource_5ft',['plu_output_source_t',['../a00089.html#ga3ebfc228971e1d13f03847952605c2d2',1,'fsl_plu.h']]], + ['plu_5flut_5fin_5findex_5ft',['plu_lut_in_index_t',['../a00089.html#gab0cc888efc0344da5974c118746a7fb8',1,'fsl_plu.h']]], + ['plu_5flut_5findex_5ft',['plu_lut_index_t',['../a00089.html#gad3a004561355b02dc5e6a9ec1c040c92',1,'fsl_plu.h']]], + ['plu_5flut_5finput_5fsource_5ft',['plu_lut_input_source_t',['../a00089.html#gab860a724cb4676ddc81bde871a35b103',1,'fsl_plu.h']]], + ['plu_5foutput_5findex_5ft',['plu_output_index_t',['../a00089.html#ga8663a2681037005a1d56cd859c442553',1,'fsl_plu.h']]], + ['plu_5foutput_5fsource_5ft',['plu_output_source_t',['../a00089.html#ga16eadf55ac766f6510cbb9adc02fe504',1,'fsl_plu.h']]], ['plu_5freadoutputstate',['PLU_ReadOutputState',['../a00089.html#gad119096a745ccaf2fe302d9f25e799d3',1,'fsl_plu.h']]], ['plu_5fsetlutinputsource',['PLU_SetLutInputSource',['../a00089.html#ga4b8afdbebd21774bd0c8898077753901',1,'fsl_plu.h']]], ['plu_5fsetluttruthtable',['PLU_SetLutTruthTable',['../a00089.html#gaa0db99613861d08587e9bf1dd0defe84',1,'fsl_plu.h']]], ['plu_5fsetoutputsource',['PLU_SetOutputSource',['../a00089.html#ga17f61bbdb9778ca2d86609be38e4bc09',1,'fsl_plu.h']]], ['pmuc_5fpcon_5freserved_5fmask',['PMUC_PCON_RESERVED_MASK',['../a00080.html#gacc5b8fefeacc0f1909d657be9cedddf3',1,'fsl_power.h']]], - ['pollcount',['pollCount',['../a00010.html#ac5c6145f8f3ee5abc6130bea3de2d8c8',1,'capt_config_t']]], - ['polynomial',['polynomial',['../a00011.html#afbe53ea36b200e69cb7f693e6f0a11f1',1,'crc_config_t']]], - ['postdelay',['postDelay',['../a00019.html#a960b87887e431dabbb5641109cb56d90',1,'spi_delay_config_t']]], + ['pollcount',['pollCount',['../a00010.html#a63c6f24b87a854af63cf75d8498f9f25',1,'_capt_config']]], + ['polynomial',['polynomial',['../a00011.html#acdcb878b2b9318dffe5be1e214f67663',1,'_crc_config']]], + ['postdelay',['postDelay',['../a00019.html#aed8236ecb1aea1a39be3f060e8de34c7',1,'_spi_delay_config']]], ['power_20driver',['Power Driver',['../a00080.html',1,'']]], - ['power_5fbod_5finterrupt_5flevel_5ft',['power_bod_interrupt_level_t',['../a00080.html#gad856ab8003eb9953525518cddbc7814c',1,'fsl_power.h']]], - ['power_5fbod_5freset_5flevel_5ft',['power_bod_reset_level_t',['../a00080.html#gad4414c07be8e296fdf41c256e605c161',1,'fsl_power.h']]], + ['power_5fbod_5finterrupt_5flevel_5ft',['power_bod_interrupt_level_t',['../a00080.html#ga4611c10843d1988b1cf63c49897ae3cb',1,'fsl_power.h']]], + ['power_5fbod_5freset_5flevel_5ft',['power_bod_reset_level_t',['../a00080.html#ga0c729a296e2df1f011235215479452d4',1,'fsl_power.h']]], ['power_5fclrdeeppowerdownmodeflag',['POWER_ClrDeepPowerDownModeFlag',['../a00080.html#ga4b9f6c3aa18e60b7a85edfa8857906ed',1,'fsl_power.h']]], ['power_5fclrsleepmodeflag',['POWER_ClrSleepModeFlag',['../a00080.html#ga7069e59acd0a3e196bb54b7a5bcead3d',1,'fsl_power.h']]], ['power_5fclrwakeuppinflag',['POWER_ClrWakeupPinFlag',['../a00080.html#ga6f4c4db233f8d1cb2aafa7b7a3c34f84',1,'fsl_power.h']]], @@ -73,15 +73,15 @@ var searchData= ['power_5fenterdeepsleep',['POWER_EnterDeepSleep',['../a00080.html#ga4b0c77a348378a9c77539fc160c239df',1,'fsl_power.h']]], ['power_5fenterpowerdown',['POWER_EnterPowerDown',['../a00080.html#ga85cddf883d8412b35f3648fa5a62b136',1,'fsl_power.h']]], ['power_5fentersleep',['POWER_EnterSleep',['../a00080.html#ga6ad77a0ddbf43076a49a207534c6b7f8',1,'fsl_power.h']]], - ['power_5fgen_5freg_5ft',['power_gen_reg_t',['../a00080.html#gaacea8a22b7f4706814e61973550d3492',1,'fsl_power.h']]], + ['power_5fgen_5freg_5ft',['power_gen_reg_t',['../a00080.html#ga16a5fe9d2ffb61e894ea9bb158d6b714',1,'fsl_power.h']]], ['power_5fgetdeeppowerdownmodeflag',['POWER_GetDeepPowerDownModeFlag',['../a00080.html#ga7b82522f086af61786aa316142d3540c',1,'fsl_power.h']]], ['power_5fgetretaindata',['POWER_GetRetainData',['../a00080.html#ga2cef71a7429671e6aaf71b3c40f1bc23',1,'fsl_power.h']]], ['power_5fgetsleepmodeflag',['POWER_GetSleepModeFlag',['../a00080.html#gaa25d9a439cb1779e76c107cfc9c1a86e',1,'fsl_power.h']]], ['power_5fsetbodlevel',['POWER_SetBodLevel',['../a00080.html#ga85b6d46e43b818ba54dba92256db4499',1,'fsl_power.h']]], ['power_5fsetretaindata',['POWER_SetRetainData',['../a00080.html#gada7daa74280566725bfa2854613c91cc',1,'fsl_power.h']]], ['power_5fwakeupconfig',['POWER_WakeUpConfig',['../a00080.html#ga83d78eac8ce2faa5f92738c681846d5b',1,'fsl_power.h']]], - ['predelay',['preDelay',['../a00019.html#a24a2584817f03d1ace0a6cecc718bc09',1,'spi_delay_config_t']]], - ['prescale',['prescale',['../a00012.html#aa8baec2c586854dc58ff4cdb82b7996b',1,'ctimer_config_t']]], + ['predelay',['preDelay',['../a00019.html#a5a9538fb17f9ad1ae6e5bc3654674bf5',1,'_spi_delay_config']]], + ['prescale',['prescale',['../a00012.html#acf773fdddb3e5695ae30f4ab45e4b2fd',1,'_ctimer_config']]], ['printf',['PRINTF',['../a00092.html#gae1649fc947ca37a86917a08354f48d1a',1,'fsl_debug_console.h']]], ['printf_5fadvanced_5fenable',['PRINTF_ADVANCED_ENABLE',['../a00092.html#ga299a157e550c253df33f18180f21605e',1,'fsl_debug_console.h']]], ['printf_5ffloat_5fenable',['PRINTF_FLOAT_ENABLE',['../a00092.html#ga926f5c4b8929e99c9f977ad4c48928fd',1,'fsl_debug_console.h']]] diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_71.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_71.js index 3b82450..2943224 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_71.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_71.js @@ -1,5 +1,5 @@ var searchData= [ - ['qualaddress',['qualAddress',['../a00016.html#a4c9d09c35c4641d38bf565de31b07320',1,'i2c_slave_config_t']]], - ['qualmode',['qualMode',['../a00016.html#a51577d06d8812b0c999957898ec016b5',1,'i2c_slave_config_t']]] + ['qualaddress',['qualAddress',['../a00016.html#a0b7ba3bb25c3bc531ade96ab283b8a5b',1,'_i2c_slave_config']]], + ['qualmode',['qualMode',['../a00016.html#a98fcd913b880ce599149066d0cd1f431',1,'_i2c_slave_config']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_72.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_72.js index 133d621..335637c 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_72.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_72.js @@ -1,23 +1,27 @@ var searchData= [ - ['rdelay',['rDelay',['../a00010.html#a2023ba3491243edb7ad6be41ea0b0f68',1,'capt_config_t']]], - ['receivedaddress',['receivedAddress',['../a00016.html#ad6f3b291ee81b69cf91c161ae26d65ae',1,'i2c_slave_transfer_t']]], - ['referencevoltage',['referenceVoltage',['../a00008.html#a66356c0246938695521e8a0ece69cf11',1,'acomp_ladder_config_t']]], - ['remainingbytes',['remainingBytes',['../a00015.html#aca481b5d3ca6dce53cf440b534ff80c2',1,'_i2c_master_handle']]], + ['ramfunction_5fsection_5fcode',['RAMFUNCTION_SECTION_CODE',['../a00082.html#ga0cc30b1528f944524f009ada9c85e897',1,'fsl_common_arm.h']]], + ['rdelay',['rDelay',['../a00010.html#a4d7124d2fe27a7b307abef0e3d1bd55c',1,'_capt_config']]], + ['receivedaddress',['receivedAddress',['../a00016.html#a521a410193f5184aaea5ab42b8bafac7',1,'_i2c_slave_transfer']]], + ['referencevoltage',['referenceVoltage',['../a00008.html#a425079b28fa9d9d2942cc2160089ae18',1,'_acomp_ladder_config']]], + ['remainingbytes',['remainingBytes',['../a00015.html#aa041075cd1ecaf90bcc73d96478e94cf',1,'_i2c_master_handle']]], ['reset_20driver',['Reset Driver',['../a00081.html',1,'']]], + ['reset_5fclearperipheralreset',['RESET_ClearPeripheralReset',['../a00081.html#ga3f9c431d066e700ee9e2ab83c11aaed8',1,'fsl_reset.h']]], ['reset_5fperipheralreset',['RESET_PeripheralReset',['../a00081.html#gac33f3428cb55ce07ae67802e5f534ffc',1,'fsl_reset.h']]], - ['result',['result',['../a00009.html#afa0a0f7e84c888060b963280035378f6',1,'adc_result_info_t']]], - ['reversein',['reverseIn',['../a00011.html#aecb53a8e8a78e3273c6d3b2c874ab7f2',1,'crc_config_t']]], - ['reverseout',['reverseOut',['../a00011.html#ab34c2bedb6fc476f9cbe760b6d45a417',1,'crc_config_t']]], + ['reset_5freleaseperipheralreset',['RESET_ReleasePeripheralReset',['../a00081.html#gadbdb012f4a805a6117f76cbcaf378aa3',1,'fsl_reset.h']]], + ['reset_5fsetperipheralreset',['RESET_SetPeripheralReset',['../a00081.html#gad9df042d0736710d46dcdd0d52d80f85',1,'fsl_reset.h']]], + ['result',['result',['../a00009.html#a3e3d2d7de657f63a68fa37041fb793fa',1,'_adc_result_info']]], + ['reversein',['reverseIn',['../a00011.html#af12b83e2d0d509072a6f6f9cfe851c08',1,'_crc_config']]], + ['reverseout',['reverseOut',['../a00011.html#a7c89d6338d481fa27e2291c28b375ae1',1,'_crc_config']]], ['rom_5fclocks',['ROM_CLOCKS',['../a00079.html#gaffc6cb67ee605165b0660db6031d5871',1,'fsl_clock.h']]], - ['rxdata',['rxData',['../a00016.html#a3e497078c6038baa8748ce5ecebc7e3d',1,'i2c_slave_transfer_t::rxData()'],['../a00019.html#a28b878b99d5ec790b5c8f3bafe140da0',1,'spi_transfer_t::rxData()'],['../a00019.html#a69f260bde2e1728233f835a148b2f51b',1,'_spi_master_handle::rxData()'],['../a00020.html#a44bd38d7d89b7e185ac9bb49bd7214ea',1,'usart_transfer_t::rxData()'],['../a00020.html#a7fcedbe0ea6e380084a05ba1bb06e38d',1,'_usart_handle::rxData()']]], - ['rxdatasize',['rxDataSize',['../a00020.html#a94a60ffa711d28e3c9151c9990a9145c',1,'_usart_handle']]], - ['rxdatasizeall',['rxDataSizeAll',['../a00020.html#a35109a019b73257d24021ad79d951e64',1,'_usart_handle']]], - ['rxremainingbytes',['rxRemainingBytes',['../a00019.html#a23b2531ea36b53e42cd6b2a3780d3017',1,'_spi_master_handle']]], - ['rxringbuffer',['rxRingBuffer',['../a00020.html#a2cac1523ac58da64d4076c6155309d2f',1,'_usart_handle']]], - ['rxringbufferhead',['rxRingBufferHead',['../a00020.html#afb838e91205d1aa1a2b064591bc11c4e',1,'_usart_handle']]], - ['rxringbuffersize',['rxRingBufferSize',['../a00020.html#ae1c5e6a8ccdd56a678e8dda316e43845',1,'_usart_handle']]], - ['rxringbuffertail',['rxRingBufferTail',['../a00020.html#a197ce470fd9b42e53a867ef84eb2b6dd',1,'_usart_handle']]], - ['rxsize',['rxSize',['../a00016.html#a75e06358c0d5840a1dfc19a029e10ba8',1,'i2c_slave_transfer_t']]], - ['rxstate',['rxState',['../a00020.html#a7f621935f46f5f1bdd10ca755e1b51a7',1,'_usart_handle']]] + ['rxdata',['rxData',['../a00016.html#a93589ac311615c53149c70f87cc85220',1,'_i2c_slave_transfer::rxData()'],['../a00019.html#a747148e84f9c242b274a022aed7a0555',1,'_spi_transfer::rxData()'],['../a00019.html#ac2ff0ccc0b7c02ad4be567e9e09eb840',1,'_spi_master_handle::rxData()'],['../a00020.html#aaebd685f866e8426d8ac31f80e9c5ac0',1,'_usart_transfer::rxData()'],['../a00020.html#ab542745215695fe8ec1fe961eb279b03',1,'_usart_handle::rxData()']]], + ['rxdatasize',['rxDataSize',['../a00020.html#a56f610440fdc4468294c0b1a88cbb40f',1,'_usart_handle']]], + ['rxdatasizeall',['rxDataSizeAll',['../a00020.html#aeb56ce65366b01bc8fd035dd500faf5e',1,'_usart_handle']]], + ['rxremainingbytes',['rxRemainingBytes',['../a00019.html#a3706da91ee40a2f2bd006765fa6d9d5f',1,'_spi_master_handle']]], + ['rxringbuffer',['rxRingBuffer',['../a00020.html#a330deecdce9b4d973e43b38e7f1b81ec',1,'_usart_handle']]], + ['rxringbufferhead',['rxRingBufferHead',['../a00020.html#abf7d0609ce46c8738aec656fbbf0305c',1,'_usart_handle']]], + ['rxringbuffersize',['rxRingBufferSize',['../a00020.html#a22acf3b7ea83a9b701ec5ac7819ac538',1,'_usart_handle']]], + ['rxringbuffertail',['rxRingBufferTail',['../a00020.html#a047780bd1268a676f4ebb16c306cd75a',1,'_usart_handle']]], + ['rxsize',['rxSize',['../a00016.html#a97340f3d81fba5c6f687f51ea68793c3',1,'_i2c_slave_transfer']]], + ['rxstate',['rxState',['../a00020.html#a05861925b5c798c477a57e10acfa054d',1,'_usart_handle']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_73.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_73.js index 7d0462a..78c0cb8 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_73.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_73.js @@ -3,26 +3,32 @@ var searchData= ['scanf_5fadvanced_5fenable',['SCANF_ADVANCED_ENABLE',['../a00092.html#ga58226e74553b7976713f08ab6cd1ead3',1,'fsl_debug_console.h']]], ['scanf_5ffloat_5fenable',['SCANF_FLOAT_ENABLE',['../a00092.html#gacab0122ff00951d58b47d95d95c4d119',1,'fsl_debug_console.h']]], ['sct_5fclocks',['SCT_CLOCKS',['../a00079.html#gac72477e518b30ceb277b83f555ac0d9e',1,'fsl_clock.h']]], + ['sdk_5falign',['SDK_ALIGN',['../a00082.html#gade00270528e66e9f091cc49d1ac2212c',1,'fsl_common_arm.h']]], + ['sdk_5fatomic_5flocal_5fadd',['SDK_ATOMIC_LOCAL_ADD',['../a00082.html#ga957fd03848a6eb9dbca45a30dcdcc139',1,'fsl_common_arm.h']]], + ['sdk_5fatomic_5flocal_5fclear',['SDK_ATOMIC_LOCAL_CLEAR',['../a00082.html#ga50f13aaa6905b92a83c762e1513471c8',1,'fsl_common_arm.h']]], + ['sdk_5fatomic_5flocal_5fclear_5fand_5fset',['SDK_ATOMIC_LOCAL_CLEAR_AND_SET',['../a00082.html#ga2bfce8f92b8539d9b2eedc4d52b35dd1',1,'fsl_common_arm.h']]], + ['sdk_5fatomic_5flocal_5fset',['SDK_ATOMIC_LOCAL_SET',['../a00082.html#gade7ec3533b9f508554ee1535b7b8bc8c',1,'fsl_common_arm.h']]], + ['sdk_5fatomic_5flocal_5fsub',['SDK_ATOMIC_LOCAL_SUB',['../a00082.html#gae82c4d49594c973ce454a8c03cdf7d88',1,'fsl_common_arm.h']]], + ['sdk_5fatomic_5flocal_5ftoggle',['SDK_ATOMIC_LOCAL_TOGGLE',['../a00082.html#ga022210ec2f02da145599baef78fbeb5a',1,'fsl_common_arm.h']]], ['sdk_5fdebugconsole',['SDK_DEBUGCONSOLE',['../a00092.html#ga7fdd594efdc8374ecd8684ed758d6cec',1,'fsl_debug_console.h']]], ['sdk_5fdelayatleastus',['SDK_DelayAtLeastUs',['../a00082.html#ga4f9121590e5b8fe025d706ff2fe5da36',1,'fsl_common.h']]], ['sdk_5ffree',['SDK_Free',['../a00082.html#ga0be5caec9b8493d87cc849714bd47865',1,'fsl_common.h']]], - ['sdk_5fl1dcache_5falign',['SDK_L1DCACHE_ALIGN',['../a00082.html#ga870e52d54fc8ec35f89fc99ca656fb0b',1,'fsl_common_arm.h']]], ['sdk_5fmalloc',['SDK_Malloc',['../a00082.html#ga4e1420d9e817ebe0e8973420411de015',1,'fsl_common.h']]], ['sdk_5fsizealign',['SDK_SIZEALIGN',['../a00082.html#ga44d24ac5229dbbd054f046331b58d43b',1,'fsl_common_arm.h']]], - ['seed',['seed',['../a00011.html#a88920b83ff1317f511c1e9f4916b3b30',1,'crc_config_t']]], + ['seed',['seed',['../a00011.html#a5db17f3b1bf658ca8c44531a370c447d',1,'_crc_config']]], ['semihosting',['Semihosting',['../a00093.html',1,'']]], - ['sequencenumber',['sequenceNumber',['../a00010.html#a3305567326ede24c2f45a2d5b788eb2e',1,'capt_touch_data_t']]], - ['settlingtime',['settlingTime',['../a00013.html#a64eb5fd25bfaaa5593caf6e9116dbb5b',1,'dac_config_t']]], - ['slaveaddress',['slaveAddress',['../a00015.html#a97891bd050609c6dcd1276714277e480',1,'_i2c_master_transfer']]], - ['slavefsm',['slaveFsm',['../a00016.html#a18589a5de9b06b2eaf7d4260eac03a58',1,'_i2c_slave_handle']]], + ['sequencenumber',['sequenceNumber',['../a00010.html#abf61047d6c6489bdb2f836e7720fe088',1,'_capt_touch_data']]], + ['settlingtime',['settlingTime',['../a00013.html#ad028490a4c5b6ddc206b0c9f6efd7903',1,'_dac_config']]], + ['slaveaddress',['slaveAddress',['../a00015.html#ae21c9a34c722273d6c28f0abe72b5424',1,'_i2c_master_transfer']]], + ['slavefsm',['slaveFsm',['../a00016.html#a8cc8b98baef51cb985c227d65e5f75f1',1,'_i2c_slave_handle']]], ['spi_3a_20serial_20peripheral_20interface_20driver',['SPI: Serial Peripheral Interface Driver',['../a00086.html',1,'']]], ['spi_5fclearstatusflags',['SPI_ClearStatusFlags',['../a00019.html#ga794c7435fa108012df54bb6294f20505',1,'fsl_spi.h']]], - ['spi_5fclock_5fphase_5ft',['spi_clock_phase_t',['../a00019.html#ga9ad313685ade497f5cbcb71c74a1b4dc',1,'fsl_spi.h']]], - ['spi_5fclock_5fpolarity_5ft',['spi_clock_polarity_t',['../a00019.html#ga3e5a7cd043c9596779bc23b34cb3d1f9',1,'fsl_spi.h']]], + ['spi_5fclock_5fphase_5ft',['spi_clock_phase_t',['../a00019.html#ga12e1c73dd72aaabd76ff5ce23c0d3244',1,'fsl_spi.h']]], + ['spi_5fclock_5fpolarity_5ft',['spi_clock_polarity_t',['../a00019.html#ga9de95ac02ae859ac26534c06eb47b5b8',1,'fsl_spi.h']]], ['spi_5fclocks',['SPI_CLOCKS',['../a00079.html#ga2323a706e6e7796fa2e352a5b5a70f59',1,'fsl_clock.h']]], - ['spi_5fdata_5fwidth_5ft',['spi_data_width_t',['../a00019.html#gafa691c5329a7325ee57c9f06fa295731',1,'fsl_spi.h']]], + ['spi_5fdata_5fwidth_5ft',['spi_data_width_t',['../a00019.html#ga237f202331592eab8ddadc63b780fa9d',1,'fsl_spi.h']]], ['spi_5fdeinit',['SPI_Deinit',['../a00019.html#gaac0bc2b87ea2eb7eeba78d9449d4dbbf',1,'fsl_spi.h']]], - ['spi_5fdelay_5fconfig_5ft',['spi_delay_config_t',['../a00019.html#a00119',1,'']]], + ['spi_5fdelay_5fconfig_5ft',['spi_delay_config_t',['../a00019.html#ga5d2ab71dbc12d399a9e125103fd3ec10',1,'fsl_spi.h']]], ['spi_5fdisableinterrupts',['SPI_DisableInterrupts',['../a00019.html#ga6289b192b135592b7b0996c05422be4d',1,'fsl_spi.h']]], ['spi_20driver',['SPI Driver',['../a00019.html',1,'']]], ['spi_5fdummydata',['SPI_DUMMYDATA',['../a00019.html#ga1541129ba8262e1649592b5109e2074c',1,'fsl_spi.h']]], @@ -32,7 +38,8 @@ var searchData= ['spi_5fgetstatusflags',['SPI_GetStatusFlags',['../a00019.html#ga9e7412ad45aeb7f620fe34559034ad2e',1,'fsl_spi.h']]], ['spi_5fismaster',['SPI_IsMaster',['../a00019.html#ga97782e786464ec2de946bd42e63aefbc',1,'fsl_spi.h']]], ['spi_5fmaster_5fcallback_5ft',['spi_master_callback_t',['../a00019.html#gae9bd140aeb645efab6c7552b3994e01a',1,'fsl_spi.h']]], - ['spi_5fmaster_5fconfig_5ft',['spi_master_config_t',['../a00019.html#a00120',1,'']]], + ['spi_5fmaster_5fconfig_5ft',['spi_master_config_t',['../a00019.html#ga15e5f834f83ba89de1e920bba9d00394',1,'fsl_spi.h']]], + ['spi_5fmaster_5fhandle_5ft',['spi_master_handle_t',['../a00019.html#gafb311d8a8b7de084d82915f5a003a300',1,'fsl_spi.h']]], ['spi_5fmastergetdefaultconfig',['SPI_MasterGetDefaultConfig',['../a00019.html#ga45c08fc078ae334b79fb844379140838',1,'fsl_spi.h']]], ['spi_5fmasterinit',['SPI_MasterInit',['../a00019.html#gab36e8463576abeded221a1e5a1eec01c',1,'fsl_spi.h']]], ['spi_5fmastersetbaudrate',['SPI_MasterSetBaudRate',['../a00019.html#ga3ad4747ccc31c142c54af5639cd49328',1,'fsl_spi.h']]], @@ -46,9 +53,9 @@ var searchData= ['spi_5fretry_5ftimes',['SPI_RETRY_TIMES',['../a00019.html#gaa4717c15ca9604c505a5e5c60df29ebe',1,'fsl_spi.h']]], ['spi_5fsetdummydata',['SPI_SetDummyData',['../a00019.html#gac75808445b18f687387bea02892a5246',1,'fsl_spi.h']]], ['spi_5fsettransferdelay',['SPI_SetTransferDelay',['../a00019.html#gad4071c9fc158109945e724872f4760b6',1,'fsl_spi.h']]], - ['spi_5fshift_5fdirection_5ft',['spi_shift_direction_t',['../a00019.html#gaa68518c16202382c2e1f1c7c66a9d53d',1,'fsl_spi.h']]], + ['spi_5fshift_5fdirection_5ft',['spi_shift_direction_t',['../a00019.html#gadc24bb931a59fc64ee4cb60ed56addb4',1,'fsl_spi.h']]], ['spi_5fslave_5fcallback_5ft',['spi_slave_callback_t',['../a00019.html#ga86b45b85e036adc762eed5bcd2a0491d',1,'fsl_spi.h']]], - ['spi_5fslave_5fconfig_5ft',['spi_slave_config_t',['../a00019.html#a00121',1,'']]], + ['spi_5fslave_5fconfig_5ft',['spi_slave_config_t',['../a00019.html#gaa62352a5d0a01f70fe74941809d03ec3',1,'fsl_spi.h']]], ['spi_5fslave_5fhandle_5ft',['spi_slave_handle_t',['../a00019.html#gad267cfee3a876b2860217ff94f03f574',1,'fsl_spi.h']]], ['spi_5fslavegetdefaultconfig',['SPI_SlaveGetDefaultConfig',['../a00019.html#gac000b63ed033f57a9eee342a8c8e67f2',1,'fsl_spi.h']]], ['spi_5fslaveinit',['SPI_SlaveInit',['../a00019.html#gae40850ce14ba74ea75b3eef79beecf8a',1,'fsl_spi.h']]], @@ -57,32 +64,34 @@ var searchData= ['spi_5fslavetransfergetcount',['SPI_SlaveTransferGetCount',['../a00019.html#ga0dcd2ed8373d992ff93bb500e1442815',1,'fsl_spi.h']]], ['spi_5fslavetransferhandleirq',['SPI_SlaveTransferHandleIRQ',['../a00019.html#gaac1ff8fc728c925d78b1237949ebf7c3',1,'fsl_spi.h']]], ['spi_5fslavetransfernonblocking',['SPI_SlaveTransferNonBlocking',['../a00019.html#ga93765aa3b062471b641f1b8f733b8b5b',1,'fsl_spi.h']]], - ['spi_5fspol_5ft',['spi_spol_t',['../a00019.html#gafd7e7bd8e060742346806ed8c8f1db4c',1,'fsl_spi.h']]], - ['spi_5fssel_5ft',['spi_ssel_t',['../a00019.html#ga420a3d3f841957068648585b89fa66d1',1,'fsl_spi.h']]], - ['spi_5ftransfer_5ft',['spi_transfer_t',['../a00019.html#a00122',1,'']]], + ['spi_5fspol_5ft',['spi_spol_t',['../a00019.html#ga81db47cb3d4de3ce1bee11725659b0d7',1,'fsl_spi.h']]], + ['spi_5fssel_5ft',['spi_ssel_t',['../a00019.html#gab2c4dcc02a93990358783cbdce5e6d51',1,'fsl_spi.h']]], + ['spi_5ftransfer_5ft',['spi_transfer_t',['../a00019.html#ga59314d5596f240cfbe8b9466bd99ed3f',1,'fsl_spi.h']]], ['spi_5fwriteconfigflags',['SPI_WriteConfigFlags',['../a00019.html#ga709b0d176b737a8009221565f3ae19e6',1,'fsl_spi.h']]], ['spi_5fwritedata',['SPI_WriteData',['../a00019.html#ga92c1bd47d2ad7b45fc138fccb4bc1ace',1,'fsl_spi.h']]], ['spi_5fwritedatawithconfigflags',['SPI_WriteDataWithConfigFlags',['../a00019.html#gad3810513c85cb28f1dbf18b15614a09d',1,'fsl_spi.h']]], ['sram_5fclocks',['SRAM_CLOCKS',['../a00079.html#ga06cf7a516d7600127c0af0e1e0cc5759',1,'fsl_clock.h']]], - ['sselnumber',['sselNumber',['../a00019.html#af889de97327eb44641d8acc1f509d074',1,'spi_master_config_t']]], - ['sselpolarity',['sselPolarity',['../a00019.html#ab514b4866ee539808abd92a75ca8be51',1,'spi_master_config_t::sselPolarity()'],['../a00019.html#a0d0bceaaae2e5bae690fb2c3289f48f6',1,'spi_slave_config_t::sselPolarity()']]], - ['state',['state',['../a00015.html#add7ec18bc8239c5c87ffcec2fbcf5dd8',1,'_i2c_master_handle::state()'],['../a00019.html#ae7933252a37be998d127217f34f6fd16',1,'_spi_master_handle::state()']]], + ['sselnumber',['sselNumber',['../a00019.html#a2f58207867da4bce8e21aef3d14b36c0',1,'_spi_master_config']]], + ['sselpolarity',['sselPolarity',['../a00019.html#af04e8fe6a645da87ee12619e59e2598f',1,'_spi_master_config::sselPolarity()'],['../a00019.html#a63ddc4cf4beddeb93868a2511bf6286f',1,'_spi_slave_config::sselPolarity()']]], + ['state',['state',['../a00015.html#ade67a2d6339836711ee255a18405e750',1,'_i2c_master_handle::state()'],['../a00019.html#a4e535bb80a844ac409dbf51c2ecee955',1,'_spi_master_handle::state()']]], ['status_5ft',['status_t',['../a00082.html#gaaabdaf7ee58ca7269bd4bf24efcde092',1,'fsl_common.h']]], - ['stopbitcount',['stopBitCount',['../a00020.html#aeb0b8a38f5d7e0def2aa1b079643682f',1,'usart_config_t']]], - ['subaddress',['subaddress',['../a00015.html#ae7facb612714785d4e143e57d47a5af3',1,'_i2c_master_transfer']]], - ['subaddresssize',['subaddressSize',['../a00015.html#aeec8dccf4a49f03ff9a40f5982a24796',1,'_i2c_master_transfer']]], + ['stopbitcount',['stopBitCount',['../a00020.html#a68387d7059ba0187baaab53ae2a4ff45',1,'_usart_config']]], + ['subaddress',['subaddress',['../a00015.html#a7a4f43a16e63d9f286bc41b6255b5e3f',1,'_i2c_master_transfer']]], + ['subaddresssize',['subaddressSize',['../a00015.html#a0c2c6dde8d11db7793fdea90f1b34afb',1,'_i2c_master_transfer']]], + ['suppress_5ffall_5fthrough_5fwarning',['SUPPRESS_FALL_THROUGH_WARNING',['../a00082.html#ga0b47cb52d1ceba88ee3e6cd19820ecfc',1,'fsl_common.h']]], ['swm_3a_20switch_20matrix_20module',['SWM: Switch Matrix Module',['../a00090.html',1,'']]], ['swm_5fclocks',['SWM_CLOCKS',['../a00079.html#gad0c6602129535798b81d753e67cabc99',1,'fsl_clock.h']]], - ['swm_5ffixed_5fport_5fpin_5ftype_5ft',['swm_fixed_port_pin_type_t',['../a00090.html#gabf427dd8dd6c86d0fac4f05b30e54475',1,'fsl_swm_connections.h']]], - ['swm_5fport_5fpin_5ftype_5ft',['swm_port_pin_type_t',['../a00090.html#gaca9c780388e187444bfa31a6bcc72d35',1,'fsl_swm_connections.h']]], - ['swm_5fselect_5ffixed_5fmovable_5ft',['swm_select_fixed_movable_t',['../a00090.html#gabdebb214f81e7c4859bd60752b5958cd',1,'fsl_swm_connections.h']]], - ['swm_5fselect_5ffixed_5fpin_5ft',['swm_select_fixed_pin_t',['../a00090.html#ga6db188a71a269613f30825af80c02053',1,'fsl_swm_connections.h']]], - ['swm_5fselect_5fmovable_5ft',['swm_select_movable_t',['../a00090.html#ga45575871cafcadc50292b9bba6b9d13d',1,'fsl_swm_connections.h']]], + ['swm_5ffixed_5fport_5fpin_5ftype_5ft',['swm_fixed_port_pin_type_t',['../a00090.html#ga3d2fde56893738e6acf749dddcc0465d',1,'fsl_swm_connections.h']]], + ['swm_5fport_5fpin_5ftype_5ft',['swm_port_pin_type_t',['../a00090.html#ga8c2cb512971948e5b2bfb39cd2bb6b2e',1,'fsl_swm_connections.h']]], + ['swm_5fselect_5ffixed_5fmovable_5ft',['swm_select_fixed_movable_t',['../a00090.html#ga7a807bf49269e8123e7bf48eae6f087c',1,'fsl_swm_connections.h']]], + ['swm_5fselect_5ffixed_5fpin_5ft',['swm_select_fixed_pin_t',['../a00090.html#gac13cd10cc57b9f6378016c5bba9163f1',1,'fsl_swm_connections.h']]], + ['swm_5fselect_5fmovable_5ft',['swm_select_movable_t',['../a00090.html#gaa8cb4270c47608c85b36f9bca1b6c154',1,'fsl_swm_connections.h']]], + ['swm_5fsetfixedmovablepinselect',['SWM_SetFixedMovablePinSelect',['../a00090.html#gae7a3cbb33db3c5d3f6663bdd21fb32e4',1,'fsl_swm.h']]], ['swm_5fsetfixedpinselect',['SWM_SetFixedPinSelect',['../a00090.html#ga55452f734d7cc5e02195ed926e4484c8',1,'fsl_swm.h']]], ['swm_5fsetmovablepinselect',['SWM_SetMovablePinSelect',['../a00090.html#gaae2dc5c29ae2358c616fa8a2b61a7e4b',1,'fsl_swm.h']]], - ['syncmode',['syncMode',['../a00020.html#ad5debb2aa90fc8fa732edd1ab4bdbbea',1,'usart_config_t']]], + ['syncmode',['syncMode',['../a00020.html#a25c70030145b41ac1f4030ebdc4390b1',1,'_usart_config']]], ['syscon_3a_20system_20configuration',['SYSCON: System Configuration',['../a00091.html',1,'']]], ['syscon_5fattachsignal',['SYSCON_AttachSignal',['../a00091.html#gaa42f53e7578284bdc9816da8800e735b',1,'fsl_syscon.h']]], - ['syscon_5fconnection_5ft',['syscon_connection_t',['../a00091.html#ga4a63ee69b68459770551a0e4d7b6cdad',1,'fsl_syscon_connections.h']]], - ['syscon_5frstn_5ft',['SYSCON_RSTn_t',['../a00081.html#gac287530f011b42355162470f09975770',1,'fsl_reset.h']]] + ['syscon_5fconnection_5ft',['syscon_connection_t',['../a00091.html#ga130c64a7ec8145b6c0d68cc76858699b',1,'fsl_syscon_connections.h']]], + ['syscon_5frstn_5ft',['SYSCON_RSTn_t',['../a00081.html#ga4f45cdcdc4d2507fffd4e8742fa7dac6',1,'fsl_reset.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_74.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_74.js index c1bfe7c..20e6aaf 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_74.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_74.js @@ -1,22 +1,22 @@ var searchData= [ - ['thresholdcomparestatus',['thresholdCompareStatus',['../a00009.html#a1014edddf4d1ea57e751088dcadace6b',1,'adc_result_info_t']]], - ['thresholdcorssingstatus',['thresholdCorssingStatus',['../a00009.html#a443ffd542f5690ca2cb239181db4aaf7',1,'adc_result_info_t']]], - ['timeoutcount',['timeOutCount',['../a00010.html#a2d65011e0db3619f02eacea89b6ab5a3',1,'capt_config_t']]], - ['timeoutvalue',['timeoutValue',['../a00022.html#a9ada6867151ee688d47176cbe771cf2d',1,'wwdt_config_t']]], + ['thresholdcomparestatus',['thresholdCompareStatus',['../a00009.html#a793ec5bdf0875dc6ee03904e7df9f1b7',1,'_adc_result_info']]], + ['thresholdcorssingstatus',['thresholdCorssingStatus',['../a00009.html#ad19349a037214c68ca3afb7b0751514e',1,'_adc_result_info']]], + ['timeoutcount',['timeOutCount',['../a00010.html#a27f8cf637ec988e2df0170bb123f5e4b',1,'_capt_config']]], + ['timeoutvalue',['timeoutValue',['../a00022.html#ab55d5525745d39713c954d1296e2a9df',1,'_wwdt_config']]], ['trademarks',['Trademarks',['../a00002.html',1,'']]], - ['totalbytecount',['totalByteCount',['../a00019.html#a4e19f9299f123f181536c6bd4456e50a',1,'_spi_master_handle']]], - ['transfer',['transfer',['../a00015.html#a6858d3525f762d7aded20e6c95eb19fc',1,'_i2c_master_handle::transfer()'],['../a00016.html#a9934cd1a4d4b4e02ac5856e28f86a229',1,'_i2c_slave_handle::transfer()']]], - ['transfercount',['transferCount',['../a00015.html#a5943d16f5ed6e7c4ebd334cdbc0e2afc',1,'_i2c_master_handle']]], - ['transferdelay',['transferDelay',['../a00019.html#a7ea0733fc746e2bafe1c0999db7d4804',1,'spi_delay_config_t']]], - ['transferredcount',['transferredCount',['../a00016.html#a0394563c8d0f9eeeecd242a65cee2ad4',1,'i2c_slave_transfer_t']]], - ['triggermask',['triggerMask',['../a00009.html#a53ec8373edca82340278a104721ed8bb',1,'adc_conv_seq_config_t']]], - ['triggermode',['triggerMode',['../a00010.html#a998c94c1d03dc0f2be30ae3a3982585f',1,'capt_config_t']]], - ['triggerpolarity',['triggerPolarity',['../a00009.html#a280dc4b4d9c35c2411fe92cf3cadb838',1,'adc_conv_seq_config_t']]], - ['txdata',['txData',['../a00016.html#afaff4f7ef2ae4ed49eff607a58db18c2',1,'i2c_slave_transfer_t::txData()'],['../a00019.html#addc4f20760a1a62c4d20cdf9443f3af3',1,'spi_transfer_t::txData()'],['../a00019.html#a6cb4626f6b63c70fa21fe2e3338dc915',1,'_spi_master_handle::txData()'],['../a00020.html#ad081b93c86f16dedd57dfc105a71e7fa',1,'usart_transfer_t::txData()'],['../a00020.html#a2e0eada6edeef87ca5609381a495caa0',1,'_usart_handle::txData()']]], - ['txdatasize',['txDataSize',['../a00020.html#aafb090dd1831c9e83df2d60cf3cd25f0',1,'_usart_handle']]], - ['txdatasizeall',['txDataSizeAll',['../a00020.html#add7cbfa096ebb8bfe5084c9940d0a9d8',1,'_usart_handle']]], - ['txremainingbytes',['txRemainingBytes',['../a00019.html#a62fa101ddfc970e7b6bcba0b2eb6b869',1,'_spi_master_handle']]], - ['txsize',['txSize',['../a00016.html#a3d2ef683b0439ce83e3d54e8823ebc38',1,'i2c_slave_transfer_t']]], - ['txstate',['txState',['../a00020.html#a30a2856c0e736ad39fe44c015bd54ca4',1,'_usart_handle']]] + ['totalbytecount',['totalByteCount',['../a00019.html#a90dcc0891804465061db37a61d6a6dfe',1,'_spi_master_handle']]], + ['transfer',['transfer',['../a00015.html#a403d5da02efab45e1ebb8bef2ae063d5',1,'_i2c_master_handle::transfer()'],['../a00016.html#ad590d3719153a8cd99d22f10ee7bf859',1,'_i2c_slave_handle::transfer()']]], + ['transfercount',['transferCount',['../a00015.html#ab08095b4638786e1b364c1eed398fce4',1,'_i2c_master_handle']]], + ['transferdelay',['transferDelay',['../a00019.html#aef054205e545959e62a3aea546cee042',1,'_spi_delay_config']]], + ['transferredcount',['transferredCount',['../a00016.html#aae53c04dd44495a5f8cf8813e715a53e',1,'_i2c_slave_transfer']]], + ['triggermask',['triggerMask',['../a00009.html#ac01424048f052ea58ae64493bc6efd9c',1,'_adc_conv_seq_config']]], + ['triggermode',['triggerMode',['../a00010.html#a47ce7657992c3f912bd0f03648eba96a',1,'_capt_config']]], + ['triggerpolarity',['triggerPolarity',['../a00009.html#a989334ade488c5980bc4022c66a25671',1,'_adc_conv_seq_config']]], + ['txdata',['txData',['../a00016.html#ab269c32e68b47ebecbdf6dc99694dc1f',1,'_i2c_slave_transfer::txData()'],['../a00019.html#a4c9897ae5dd550dba2db4a5bc4beb00e',1,'_spi_transfer::txData()'],['../a00019.html#a867963777e36d1376c6650031c56a8dc',1,'_spi_master_handle::txData()'],['../a00020.html#a348d1c6d67a97dfd5d80cd4abbb5133b',1,'_usart_transfer::txData()'],['../a00020.html#a1c1a5d272a1b95815904f86a75aa1d3b',1,'_usart_handle::txData()']]], + ['txdatasize',['txDataSize',['../a00020.html#ab7313fa622967f06f722c54c294bc884',1,'_usart_handle']]], + ['txdatasizeall',['txDataSizeAll',['../a00020.html#a0fdc2991f592938454d6fca89d51c048',1,'_usart_handle']]], + ['txremainingbytes',['txRemainingBytes',['../a00019.html#a6694d3629434500b949c94f88e6f6743',1,'_spi_master_handle']]], + ['txsize',['txSize',['../a00016.html#a8d96f5ea7d8287ae770a43aeba051765',1,'_i2c_slave_transfer']]], + ['txstate',['txState',['../a00020.html#a7f8a78e459b75948a84f665fd3092ded',1,'_usart_handle']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_75.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_75.js index 820ff90..d51dd00 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_75.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_75.js @@ -1,12 +1,14 @@ var searchData= [ ['uart_5fretry_5ftimes',['UART_RETRY_TIMES',['../a00020.html#gac0f88b67f77fd05f186a5ec940c340bd',1,'fsl_usart.h']]], + ['uint16_5fmax',['UINT16_MAX',['../a00082.html#ga3ea490c9b3617d4479bd80ef93cd5602',1,'fsl_common.h']]], + ['uint32_5fmax',['UINT32_MAX',['../a00082.html#gab5eb23180f7cc12b7d6c04a8ec067fdd',1,'fsl_common.h']]], ['usart_3a_20universal_20asynchronous_20receiver_2ftransmitter_20driver',['USART: Universal Asynchronous Receiver/Transmitter Driver',['../a00087.html',1,'']]], ['usart_5fclearstatusflags',['USART_ClearStatusFlags',['../a00020.html#ga235a301d8f22d6ce3a6f77dbdb76cfe3',1,'fsl_usart.h']]], - ['usart_5fclock_5fpolarity_5ft',['usart_clock_polarity_t',['../a00020.html#ga786ba5b98195c3df810a061b6c0cca91',1,'fsl_usart.h']]], + ['usart_5fclock_5fpolarity_5ft',['usart_clock_polarity_t',['../a00020.html#ga804d7ffe69bedc08ea1d10829b33be28',1,'fsl_usart.h']]], ['usart_5fclocks',['USART_CLOCKS',['../a00079.html#ga2172b1f5c29b7d0bf0fe8a9c9d45ed43',1,'fsl_clock.h']]], - ['usart_5fconfig_5ft',['usart_config_t',['../a00020.html#a00123',1,'']]], - ['usart_5fdata_5flen_5ft',['usart_data_len_t',['../a00020.html#ga28e46a3538cf5f5140523132a963283c',1,'fsl_usart.h']]], + ['usart_5fconfig_5ft',['usart_config_t',['../a00020.html#ga6e64075cc12bfd8c2cefe6a24a210145',1,'fsl_usart.h']]], + ['usart_5fdata_5flen_5ft',['usart_data_len_t',['../a00020.html#ga8fb417dc9cfa4304cefe7bec12479a8e',1,'fsl_usart.h']]], ['usart_5fdeinit',['USART_Deinit',['../a00020.html#ga80892980b702b0b7614691014a5aaaca',1,'fsl_usart.h']]], ['usart_5fdisableinterrupts',['USART_DisableInterrupts',['../a00020.html#ga091d6509100a6e6206483b2f41f16d6c',1,'fsl_usart.h']]], ['usart_20driver',['USART Driver',['../a00020.html',1,'']]], @@ -21,14 +23,14 @@ var searchData= ['usart_5fgetinstance',['USART_GetInstance',['../a00020.html#ga0433386bbc6c2ac7e2ec7925fea263db',1,'fsl_usart.h']]], ['usart_5fgetstatusflags',['USART_GetStatusFlags',['../a00020.html#ga33f5cdc2918edf02b9a4ef8a12e27442',1,'fsl_usart.h']]], ['usart_5finit',['USART_Init',['../a00020.html#ga2aeb4e11fdf0eb515d090865ffcf2ba2',1,'fsl_usart.h']]], - ['usart_5fparity_5fmode_5ft',['usart_parity_mode_t',['../a00020.html#ga9b5ca9521874092ccb637a02d7b26ba2',1,'fsl_usart.h']]], + ['usart_5fparity_5fmode_5ft',['usart_parity_mode_t',['../a00020.html#gafd66e4e7133327cb2a1df4e24d8f4cb6',1,'fsl_usart.h']]], ['usart_5freadblocking',['USART_ReadBlocking',['../a00020.html#ga09c3b588e2099ffa6b999c5ca7fb8d58',1,'fsl_usart.h']]], ['usart_5freadbyte',['USART_ReadByte',['../a00020.html#gaaa9dc0edc5edacd55bb88ff7b9b55f98',1,'fsl_usart.h']]], ['usart_5fsetbaudrate',['USART_SetBaudRate',['../a00020.html#gabdcaf539e6c95903c779f4538b1f422b',1,'fsl_usart.h']]], - ['usart_5fstop_5fbit_5fcount_5ft',['usart_stop_bit_count_t',['../a00020.html#ga58ab07609b094f719f903475de6e57b4',1,'fsl_usart.h']]], - ['usart_5fsync_5fmode_5ft',['usart_sync_mode_t',['../a00020.html#ga7ecd603d2579abbe714d58eb582821b8',1,'fsl_usart.h']]], + ['usart_5fstop_5fbit_5fcount_5ft',['usart_stop_bit_count_t',['../a00020.html#ga4b8e5ed1a787d428e84a5a550fedc417',1,'fsl_usart.h']]], + ['usart_5fsync_5fmode_5ft',['usart_sync_mode_t',['../a00020.html#gafadd2dad2820634ecf4663e0273a178b',1,'fsl_usart.h']]], ['usart_5ftransfer_5fcallback_5ft',['usart_transfer_callback_t',['../a00020.html#ga9688f27725349ed0dd7a37c9a75eccc0',1,'fsl_usart.h']]], - ['usart_5ftransfer_5ft',['usart_transfer_t',['../a00020.html#a00124',1,'']]], + ['usart_5ftransfer_5ft',['usart_transfer_t',['../a00020.html#ga5f095da5c95e5137fd47b284217dbe74',1,'fsl_usart.h']]], ['usart_5ftransferabortreceive',['USART_TransferAbortReceive',['../a00020.html#ga2de9bf9557d2f7bc6fe0236608cb958e',1,'fsl_usart.h']]], ['usart_5ftransferabortsend',['USART_TransferAbortSend',['../a00020.html#ga095596e064fa8aa6bac0927e71b0329c',1,'fsl_usart.h']]], ['usart_5ftransfercreatehandle',['USART_TransferCreateHandle',['../a00020.html#ga9aed876794d5c2ab2e37196242602b89',1,'fsl_usart.h']]], @@ -43,5 +45,5 @@ var searchData= ['usart_5fwriteblocking',['USART_WriteBlocking',['../a00020.html#ga95abde78d5cbf569f2b6e4d7942b64df',1,'fsl_usart.h']]], ['usart_5fwritebyte',['USART_WriteByte',['../a00020.html#gae7a92a20789cf111acadb543916aac91',1,'fsl_usart.h']]], ['usec_5fto_5fcount',['USEC_TO_COUNT',['../a00082.html#gad5639aadf668899775fc7506a5e19dc1',1,'fsl_common_arm.h']]], - ['userdata',['userData',['../a00015.html#aad7df570c53adb2e80acd2ba0d39d109',1,'_i2c_master_handle::userData()'],['../a00016.html#a98ea5e99278b386e2ddb99d45a9750ee',1,'_i2c_slave_handle::userData()'],['../a00019.html#ab8d01b85149d749ab1c748bb5116b90e',1,'_spi_master_handle::userData()'],['../a00020.html#adbecb8574e5d62ee38761a3b4c30e4c3',1,'_usart_handle::userData()']]] + ['userdata',['userData',['../a00015.html#a862e1a312eb8b2bd537f1a22a48f455f',1,'_i2c_master_handle::userData()'],['../a00016.html#a0c21a56aa399763f1969a28fbea35054',1,'_i2c_slave_handle::userData()'],['../a00019.html#aaee79d264a914993a6f719038a62f1b9',1,'_spi_master_handle::userData()'],['../a00020.html#a1fafdad864492cb0f78a2a312759a515',1,'_usart_handle::userData()']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_77.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_77.js index b932c5d..0ef3a8c 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_77.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_77.js @@ -1,24 +1,24 @@ var searchData= [ - ['warningvalue',['warningValue',['../a00022.html#a449186b990027ae1e7543458e2f8714a',1,'wwdt_config_t']]], - ['windowvalue',['windowValue',['../a00022.html#a6ec33e8656fe2cfc997634b348ca2cfa',1,'wwdt_config_t']]], + ['warningvalue',['warningValue',['../a00022.html#a350a5289fd77fc6f5b2834a66726d80e',1,'_wwdt_config']]], + ['windowvalue',['windowValue',['../a00022.html#ad7c0d3a959ecac990eed2c9cfc1a0f09',1,'_wwdt_config']]], ['wkt_3a_20self_2dwake_2dup_20timer',['WKT: Self-wake-up Timer',['../a00021.html',1,'']]], ['wkt_5fclearstatusflags',['WKT_ClearStatusFlags',['../a00021.html#gaa0d7b68f6ecfd1f4429c5dabb4b5aec3',1,'fsl_wkt.h']]], - ['wkt_5fclock_5fsource_5ft',['wkt_clock_source_t',['../a00021.html#gaf985ebf5e165e2fbda510cac71cad222',1,'fsl_wkt.h']]], + ['wkt_5fclock_5fsource_5ft',['wkt_clock_source_t',['../a00021.html#ga2f8189bd165f45c4b6952003f74f4b27',1,'fsl_wkt.h']]], ['wkt_5fclocks',['WKT_CLOCKS',['../a00079.html#ga24f65b321ca1b862cf159bec396453bd',1,'fsl_clock.h']]], - ['wkt_5fconfig_5ft',['wkt_config_t',['../a00021.html#a00125',1,'']]], + ['wkt_5fconfig_5ft',['wkt_config_t',['../a00021.html#ga778acc4799cf97bf558e543417e03772',1,'fsl_wkt.h']]], ['wkt_5fdeinit',['WKT_Deinit',['../a00021.html#gac9fb07a0516fdfdbb40129dd85f82b4b',1,'fsl_wkt.h']]], ['wkt_5fgetcountervalue',['WKT_GetCounterValue',['../a00021.html#ga6a2589301e091e4ced6951f49e8ab636',1,'fsl_wkt.h']]], ['wkt_5fgetdefaultconfig',['WKT_GetDefaultConfig',['../a00021.html#ga34bc8734ca383ef16dbe8683f65f2d74',1,'fsl_wkt.h']]], ['wkt_5fgetstatusflags',['WKT_GetStatusFlags',['../a00021.html#ga1be1eae0ee5ecd4349a07e836f41630e',1,'fsl_wkt.h']]], ['wkt_5finit',['WKT_Init',['../a00021.html#gab3a332ac3545c7280fe2e03785736340',1,'fsl_wkt.h']]], ['wkt_5fstarttimer',['WKT_StartTimer',['../a00021.html#gaeaa5ecfb153fc573f367ea2858f56e8a',1,'fsl_wkt.h']]], - ['wkt_5fstatus_5fflags_5ft',['wkt_status_flags_t',['../a00021.html#ga0c4c3a2aa689d7cdd0608eb1076d83aa',1,'fsl_wkt.h']]], + ['wkt_5fstatus_5fflags_5ft',['wkt_status_flags_t',['../a00021.html#ga2ab1ca4acd02e743300c0d79758c2759',1,'fsl_wkt.h']]], ['wkt_5fstoptimer',['WKT_StopTimer',['../a00021.html#gab70db33e771d229596af0a64a398aa78',1,'fsl_wkt.h']]], ['wwdt_3a_20windowed_20watchdog_20timer_20driver',['WWDT: Windowed Watchdog Timer Driver',['../a00022.html',1,'']]], ['wwdt_5fclearstatusflags',['WWDT_ClearStatusFlags',['../a00022.html#ga5666008b33bf327c80afb90e0733512e',1,'fsl_wwdt.h']]], ['wwdt_5fclocks',['WWDT_CLOCKS',['../a00079.html#ga23dea91a4cc65d3b9ba93c83313496a1',1,'fsl_clock.h']]], - ['wwdt_5fconfig_5ft',['wwdt_config_t',['../a00022.html#a00126',1,'']]], + ['wwdt_5fconfig_5ft',['wwdt_config_t',['../a00022.html#gade4f744368e9723e83fdefb72e88e692',1,'fsl_wwdt.h']]], ['wwdt_5fdeinit',['WWDT_Deinit',['../a00022.html#gaae4415d32cd0f67908d0ab9494736742',1,'fsl_wwdt.h']]], ['wwdt_5fdisable',['WWDT_Disable',['../a00022.html#ga358bab6648d05345bda057a72cfb5547',1,'fsl_wwdt.h']]], ['wwdt_5fenable',['WWDT_Enable',['../a00022.html#ga2620dd2baf891f32359fbe85faaca563',1,'fsl_wwdt.h']]], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_78.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_78.js index e8ea573..4b1c511 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_78.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_78.js @@ -1,5 +1,5 @@ var searchData= [ - ['xpinsindex',['XpinsIndex',['../a00010.html#ada6854ef1bf21b8f35acba939c389190',1,'capt_touch_data_t']]], - ['xpinsmode',['XpinsMode',['../a00010.html#a34dffb25e8164ae4addccac265358f00',1,'capt_config_t']]] + ['xpinsindex',['XpinsIndex',['../a00010.html#ac3cb07338155e9af597f13a608941203',1,'_capt_touch_data']]], + ['xpinsmode',['XpinsMode',['../a00010.html#ae902ee4d97896c27a4885e4136e899d0',1,'_capt_config']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_79.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_79.js index 6a38e1a..c47d4bc 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_79.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_79.js @@ -1,5 +1,5 @@ var searchData= [ - ['yestimeout',['yesTimeOut',['../a00010.html#ad73f9e52f210a4ef91e0732ba882c384',1,'capt_touch_data_t']]], - ['yestouch',['yesTouch',['../a00010.html#a5acedab58178a003114a220a5ea3f6f4',1,'capt_touch_data_t']]] + ['yestimeout',['yesTimeOut',['../a00010.html#a918a1f29c2ee23f496a31d9683fb8882',1,'_capt_touch_data']]], + ['yestouch',['yesTouch',['../a00010.html#a661bfc69fa8b5c41e2d815c9e6ae1954',1,'_capt_touch_data']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_5f.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_5f.js index 0c368ac..00d6321 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_5f.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_5f.js @@ -1,8 +1,34 @@ var searchData= [ - ['_5fi2c_5fmaster_5fhandle',['_i2c_master_handle',['../a00015.html#a00096',1,'']]], - ['_5fi2c_5fmaster_5ftransfer',['_i2c_master_transfer',['../a00015.html#a00097',1,'']]], - ['_5fi2c_5fslave_5fhandle',['_i2c_slave_handle',['../a00016.html#a00098',1,'']]], - ['_5fspi_5fmaster_5fhandle',['_spi_master_handle',['../a00019.html#a00099',1,'']]], - ['_5fusart_5fhandle',['_usart_handle',['../a00020.html#a00100',1,'']]] + ['_5facomp_5fconfig',['_acomp_config',['../a00008.html#a00096',1,'']]], + ['_5facomp_5fladder_5fconfig',['_acomp_ladder_config',['../a00008.html#a00097',1,'']]], + ['_5fadc_5fconfig',['_adc_config',['../a00009.html#a00098',1,'']]], + ['_5fadc_5fconv_5fseq_5fconfig',['_adc_conv_seq_config',['../a00009.html#a00099',1,'']]], + ['_5fadc_5fresult_5finfo',['_adc_result_info',['../a00009.html#a00100',1,'']]], + ['_5fcapt_5fconfig',['_capt_config',['../a00010.html#a00101',1,'']]], + ['_5fcapt_5ftouch_5fdata',['_capt_touch_data',['../a00010.html#a00102',1,'']]], + ['_5fcrc_5fconfig',['_crc_config',['../a00011.html#a00103',1,'']]], + ['_5fctimer_5fconfig',['_ctimer_config',['../a00012.html#a00104',1,'']]], + ['_5fctimer_5fmatch_5fconfig',['_ctimer_match_config',['../a00012.html#a00105',1,'']]], + ['_5fdac_5fconfig',['_dac_config',['../a00013.html#a00106',1,'']]], + ['_5fgpio_5fpin_5fconfig',['_gpio_pin_config',['../a00014.html#a00107',1,'']]], + ['_5fi2c_5fmaster_5fconfig',['_i2c_master_config',['../a00015.html#a00108',1,'']]], + ['_5fi2c_5fmaster_5fhandle',['_i2c_master_handle',['../a00015.html#a00109',1,'']]], + ['_5fi2c_5fmaster_5ftransfer',['_i2c_master_transfer',['../a00015.html#a00110',1,'']]], + ['_5fi2c_5fslave_5faddress',['_i2c_slave_address',['../a00016.html#a00111',1,'']]], + ['_5fi2c_5fslave_5fconfig',['_i2c_slave_config',['../a00016.html#a00112',1,'']]], + ['_5fi2c_5fslave_5fhandle',['_i2c_slave_handle',['../a00016.html#a00113',1,'']]], + ['_5fi2c_5fslave_5ftransfer',['_i2c_slave_transfer',['../a00016.html#a00114',1,'']]], + ['_5fiocon_5fgroup',['_iocon_group',['../a00017.html#a00115',1,'']]], + ['_5fmrt_5fconfig',['_mrt_config',['../a00018.html#a00116',1,'']]], + ['_5fspi_5fdelay_5fconfig',['_spi_delay_config',['../a00019.html#a00117',1,'']]], + ['_5fspi_5fmaster_5fconfig',['_spi_master_config',['../a00019.html#a00118',1,'']]], + ['_5fspi_5fmaster_5fhandle',['_spi_master_handle',['../a00019.html#a00119',1,'']]], + ['_5fspi_5fslave_5fconfig',['_spi_slave_config',['../a00019.html#a00120',1,'']]], + ['_5fspi_5ftransfer',['_spi_transfer',['../a00019.html#a00121',1,'']]], + ['_5fusart_5fconfig',['_usart_config',['../a00020.html#a00122',1,'']]], + ['_5fusart_5fhandle',['_usart_handle',['../a00020.html#a00123',1,'']]], + ['_5fusart_5ftransfer',['_usart_transfer',['../a00020.html#a00124',1,'']]], + ['_5fwkt_5fconfig',['_wkt_config',['../a00021.html#a00125',1,'']]], + ['_5fwwdt_5fconfig',['_wwdt_config',['../a00022.html#a00126',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_61.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_61.js deleted file mode 100644 index 5605150..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_61.js +++ /dev/null @@ -1,8 +0,0 @@ -var searchData= -[ - ['acomp_5fconfig_5ft',['acomp_config_t',['../a00008.html#a00101',1,'']]], - ['acomp_5fladder_5fconfig_5ft',['acomp_ladder_config_t',['../a00008.html#a00102',1,'']]], - ['adc_5fconfig_5ft',['adc_config_t',['../a00009.html#a00103',1,'']]], - ['adc_5fconv_5fseq_5fconfig_5ft',['adc_conv_seq_config_t',['../a00009.html#a00104',1,'']]], - ['adc_5fresult_5finfo_5ft',['adc_result_info_t',['../a00009.html#a00105',1,'']]] -]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_63.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_63.js deleted file mode 100644 index ef59392..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_63.js +++ /dev/null @@ -1,8 +0,0 @@ -var searchData= -[ - ['capt_5fconfig_5ft',['capt_config_t',['../a00010.html#a00106',1,'']]], - ['capt_5ftouch_5fdata_5ft',['capt_touch_data_t',['../a00010.html#a00107',1,'']]], - ['crc_5fconfig_5ft',['crc_config_t',['../a00011.html#a00108',1,'']]], - ['ctimer_5fconfig_5ft',['ctimer_config_t',['../a00012.html#a00109',1,'']]], - ['ctimer_5fmatch_5fconfig_5ft',['ctimer_match_config_t',['../a00012.html#a00110',1,'']]] -]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_64.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_64.js deleted file mode 100644 index 11ea374..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_64.js +++ /dev/null @@ -1,4 +0,0 @@ -var searchData= -[ - ['dac_5fconfig_5ft',['dac_config_t',['../a00013.html#a00111',1,'']]] -]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_67.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_67.js deleted file mode 100644 index 250e6c6..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_67.js +++ /dev/null @@ -1,4 +0,0 @@ -var searchData= -[ - ['gpio_5fpin_5fconfig_5ft',['gpio_pin_config_t',['../a00014.html#a00112',1,'']]] -]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_69.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_69.html deleted file mode 100644 index 7437847..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_69.html +++ /dev/null @@ -1,26 +0,0 @@ - - - - - - - - - -
-
Loading...
-
- -
Searching...
-
No Matches
- -
- - diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_69.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_69.js deleted file mode 100644 index 031d6ee..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_69.js +++ /dev/null @@ -1,8 +0,0 @@ -var searchData= -[ - ['i2c_5fmaster_5fconfig_5ft',['i2c_master_config_t',['../a00015.html#a00113',1,'']]], - ['i2c_5fslave_5faddress_5ft',['i2c_slave_address_t',['../a00016.html#a00114',1,'']]], - ['i2c_5fslave_5fconfig_5ft',['i2c_slave_config_t',['../a00016.html#a00115',1,'']]], - ['i2c_5fslave_5ftransfer_5ft',['i2c_slave_transfer_t',['../a00016.html#a00116',1,'']]], - ['iocon_5fgroup_5ft',['iocon_group_t',['../a00017.html#a00117',1,'']]] -]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_6d.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_6d.html deleted file mode 100644 index aa83590..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_6d.html +++ /dev/null @@ -1,26 +0,0 @@ - - - - - - - - - -
-
Loading...
-
- -
Searching...
-
No Matches
- -
- - diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_6d.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_6d.js deleted file mode 100644 index 5a656ef..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_6d.js +++ /dev/null @@ -1,4 +0,0 @@ -var searchData= -[ - ['mrt_5fconfig_5ft',['mrt_config_t',['../a00018.html#a00118',1,'']]] -]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_73.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_73.html deleted file mode 100644 index b57bc4d..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_73.html +++ /dev/null @@ -1,26 +0,0 @@ - - - - - - - - - -
-
Loading...
-
- -
Searching...
-
No Matches
- -
- - diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_73.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_73.js deleted file mode 100644 index 3d9d9c8..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_73.js +++ /dev/null @@ -1,7 +0,0 @@ -var searchData= -[ - ['spi_5fdelay_5fconfig_5ft',['spi_delay_config_t',['../a00019.html#a00119',1,'']]], - ['spi_5fmaster_5fconfig_5ft',['spi_master_config_t',['../a00019.html#a00120',1,'']]], - ['spi_5fslave_5fconfig_5ft',['spi_slave_config_t',['../a00019.html#a00121',1,'']]], - ['spi_5ftransfer_5ft',['spi_transfer_t',['../a00019.html#a00122',1,'']]] -]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_75.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_75.html deleted file mode 100644 index 000bb65..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_75.html +++ /dev/null @@ -1,26 +0,0 @@ - - - - - - - - - -
-
Loading...
-
- -
Searching...
-
No Matches
- -
- - diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_75.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_75.js deleted file mode 100644 index 55e023a..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_75.js +++ /dev/null @@ -1,5 +0,0 @@ -var searchData= -[ - ['usart_5fconfig_5ft',['usart_config_t',['../a00020.html#a00123',1,'']]], - ['usart_5ftransfer_5ft',['usart_transfer_t',['../a00020.html#a00124',1,'']]] -]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_77.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_77.html deleted file mode 100644 index 6fac2af..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_77.html +++ /dev/null @@ -1,26 +0,0 @@ - - - - - - - - - -
-
Loading...
-
- -
Searching...
-
No Matches
- -
- - diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_77.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_77.js deleted file mode 100644 index b2f000c..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_77.js +++ /dev/null @@ -1,5 +0,0 @@ -var searchData= -[ - ['wkt_5fconfig_5ft',['wkt_config_t',['../a00021.html#a00125',1,'']]], - ['wwdt_5fconfig_5ft',['wwdt_config_t',['../a00022.html#a00126',1,'']]] -]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_5f.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_5f.js index f94953f..b952fb5 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_5f.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_5f.js @@ -1,25 +1,103 @@ var searchData= [ + ['_5facomp_5fhysteresis_5fselection',['_acomp_hysteresis_selection',['../a00008.html#gaaf41a27470c09c9433454b94bec78133',1,'fsl_acomp.h']]], + ['_5facomp_5finterrupt_5fenable',['_acomp_interrupt_enable',['../a00008.html#ga7959160daeb14e107aa41d21b23ad7d8',1,'fsl_acomp.h']]], + ['_5facomp_5fladder_5freference_5fvoltage',['_acomp_ladder_reference_voltage',['../a00008.html#ga3cb7b227dfa6d29bc1d83fe22eba4ddc',1,'fsl_acomp.h']]], + ['_5fadc_5finforesultshift',['_adc_inforesultshift',['../a00009.html#gadd80a979d7edaf06b04a7720dcbf6560',1,'fsl_adc.h']]], ['_5fadc_5finterrupt_5fenable',['_adc_interrupt_enable',['../a00009.html#gac5899c7af1f9cac7695d7171f83be5f0',1,'fsl_adc.h']]], + ['_5fadc_5fpriority',['_adc_priority',['../a00009.html#ga895b86955b34760035b75f48ed891a7c',1,'fsl_adc.h']]], + ['_5fadc_5fsecond_5fcontrol',['_adc_second_control',['../a00009.html#ga30fbf503bbcb9bd9583b08e712e313a6',1,'fsl_adc.h']]], + ['_5fadc_5fseq_5finterrupt_5fmode',['_adc_seq_interrupt_mode',['../a00009.html#ga1e1cfa1d671392e8809b52b6257a7042',1,'fsl_adc.h']]], ['_5fadc_5fstatus_5fflags',['_adc_status_flags',['../a00009.html#ga417e499fb2f1ee7ba05088468b392ce1',1,'fsl_adc.h']]], + ['_5fadc_5ftempsensor_5fcommon_5fmode',['_adc_tempsensor_common_mode',['../a00009.html#gae379cff02514632d8af1b8f52b460cf2',1,'fsl_adc.h']]], + ['_5fadc_5fthreshold_5fcompare_5fstatus',['_adc_threshold_compare_status',['../a00009.html#ga0b4c2ffa22cd01dc1d08b0c6f3b08965',1,'fsl_adc.h']]], + ['_5fadc_5fthreshold_5fcrossing_5fstatus',['_adc_threshold_crossing_status',['../a00009.html#ga0aeab7e111fc623f3b1a20cf36884b9d',1,'fsl_adc.h']]], + ['_5fadc_5fthreshold_5finterrupt_5fmode',['_adc_threshold_interrupt_mode',['../a00009.html#ga703e45dd351d168a4d8ab2e5a605ec93',1,'fsl_adc.h']]], + ['_5fadc_5ftrigger_5fpolarity',['_adc_trigger_polarity',['../a00009.html#gafd224e352527936e20c50ac8c39ad9c8',1,'fsl_adc.h']]], + ['_5fcapt_5fdma_5fmode',['_capt_dma_mode',['../a00010.html#ga00234907358f91917dca95eff851ead9',1,'fsl_capt.h']]], + ['_5fcapt_5finactive_5fxpins_5fmode',['_capt_inactive_xpins_mode',['../a00010.html#ga9f532395f74b4c57cf60754a72e619bd',1,'fsl_capt.h']]], ['_5fcapt_5finterrupt_5fenable',['_capt_interrupt_enable',['../a00010.html#ga6ac2e06f77196123f25e3f5c79ae6ea5',1,'fsl_capt.h']]], ['_5fcapt_5finterrupt_5fstatus_5fflags',['_capt_interrupt_status_flags',['../a00010.html#ga6764adb0a0bda236d301256120cddae0',1,'fsl_capt.h']]], + ['_5fcapt_5fmeasurement_5fdelay',['_capt_measurement_delay',['../a00010.html#ga981c7dd2659c3c59529d314722d3e937',1,'fsl_capt.h']]], + ['_5fcapt_5fpolling_5fmode',['_capt_polling_mode',['../a00010.html#ga66b33c0d7a75bb762caef24e9c2dca6d',1,'fsl_capt.h']]], + ['_5fcapt_5freset_5fdelay',['_capt_reset_delay',['../a00010.html#ga63b8630c7a923a59dc8c91390a749405',1,'fsl_capt.h']]], ['_5fcapt_5fstatus_5fflags',['_capt_status_flags',['../a00010.html#ga86048ff411e845cbde51d9324b5a4b0f',1,'fsl_capt.h']]], + ['_5fcapt_5ftrigger_5fmode',['_capt_trigger_mode',['../a00010.html#gae36ac2d48fdabc6713754765cb654bd2',1,'fsl_capt.h']]], ['_5fcapt_5fxpins',['_capt_xpins',['../a00010.html#ga2c35cfaacd7e34465baab32d16a4abb5',1,'fsl_capt.h']]], + ['_5fclock_5fdivider',['_clock_divider',['../a00079.html#gaa690e42cbb0b0bc43944d28dfb89b9ba',1,'fsl_clock.h']]], + ['_5fclock_5ffro_5fosc_5ffreq',['_clock_fro_osc_freq',['../a00079.html#gabc4db77825e6eebefc0b80a4e5b9bdc7',1,'fsl_clock.h']]], + ['_5fclock_5fip_5fname',['_clock_ip_name',['../a00079.html#ga0d01cff2c331cdc2703b798930b1c9cd',1,'fsl_clock.h']]], + ['_5fclock_5fmain_5fclk_5fsrc',['_clock_main_clk_src',['../a00079.html#ga87881cb2f1147cca2f3b2b1f718565f5',1,'fsl_clock.h']]], + ['_5fclock_5fname',['_clock_name',['../a00079.html#ga10eb499a97d1bd20020841220bb5de74',1,'fsl_clock.h']]], + ['_5fclock_5fselect',['_clock_select',['../a00079.html#gaf6cf35ae56aa98ed8ff20eeecbcdfb85',1,'fsl_clock.h']]], + ['_5fcrc_5fpolynomial',['_crc_polynomial',['../a00011.html#gac9e3abdb739c7842a6f26505b418540d',1,'fsl_crc.h']]], + ['_5fctimer_5fcapture_5fchannel',['_ctimer_capture_channel',['../a00012.html#gadb9b8699537a63ff6520274fd3d2fded',1,'fsl_ctimer.h']]], + ['_5fctimer_5fcapture_5fedge',['_ctimer_capture_edge',['../a00012.html#ga345fca07290119fa13234da1b38a6106',1,'fsl_ctimer.h']]], + ['_5fctimer_5fexternal_5fmatch',['_ctimer_external_match',['../a00012.html#gab4782e716d63a0496aa9a80aaef07863',1,'fsl_ctimer.h']]], + ['_5fctimer_5finterrupt_5fenable',['_ctimer_interrupt_enable',['../a00012.html#gac328f6ccbe026c29679fda455577ebc8',1,'fsl_ctimer.h']]], + ['_5fctimer_5fmatch',['_ctimer_match',['../a00012.html#ga631867452d444e8d84ab4bc24570359b',1,'fsl_ctimer.h']]], + ['_5fctimer_5fmatch_5foutput_5fcontrol',['_ctimer_match_output_control',['../a00012.html#gaf605923833c7eff925d35f1f8eb9011c',1,'fsl_ctimer.h']]], + ['_5fctimer_5fstatus_5fflags',['_ctimer_status_flags',['../a00012.html#gafb9f972964325723b7f3097e89f67f14',1,'fsl_ctimer.h']]], + ['_5fctimer_5ftimer_5fmode',['_ctimer_timer_mode',['../a00012.html#ga25a75b8276d2d13d35b8f9e3b0cf08d4',1,'fsl_ctimer.h']]], + ['_5fdac_5fsettling_5ftime',['_dac_settling_time',['../a00013.html#gaf0a17d3b5229418c020d333b7c88f2f8',1,'fsl_dac.h']]], ['_5fflash_5faccess_5ftime',['_flash_access_time',['../a00083.html#ga0efb897bafe816a8a87d92045a78ee9b',1,'fsl_iap.h']]], + ['_5fgpio_5fpin_5fdirection',['_gpio_pin_direction',['../a00014.html#ga0df4be96fa56f3bdd7bfa048fdaacd6b',1,'fsl_gpio.h']]], + ['_5fi2c_5fdirection',['_i2c_direction',['../a00015.html#ga1625497320644ba123718bafdd2c1f39',1,'fsl_i2c.h']]], ['_5fi2c_5fmaster_5fflags',['_i2c_master_flags',['../a00015.html#gac938392418ba1a891983d11ef5c9f2a3',1,'fsl_i2c.h']]], ['_5fi2c_5fmaster_5ftransfer_5fflags',['_i2c_master_transfer_flags',['../a00015.html#ga87ea07668194cfb46c7c368d2cb42433',1,'fsl_i2c.h']]], + ['_5fi2c_5fslave_5faddress_5fqual_5fmode',['_i2c_slave_address_qual_mode',['../a00016.html#gadbb0ae033785e1ad024c953e24cc2f36',1,'fsl_i2c.h']]], + ['_5fi2c_5fslave_5faddress_5fregister',['_i2c_slave_address_register',['../a00016.html#gaa4d64624a394b298aeb74188f932406a',1,'fsl_i2c.h']]], + ['_5fi2c_5fslave_5fbus_5fspeed',['_i2c_slave_bus_speed',['../a00016.html#ga6c18ce95502d66818793c44df9029eae',1,'fsl_i2c.h']]], ['_5fi2c_5fslave_5fflags',['_i2c_slave_flags',['../a00016.html#ga419f2fc2e0684671c00ee97e962bbe0c',1,'fsl_i2c.h']]], + ['_5fi2c_5fslave_5ffsm',['_i2c_slave_fsm',['../a00016.html#ga41bf767e5abf573149dd68e87fc45276',1,'fsl_i2c.h']]], + ['_5fi2c_5fslave_5ftransfer_5fevent',['_i2c_slave_transfer_event',['../a00016.html#ga87e42e170b60f17f657ef3c06a918133',1,'fsl_i2c.h']]], ['_5fi2c_5ftransfer_5fstates',['_i2c_transfer_states',['../a00015.html#gab08c1a0d50859637b4305687278941ee',1,'fsl_i2c.h']]], ['_5fiap_5fcommands',['_iap_commands',['../a00083.html#gaae3267ca6081e1f5620b0aa674c5e8ca',1,'fsl_iap.h']]], + ['_5fmrt_5fchnl',['_mrt_chnl',['../a00018.html#ga05660f6ec5e7c0ac6204165d7ab9bf35',1,'fsl_mrt.h']]], + ['_5fmrt_5finterrupt_5fenable',['_mrt_interrupt_enable',['../a00018.html#ga854d01b9575db5b6f0f7aaad49cf0c7d',1,'fsl_mrt.h']]], + ['_5fmrt_5fstatus_5fflags',['_mrt_status_flags',['../a00018.html#ga13878b183833475306c8aabb81391a8a',1,'fsl_mrt.h']]], + ['_5fmrt_5ftimer_5fmode',['_mrt_timer_mode',['../a00018.html#gae772c3f1dc986d55a787b987f731b389',1,'fsl_mrt.h']]], + ['_5fpint_5fint',['_pint_int',['../a00088.html#gaf3fe032c283828db1b5790b3922be911',1,'fsl_pint.h']]], + ['_5fpint_5fpin_5fenable',['_pint_pin_enable',['../a00088.html#ga2e08ec6acfb4d114d470ff86a0bef497',1,'fsl_pint.h']]], + ['_5fpint_5fpmatch_5fbslice',['_pint_pmatch_bslice',['../a00088.html#ga67c1b06ba8a5e6faf0af44c2bfeccc47',1,'fsl_pint.h']]], + ['_5fpint_5fpmatch_5fbslice_5fcfg',['_pint_pmatch_bslice_cfg',['../a00088.html#ga395ddf0144533abe3773a44dfc6a8a28',1,'fsl_pint.h']]], + ['_5fpint_5fpmatch_5finput_5fsrc',['_pint_pmatch_input_src',['../a00088.html#ga7adaf153a42d62fb9b02d6468f7279a9',1,'fsl_pint.h']]], + ['_5fplu_5flut_5fin_5findex',['_plu_lut_in_index',['../a00089.html#gaf04e2722181b97d14b151b43716647fd',1,'fsl_plu.h']]], + ['_5fplu_5flut_5findex',['_plu_lut_index',['../a00089.html#ga53709dc3cc8b1891ebc99b4b606d49d6',1,'fsl_plu.h']]], + ['_5fplu_5flut_5finput_5fsource',['_plu_lut_input_source',['../a00089.html#ga8b57cb85ad1b1f1685bbb8b85ce4e843',1,'fsl_plu.h']]], + ['_5fplu_5foutput_5findex',['_plu_output_index',['../a00089.html#gafab1f9e477a3f88661e3f54189ac52ff',1,'fsl_plu.h']]], + ['_5fplu_5foutput_5fsource',['_plu_output_source',['../a00089.html#ga3eadd99eeb98ae312c02a884168b2ac0',1,'fsl_plu.h']]], + ['_5fpower_5fbod_5finterrupt_5flevel',['_power_bod_interrupt_level',['../a00080.html#gaeadfcaceef8506b5b8fff8c2f1ff2908',1,'fsl_power.h']]], + ['_5fpower_5fbod_5freset_5flevel',['_power_bod_reset_level',['../a00080.html#gac443df372898826727572d3025ae104e',1,'fsl_power.h']]], ['_5fpower_5fdeep_5fsleep_5factive',['_power_deep_sleep_active',['../a00080.html#gab5d33167d8a4c9d9a407cc1bdd6346a9',1,'fsl_power.h']]], ['_5fpower_5fdpd_5fwakeup_5fpin',['_power_dpd_wakeup_pin',['../a00080.html#ga774c3c091d12f8f4ca768d112a7fda8d',1,'fsl_power.h']]], + ['_5fpower_5fgen_5freg',['_power_gen_reg',['../a00080.html#gae01dae3d7bbcc338031dfd019f93681b',1,'fsl_power.h']]], ['_5fpower_5fwakeup',['_power_wakeup',['../a00080.html#ga1680834b74ccfaca0cc98b954cfef0ec',1,'fsl_power.h']]], + ['_5fspi_5fclock_5fphase',['_spi_clock_phase',['../a00019.html#ga76b6ec98408fdaf2e9133952eefa1baa',1,'fsl_spi.h']]], + ['_5fspi_5fclock_5fpolarity',['_spi_clock_polarity',['../a00019.html#ga17f9450af8f63de3fa2b3b105eeec38d',1,'fsl_spi.h']]], + ['_5fspi_5fdata_5fwidth',['_spi_data_width',['../a00019.html#ga63554b1f1f01b827bf6449b55ca80280',1,'fsl_spi.h']]], ['_5fspi_5finterrupt_5fenable',['_spi_interrupt_enable',['../a00019.html#gaedd690a0f91a0a9eb0fd573b57e31f67',1,'fsl_spi.h']]], + ['_5fspi_5fshift_5fdirection',['_spi_shift_direction',['../a00019.html#ga1737fd82344e0800f66b541342894b85',1,'fsl_spi.h']]], + ['_5fspi_5fspol',['_spi_spol',['../a00019.html#gaace382ece8210631749c404f115f2998',1,'fsl_spi.h']]], + ['_5fspi_5fssel',['_spi_ssel',['../a00019.html#ga6cb1b64722deb9a98a4f1a442f58f8a9',1,'fsl_spi.h']]], ['_5fspi_5fstatus_5fflags',['_spi_status_flags',['../a00019.html#ga17a846c851b3b17e6a1564a5fab48e09',1,'fsl_spi.h']]], ['_5fspi_5fxfer_5foption',['_spi_xfer_option',['../a00019.html#gaaa2e2f2efa7be228c775fa239ab5ea03',1,'fsl_spi.h']]], ['_5fstatus_5fgroups',['_status_groups',['../a00082.html#ga7ff0b98bb1341c07acefb1473b6eda29',1,'fsl_common.h']]], + ['_5fswm_5fpinassignfixed_5fport_5fpin_5ftype_5ft',['_swm_pinassignfixed_port_pin_type_t',['../a00090.html#ga0330b1b5a30c88e7988cab35982afa79',1,'fsl_swm_connections.h']]], + ['_5fswm_5fpinassignfixed_5fselect_5fmovable_5ft',['_swm_pinassignfixed_select_movable_t',['../a00090.html#gadaa2f3f3cbfd49301b5bf9243b9bec15',1,'fsl_swm_connections.h']]], + ['_5fswm_5fport_5fpin_5ftype_5ft',['_swm_port_pin_type_t',['../a00090.html#ga026be0053c5c663a386264d645ab1ef8',1,'fsl_swm_connections.h']]], + ['_5fswm_5fselect_5ffixed_5fpin_5ft',['_swm_select_fixed_pin_t',['../a00090.html#ga2a9a2be2e5b32c223a550ff31812ae8b',1,'fsl_swm_connections.h']]], + ['_5fswm_5fselect_5fmovable_5ft',['_swm_select_movable_t',['../a00090.html#ga0de8c58793b6da2e30d6b2102344d185',1,'fsl_swm_connections.h']]], + ['_5fsyscon_5fconnection_5ft',['_syscon_connection_t',['../a00091.html#gaadba3526b7e160e7a91564ad01eb7e6f',1,'fsl_syscon_connections.h']]], + ['_5fsyscon_5frstn',['_SYSCON_RSTn',['../a00081.html#gad4aceeed7467f943d313d51ec38a1a20',1,'fsl_reset.h']]], + ['_5fusart_5fclock_5fpolarity',['_usart_clock_polarity',['../a00020.html#ga148de18c5f1501903739e858695dc1bd',1,'fsl_usart.h']]], + ['_5fusart_5fdata_5flen',['_usart_data_len',['../a00020.html#gaba5e41db910ac7f4e3a9732b1bfa002e',1,'fsl_usart.h']]], ['_5fusart_5fflags',['_usart_flags',['../a00020.html#gaa6a81e7e82e236bb1c3c5ba306466610',1,'fsl_usart.h']]], ['_5fusart_5finterrupt_5fenable',['_usart_interrupt_enable',['../a00020.html#ga78b340bf3b25bfae957d0c5532b9b853',1,'fsl_usart.h']]], + ['_5fusart_5fparity_5fmode',['_usart_parity_mode',['../a00020.html#ga8725fe345ac7bb48cc48f9553212f5db',1,'fsl_usart.h']]], + ['_5fusart_5fstop_5fbit_5fcount',['_usart_stop_bit_count',['../a00020.html#gaeeaf3b2b6394d3a656342cfbce0e39ee',1,'fsl_usart.h']]], + ['_5fusart_5fsync_5fmode',['_usart_sync_mode',['../a00020.html#gab3b6a5d80be9940d58f739ded5962a59',1,'fsl_usart.h']]], + ['_5fwkt_5fclock_5fsource',['_wkt_clock_source',['../a00021.html#ga9f55ad94f6b9b9906690399c088b82b6',1,'fsl_wkt.h']]], + ['_5fwkt_5fstatus_5fflags',['_wkt_status_flags',['../a00021.html#gab79bd4bf294072d42f3eb1006503df5e',1,'fsl_wkt.h']]], ['_5fwwdt_5fstatus_5fflags_5ft',['_wwdt_status_flags_t',['../a00022.html#gaca0e9724bd1f25336527ea66c77e476f',1,'fsl_wwdt.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_61.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_61.html deleted file mode 100644 index fcdab21..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_61.html +++ /dev/null @@ -1,26 +0,0 @@ - - - - - - - - - -
-
Loading...
-
- -
Searching...
-
No Matches
- -
- - diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_61.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_61.js deleted file mode 100644 index 8acf152..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_61.js +++ /dev/null @@ -1,15 +0,0 @@ -var searchData= -[ - ['acomp_5fhysteresis_5fselection_5ft',['acomp_hysteresis_selection_t',['../a00008.html#gaa7c0a15052b6421941faad3c6ea3a5ac',1,'fsl_acomp.h']]], - ['acomp_5finterrupt_5fenable_5ft',['acomp_interrupt_enable_t',['../a00008.html#ga178d521ca2ee7f33d518b30dfb627d20',1,'fsl_acomp.h']]], - ['acomp_5fladder_5freference_5fvoltage_5ft',['acomp_ladder_reference_voltage_t',['../a00008.html#ga7ad629d52b0a70f95cd2ddb3bee8ab5f',1,'fsl_acomp.h']]], - ['adc_5finforesult_5ft',['adc_inforesult_t',['../a00009.html#gaecfd3ba1bc4b014f3c11bc6f348a28cc',1,'fsl_adc.h']]], - ['adc_5fpriority_5ft',['adc_priority_t',['../a00009.html#gab625534aa39c1cd25f18cdc0dc3b9981',1,'fsl_adc.h']]], - ['adc_5fsecond_5fcontrol_5ft',['adc_second_control_t',['../a00009.html#gafb17afb34b6114b97b8bfa331465fd23',1,'fsl_adc.h']]], - ['adc_5fseq_5finterrupt_5fmode_5ft',['adc_seq_interrupt_mode_t',['../a00009.html#ga3948c83397f351b5ed70bbaf1c5da35b',1,'fsl_adc.h']]], - ['adc_5ftempsensor_5fcommon_5fmode_5ft',['adc_tempsensor_common_mode_t',['../a00009.html#ga2432b8bc48a2d3ede87fd021faefeaa5',1,'fsl_adc.h']]], - ['adc_5fthreshold_5fcompare_5fstatus_5ft',['adc_threshold_compare_status_t',['../a00009.html#gad47d3fd5553ab75cdf6b95268cb94f20',1,'fsl_adc.h']]], - ['adc_5fthreshold_5fcrossing_5fstatus_5ft',['adc_threshold_crossing_status_t',['../a00009.html#gae86929708f4bb8be4cc88dcf50c3db54',1,'fsl_adc.h']]], - ['adc_5fthreshold_5finterrupt_5fmode_5ft',['adc_threshold_interrupt_mode_t',['../a00009.html#gac6aab6fdd21723cfc6ed4437372521ac',1,'fsl_adc.h']]], - ['adc_5ftrigger_5fpolarity_5ft',['adc_trigger_polarity_t',['../a00009.html#ga538fb95659082602d6ebb205f21573a5',1,'fsl_adc.h']]] -]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_63.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_63.js index b246115..608c57b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_63.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_63.js @@ -1,25 +1,4 @@ var searchData= [ - ['capt_5fdma_5fmode_5ft',['capt_dma_mode_t',['../a00010.html#ga0be2df04c72a8847673bcb6d9714866a',1,'fsl_capt.h']]], - ['capt_5finactive_5fxpins_5fmode_5ft',['capt_inactive_xpins_mode_t',['../a00010.html#ga01d767059334ad74d416f6c0287f101b',1,'fsl_capt.h']]], - ['capt_5fmeasurement_5fdelay_5ft',['capt_measurement_delay_t',['../a00010.html#ga5ced51d15f9db8f1e6619a5859f6215d',1,'fsl_capt.h']]], - ['capt_5fpolling_5fmode_5ft',['capt_polling_mode_t',['../a00010.html#ga43dfe06b3cce27e03b4b131557a5237b',1,'fsl_capt.h']]], - ['capt_5freset_5fdelay_5ft',['capt_reset_delay_t',['../a00010.html#ga4394d9b57a062c83c444e391c4adf855',1,'fsl_capt.h']]], - ['capt_5ftrigger_5fmode_5ft',['capt_trigger_mode_t',['../a00010.html#ga0c1eb1e31ad34c8654c981a5e643e2c9',1,'fsl_capt.h']]], - ['clock_5fdivider_5ft',['clock_divider_t',['../a00079.html#gac344a8334a482bcbcbdf0c4828db872c',1,'fsl_clock.h']]], - ['clock_5ffro_5fosc_5ffreq_5ft',['clock_fro_osc_freq_t',['../a00079.html#ga85bf7440c0d77269e3db764083110334',1,'fsl_clock.h']]], - ['clock_5fip_5fname_5ft',['clock_ip_name_t',['../a00079.html#ga23c8b3ae62f7865b2e228408be95946d',1,'fsl_clock.h']]], - ['clock_5fmain_5fclk_5fsrc_5ft',['clock_main_clk_src_t',['../a00079.html#gab68022e8d8f60a72f86a6a6c13b6e2f4',1,'fsl_clock.h']]], - ['clock_5fname_5ft',['clock_name_t',['../a00079.html#gaf74854e9bcee544d7646c5bafdc00bd3',1,'fsl_clock.h']]], - ['clock_5fselect_5ft',['clock_select_t',['../a00079.html#ga59a363f0296ea51011dd86b405d5db58',1,'fsl_clock.h']]], - ['crc_5fpolynomial_5ft',['crc_polynomial_t',['../a00011.html#ga7daa8fa0df9f42a98afcf766a63d931d',1,'fsl_crc.h']]], - ['ctimer_5fcallback_5ftype_5ft',['ctimer_callback_type_t',['../a00012.html#ga740677adee6ada817bb45ed62607c3c4',1,'fsl_ctimer.h']]], - ['ctimer_5fcapture_5fchannel_5ft',['ctimer_capture_channel_t',['../a00012.html#ga2fbf5cfa219c31ac16f3786d6897dc3f',1,'fsl_ctimer.h']]], - ['ctimer_5fcapture_5fedge_5ft',['ctimer_capture_edge_t',['../a00012.html#gac37706bc06bc7590ce1e3d1b4bf73638',1,'fsl_ctimer.h']]], - ['ctimer_5fexternal_5fmatch_5ft',['ctimer_external_match_t',['../a00012.html#ga09f5eb858c001377062fe0b8a0d5bd29',1,'fsl_ctimer.h']]], - ['ctimer_5finterrupt_5fenable_5ft',['ctimer_interrupt_enable_t',['../a00012.html#ga0971c614f932bcf55994bf6c92325eb2',1,'fsl_ctimer.h']]], - ['ctimer_5fmatch_5foutput_5fcontrol_5ft',['ctimer_match_output_control_t',['../a00012.html#ga22cef1fc5f8e23a35b6c3a012e3d143c',1,'fsl_ctimer.h']]], - ['ctimer_5fmatch_5ft',['ctimer_match_t',['../a00012.html#gae60f7d34c9e499abba96e5979ee1818d',1,'fsl_ctimer.h']]], - ['ctimer_5fstatus_5fflags_5ft',['ctimer_status_flags_t',['../a00012.html#gae64285eb2e52bf5cc2b723870392ff60',1,'fsl_ctimer.h']]], - ['ctimer_5ftimer_5fmode_5ft',['ctimer_timer_mode_t',['../a00012.html#ga9cc18e14c871b2a79888a4cdacbb0eee',1,'fsl_ctimer.h']]] + ['ctimer_5fcallback_5ftype_5ft',['ctimer_callback_type_t',['../a00012.html#ga740677adee6ada817bb45ed62607c3c4',1,'fsl_ctimer.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_64.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_64.html deleted file mode 100644 index 27ea2df..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_64.html +++ /dev/null @@ -1,26 +0,0 @@ - - - - - - - - - -
-
Loading...
-
- -
Searching...
-
No Matches
- -
- - diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_64.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_64.js deleted file mode 100644 index 584eb2c..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_64.js +++ /dev/null @@ -1,4 +0,0 @@ -var searchData= -[ - ['dac_5fsettling_5ftime_5ft',['dac_settling_time_t',['../a00013.html#ga82eecb700b3afeda8b95a6151d8904fc',1,'fsl_dac.h']]] -]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_67.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_67.html deleted file mode 100644 index 552d808..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_67.html +++ /dev/null @@ -1,26 +0,0 @@ - - - - - - - - - -
-
Loading...
-
- -
Searching...
-
No Matches
- -
- - diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_67.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_67.js deleted file mode 100644 index 6509697..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_67.js +++ /dev/null @@ -1,4 +0,0 @@ -var searchData= -[ - ['gpio_5fpin_5fdirection_5ft',['gpio_pin_direction_t',['../a00014.html#gada41ca0a2ce239fe125ee96833e715c0',1,'fsl_gpio.h']]] -]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_69.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_69.html deleted file mode 100644 index 5071919..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_69.html +++ /dev/null @@ -1,26 +0,0 @@ - - - - - - - - - -
-
Loading...
-
- -
Searching...
-
No Matches
- -
- - diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_69.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_69.js deleted file mode 100644 index 8d7efe8..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_69.js +++ /dev/null @@ -1,9 +0,0 @@ -var searchData= -[ - ['i2c_5fdirection_5ft',['i2c_direction_t',['../a00015.html#gab49c827b45635206f06e5737606e4611',1,'fsl_i2c.h']]], - ['i2c_5fslave_5faddress_5fqual_5fmode_5ft',['i2c_slave_address_qual_mode_t',['../a00016.html#ga719dc02b99647eb8f08a05d4d6066c51',1,'fsl_i2c.h']]], - ['i2c_5fslave_5faddress_5fregister_5ft',['i2c_slave_address_register_t',['../a00016.html#ga833a7311515f1a3bf5cb8da2355cc661',1,'fsl_i2c.h']]], - ['i2c_5fslave_5fbus_5fspeed_5ft',['i2c_slave_bus_speed_t',['../a00016.html#ga5f368505586dd356fc680711023ace7f',1,'fsl_i2c.h']]], - ['i2c_5fslave_5ffsm_5ft',['i2c_slave_fsm_t',['../a00016.html#gad6564299304730416461f62255fcd37c',1,'fsl_i2c.h']]], - ['i2c_5fslave_5ftransfer_5fevent_5ft',['i2c_slave_transfer_event_t',['../a00016.html#gac53e5c96a2eed1b5a95b7d84be48f4ac',1,'fsl_i2c.h']]] -]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_6d.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_6d.html deleted file mode 100644 index 424fbf1..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_6d.html +++ /dev/null @@ -1,26 +0,0 @@ - - - - - - - - - -
-
Loading...
-
- -
Searching...
-
No Matches
- -
- - diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_6d.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_6d.js deleted file mode 100644 index 5a5454c..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_6d.js +++ /dev/null @@ -1,7 +0,0 @@ -var searchData= -[ - ['mrt_5fchnl_5ft',['mrt_chnl_t',['../a00018.html#gaece5c1972e35dec2efcce98847a09622',1,'fsl_mrt.h']]], - ['mrt_5finterrupt_5fenable_5ft',['mrt_interrupt_enable_t',['../a00018.html#ga9d2f90ae2c6f99410e2908dac8cc6943',1,'fsl_mrt.h']]], - ['mrt_5fstatus_5fflags_5ft',['mrt_status_flags_t',['../a00018.html#ga0bb94508d8cf924c3a6971364377673a',1,'fsl_mrt.h']]], - ['mrt_5ftimer_5fmode_5ft',['mrt_timer_mode_t',['../a00018.html#gad481f648f1c89a1eab327530d6fef1d0',1,'fsl_mrt.h']]] -]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_70.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_70.html deleted file mode 100644 index 747c3d4..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_70.html +++ /dev/null @@ -1,26 +0,0 @@ - - - - - - - - - -
-
Loading...
-
- -
Searching...
-
No Matches
- -
- - diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_70.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_70.js deleted file mode 100644 index 40ca02b..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_70.js +++ /dev/null @@ -1,16 +0,0 @@ -var searchData= -[ - ['pint_5fpin_5fenable_5ft',['pint_pin_enable_t',['../a00088.html#ga6a17e5c52721f6eb754f54cc72b58c91',1,'fsl_pint.h']]], - ['pint_5fpin_5fint_5ft',['pint_pin_int_t',['../a00088.html#ga15da1f70e8d0a05e9d492d01ceca7da8',1,'fsl_pint.h']]], - ['pint_5fpmatch_5fbslice_5fcfg_5ft',['pint_pmatch_bslice_cfg_t',['../a00088.html#gae1e5bfc17515fab76a1deab955203c6a',1,'fsl_pint.h']]], - ['pint_5fpmatch_5fbslice_5ft',['pint_pmatch_bslice_t',['../a00088.html#ga048bc24e58d7df40af2a45efaabeea9b',1,'fsl_pint.h']]], - ['pint_5fpmatch_5finput_5fsrc_5ft',['pint_pmatch_input_src_t',['../a00088.html#ga2e05f827d6a43eade4c22e9d75bc5d76',1,'fsl_pint.h']]], - ['plu_5flut_5fin_5findex_5ft',['plu_lut_in_index_t',['../a00089.html#gab4037d3c440abe260269986fbfd2087f',1,'fsl_plu.h']]], - ['plu_5flut_5findex_5ft',['plu_lut_index_t',['../a00089.html#gafe6cff20e6fc0c79d076a7b878f875a1',1,'fsl_plu.h']]], - ['plu_5flut_5finput_5fsource_5ft',['plu_lut_input_source_t',['../a00089.html#gaec74828294222d85c4341013b842eb05',1,'fsl_plu.h']]], - ['plu_5foutput_5findex_5ft',['plu_output_index_t',['../a00089.html#gab2d50bbe43b91c74ff406a8d4c359f40',1,'fsl_plu.h']]], - ['plu_5foutput_5fsource_5ft',['plu_output_source_t',['../a00089.html#ga3ebfc228971e1d13f03847952605c2d2',1,'fsl_plu.h']]], - ['power_5fbod_5finterrupt_5flevel_5ft',['power_bod_interrupt_level_t',['../a00080.html#gad856ab8003eb9953525518cddbc7814c',1,'fsl_power.h']]], - ['power_5fbod_5freset_5flevel_5ft',['power_bod_reset_level_t',['../a00080.html#gad4414c07be8e296fdf41c256e605c161',1,'fsl_power.h']]], - ['power_5fgen_5freg_5ft',['power_gen_reg_t',['../a00080.html#gaacea8a22b7f4706814e61973550d3492',1,'fsl_power.h']]] -]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_73.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_73.html deleted file mode 100644 index 278c544..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_73.html +++ /dev/null @@ -1,26 +0,0 @@ - - - - - - - - - -
-
Loading...
-
- -
Searching...
-
No Matches
- -
- - diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_73.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_73.js deleted file mode 100644 index 6e4ba3c..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_73.js +++ /dev/null @@ -1,16 +0,0 @@ -var searchData= -[ - ['spi_5fclock_5fphase_5ft',['spi_clock_phase_t',['../a00019.html#ga9ad313685ade497f5cbcb71c74a1b4dc',1,'fsl_spi.h']]], - ['spi_5fclock_5fpolarity_5ft',['spi_clock_polarity_t',['../a00019.html#ga3e5a7cd043c9596779bc23b34cb3d1f9',1,'fsl_spi.h']]], - ['spi_5fdata_5fwidth_5ft',['spi_data_width_t',['../a00019.html#gafa691c5329a7325ee57c9f06fa295731',1,'fsl_spi.h']]], - ['spi_5fshift_5fdirection_5ft',['spi_shift_direction_t',['../a00019.html#gaa68518c16202382c2e1f1c7c66a9d53d',1,'fsl_spi.h']]], - ['spi_5fspol_5ft',['spi_spol_t',['../a00019.html#gafd7e7bd8e060742346806ed8c8f1db4c',1,'fsl_spi.h']]], - ['spi_5fssel_5ft',['spi_ssel_t',['../a00019.html#ga420a3d3f841957068648585b89fa66d1',1,'fsl_spi.h']]], - ['swm_5ffixed_5fport_5fpin_5ftype_5ft',['swm_fixed_port_pin_type_t',['../a00090.html#gabf427dd8dd6c86d0fac4f05b30e54475',1,'fsl_swm_connections.h']]], - ['swm_5fport_5fpin_5ftype_5ft',['swm_port_pin_type_t',['../a00090.html#gaca9c780388e187444bfa31a6bcc72d35',1,'fsl_swm_connections.h']]], - ['swm_5fselect_5ffixed_5fmovable_5ft',['swm_select_fixed_movable_t',['../a00090.html#gabdebb214f81e7c4859bd60752b5958cd',1,'fsl_swm_connections.h']]], - ['swm_5fselect_5ffixed_5fpin_5ft',['swm_select_fixed_pin_t',['../a00090.html#ga6db188a71a269613f30825af80c02053',1,'fsl_swm_connections.h']]], - ['swm_5fselect_5fmovable_5ft',['swm_select_movable_t',['../a00090.html#ga45575871cafcadc50292b9bba6b9d13d',1,'fsl_swm_connections.h']]], - ['syscon_5fconnection_5ft',['syscon_connection_t',['../a00091.html#ga4a63ee69b68459770551a0e4d7b6cdad',1,'fsl_syscon_connections.h']]], - ['syscon_5frstn_5ft',['SYSCON_RSTn_t',['../a00081.html#gac287530f011b42355162470f09975770',1,'fsl_reset.h']]] -]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_75.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_75.html deleted file mode 100644 index 3bf06c1..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_75.html +++ /dev/null @@ -1,26 +0,0 @@ - - - - - - - - - -
-
Loading...
-
- -
Searching...
-
No Matches
- -
- - diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_75.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_75.js deleted file mode 100644 index 5d8632e..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_75.js +++ /dev/null @@ -1,8 +0,0 @@ -var searchData= -[ - ['usart_5fclock_5fpolarity_5ft',['usart_clock_polarity_t',['../a00020.html#ga786ba5b98195c3df810a061b6c0cca91',1,'fsl_usart.h']]], - ['usart_5fdata_5flen_5ft',['usart_data_len_t',['../a00020.html#ga28e46a3538cf5f5140523132a963283c',1,'fsl_usart.h']]], - ['usart_5fparity_5fmode_5ft',['usart_parity_mode_t',['../a00020.html#ga9b5ca9521874092ccb637a02d7b26ba2',1,'fsl_usart.h']]], - ['usart_5fstop_5fbit_5fcount_5ft',['usart_stop_bit_count_t',['../a00020.html#ga58ab07609b094f719f903475de6e57b4',1,'fsl_usart.h']]], - ['usart_5fsync_5fmode_5ft',['usart_sync_mode_t',['../a00020.html#ga7ecd603d2579abbe714d58eb582821b8',1,'fsl_usart.h']]] -]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_77.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_77.html deleted file mode 100644 index 8e9fc0e..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_77.html +++ /dev/null @@ -1,26 +0,0 @@ - - - - - - - - - -
-
Loading...
-
- -
Searching...
-
No Matches
- -
- - diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_77.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_77.js deleted file mode 100644 index 7e46efd..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_77.js +++ /dev/null @@ -1,5 +0,0 @@ -var searchData= -[ - ['wkt_5fclock_5fsource_5ft',['wkt_clock_source_t',['../a00021.html#gaf985ebf5e165e2fbda510cac71cad222',1,'fsl_wkt.h']]], - ['wkt_5fstatus_5fflags_5ft',['wkt_status_flags_t',['../a00021.html#ga0c4c3a2aa689d7cdd0608eb1076d83aa',1,'fsl_wkt.h']]] -]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enumvalues_6b.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enumvalues_6b.js index 98be3ea..a97150b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enumvalues_6b.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enumvalues_6b.js @@ -1,35 +1,36 @@ var searchData= [ - ['kacmp_5frst_5fn_5fshift_5frstn',['kACMP_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ac1a3b1156e6dbc9d6fecb867f1486c63',1,'fsl_reset.h']]], - ['kacomp_5fhysteresis10mvselection',['kACOMP_Hysteresis10MVSelection',['../a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5aca25ed95469736b1383c06835f1a59a6f7',1,'fsl_acomp.h']]], - ['kacomp_5fhysteresis20mvselection',['kACOMP_Hysteresis20MVSelection',['../a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acab609612c0f5c6987b71f287d0ab8e02c',1,'fsl_acomp.h']]], - ['kacomp_5fhysteresis5mvselection',['kACOMP_Hysteresis5MVSelection',['../a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acae6c2b1547a7e476425962d46b44a00f9',1,'fsl_acomp.h']]], - ['kacomp_5fhysteresisnoneselection',['kACOMP_HysteresisNoneSelection',['../a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acae003c683374deba88f335221e4f6c62b',1,'fsl_acomp.h']]], - ['kacomp_5finterruptsbothedgesenable',['kACOMP_InterruptsBothEdgesEnable',['../a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a23743234a07f6c25a2b14cca0cd863c7',1,'fsl_acomp.h']]], - ['kacomp_5finterruptsfallingedgeenable',['kACOMP_InterruptsFallingEdgeEnable',['../a00008.html#gga178d521ca2ee7f33d518b30dfb627d20ad015e6ad9b09be27a6de122fde6b3997',1,'fsl_acomp.h']]], - ['kacomp_5finterruptsrisingedgeenable',['kACOMP_InterruptsRisingEdgeEnable',['../a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a25801b009aba402b9810189eb8545b8b',1,'fsl_acomp.h']]], - ['kacomp_5fladderrefvoltagepinvdd',['kACOMP_LadderRefVoltagePinVDD',['../a00008.html#gga7ad629d52b0a70f95cd2ddb3bee8ab5fa70a5aa61894fc8d2195652c2fa3423aa',1,'fsl_acomp.h']]], - ['kacomp_5fladderrefvoltagepinvddcmp',['kACOMP_LadderRefVoltagePinVDDCMP',['../a00008.html#gga7ad629d52b0a70f95cd2ddb3bee8ab5fa56718eb64fdbbd04648466547b326c35',1,'fsl_acomp.h']]], - ['kadc_5fadcinunitygainmode',['kADC_ADCInUnityGainMode',['../a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a520d81c1c70fab0701cfdcfaa46e1cae',1,'fsl_adc.h']]], - ['kadc_5fclk_5ffrom_5fextclk',['kADC_Clk_From_Extclk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a62d1ae5b5b1bb400be6618e4e2ad45ea',1,'fsl_clock.h']]], - ['kadc_5fclk_5ffrom_5ffro',['kADC_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a91f272ba2ca7171ce0689d39f9a894af',1,'fsl_clock.h']]], + ['kacmp_5frst_5fn_5fshift_5frstn',['kACMP_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ac1a3b1156e6dbc9d6fecb867f1486c63',1,'fsl_reset.h']]], + ['kacomp_5fhysteresis10mvselection',['kACOMP_Hysteresis10MVSelection',['../a00008.html#ggaaf41a27470c09c9433454b94bec78133a25ed95469736b1383c06835f1a59a6f7',1,'fsl_acomp.h']]], + ['kacomp_5fhysteresis20mvselection',['kACOMP_Hysteresis20MVSelection',['../a00008.html#ggaaf41a27470c09c9433454b94bec78133ab609612c0f5c6987b71f287d0ab8e02c',1,'fsl_acomp.h']]], + ['kacomp_5fhysteresis5mvselection',['kACOMP_Hysteresis5MVSelection',['../a00008.html#ggaaf41a27470c09c9433454b94bec78133ae6c2b1547a7e476425962d46b44a00f9',1,'fsl_acomp.h']]], + ['kacomp_5fhysteresisnoneselection',['kACOMP_HysteresisNoneSelection',['../a00008.html#ggaaf41a27470c09c9433454b94bec78133ae003c683374deba88f335221e4f6c62b',1,'fsl_acomp.h']]], + ['kacomp_5finterruptsbothedgesenable',['kACOMP_InterruptsBothEdgesEnable',['../a00008.html#gga7959160daeb14e107aa41d21b23ad7d8a23743234a07f6c25a2b14cca0cd863c7',1,'fsl_acomp.h']]], + ['kacomp_5finterruptsdisable',['kACOMP_InterruptsDisable',['../a00008.html#gga7959160daeb14e107aa41d21b23ad7d8a629a3a054d3fde07b8f1d4974a9d070d',1,'fsl_acomp.h']]], + ['kacomp_5finterruptsfallingedgeenable',['kACOMP_InterruptsFallingEdgeEnable',['../a00008.html#gga7959160daeb14e107aa41d21b23ad7d8ad015e6ad9b09be27a6de122fde6b3997',1,'fsl_acomp.h']]], + ['kacomp_5finterruptsrisingedgeenable',['kACOMP_InterruptsRisingEdgeEnable',['../a00008.html#gga7959160daeb14e107aa41d21b23ad7d8a25801b009aba402b9810189eb8545b8b',1,'fsl_acomp.h']]], + ['kacomp_5fladderrefvoltagepinvdd',['kACOMP_LadderRefVoltagePinVDD',['../a00008.html#gga3cb7b227dfa6d29bc1d83fe22eba4ddca70a5aa61894fc8d2195652c2fa3423aa',1,'fsl_acomp.h']]], + ['kacomp_5fladderrefvoltagepinvddcmp',['kACOMP_LadderRefVoltagePinVDDCMP',['../a00008.html#gga3cb7b227dfa6d29bc1d83fe22eba4ddca56718eb64fdbbd04648466547b326c35',1,'fsl_acomp.h']]], + ['kadc_5fadcinunitygainmode',['kADC_ADCInUnityGainMode',['../a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a520d81c1c70fab0701cfdcfaa46e1cae',1,'fsl_adc.h']]], + ['kadc_5fclk_5ffrom_5fextclk',['kADC_Clk_From_Extclk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a62d1ae5b5b1bb400be6618e4e2ad45ea',1,'fsl_clock.h']]], + ['kadc_5fclk_5ffrom_5ffro',['kADC_Clk_From_Fro',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a91f272ba2ca7171ce0689d39f9a894af',1,'fsl_clock.h']]], ['kadc_5fconvseqainterruptenable',['kADC_ConvSeqAInterruptEnable',['../a00009.html#ggac5899c7af1f9cac7695d7171f83be5f0a729a5315c1792954ab9455cc6fdb324a',1,'fsl_adc.h']]], ['kadc_5fconvseqainterruptflag',['kADC_ConvSeqAInterruptFlag',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a6387795dcc8897a8d256cdc4a005d1b7',1,'fsl_adc.h']]], ['kadc_5fconvseqbinterruptenable',['kADC_ConvSeqBInterruptEnable',['../a00009.html#ggac5899c7af1f9cac7695d7171f83be5f0ac8937ac90396e6c1ab8b3a8c83c530f8',1,'fsl_adc.h']]], ['kadc_5fconvseqbinterruptflag',['kADC_ConvSeqBInterruptFlag',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1ae98f97677a7acd69db8c3f1449eb59a2',1,'fsl_adc.h']]], ['kadc_5fglobaloverrunflagforseqa',['kADC_GlobalOverrunFlagForSeqA',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a991137c98bcceb8aa70a1a0184117e04',1,'fsl_adc.h']]], ['kadc_5fglobaloverrunflagforseqb',['kADC_GlobalOverrunFlagForSeqB',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a49619f2fea3c5946285d19531f70246b',1,'fsl_adc.h']]], - ['kadc_5fhighnegativeoffsetadded',['kADC_HighNegativeOffsetAdded',['../a00009.html#gga2432b8bc48a2d3ede87fd021faefeaa5a7a88de53f3fa62d9c9d073619e6f7b77',1,'fsl_adc.h']]], - ['kadc_5fimpedance55kohm',['kADC_Impedance55kOhm',['../a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a272bdcdc0d5ebca10a10d7f6927f031f',1,'fsl_adc.h']]], - ['kadc_5fimpedance621ohm',['kADC_Impedance621Ohm',['../a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a5f5dc970993e744974494e283be79e2c',1,'fsl_adc.h']]], - ['kadc_5fimpedance87kohm',['kADC_Impedance87kOhm',['../a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a4538ae9bd911369a26f43c1b5fa1150f',1,'fsl_adc.h']]], - ['kadc_5fintermediatenegativeoffsetadded',['kADC_IntermediateNegativeOffsetAdded',['../a00009.html#gga2432b8bc48a2d3ede87fd021faefeaa5a705b5ce05ce8d2499b4ae652c138339b',1,'fsl_adc.h']]], - ['kadc_5finterruptforeachconversion',['kADC_InterruptForEachConversion',['../a00009.html#gga3948c83397f351b5ed70bbaf1c5da35ba0e0b964334a5fafa867734f43c9302d1',1,'fsl_adc.h']]], - ['kadc_5finterruptforeachsequence',['kADC_InterruptForEachSequence',['../a00009.html#gga3948c83397f351b5ed70bbaf1c5da35ba77c1e0c1efa82a0e1d23b38dcdc42e8d',1,'fsl_adc.h']]], - ['kadc_5flowpositiveoffsetadded',['kADC_LowPositiveOffsetAdded',['../a00009.html#gga2432b8bc48a2d3ede87fd021faefeaa5ad18ff3e548f2107156eb50a604d26ee5',1,'fsl_adc.h']]], - ['kadc_5fmultiplexetestmode',['kADC_MultiplexeTestMode',['../a00009.html#ggafb17afb34b6114b97b8bfa331465fd23aa806598c66d17dadc451cfaab2e6af45',1,'fsl_adc.h']]], - ['kadc_5fnooffsetadded',['kADC_NoOffsetAdded',['../a00009.html#gga2432b8bc48a2d3ede87fd021faefeaa5a524bba486c728e5af9ae263057fe7475',1,'fsl_adc.h']]], - ['kadc_5fnormalfunctionalmode',['kADC_NormalFunctionalMode',['../a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a8792af738570ae4baa6f58baecc04eff',1,'fsl_adc.h']]], + ['kadc_5fhighnegativeoffsetadded',['kADC_HighNegativeOffsetAdded',['../a00009.html#ggae379cff02514632d8af1b8f52b460cf2a7a88de53f3fa62d9c9d073619e6f7b77',1,'fsl_adc.h']]], + ['kadc_5fimpedance55kohm',['kADC_Impedance55kOhm',['../a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a272bdcdc0d5ebca10a10d7f6927f031f',1,'fsl_adc.h']]], + ['kadc_5fimpedance621ohm',['kADC_Impedance621Ohm',['../a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a5f5dc970993e744974494e283be79e2c',1,'fsl_adc.h']]], + ['kadc_5fimpedance87kohm',['kADC_Impedance87kOhm',['../a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a4538ae9bd911369a26f43c1b5fa1150f',1,'fsl_adc.h']]], + ['kadc_5fintermediatenegativeoffsetadded',['kADC_IntermediateNegativeOffsetAdded',['../a00009.html#ggae379cff02514632d8af1b8f52b460cf2a705b5ce05ce8d2499b4ae652c138339b',1,'fsl_adc.h']]], + ['kadc_5finterruptforeachconversion',['kADC_InterruptForEachConversion',['../a00009.html#gga1e1cfa1d671392e8809b52b6257a7042a0e0b964334a5fafa867734f43c9302d1',1,'fsl_adc.h']]], + ['kadc_5finterruptforeachsequence',['kADC_InterruptForEachSequence',['../a00009.html#gga1e1cfa1d671392e8809b52b6257a7042a77c1e0c1efa82a0e1d23b38dcdc42e8d',1,'fsl_adc.h']]], + ['kadc_5flowpositiveoffsetadded',['kADC_LowPositiveOffsetAdded',['../a00009.html#ggae379cff02514632d8af1b8f52b460cf2ad18ff3e548f2107156eb50a604d26ee5',1,'fsl_adc.h']]], + ['kadc_5fmultiplexetestmode',['kADC_MultiplexeTestMode',['../a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6aa806598c66d17dadc451cfaab2e6af45',1,'fsl_adc.h']]], + ['kadc_5fnooffsetadded',['kADC_NoOffsetAdded',['../a00009.html#ggae379cff02514632d8af1b8f52b460cf2a524bba486c728e5af9ae263057fe7475',1,'fsl_adc.h']]], + ['kadc_5fnormalfunctionalmode',['kADC_NormalFunctionalMode',['../a00009.html#gga30fbf503bbcb9bd9583b08e712e313a6a8792af738570ae4baa6f58baecc04eff',1,'fsl_adc.h']]], ['kadc_5foverrunflagforchn0',['kADC_OverrunFlagForChn0',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a0086e00d201a353a233cd570617b9128',1,'fsl_adc.h']]], ['kadc_5foverrunflagforchn1',['kADC_OverrunFlagForChn1',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1aacd6f79515273a505a65a0dd5c8690b5',1,'fsl_adc.h']]], ['kadc_5foverrunflagforchn10',['kADC_OverrunFlagForChn10',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a373a8f9c3452766d74c2af2dd40a1dd4',1,'fsl_adc.h']]], @@ -44,15 +45,15 @@ var searchData= ['kadc_5foverrunflagforchn9',['kADC_OverrunFlagForChn9',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1aabc9b70b8c8f836ce36bc5fb29b2638c',1,'fsl_adc.h']]], ['kadc_5foverruninterruptenable',['kADC_OverrunInterruptEnable',['../a00009.html#ggac5899c7af1f9cac7695d7171f83be5f0a81d0490f6a7b7fd8301c7af3162c9770',1,'fsl_adc.h']]], ['kadc_5foverruninterruptflag',['kADC_OverrunInterruptFlag',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a648b51f9b03d99e58197f077323cada4',1,'fsl_adc.h']]], - ['kadc_5fpriorityhigh',['kADC_PriorityHigh',['../a00009.html#ggab625534aa39c1cd25f18cdc0dc3b9981a591af3687c8df2663d34e7d8ff942abe',1,'fsl_adc.h']]], - ['kadc_5fprioritylow',['kADC_PriorityLow',['../a00009.html#ggab625534aa39c1cd25f18cdc0dc3b9981aa47123e81ebbce4dd5905b0b93d0303d',1,'fsl_adc.h']]], - ['kadc_5fresolution10bitinforesultshift',['kADC_Resolution10bitInfoResultShift',['../a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28cca9923280b0a76bc961bed79aef5ecb0f3',1,'fsl_adc.h']]], - ['kadc_5fresolution12bitinforesultshift',['kADC_Resolution12bitInfoResultShift',['../a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28cca5925d865b6882eeef54d3389dc2e9f98',1,'fsl_adc.h']]], - ['kadc_5fresolution6bitinforesultshift',['kADC_Resolution6bitInfoResultShift',['../a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28ccaa51dfd6f4acca775dd0838c40eebe25e',1,'fsl_adc.h']]], - ['kadc_5fresolution8bitinforesultshift',['kADC_Resolution8bitInfoResultShift',['../a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28ccae60e431363f005f7830c1751ec9dbb3b',1,'fsl_adc.h']]], - ['kadc_5frst_5fn_5fshift_5frstn',['kADC_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a99e18503426294e439f4bb181a451999',1,'fsl_reset.h']]], - ['kadc_5fthresholdcompareaboverange',['kADC_ThresholdCompareAboveRange',['../a00009.html#ggad47d3fd5553ab75cdf6b95268cb94f20a88f6c1b1c2081034a524d1f07bda8479',1,'fsl_adc.h']]], - ['kadc_5fthresholdcomparebelowrange',['kADC_ThresholdCompareBelowRange',['../a00009.html#ggad47d3fd5553ab75cdf6b95268cb94f20a4957dc189299bb3397555dbb37991903',1,'fsl_adc.h']]], + ['kadc_5fpriorityhigh',['kADC_PriorityHigh',['../a00009.html#gga895b86955b34760035b75f48ed891a7ca591af3687c8df2663d34e7d8ff942abe',1,'fsl_adc.h']]], + ['kadc_5fprioritylow',['kADC_PriorityLow',['../a00009.html#gga895b86955b34760035b75f48ed891a7caa47123e81ebbce4dd5905b0b93d0303d',1,'fsl_adc.h']]], + ['kadc_5fresolution10bitinforesultshift',['kADC_Resolution10bitInfoResultShift',['../a00009.html#ggadd80a979d7edaf06b04a7720dcbf6560a9923280b0a76bc961bed79aef5ecb0f3',1,'fsl_adc.h']]], + ['kadc_5fresolution12bitinforesultshift',['kADC_Resolution12bitInfoResultShift',['../a00009.html#ggadd80a979d7edaf06b04a7720dcbf6560a5925d865b6882eeef54d3389dc2e9f98',1,'fsl_adc.h']]], + ['kadc_5fresolution6bitinforesultshift',['kADC_Resolution6bitInfoResultShift',['../a00009.html#ggadd80a979d7edaf06b04a7720dcbf6560aa51dfd6f4acca775dd0838c40eebe25e',1,'fsl_adc.h']]], + ['kadc_5fresolution8bitinforesultshift',['kADC_Resolution8bitInfoResultShift',['../a00009.html#ggadd80a979d7edaf06b04a7720dcbf6560ae60e431363f005f7830c1751ec9dbb3b',1,'fsl_adc.h']]], + ['kadc_5frst_5fn_5fshift_5frstn',['kADC_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a99e18503426294e439f4bb181a451999',1,'fsl_reset.h']]], + ['kadc_5fthresholdcompareaboverange',['kADC_ThresholdCompareAboveRange',['../a00009.html#gga0b4c2ffa22cd01dc1d08b0c6f3b08965a88f6c1b1c2081034a524d1f07bda8479',1,'fsl_adc.h']]], + ['kadc_5fthresholdcomparebelowrange',['kADC_ThresholdCompareBelowRange',['../a00009.html#gga0b4c2ffa22cd01dc1d08b0c6f3b08965a4957dc189299bb3397555dbb37991903',1,'fsl_adc.h']]], ['kadc_5fthresholdcompareflagonchn0',['kADC_ThresholdCompareFlagOnChn0',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a07b97b0e0329e40c1829ac1e54467279',1,'fsl_adc.h']]], ['kadc_5fthresholdcompareflagonchn1',['kADC_ThresholdCompareFlagOnChn1',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a5f66d1dcf413402eff0001649d32f853',1,'fsl_adc.h']]], ['kadc_5fthresholdcompareflagonchn10',['kADC_ThresholdCompareFlagOnChn10',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a50a526a957a500f1d1230856e5f62cb0',1,'fsl_adc.h']]], @@ -65,32 +66,32 @@ var searchData= ['kadc_5fthresholdcompareflagonchn7',['kADC_ThresholdCompareFlagOnChn7',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a261489b6eaf9fd1c667f3b7730670c35',1,'fsl_adc.h']]], ['kadc_5fthresholdcompareflagonchn8',['kADC_ThresholdCompareFlagOnChn8',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a091532f8a931257bed8d481d746ce483',1,'fsl_adc.h']]], ['kadc_5fthresholdcompareflagonchn9',['kADC_ThresholdCompareFlagOnChn9',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1aad52525faafab93597654764e5b76e97',1,'fsl_adc.h']]], - ['kadc_5fthresholdcompareinrange',['kADC_ThresholdCompareInRange',['../a00009.html#ggad47d3fd5553ab75cdf6b95268cb94f20a0879cb322fa21eea083514475b2e5c92',1,'fsl_adc.h']]], + ['kadc_5fthresholdcompareinrange',['kADC_ThresholdCompareInRange',['../a00009.html#gga0b4c2ffa22cd01dc1d08b0c6f3b08965a0879cb322fa21eea083514475b2e5c92',1,'fsl_adc.h']]], ['kadc_5fthresholdcompareinterruptflag',['kADC_ThresholdCompareInterruptFlag',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a33cf119645a07f65a85a0330e8caac59',1,'fsl_adc.h']]], - ['kadc_5fthresholdcrossingdownward',['kADC_ThresholdCrossingDownward',['../a00009.html#ggae86929708f4bb8be4cc88dcf50c3db54ac5aec5587ce5a042aed8506c8e732422',1,'fsl_adc.h']]], - ['kadc_5fthresholdcrossingnodetected',['kADC_ThresholdCrossingNoDetected',['../a00009.html#ggae86929708f4bb8be4cc88dcf50c3db54abf34f1a6b0ab0bb0338143eba9344156',1,'fsl_adc.h']]], - ['kadc_5fthresholdcrossingupward',['kADC_ThresholdCrossingUpward',['../a00009.html#ggae86929708f4bb8be4cc88dcf50c3db54a84de2355e5d40cc75c1ac6e56feb7153',1,'fsl_adc.h']]], - ['kadc_5fthresholdinterruptdisabled',['kADC_ThresholdInterruptDisabled',['../a00009.html#ggac6aab6fdd21723cfc6ed4437372521aca9302e8f9009ba4561655bb2903156522',1,'fsl_adc.h']]], - ['kadc_5fthresholdinterruptoncrossing',['kADC_ThresholdInterruptOnCrossing',['../a00009.html#ggac6aab6fdd21723cfc6ed4437372521acab2db65594aeb9ce82f73ace49535d278',1,'fsl_adc.h']]], - ['kadc_5fthresholdinterruptonoutside',['kADC_ThresholdInterruptOnOutside',['../a00009.html#ggac6aab6fdd21723cfc6ed4437372521aca699feabaae444d40c7261e26a2872af8',1,'fsl_adc.h']]], - ['kadc_5ftriggerpolaritynegativeedge',['kADC_TriggerPolarityNegativeEdge',['../a00009.html#gga538fb95659082602d6ebb205f21573a5a2515d6b46f5b471d516ceff71dda5bb5',1,'fsl_adc.h']]], - ['kadc_5ftriggerpolaritypositiveedge',['kADC_TriggerPolarityPositiveEdge',['../a00009.html#gga538fb95659082602d6ebb205f21573a5a1e29943afcd9c5266a8401565798febe',1,'fsl_adc.h']]], - ['kbod_5finterruptlevel1',['kBod_InterruptLevel1',['../a00080.html#ggad856ab8003eb9953525518cddbc7814ca186e757d5a202f806afd889315af3639',1,'fsl_power.h']]], - ['kbod_5finterruptlevel2',['kBod_InterruptLevel2',['../a00080.html#ggad856ab8003eb9953525518cddbc7814ca2094c3f450488ea077bf45edabd227ba',1,'fsl_power.h']]], - ['kbod_5finterruptlevel3',['kBod_InterruptLevel3',['../a00080.html#ggad856ab8003eb9953525518cddbc7814cab28fa5a4937806aefbc4d259a419d354',1,'fsl_power.h']]], - ['kbod_5finterruptlevelreserved',['kBod_InterruptLevelReserved',['../a00080.html#ggad856ab8003eb9953525518cddbc7814cabc8bc042a952213b5364fcc75fb2e459',1,'fsl_power.h']]], - ['kbod_5fresetlevel0',['kBod_ResetLevel0',['../a00080.html#ggad4414c07be8e296fdf41c256e605c161a6767e4aee5d7fbe50704f21d413f5442',1,'fsl_power.h']]], + ['kadc_5fthresholdcrossingdownward',['kADC_ThresholdCrossingDownward',['../a00009.html#gga0aeab7e111fc623f3b1a20cf36884b9dac5aec5587ce5a042aed8506c8e732422',1,'fsl_adc.h']]], + ['kadc_5fthresholdcrossingnodetected',['kADC_ThresholdCrossingNoDetected',['../a00009.html#gga0aeab7e111fc623f3b1a20cf36884b9dabf34f1a6b0ab0bb0338143eba9344156',1,'fsl_adc.h']]], + ['kadc_5fthresholdcrossingupward',['kADC_ThresholdCrossingUpward',['../a00009.html#gga0aeab7e111fc623f3b1a20cf36884b9da84de2355e5d40cc75c1ac6e56feb7153',1,'fsl_adc.h']]], + ['kadc_5fthresholdinterruptdisabled',['kADC_ThresholdInterruptDisabled',['../a00009.html#gga703e45dd351d168a4d8ab2e5a605ec93a9302e8f9009ba4561655bb2903156522',1,'fsl_adc.h']]], + ['kadc_5fthresholdinterruptoncrossing',['kADC_ThresholdInterruptOnCrossing',['../a00009.html#gga703e45dd351d168a4d8ab2e5a605ec93ab2db65594aeb9ce82f73ace49535d278',1,'fsl_adc.h']]], + ['kadc_5fthresholdinterruptonoutside',['kADC_ThresholdInterruptOnOutside',['../a00009.html#gga703e45dd351d168a4d8ab2e5a605ec93a699feabaae444d40c7261e26a2872af8',1,'fsl_adc.h']]], + ['kadc_5ftriggerpolaritynegativeedge',['kADC_TriggerPolarityNegativeEdge',['../a00009.html#ggafd224e352527936e20c50ac8c39ad9c8a2515d6b46f5b471d516ceff71dda5bb5',1,'fsl_adc.h']]], + ['kadc_5ftriggerpolaritypositiveedge',['kADC_TriggerPolarityPositiveEdge',['../a00009.html#ggafd224e352527936e20c50ac8c39ad9c8a1e29943afcd9c5266a8401565798febe',1,'fsl_adc.h']]], + ['kbod_5finterruptlevel1',['kBod_InterruptLevel1',['../a00080.html#ggaeadfcaceef8506b5b8fff8c2f1ff2908a186e757d5a202f806afd889315af3639',1,'fsl_power.h']]], + ['kbod_5finterruptlevel2',['kBod_InterruptLevel2',['../a00080.html#ggaeadfcaceef8506b5b8fff8c2f1ff2908a2094c3f450488ea077bf45edabd227ba',1,'fsl_power.h']]], + ['kbod_5finterruptlevel3',['kBod_InterruptLevel3',['../a00080.html#ggaeadfcaceef8506b5b8fff8c2f1ff2908ab28fa5a4937806aefbc4d259a419d354',1,'fsl_power.h']]], + ['kbod_5finterruptlevelreserved',['kBod_InterruptLevelReserved',['../a00080.html#ggaeadfcaceef8506b5b8fff8c2f1ff2908abc8bc042a952213b5364fcc75fb2e459',1,'fsl_power.h']]], + ['kbod_5fresetlevel0',['kBod_ResetLevel0',['../a00080.html#ggac443df372898826727572d3025ae104ea6767e4aee5d7fbe50704f21d413f5442',1,'fsl_power.h']]], ['kcapt_5fbusystatusflag',['kCAPT_BusyStatusFlag',['../a00010.html#gga86048ff411e845cbde51d9324b5a4b0fa1a35bccccdc8b9c911a96a14f6413579',1,'fsl_capt.h']]], - ['kcapt_5fclk_5ffrom_5ffro',['kCAPT_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58af1d8576caccfd1e48d121fae4315c10d',1,'fsl_clock.h']]], - ['kcapt_5fclk_5ffrom_5ffro_5fdiv',['kCAPT_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58abae02f94616cc17800067d5601943b5e',1,'fsl_clock.h']]], - ['kcapt_5fclk_5ffrom_5flposc',['kCAPT_Clk_From_LPOsc',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58aea7f16ca2ff13032c4cc33a2c45332ae',1,'fsl_clock.h']]], - ['kcapt_5fclk_5ffrom_5fmainclk',['kCAPT_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a28b61eb46fb752a05934e2d44450acc0',1,'fsl_clock.h']]], - ['kcapt_5fcomparatortriggermode',['kCAPT_ComparatorTriggerMode',['../a00010.html#gga0c1eb1e31ad34c8654c981a5e643e2c9a29ad6662e14cd08a6d3771ecbd591619',1,'fsl_capt.h']]], - ['kcapt_5fdmatriggeronallmode',['kCAPT_DMATriggerOnAllMode',['../a00010.html#gga0be2df04c72a8847673bcb6d9714866aae7dbcc430de0ec04afa4b600985bfaf7',1,'fsl_capt.h']]], - ['kcapt_5fdmatriggeronbothmode',['kCAPT_DMATriggerOnBothMode',['../a00010.html#gga0be2df04c72a8847673bcb6d9714866aac710d56353625bbc2ed100f6dc28111d',1,'fsl_capt.h']]], - ['kcapt_5fdmatriggerontouchmode',['kCAPT_DMATriggerOnTouchMode',['../a00010.html#gga0be2df04c72a8847673bcb6d9714866aacf5512e0ec996846318dc272e7366887',1,'fsl_capt.h']]], - ['kcapt_5finactivexpinsdrivenlowmode',['kCAPT_InactiveXpinsDrivenLowMode',['../a00010.html#gga01d767059334ad74d416f6c0287f101ba080299d9cd40fafc670901715422dbbc',1,'fsl_capt.h']]], - ['kcapt_5finactivexpinshighzmode',['kCAPT_InactiveXpinsHighZMode',['../a00010.html#gga01d767059334ad74d416f6c0287f101bac07d74a17c9f9d1b9119b89639f54b9b',1,'fsl_capt.h']]], + ['kcapt_5fclk_5ffrom_5ffro',['kCAPT_Clk_From_Fro',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85af1d8576caccfd1e48d121fae4315c10d',1,'fsl_clock.h']]], + ['kcapt_5fclk_5ffrom_5ffro_5fdiv',['kCAPT_Clk_From_Fro_Div',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85abae02f94616cc17800067d5601943b5e',1,'fsl_clock.h']]], + ['kcapt_5fclk_5ffrom_5flposc',['kCAPT_Clk_From_LPOsc',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85aea7f16ca2ff13032c4cc33a2c45332ae',1,'fsl_clock.h']]], + ['kcapt_5fclk_5ffrom_5fmainclk',['kCAPT_Clk_From_MainClk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a28b61eb46fb752a05934e2d44450acc0',1,'fsl_clock.h']]], + ['kcapt_5fcomparatortriggermode',['kCAPT_ComparatorTriggerMode',['../a00010.html#ggae36ac2d48fdabc6713754765cb654bd2a29ad6662e14cd08a6d3771ecbd591619',1,'fsl_capt.h']]], + ['kcapt_5fdmatriggeronallmode',['kCAPT_DMATriggerOnAllMode',['../a00010.html#gga00234907358f91917dca95eff851ead9ae7dbcc430de0ec04afa4b600985bfaf7',1,'fsl_capt.h']]], + ['kcapt_5fdmatriggeronbothmode',['kCAPT_DMATriggerOnBothMode',['../a00010.html#gga00234907358f91917dca95eff851ead9ac710d56353625bbc2ed100f6dc28111d',1,'fsl_capt.h']]], + ['kcapt_5fdmatriggerontouchmode',['kCAPT_DMATriggerOnTouchMode',['../a00010.html#gga00234907358f91917dca95eff851ead9acf5512e0ec996846318dc272e7366887',1,'fsl_capt.h']]], + ['kcapt_5finactivexpinsdrivenlowmode',['kCAPT_InactiveXpinsDrivenLowMode',['../a00010.html#gga9f532395f74b4c57cf60754a72e619bda080299d9cd40fafc670901715422dbbc',1,'fsl_capt.h']]], + ['kcapt_5finactivexpinshighzmode',['kCAPT_InactiveXpinsHighZMode',['../a00010.html#gga9f532395f74b4c57cf60754a72e619bdac07d74a17c9f9d1b9119b89639f54b9b',1,'fsl_capt.h']]], ['kcapt_5finterruptofnotouchenable',['kCAPT_InterruptOfNoTouchEnable',['../a00010.html#gga6ac2e06f77196123f25e3f5c79ae6ea5afbc435647bf5d8ec4b8133bb54457d8b',1,'fsl_capt.h']]], ['kcapt_5finterruptofnotouchstatusflag',['kCAPT_InterruptOfNoTouchStatusFlag',['../a00010.html#gga6764adb0a0bda236d301256120cddae0a1d4948f18e10cda189c9c9f89b76f0f8',1,'fsl_capt.h']]], ['kcapt_5finterruptofoverrunenable',['kCAPT_InterruptOfOverRunEnable',['../a00010.html#gga6ac2e06f77196123f25e3f5c79ae6ea5af27b5126282bcda28c64a9e9be550b88',1,'fsl_capt.h']]], @@ -101,18 +102,18 @@ var searchData= ['kcapt_5finterruptoftimeoutstatusflag',['kCAPT_InterruptOfTimeOutStatusFlag',['../a00010.html#gga6764adb0a0bda236d301256120cddae0a84d8d50b7d358cbc9612a1751074ee15',1,'fsl_capt.h']]], ['kcapt_5finterruptofyestouchenable',['kCAPT_InterruptOfYesTouchEnable',['../a00010.html#gga6ac2e06f77196123f25e3f5c79ae6ea5ae1bd26a87ec4a5bb4c250856cf1c1994',1,'fsl_capt.h']]], ['kcapt_5finterruptofyestouchstatusflag',['kCAPT_InterruptOfYesTouchStatusFlag',['../a00010.html#gga6764adb0a0bda236d301256120cddae0a404cfdab3986fef656232281d68a4e6b',1,'fsl_capt.h']]], - ['kcapt_5fmeasuredelaynowait',['kCAPT_MeasureDelayNoWait',['../a00010.html#gga5ced51d15f9db8f1e6619a5859f6215dafe63c76a86da5c022a74985bd052a07f',1,'fsl_capt.h']]], - ['kcapt_5fmeasuredelaywait3fclks',['kCAPT_MeasureDelayWait3FCLKs',['../a00010.html#gga5ced51d15f9db8f1e6619a5859f6215dae787b59b2f377b1ef65d0c13f45e757e',1,'fsl_capt.h']]], - ['kcapt_5fmeasuredelaywait5fclks',['kCAPT_MeasureDelayWait5FCLKs',['../a00010.html#gga5ced51d15f9db8f1e6619a5859f6215dae746038d0fc4423106a252b02ec58ac2',1,'fsl_capt.h']]], - ['kcapt_5fmeasuredelaywait9fclks',['kCAPT_MeasureDelayWait9FCLKs',['../a00010.html#gga5ced51d15f9db8f1e6619a5859f6215da839864dc82a65aa09bccd6c672f23a80',1,'fsl_capt.h']]], - ['kcapt_5fpollcontinuousmode',['kCAPT_PollContinuousMode',['../a00010.html#gga43dfe06b3cce27e03b4b131557a5237baca7d1fdc5128af90a17c6e71a9133dda',1,'fsl_capt.h']]], - ['kcapt_5fpollinactivemode',['kCAPT_PollInactiveMode',['../a00010.html#gga43dfe06b3cce27e03b4b131557a5237ba70a38885a33a8996934ad68c3322df89',1,'fsl_capt.h']]], - ['kcapt_5fpollnowmode',['kCAPT_PollNowMode',['../a00010.html#gga43dfe06b3cce27e03b4b131557a5237ba50f00dbce20a1a3390c77501baccf4a0',1,'fsl_capt.h']]], - ['kcapt_5fresetdelaynowait',['kCAPT_ResetDelayNoWait',['../a00010.html#gga4394d9b57a062c83c444e391c4adf855a306721a69ff013b3f7784bb5d503fa1a',1,'fsl_capt.h']]], - ['kcapt_5fresetdelaywait3fclks',['kCAPT_ResetDelayWait3FCLKs',['../a00010.html#gga4394d9b57a062c83c444e391c4adf855a505d962a510aaf415ee47e6d92ca932c',1,'fsl_capt.h']]], - ['kcapt_5fresetdelaywait5fclks',['kCAPT_ResetDelayWait5FCLKs',['../a00010.html#gga4394d9b57a062c83c444e391c4adf855aadd3a0bd70e2f2795032fc953d97c677',1,'fsl_capt.h']]], - ['kcapt_5fresetdelaywait9fclks',['kCAPT_ResetDelayWait9FCLKs',['../a00010.html#gga4394d9b57a062c83c444e391c4adf855a4d1bfa8129b289d5fe1f56dd980343ea',1,'fsl_capt.h']]], - ['kcapt_5frst_5fn_5fshift_5frstn',['kCAPT_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a6946809531736fcf4d7067e31f73813b',1,'fsl_reset.h']]], + ['kcapt_5fmeasuredelaynowait',['kCAPT_MeasureDelayNoWait',['../a00010.html#gga981c7dd2659c3c59529d314722d3e937afe63c76a86da5c022a74985bd052a07f',1,'fsl_capt.h']]], + ['kcapt_5fmeasuredelaywait3fclks',['kCAPT_MeasureDelayWait3FCLKs',['../a00010.html#gga981c7dd2659c3c59529d314722d3e937ae787b59b2f377b1ef65d0c13f45e757e',1,'fsl_capt.h']]], + ['kcapt_5fmeasuredelaywait5fclks',['kCAPT_MeasureDelayWait5FCLKs',['../a00010.html#gga981c7dd2659c3c59529d314722d3e937ae746038d0fc4423106a252b02ec58ac2',1,'fsl_capt.h']]], + ['kcapt_5fmeasuredelaywait9fclks',['kCAPT_MeasureDelayWait9FCLKs',['../a00010.html#gga981c7dd2659c3c59529d314722d3e937a839864dc82a65aa09bccd6c672f23a80',1,'fsl_capt.h']]], + ['kcapt_5fpollcontinuousmode',['kCAPT_PollContinuousMode',['../a00010.html#gga66b33c0d7a75bb762caef24e9c2dca6daca7d1fdc5128af90a17c6e71a9133dda',1,'fsl_capt.h']]], + ['kcapt_5fpollinactivemode',['kCAPT_PollInactiveMode',['../a00010.html#gga66b33c0d7a75bb762caef24e9c2dca6da70a38885a33a8996934ad68c3322df89',1,'fsl_capt.h']]], + ['kcapt_5fpollnowmode',['kCAPT_PollNowMode',['../a00010.html#gga66b33c0d7a75bb762caef24e9c2dca6da50f00dbce20a1a3390c77501baccf4a0',1,'fsl_capt.h']]], + ['kcapt_5fresetdelaynowait',['kCAPT_ResetDelayNoWait',['../a00010.html#gga63b8630c7a923a59dc8c91390a749405a306721a69ff013b3f7784bb5d503fa1a',1,'fsl_capt.h']]], + ['kcapt_5fresetdelaywait3fclks',['kCAPT_ResetDelayWait3FCLKs',['../a00010.html#gga63b8630c7a923a59dc8c91390a749405a505d962a510aaf415ee47e6d92ca932c',1,'fsl_capt.h']]], + ['kcapt_5fresetdelaywait5fclks',['kCAPT_ResetDelayWait5FCLKs',['../a00010.html#gga63b8630c7a923a59dc8c91390a749405aadd3a0bd70e2f2795032fc953d97c677',1,'fsl_capt.h']]], + ['kcapt_5fresetdelaywait9fclks',['kCAPT_ResetDelayWait9FCLKs',['../a00010.html#gga63b8630c7a923a59dc8c91390a749405a4d1bfa8129b289d5fe1f56dd980343ea',1,'fsl_capt.h']]], + ['kcapt_5frst_5fn_5fshift_5frstn',['kCAPT_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a6946809531736fcf4d7067e31f73813b',1,'fsl_reset.h']]], ['kcapt_5fx0pin',['kCAPT_X0Pin',['../a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5ad4b60dd2d35d11a91c11630a7fe91640',1,'fsl_capt.h']]], ['kcapt_5fx10pin',['kCAPT_X10Pin',['../a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a72314787f99f4a5ba7e51c1445fe65f2',1,'fsl_capt.h']]], ['kcapt_5fx11pin',['kCAPT_X11Pin',['../a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a53723bf555f64a437f12dff73e7f2188',1,'fsl_capt.h']]], @@ -130,139 +131,139 @@ var searchData= ['kcapt_5fx8pin',['kCAPT_X8Pin',['../a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a9801372f0a31c85b186120eea0c658f8',1,'fsl_capt.h']]], ['kcapt_5fx9pin',['kCAPT_X9Pin',['../a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a85bab394942771628a37eb7b0fd5998f',1,'fsl_capt.h']]], ['kcapt_5fxmaxstatusflag',['kCAPT_XMAXStatusFlag',['../a00010.html#gga86048ff411e845cbde51d9324b5a4b0fa9210dee59a14aaec97257fac9a20ae9c',1,'fsl_capt.h']]], - ['kcapt_5fyhporttriggermode',['kCAPT_YHPortTriggerMode',['../a00010.html#gga0c1eb1e31ad34c8654c981a5e643e2c9aba5349742fd4b19f8a7ccc0bdc9cb514',1,'fsl_capt.h']]], - ['kclkout_5ffrom_5fextclk',['kCLKOUT_From_ExtClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a8671f2c92f6e049ed8b2f3b7a5b9b296',1,'fsl_clock.h']]], - ['kclkout_5ffrom_5ffro',['kCLKOUT_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58af2ea89b865003dc40f8923f67d7bb366',1,'fsl_clock.h']]], - ['kclkout_5ffrom_5flposc',['kCLKOUT_From_Lposc',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a34737a1ca71167db472e07d6d9bd4b39',1,'fsl_clock.h']]], - ['kclkout_5ffrom_5fmainclk',['kCLKOUT_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a20d47beaa61ee59b61c34fa4f7e30d21',1,'fsl_clock.h']]], - ['kclock_5facmp',['kCLOCK_Acmp',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da5c44f9347603d8c7fd8eda74e3b3e40f',1,'fsl_clock.h']]], - ['kclock_5fadc',['kCLOCK_Adc',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da2bc16e3ee343b4abfc46ae9506461e97',1,'fsl_clock.h']]], - ['kclock_5fcapt',['kCLOCK_Capt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da0ffae7aa0d9dbc52524beb5f82ced038',1,'fsl_clock.h']]], - ['kclock_5fcoresysclk',['kCLOCK_CoreSysClk',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a1de4a40f5399eb025d5faefda7491918',1,'fsl_clock.h']]], - ['kclock_5fcrc',['kCLOCK_Crc',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946daa1cfbe8497997fc6dff0d7f0c070aa5a',1,'fsl_clock.h']]], - ['kclock_5fctimer0',['kCLOCK_Ctimer0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946dad2e9ebc3825a65fae3c13efbc805a128',1,'fsl_clock.h']]], - ['kclock_5fdac',['kCLOCK_Dac',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da32dc8a8723c16dbf0af95927f54a4dcc',1,'fsl_clock.h']]], - ['kclock_5fdivadcclk',['kCLOCK_DivAdcClk',['../a00079.html#ggac344a8334a482bcbcbdf0c4828db872ca7b3998fdf96eb884e35ff56f40feffbd',1,'fsl_clock.h']]], - ['kclock_5fdivahbclk',['kCLOCK_DivAhbClk',['../a00079.html#ggac344a8334a482bcbcbdf0c4828db872caf4f25357ef9794d7d72417977d27ffb2',1,'fsl_clock.h']]], - ['kclock_5fdivclkout',['kCLOCK_DivClkOut',['../a00079.html#ggac344a8334a482bcbcbdf0c4828db872caa7236a2c00237ca7ee5b2ff55058ded6',1,'fsl_clock.h']]], - ['kclock_5fextclk',['kCLOCK_ExtClk',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a30e6333c132740b84bf2ce94b8c44a8f',1,'fsl_clock.h']]], - ['kclock_5fflash',['kCLOCK_Flash',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da00b88c0e9bb08d8b622140c46416d4b9',1,'fsl_clock.h']]], - ['kclock_5ffrg0',['kCLOCK_Frg0',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3aa27972710b7df62d66918584843aee06',1,'fsl_clock.h']]], - ['kclock_5ffro',['kCLOCK_Fro',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01a946b874db04d12aba4c3bbe461580',1,'fsl_clock.h']]], - ['kclock_5ffrodiv',['kCLOCK_FroDiv',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01d0c099adda9e435281c887b12095a8',1,'fsl_clock.h']]], - ['kclock_5ffrooscout18m',['kCLOCK_FroOscOut18M',['../a00079.html#gga85bf7440c0d77269e3db764083110334a60f89d729c96295d1aaa741a412d58ab',1,'fsl_clock.h']]], - ['kclock_5ffrooscout24m',['kCLOCK_FroOscOut24M',['../a00079.html#gga85bf7440c0d77269e3db764083110334a01135115df0c0b0525f2b1391ee9d9ca',1,'fsl_clock.h']]], - ['kclock_5ffrooscout30m',['kCLOCK_FroOscOut30M',['../a00079.html#gga85bf7440c0d77269e3db764083110334a29c4fdcb48fba40928b278765d0e3843',1,'fsl_clock.h']]], - ['kclock_5fgpio0',['kCLOCK_Gpio0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da9ec19029dc1ad34cb7ae0091e97b7f7d',1,'fsl_clock.h']]], - ['kclock_5fgpioint',['kCLOCK_GpioInt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da3922459ccb3f2fd90ac39813c0dc39f0',1,'fsl_clock.h']]], - ['kclock_5fi2c0',['kCLOCK_I2c0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946dac6e6ee6e9f7b28e5c3818324c852b05f',1,'fsl_clock.h']]], - ['kclock_5fi2c1',['kCLOCK_I2c1',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da689e957d82ca723a1a0cc671ba216bf5',1,'fsl_clock.h']]], - ['kclock_5fiocon',['kCLOCK_Iocon',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da909ccfe84f4275dd7b6ba09235583230',1,'fsl_clock.h']]], - ['kclock_5fipinvalid',['kCLOCK_IpInvalid',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da11dd047399d3cf7893682897e34682de',1,'fsl_clock.h']]], - ['kclock_5flposc',['kCLOCK_LPOsc',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3aab25a81f1aefcd33b6de1e10e3169c41',1,'fsl_clock.h']]], - ['kclock_5fmainclk',['kCLOCK_MainClk',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a86d48329b3492ab94a5da3925c73622f',1,'fsl_clock.h']]], - ['kclock_5fmainclksrcextclk',['kCLOCK_MainClkSrcExtClk',['../a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a85dbf0a96c672abcfbc08dfc231088d6',1,'fsl_clock.h']]], - ['kclock_5fmainclksrcfro',['kCLOCK_MainClkSrcFro',['../a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a0aecd9451c5bf4525fe17a7b4734f58f',1,'fsl_clock.h']]], - ['kclock_5fmainclksrcfrodiv',['kCLOCK_MainClkSrcFroDiv',['../a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4afa92bbf8fcfb74ceea172667409f7643',1,'fsl_clock.h']]], - ['kclock_5fmainclksrclposc',['kCLOCK_MainClkSrcLPOsc',['../a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4acb9810ab5676cca7da756b2c28205c12',1,'fsl_clock.h']]], - ['kclock_5fmrt',['kCLOCK_Mrt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946daace73b709cda5c34ba5bdf6888605f1f',1,'fsl_clock.h']]], - ['kclock_5fplu',['kCLOCK_PLU',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da9facf6722503308f657e1d1da679065a',1,'fsl_clock.h']]], - ['kclock_5fram0',['kCLOCK_Ram0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da89556150c0329c54c4b6150030e416ff',1,'fsl_clock.h']]], - ['kclock_5from',['kCLOCK_Rom',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da1b9f348e8d5bc1715af7366be3ca87c7',1,'fsl_clock.h']]], - ['kclock_5fspi0',['kCLOCK_Spi0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946dac6997ec12e66b5e4fad9aa52ef6a2db0',1,'fsl_clock.h']]], - ['kclock_5fswm',['kCLOCK_Swm',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da63bcbbef2627c667b204eae91c3a0718',1,'fsl_clock.h']]], - ['kclock_5fsys',['kCLOCK_Sys',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da0a7890c63167a690ed5199ec17d46670',1,'fsl_clock.h']]], - ['kclock_5fuart0',['kCLOCK_Uart0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da26876b4bdecc15c46c379a289441b3c6',1,'fsl_clock.h']]], - ['kclock_5fuart1',['kCLOCK_Uart1',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da1d4898f8634e2f18e6a154e2b1a4120e',1,'fsl_clock.h']]], - ['kclock_5fwkt',['kCLOCK_Wkt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946dad386313192805ba225b0da24e078d387',1,'fsl_clock.h']]], - ['kclock_5fwwdt',['kCLOCK_Wwdt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946daf1e8b91e80a3a9a4db038c0b4cf7e381',1,'fsl_clock.h']]], - ['kcrc_5fpolynomial_5fcrc_5f16',['kCRC_Polynomial_CRC_16',['../a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da4a13840f3031b3aed89f60ba37a34c8b',1,'fsl_crc.h']]], - ['kcrc_5fpolynomial_5fcrc_5f32',['kCRC_Polynomial_CRC_32',['../a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da12ca2ee766202eb962135c76c00b10aa',1,'fsl_crc.h']]], - ['kcrc_5fpolynomial_5fcrc_5fccitt',['kCRC_Polynomial_CRC_CCITT',['../a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da9ef1c3d85d4f13199e7df63d170bf6f6',1,'fsl_crc.h']]], - ['kcrc_5frst_5fshift_5frstn',['kCRC_RST_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a194baa96cd93ef108f2724fa98352387',1,'fsl_reset.h']]], - ['kctimer0_5frst_5fn_5fshift_5frstn',['kCTIMER0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a900b1deecf2f2316b30e40ff81330499',1,'fsl_reset.h']]], - ['kctimer_5fcapture0flag',['kCTIMER_Capture0Flag',['../a00012.html#ggae64285eb2e52bf5cc2b723870392ff60a5504b0ec94b832a46824ec297a106df1',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture0interruptenable',['kCTIMER_Capture0InterruptEnable',['../a00012.html#gga0971c614f932bcf55994bf6c92325eb2a5fe0ea705babd6351564e59c8db8e928',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture1flag',['kCTIMER_Capture1Flag',['../a00012.html#ggae64285eb2e52bf5cc2b723870392ff60af3a0db73d48116ea419af737c706b30b',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture1interruptenable',['kCTIMER_Capture1InterruptEnable',['../a00012.html#gga0971c614f932bcf55994bf6c92325eb2a5d70800d3cc33733d42f525242edbbf4',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture2flag',['kCTIMER_Capture2Flag',['../a00012.html#ggae64285eb2e52bf5cc2b723870392ff60aaf0224295d4f330d0d61d10ab30f38ea',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture2interruptenable',['kCTIMER_Capture2InterruptEnable',['../a00012.html#gga0971c614f932bcf55994bf6c92325eb2a68a56db3574cd0ea3bb783c7d13f0894',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture_5f0',['kCTIMER_Capture_0',['../a00012.html#gga2fbf5cfa219c31ac16f3786d6897dc3faa13dee3bb29b8962385da2ac6d8dc938',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture_5f1',['kCTIMER_Capture_1',['../a00012.html#gga2fbf5cfa219c31ac16f3786d6897dc3fac4fcf23f15faa447489ef7ccc4d6cb25',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture_5f2',['kCTIMER_Capture_2',['../a00012.html#gga2fbf5cfa219c31ac16f3786d6897dc3fa9d6d30f6a5498d009cd87fafee3bccd5',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture_5fbothedge',['kCTIMER_Capture_BothEdge',['../a00012.html#ggac37706bc06bc7590ce1e3d1b4bf73638ab73ca62f50120abc4662c750925e9b5a',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture_5ffalledge',['kCTIMER_Capture_FallEdge',['../a00012.html#ggac37706bc06bc7590ce1e3d1b4bf73638a18a0af674c980ebbdfd0413dcfa3f3c0',1,'fsl_ctimer.h']]], - ['kctimer_5fcapture_5friseedge',['kCTIMER_Capture_RiseEdge',['../a00012.html#ggac37706bc06bc7590ce1e3d1b4bf73638ac8297b7a4be687e63200fc22be1d2f02',1,'fsl_ctimer.h']]], - ['kctimer_5fexternal_5fmatch_5f0',['kCTIMER_External_Match_0',['../a00012.html#gga09f5eb858c001377062fe0b8a0d5bd29a42b2f4d5cb9a524f87f6626169155207',1,'fsl_ctimer.h']]], - ['kctimer_5fexternal_5fmatch_5f1',['kCTIMER_External_Match_1',['../a00012.html#gga09f5eb858c001377062fe0b8a0d5bd29a90643d7c03749f64e0d20cfcf55a80ed',1,'fsl_ctimer.h']]], - ['kctimer_5fexternal_5fmatch_5f2',['kCTIMER_External_Match_2',['../a00012.html#gga09f5eb858c001377062fe0b8a0d5bd29ab4602750f83eba0e5cebd0f2ed91a3c4',1,'fsl_ctimer.h']]], - ['kctimer_5fexternal_5fmatch_5f3',['kCTIMER_External_Match_3',['../a00012.html#gga09f5eb858c001377062fe0b8a0d5bd29aba7194efe10386e467b082fc0b847031',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch0flag',['kCTIMER_Match0Flag',['../a00012.html#ggae64285eb2e52bf5cc2b723870392ff60ad76fd4735e0604307b50d2fd12cba3c0',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch0interruptenable',['kCTIMER_Match0InterruptEnable',['../a00012.html#gga0971c614f932bcf55994bf6c92325eb2a05694ae09ff514b7237388b33acaaa99',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch1flag',['kCTIMER_Match1Flag',['../a00012.html#ggae64285eb2e52bf5cc2b723870392ff60a901042ca905603329df3cf1d316a2e53',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch1interruptenable',['kCTIMER_Match1InterruptEnable',['../a00012.html#gga0971c614f932bcf55994bf6c92325eb2a47f020e940359f6f08c5c8171d2942b9',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch2flag',['kCTIMER_Match2Flag',['../a00012.html#ggae64285eb2e52bf5cc2b723870392ff60af5a6c5ee43a01803e82c26181dedfa97',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch2interruptenable',['kCTIMER_Match2InterruptEnable',['../a00012.html#gga0971c614f932bcf55994bf6c92325eb2ab15c129ca07d334ae13d77e0646c7287',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch3flag',['kCTIMER_Match3Flag',['../a00012.html#ggae64285eb2e52bf5cc2b723870392ff60a02e58518795f05162313b509a600a3d3',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch3interruptenable',['kCTIMER_Match3InterruptEnable',['../a00012.html#gga0971c614f932bcf55994bf6c92325eb2a1f67c8c1e8039ca326e9f25fabffdd0d',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch_5f0',['kCTIMER_Match_0',['../a00012.html#ggae60f7d34c9e499abba96e5979ee1818dac06fa7bc66bbb978bc14348fc0cb4633',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch_5f1',['kCTIMER_Match_1',['../a00012.html#ggae60f7d34c9e499abba96e5979ee1818da38a7356dba8d8a5a8877e9de9fde2faf',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch_5f2',['kCTIMER_Match_2',['../a00012.html#ggae60f7d34c9e499abba96e5979ee1818da98b35c6f057b201073c8afa4bdce60ed',1,'fsl_ctimer.h']]], - ['kctimer_5fmatch_5f3',['kCTIMER_Match_3',['../a00012.html#ggae60f7d34c9e499abba96e5979ee1818da6acca2e2ccd6cc6d08a3d958e47c80fb',1,'fsl_ctimer.h']]], + ['kcapt_5fyhporttriggermode',['kCAPT_YHPortTriggerMode',['../a00010.html#ggae36ac2d48fdabc6713754765cb654bd2aba5349742fd4b19f8a7ccc0bdc9cb514',1,'fsl_capt.h']]], + ['kclkout_5ffrom_5fextclk',['kCLKOUT_From_ExtClk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a8671f2c92f6e049ed8b2f3b7a5b9b296',1,'fsl_clock.h']]], + ['kclkout_5ffrom_5ffro',['kCLKOUT_From_Fro',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85af2ea89b865003dc40f8923f67d7bb366',1,'fsl_clock.h']]], + ['kclkout_5ffrom_5flposc',['kCLKOUT_From_Lposc',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a34737a1ca71167db472e07d6d9bd4b39',1,'fsl_clock.h']]], + ['kclkout_5ffrom_5fmainclk',['kCLKOUT_From_MainClk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a20d47beaa61ee59b61c34fa4f7e30d21',1,'fsl_clock.h']]], + ['kclock_5facmp',['kCLOCK_Acmp',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda5c44f9347603d8c7fd8eda74e3b3e40f',1,'fsl_clock.h']]], + ['kclock_5fadc',['kCLOCK_Adc',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda2bc16e3ee343b4abfc46ae9506461e97',1,'fsl_clock.h']]], + ['kclock_5fcapt',['kCLOCK_Capt',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda0ffae7aa0d9dbc52524beb5f82ced038',1,'fsl_clock.h']]], + ['kclock_5fcoresysclk',['kCLOCK_CoreSysClk',['../a00079.html#gga10eb499a97d1bd20020841220bb5de74a1de4a40f5399eb025d5faefda7491918',1,'fsl_clock.h']]], + ['kclock_5fcrc',['kCLOCK_Crc',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdaa1cfbe8497997fc6dff0d7f0c070aa5a',1,'fsl_clock.h']]], + ['kclock_5fctimer0',['kCLOCK_Ctimer0',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdad2e9ebc3825a65fae3c13efbc805a128',1,'fsl_clock.h']]], + ['kclock_5fdac',['kCLOCK_Dac',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda32dc8a8723c16dbf0af95927f54a4dcc',1,'fsl_clock.h']]], + ['kclock_5fdivadcclk',['kCLOCK_DivAdcClk',['../a00079.html#ggaa690e42cbb0b0bc43944d28dfb89b9baa7b3998fdf96eb884e35ff56f40feffbd',1,'fsl_clock.h']]], + ['kclock_5fdivahbclk',['kCLOCK_DivAhbClk',['../a00079.html#ggaa690e42cbb0b0bc43944d28dfb89b9baaf4f25357ef9794d7d72417977d27ffb2',1,'fsl_clock.h']]], + ['kclock_5fdivclkout',['kCLOCK_DivClkOut',['../a00079.html#ggaa690e42cbb0b0bc43944d28dfb89b9baaa7236a2c00237ca7ee5b2ff55058ded6',1,'fsl_clock.h']]], + ['kclock_5fextclk',['kCLOCK_ExtClk',['../a00079.html#gga10eb499a97d1bd20020841220bb5de74a30e6333c132740b84bf2ce94b8c44a8f',1,'fsl_clock.h']]], + ['kclock_5fflash',['kCLOCK_Flash',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda00b88c0e9bb08d8b622140c46416d4b9',1,'fsl_clock.h']]], + ['kclock_5ffrg0',['kCLOCK_Frg0',['../a00079.html#gga10eb499a97d1bd20020841220bb5de74aa27972710b7df62d66918584843aee06',1,'fsl_clock.h']]], + ['kclock_5ffro',['kCLOCK_Fro',['../a00079.html#gga10eb499a97d1bd20020841220bb5de74a01a946b874db04d12aba4c3bbe461580',1,'fsl_clock.h']]], + ['kclock_5ffrodiv',['kCLOCK_FroDiv',['../a00079.html#gga10eb499a97d1bd20020841220bb5de74a01d0c099adda9e435281c887b12095a8',1,'fsl_clock.h']]], + ['kclock_5ffrooscout18m',['kCLOCK_FroOscOut18M',['../a00079.html#ggabc4db77825e6eebefc0b80a4e5b9bdc7a60f89d729c96295d1aaa741a412d58ab',1,'fsl_clock.h']]], + ['kclock_5ffrooscout24m',['kCLOCK_FroOscOut24M',['../a00079.html#ggabc4db77825e6eebefc0b80a4e5b9bdc7a01135115df0c0b0525f2b1391ee9d9ca',1,'fsl_clock.h']]], + ['kclock_5ffrooscout30m',['kCLOCK_FroOscOut30M',['../a00079.html#ggabc4db77825e6eebefc0b80a4e5b9bdc7a29c4fdcb48fba40928b278765d0e3843',1,'fsl_clock.h']]], + ['kclock_5fgpio0',['kCLOCK_Gpio0',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda9ec19029dc1ad34cb7ae0091e97b7f7d',1,'fsl_clock.h']]], + ['kclock_5fgpioint',['kCLOCK_GpioInt',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda3922459ccb3f2fd90ac39813c0dc39f0',1,'fsl_clock.h']]], + ['kclock_5fi2c0',['kCLOCK_I2c0',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdac6e6ee6e9f7b28e5c3818324c852b05f',1,'fsl_clock.h']]], + ['kclock_5fi2c1',['kCLOCK_I2c1',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda689e957d82ca723a1a0cc671ba216bf5',1,'fsl_clock.h']]], + ['kclock_5fiocon',['kCLOCK_Iocon',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda909ccfe84f4275dd7b6ba09235583230',1,'fsl_clock.h']]], + ['kclock_5fipinvalid',['kCLOCK_IpInvalid',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda11dd047399d3cf7893682897e34682de',1,'fsl_clock.h']]], + ['kclock_5flposc',['kCLOCK_LPOsc',['../a00079.html#gga10eb499a97d1bd20020841220bb5de74aab25a81f1aefcd33b6de1e10e3169c41',1,'fsl_clock.h']]], + ['kclock_5fmainclk',['kCLOCK_MainClk',['../a00079.html#gga10eb499a97d1bd20020841220bb5de74a86d48329b3492ab94a5da3925c73622f',1,'fsl_clock.h']]], + ['kclock_5fmainclksrcextclk',['kCLOCK_MainClkSrcExtClk',['../a00079.html#gga87881cb2f1147cca2f3b2b1f718565f5a85dbf0a96c672abcfbc08dfc231088d6',1,'fsl_clock.h']]], + ['kclock_5fmainclksrcfro',['kCLOCK_MainClkSrcFro',['../a00079.html#gga87881cb2f1147cca2f3b2b1f718565f5a0aecd9451c5bf4525fe17a7b4734f58f',1,'fsl_clock.h']]], + ['kclock_5fmainclksrcfrodiv',['kCLOCK_MainClkSrcFroDiv',['../a00079.html#gga87881cb2f1147cca2f3b2b1f718565f5afa92bbf8fcfb74ceea172667409f7643',1,'fsl_clock.h']]], + ['kclock_5fmainclksrclposc',['kCLOCK_MainClkSrcLPOsc',['../a00079.html#gga87881cb2f1147cca2f3b2b1f718565f5acb9810ab5676cca7da756b2c28205c12',1,'fsl_clock.h']]], + ['kclock_5fmrt',['kCLOCK_Mrt',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdaace73b709cda5c34ba5bdf6888605f1f',1,'fsl_clock.h']]], + ['kclock_5fplu',['kCLOCK_PLU',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda9facf6722503308f657e1d1da679065a',1,'fsl_clock.h']]], + ['kclock_5fram0',['kCLOCK_Ram0',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda89556150c0329c54c4b6150030e416ff',1,'fsl_clock.h']]], + ['kclock_5from',['kCLOCK_Rom',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda1b9f348e8d5bc1715af7366be3ca87c7',1,'fsl_clock.h']]], + ['kclock_5fspi0',['kCLOCK_Spi0',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdac6997ec12e66b5e4fad9aa52ef6a2db0',1,'fsl_clock.h']]], + ['kclock_5fswm',['kCLOCK_Swm',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda63bcbbef2627c667b204eae91c3a0718',1,'fsl_clock.h']]], + ['kclock_5fsys',['kCLOCK_Sys',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda0a7890c63167a690ed5199ec17d46670',1,'fsl_clock.h']]], + ['kclock_5fuart0',['kCLOCK_Uart0',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda26876b4bdecc15c46c379a289441b3c6',1,'fsl_clock.h']]], + ['kclock_5fuart1',['kCLOCK_Uart1',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cda1d4898f8634e2f18e6a154e2b1a4120e',1,'fsl_clock.h']]], + ['kclock_5fwkt',['kCLOCK_Wkt',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdad386313192805ba225b0da24e078d387',1,'fsl_clock.h']]], + ['kclock_5fwwdt',['kCLOCK_Wwdt',['../a00079.html#gga0d01cff2c331cdc2703b798930b1c9cdaf1e8b91e80a3a9a4db038c0b4cf7e381',1,'fsl_clock.h']]], + ['kcrc_5fpolynomial_5fcrc_5f16',['kCRC_Polynomial_CRC_16',['../a00011.html#ggac9e3abdb739c7842a6f26505b418540da4a13840f3031b3aed89f60ba37a34c8b',1,'fsl_crc.h']]], + ['kcrc_5fpolynomial_5fcrc_5f32',['kCRC_Polynomial_CRC_32',['../a00011.html#ggac9e3abdb739c7842a6f26505b418540da12ca2ee766202eb962135c76c00b10aa',1,'fsl_crc.h']]], + ['kcrc_5fpolynomial_5fcrc_5fccitt',['kCRC_Polynomial_CRC_CCITT',['../a00011.html#ggac9e3abdb739c7842a6f26505b418540da9ef1c3d85d4f13199e7df63d170bf6f6',1,'fsl_crc.h']]], + ['kcrc_5frst_5fshift_5frstn',['kCRC_RST_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a194baa96cd93ef108f2724fa98352387',1,'fsl_reset.h']]], + ['kctimer0_5frst_5fn_5fshift_5frstn',['kCTIMER0_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a900b1deecf2f2316b30e40ff81330499',1,'fsl_reset.h']]], + ['kctimer_5fcapture0flag',['kCTIMER_Capture0Flag',['../a00012.html#ggafb9f972964325723b7f3097e89f67f14a5504b0ec94b832a46824ec297a106df1',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture0interruptenable',['kCTIMER_Capture0InterruptEnable',['../a00012.html#ggac328f6ccbe026c29679fda455577ebc8a5fe0ea705babd6351564e59c8db8e928',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture1flag',['kCTIMER_Capture1Flag',['../a00012.html#ggafb9f972964325723b7f3097e89f67f14af3a0db73d48116ea419af737c706b30b',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture1interruptenable',['kCTIMER_Capture1InterruptEnable',['../a00012.html#ggac328f6ccbe026c29679fda455577ebc8a5d70800d3cc33733d42f525242edbbf4',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture2flag',['kCTIMER_Capture2Flag',['../a00012.html#ggafb9f972964325723b7f3097e89f67f14aaf0224295d4f330d0d61d10ab30f38ea',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture2interruptenable',['kCTIMER_Capture2InterruptEnable',['../a00012.html#ggac328f6ccbe026c29679fda455577ebc8a68a56db3574cd0ea3bb783c7d13f0894',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture_5f0',['kCTIMER_Capture_0',['../a00012.html#ggadb9b8699537a63ff6520274fd3d2fdedaa13dee3bb29b8962385da2ac6d8dc938',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture_5f1',['kCTIMER_Capture_1',['../a00012.html#ggadb9b8699537a63ff6520274fd3d2fdedac4fcf23f15faa447489ef7ccc4d6cb25',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture_5f2',['kCTIMER_Capture_2',['../a00012.html#ggadb9b8699537a63ff6520274fd3d2fdeda9d6d30f6a5498d009cd87fafee3bccd5',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture_5fbothedge',['kCTIMER_Capture_BothEdge',['../a00012.html#gga345fca07290119fa13234da1b38a6106ab73ca62f50120abc4662c750925e9b5a',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture_5ffalledge',['kCTIMER_Capture_FallEdge',['../a00012.html#gga345fca07290119fa13234da1b38a6106a18a0af674c980ebbdfd0413dcfa3f3c0',1,'fsl_ctimer.h']]], + ['kctimer_5fcapture_5friseedge',['kCTIMER_Capture_RiseEdge',['../a00012.html#gga345fca07290119fa13234da1b38a6106ac8297b7a4be687e63200fc22be1d2f02',1,'fsl_ctimer.h']]], + ['kctimer_5fexternal_5fmatch_5f0',['kCTIMER_External_Match_0',['../a00012.html#ggab4782e716d63a0496aa9a80aaef07863a42b2f4d5cb9a524f87f6626169155207',1,'fsl_ctimer.h']]], + ['kctimer_5fexternal_5fmatch_5f1',['kCTIMER_External_Match_1',['../a00012.html#ggab4782e716d63a0496aa9a80aaef07863a90643d7c03749f64e0d20cfcf55a80ed',1,'fsl_ctimer.h']]], + ['kctimer_5fexternal_5fmatch_5f2',['kCTIMER_External_Match_2',['../a00012.html#ggab4782e716d63a0496aa9a80aaef07863ab4602750f83eba0e5cebd0f2ed91a3c4',1,'fsl_ctimer.h']]], + ['kctimer_5fexternal_5fmatch_5f3',['kCTIMER_External_Match_3',['../a00012.html#ggab4782e716d63a0496aa9a80aaef07863aba7194efe10386e467b082fc0b847031',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch0flag',['kCTIMER_Match0Flag',['../a00012.html#ggafb9f972964325723b7f3097e89f67f14ad76fd4735e0604307b50d2fd12cba3c0',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch0interruptenable',['kCTIMER_Match0InterruptEnable',['../a00012.html#ggac328f6ccbe026c29679fda455577ebc8a05694ae09ff514b7237388b33acaaa99',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch1flag',['kCTIMER_Match1Flag',['../a00012.html#ggafb9f972964325723b7f3097e89f67f14a901042ca905603329df3cf1d316a2e53',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch1interruptenable',['kCTIMER_Match1InterruptEnable',['../a00012.html#ggac328f6ccbe026c29679fda455577ebc8a47f020e940359f6f08c5c8171d2942b9',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch2flag',['kCTIMER_Match2Flag',['../a00012.html#ggafb9f972964325723b7f3097e89f67f14af5a6c5ee43a01803e82c26181dedfa97',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch2interruptenable',['kCTIMER_Match2InterruptEnable',['../a00012.html#ggac328f6ccbe026c29679fda455577ebc8ab15c129ca07d334ae13d77e0646c7287',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch3flag',['kCTIMER_Match3Flag',['../a00012.html#ggafb9f972964325723b7f3097e89f67f14a02e58518795f05162313b509a600a3d3',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch3interruptenable',['kCTIMER_Match3InterruptEnable',['../a00012.html#ggac328f6ccbe026c29679fda455577ebc8a1f67c8c1e8039ca326e9f25fabffdd0d',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch_5f0',['kCTIMER_Match_0',['../a00012.html#gga631867452d444e8d84ab4bc24570359bac06fa7bc66bbb978bc14348fc0cb4633',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch_5f1',['kCTIMER_Match_1',['../a00012.html#gga631867452d444e8d84ab4bc24570359ba38a7356dba8d8a5a8877e9de9fde2faf',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch_5f2',['kCTIMER_Match_2',['../a00012.html#gga631867452d444e8d84ab4bc24570359ba98b35c6f057b201073c8afa4bdce60ed',1,'fsl_ctimer.h']]], + ['kctimer_5fmatch_5f3',['kCTIMER_Match_3',['../a00012.html#gga631867452d444e8d84ab4bc24570359ba6acca2e2ccd6cc6d08a3d958e47c80fb',1,'fsl_ctimer.h']]], ['kctimer_5fmultiplecallback',['kCTIMER_MultipleCallback',['../a00012.html#gga740677adee6ada817bb45ed62607c3c4a38d629ce598178fd96f48660e63ae1e7',1,'fsl_ctimer.h']]], - ['kctimer_5foutput_5fclear',['kCTIMER_Output_Clear',['../a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143ca2086fd6712693ac8f0758553ff7bb3d3',1,'fsl_ctimer.h']]], - ['kctimer_5foutput_5fnoaction',['kCTIMER_Output_NoAction',['../a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143cac387e950c7d8c19c1464dd27e5c7396f',1,'fsl_ctimer.h']]], - ['kctimer_5foutput_5fset',['kCTIMER_Output_Set',['../a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143cae1b0b02fe1e0af81f62fb40ff8339b8f',1,'fsl_ctimer.h']]], - ['kctimer_5foutput_5ftoggle',['kCTIMER_Output_Toggle',['../a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143ca4ad940f7bea1dbae09b156ec0415f5f2',1,'fsl_ctimer.h']]], + ['kctimer_5foutput_5fclear',['kCTIMER_Output_Clear',['../a00012.html#ggaf605923833c7eff925d35f1f8eb9011ca2086fd6712693ac8f0758553ff7bb3d3',1,'fsl_ctimer.h']]], + ['kctimer_5foutput_5fnoaction',['kCTIMER_Output_NoAction',['../a00012.html#ggaf605923833c7eff925d35f1f8eb9011cac387e950c7d8c19c1464dd27e5c7396f',1,'fsl_ctimer.h']]], + ['kctimer_5foutput_5fset',['kCTIMER_Output_Set',['../a00012.html#ggaf605923833c7eff925d35f1f8eb9011cae1b0b02fe1e0af81f62fb40ff8339b8f',1,'fsl_ctimer.h']]], + ['kctimer_5foutput_5ftoggle',['kCTIMER_Output_Toggle',['../a00012.html#ggaf605923833c7eff925d35f1f8eb9011ca4ad940f7bea1dbae09b156ec0415f5f2',1,'fsl_ctimer.h']]], ['kctimer_5fsinglecallback',['kCTIMER_SingleCallback',['../a00012.html#gga740677adee6ada817bb45ed62607c3c4af805d881e4dc37e339a8434c1816f930',1,'fsl_ctimer.h']]], - ['kdac0_5frst_5fn_5fshift_5frstn',['kDAC0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a25d5f742c3cbcf85a4e9c388c5c9e708',1,'fsl_reset.h']]], - ['kdac_5fsettlingtimeis1us',['kDAC_SettlingTimeIs1us',['../a00013.html#gga82eecb700b3afeda8b95a6151d8904fca302776aaf6d8780d9b57e9f910615d6f',1,'fsl_dac.h']]], - ['kdac_5fsettlingtimeis25us',['kDAC_SettlingTimeIs25us',['../a00013.html#gga82eecb700b3afeda8b95a6151d8904fcad460e5e0da52ad16bf771892ebfce1f8',1,'fsl_dac.h']]], + ['kdac0_5frst_5fn_5fshift_5frstn',['kDAC0_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a25d5f742c3cbcf85a4e9c388c5c9e708',1,'fsl_reset.h']]], + ['kdac_5fsettlingtimeis1us',['kDAC_SettlingTimeIs1us',['../a00013.html#ggaf0a17d3b5229418c020d333b7c88f2f8a302776aaf6d8780d9b57e9f910615d6f',1,'fsl_dac.h']]], + ['kdac_5fsettlingtimeis25us',['kDAC_SettlingTimeIs25us',['../a00013.html#ggaf0a17d3b5229418c020d333b7c88f2f8ad460e5e0da52ad16bf771892ebfce1f8',1,'fsl_dac.h']]], ['kflash_5fiap_5fthreesystemclocktime',['kFlash_IAP_ThreeSystemClockTime',['../a00083.html#gga0efb897bafe816a8a87d92045a78ee9ba14466fd6ca33233907a499072ed0ea04',1,'fsl_iap.h']]], ['kflash_5fiap_5ftwosystemclocktime',['kFlash_IAP_TwoSystemClockTime',['../a00083.html#gga0efb897bafe816a8a87d92045a78ee9ba0576e02942748ad3a4b1bb0904267ad4',1,'fsl_iap.h']]], - ['kflash_5frst_5fn_5fshift_5frstn',['kFLASH_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ac1601058bee0888d89675b528432a496',1,'fsl_reset.h']]], - ['kfrg0_5fclk_5ffrom_5ffro',['kFRG0_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58afa871e16cf926d8a08dce1a52f418066',1,'fsl_clock.h']]], - ['kfrg0_5fclk_5ffrom_5fmainclk',['kFRG0_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a1fe8170ca9a5fcee3e0b3fa1453eb481',1,'fsl_clock.h']]], - ['kfrg0_5frst_5fn_5fshift_5frstn',['kFRG0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a7a5b1b185218feb35ce91ce8f77b2106',1,'fsl_reset.h']]], - ['kgpio0_5frst_5fn_5fshift_5frstn',['kGPIO0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a244e5cce727a529563a83a1a78f8b3f1',1,'fsl_reset.h']]], - ['kgpio_5fdigitalinput',['kGPIO_DigitalInput',['../a00014.html#ggada41ca0a2ce239fe125ee96833e715c0abacf19933be1940ab40c83535e6a46d4',1,'fsl_gpio.h']]], - ['kgpio_5fdigitaloutput',['kGPIO_DigitalOutput',['../a00014.html#ggada41ca0a2ce239fe125ee96833e715c0a509ebcd228fc813cf4afcacd258680f9',1,'fsl_gpio.h']]], - ['kgpioint_5frst_5fn_5fshift_5frstn',['kGPIOINT_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a20fd1ac8739a143dddb40a6349a758a6',1,'fsl_reset.h']]], - ['ki2c0_5fclk_5ffrom_5ffrg0clk',['kI2C0_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a92f67286aebd8e0fde633cf4b3bd8b57',1,'fsl_clock.h']]], - ['ki2c0_5fclk_5ffrom_5ffro',['kI2C0_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ab8065d6f5e9b16e9410feccef7f1c10b',1,'fsl_clock.h']]], - ['ki2c0_5fclk_5ffrom_5ffro_5fdiv',['kI2C0_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a9fe34f41e6cfa3cd3a0ef15ba9184bb1',1,'fsl_clock.h']]], - ['ki2c0_5fclk_5ffrom_5fmainclk',['kI2C0_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ab434281b6471a116a444172bf8a7bf91',1,'fsl_clock.h']]], - ['ki2c0_5frst_5fn_5fshift_5frstn',['kI2C0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770aee6d4f65797043b10be7d4a7ae95d206',1,'fsl_reset.h']]], - ['ki2c1_5fclk_5ffrom_5ffrg0clk',['kI2C1_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ac891ded4c265bfab790d733acbacb753',1,'fsl_clock.h']]], - ['ki2c1_5fclk_5ffrom_5ffro',['kI2C1_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ae19e4e49559079c2f95f998c37029642',1,'fsl_clock.h']]], - ['ki2c1_5fclk_5ffrom_5ffro_5fdiv',['kI2C1_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a8670e7d6a9f2afb045fbf54dc13c4bb2',1,'fsl_clock.h']]], - ['ki2c1_5fclk_5ffrom_5fmainclk',['kI2C1_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a5cff4ddf26d639e435ce79fe5e83d866',1,'fsl_clock.h']]], - ['ki2c1_5frst_5fn_5fshift_5frstn',['kI2C1_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ad1ef18ed84027fdc4d41567ae894ea10',1,'fsl_reset.h']]], + ['kflash_5frst_5fn_5fshift_5frstn',['kFLASH_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ac1601058bee0888d89675b528432a496',1,'fsl_reset.h']]], + ['kfrg0_5fclk_5ffrom_5ffro',['kFRG0_Clk_From_Fro',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85afa871e16cf926d8a08dce1a52f418066',1,'fsl_clock.h']]], + ['kfrg0_5fclk_5ffrom_5fmainclk',['kFRG0_Clk_From_MainClk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a1fe8170ca9a5fcee3e0b3fa1453eb481',1,'fsl_clock.h']]], + ['kfrg0_5frst_5fn_5fshift_5frstn',['kFRG0_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a7a5b1b185218feb35ce91ce8f77b2106',1,'fsl_reset.h']]], + ['kgpio0_5frst_5fn_5fshift_5frstn',['kGPIO0_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a244e5cce727a529563a83a1a78f8b3f1',1,'fsl_reset.h']]], + ['kgpio_5fdigitalinput',['kGPIO_DigitalInput',['../a00014.html#gga0df4be96fa56f3bdd7bfa048fdaacd6babacf19933be1940ab40c83535e6a46d4',1,'fsl_gpio.h']]], + ['kgpio_5fdigitaloutput',['kGPIO_DigitalOutput',['../a00014.html#gga0df4be96fa56f3bdd7bfa048fdaacd6ba509ebcd228fc813cf4afcacd258680f9',1,'fsl_gpio.h']]], + ['kgpioint_5frst_5fn_5fshift_5frstn',['kGPIOINT_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a20fd1ac8739a143dddb40a6349a758a6',1,'fsl_reset.h']]], + ['ki2c0_5fclk_5ffrom_5ffrg0clk',['kI2C0_Clk_From_Frg0Clk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a92f67286aebd8e0fde633cf4b3bd8b57',1,'fsl_clock.h']]], + ['ki2c0_5fclk_5ffrom_5ffro',['kI2C0_Clk_From_Fro',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ab8065d6f5e9b16e9410feccef7f1c10b',1,'fsl_clock.h']]], + ['ki2c0_5fclk_5ffrom_5ffro_5fdiv',['kI2C0_Clk_From_Fro_Div',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a9fe34f41e6cfa3cd3a0ef15ba9184bb1',1,'fsl_clock.h']]], + ['ki2c0_5fclk_5ffrom_5fmainclk',['kI2C0_Clk_From_MainClk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ab434281b6471a116a444172bf8a7bf91',1,'fsl_clock.h']]], + ['ki2c0_5frst_5fn_5fshift_5frstn',['kI2C0_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20aee6d4f65797043b10be7d4a7ae95d206',1,'fsl_reset.h']]], + ['ki2c1_5fclk_5ffrom_5ffrg0clk',['kI2C1_Clk_From_Frg0Clk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ac891ded4c265bfab790d733acbacb753',1,'fsl_clock.h']]], + ['ki2c1_5fclk_5ffrom_5ffro',['kI2C1_Clk_From_Fro',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ae19e4e49559079c2f95f998c37029642',1,'fsl_clock.h']]], + ['ki2c1_5fclk_5ffrom_5ffro_5fdiv',['kI2C1_Clk_From_Fro_Div',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a8670e7d6a9f2afb045fbf54dc13c4bb2',1,'fsl_clock.h']]], + ['ki2c1_5fclk_5ffrom_5fmainclk',['kI2C1_Clk_From_MainClk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a5cff4ddf26d639e435ce79fe5e83d866',1,'fsl_clock.h']]], + ['ki2c1_5frst_5fn_5fshift_5frstn',['kI2C1_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ad1ef18ed84027fdc4d41567ae894ea10',1,'fsl_reset.h']]], ['ki2c_5fmasterarbitrationlostflag',['kI2C_MasterArbitrationLostFlag',['../a00015.html#ggac938392418ba1a891983d11ef5c9f2a3a7b487779b58af99a7a8c179545f78ccd',1,'fsl_i2c.h']]], ['ki2c_5fmasterpendingflag',['kI2C_MasterPendingFlag',['../a00015.html#ggac938392418ba1a891983d11ef5c9f2a3a9cc49a1ca21e87058a7fbf733cce0e62',1,'fsl_i2c.h']]], ['ki2c_5fmasterstartstoperrorflag',['kI2C_MasterStartStopErrorFlag',['../a00015.html#ggac938392418ba1a891983d11ef5c9f2a3ab5cec1d9be7dc5fb2c106e2b87889517',1,'fsl_i2c.h']]], - ['ki2c_5fqualmodeextend',['kI2C_QualModeExtend',['../a00016.html#gga719dc02b99647eb8f08a05d4d6066c51a6b755a1d30a626380730ce947d09335f',1,'fsl_i2c.h']]], - ['ki2c_5fqualmodemask',['kI2C_QualModeMask',['../a00016.html#gga719dc02b99647eb8f08a05d4d6066c51af542f0269c83549e7b492911e281a902',1,'fsl_i2c.h']]], - ['ki2c_5fread',['kI2C_Read',['../a00015.html#ggab49c827b45635206f06e5737606e4611a3a7bb24dc8d1c1be8925603eeafe9b30',1,'fsl_i2c.h']]], + ['ki2c_5fqualmodeextend',['kI2C_QualModeExtend',['../a00016.html#ggadbb0ae033785e1ad024c953e24cc2f36a6b755a1d30a626380730ce947d09335f',1,'fsl_i2c.h']]], + ['ki2c_5fqualmodemask',['kI2C_QualModeMask',['../a00016.html#ggadbb0ae033785e1ad024c953e24cc2f36af542f0269c83549e7b492911e281a902',1,'fsl_i2c.h']]], + ['ki2c_5fread',['kI2C_Read',['../a00015.html#gga1625497320644ba123718bafdd2c1f39a3a7bb24dc8d1c1be8925603eeafe9b30',1,'fsl_i2c.h']]], ['ki2c_5fsavedeselected',['kI2C_SaveDeselected',['../a00016.html#gga419f2fc2e0684671c00ee97e962bbe0cad834aafd823863602d905d4b3d8c9430',1,'fsl_i2c.h']]], - ['ki2c_5fslaveaddressmatchevent',['kI2C_SlaveAddressMatchEvent',['../a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4acacb1ae174dd6798a6fd79800a9e39a3c6',1,'fsl_i2c.h']]], - ['ki2c_5fslaveaddressregister0',['kI2C_SlaveAddressRegister0',['../a00016.html#gga833a7311515f1a3bf5cb8da2355cc661a39e0e158674bd8a6e254a6a42b5ce50f',1,'fsl_i2c.h']]], - ['ki2c_5fslaveaddressregister1',['kI2C_SlaveAddressRegister1',['../a00016.html#gga833a7311515f1a3bf5cb8da2355cc661a42a589b5e31f29ddc41088c3fb4bfcf1',1,'fsl_i2c.h']]], - ['ki2c_5fslaveaddressregister2',['kI2C_SlaveAddressRegister2',['../a00016.html#gga833a7311515f1a3bf5cb8da2355cc661a8381dd229f545df5e6a32ee5f0aac871',1,'fsl_i2c.h']]], - ['ki2c_5fslaveaddressregister3',['kI2C_SlaveAddressRegister3',['../a00016.html#gga833a7311515f1a3bf5cb8da2355cc661a9e73a2b09fe3943d51849a7d87fbe8a3',1,'fsl_i2c.h']]], - ['ki2c_5fslaveallevents',['kI2C_SlaveAllEvents',['../a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca94b030ebdac378a84961893ae567bfbc',1,'fsl_i2c.h']]], - ['ki2c_5fslavecompletionevent',['kI2C_SlaveCompletionEvent',['../a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca38cca3cd668e73f51b8e574835d419df',1,'fsl_i2c.h']]], - ['ki2c_5fslavedeselectedevent',['kI2C_SlaveDeselectedEvent',['../a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca5e765c1c316a51908b68f1f32a7b524d',1,'fsl_i2c.h']]], + ['ki2c_5fslaveaddressmatchevent',['kI2C_SlaveAddressMatchEvent',['../a00016.html#gga87e42e170b60f17f657ef3c06a918133acb1ae174dd6798a6fd79800a9e39a3c6',1,'fsl_i2c.h']]], + ['ki2c_5fslaveaddressregister0',['kI2C_SlaveAddressRegister0',['../a00016.html#ggaa4d64624a394b298aeb74188f932406aa39e0e158674bd8a6e254a6a42b5ce50f',1,'fsl_i2c.h']]], + ['ki2c_5fslaveaddressregister1',['kI2C_SlaveAddressRegister1',['../a00016.html#ggaa4d64624a394b298aeb74188f932406aa42a589b5e31f29ddc41088c3fb4bfcf1',1,'fsl_i2c.h']]], + ['ki2c_5fslaveaddressregister2',['kI2C_SlaveAddressRegister2',['../a00016.html#ggaa4d64624a394b298aeb74188f932406aa8381dd229f545df5e6a32ee5f0aac871',1,'fsl_i2c.h']]], + ['ki2c_5fslaveaddressregister3',['kI2C_SlaveAddressRegister3',['../a00016.html#ggaa4d64624a394b298aeb74188f932406aa9e73a2b09fe3943d51849a7d87fbe8a3',1,'fsl_i2c.h']]], + ['ki2c_5fslaveallevents',['kI2C_SlaveAllEvents',['../a00016.html#gga87e42e170b60f17f657ef3c06a918133a94b030ebdac378a84961893ae567bfbc',1,'fsl_i2c.h']]], + ['ki2c_5fslavecompletionevent',['kI2C_SlaveCompletionEvent',['../a00016.html#gga87e42e170b60f17f657ef3c06a918133a38cca3cd668e73f51b8e574835d419df',1,'fsl_i2c.h']]], + ['ki2c_5fslavedeselectedevent',['kI2C_SlaveDeselectedEvent',['../a00016.html#gga87e42e170b60f17f657ef3c06a918133a5e765c1c316a51908b68f1f32a7b524d',1,'fsl_i2c.h']]], ['ki2c_5fslavenotstretching',['kI2C_SlaveNotStretching',['../a00016.html#gga419f2fc2e0684671c00ee97e962bbe0caf7ad09504bcf02f9c1177431ee44562e',1,'fsl_i2c.h']]], ['ki2c_5fslavependingflag',['kI2C_SlavePendingFlag',['../a00016.html#gga419f2fc2e0684671c00ee97e962bbe0cabd274f2defb8f0f8d2f6c2fcdd71c63c',1,'fsl_i2c.h']]], - ['ki2c_5fslavereceiveevent',['kI2C_SlaveReceiveEvent',['../a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4acaac8593e808a8137d1b5d3a51640779de',1,'fsl_i2c.h']]], + ['ki2c_5fslavereceiveevent',['kI2C_SlaveReceiveEvent',['../a00016.html#gga87e42e170b60f17f657ef3c06a918133aac8593e808a8137d1b5d3a51640779de',1,'fsl_i2c.h']]], ['ki2c_5fslaveselected',['kI2C_SlaveSelected',['../a00016.html#gga419f2fc2e0684671c00ee97e962bbe0ca2d0c7dc4bf2815768510b916b7c1d54e',1,'fsl_i2c.h']]], - ['ki2c_5fslavetransmitevent',['kI2C_SlaveTransmitEvent',['../a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca2f85039a57379838909876a1d509b7aa',1,'fsl_i2c.h']]], + ['ki2c_5fslavetransmitevent',['kI2C_SlaveTransmitEvent',['../a00016.html#gga87e42e170b60f17f657ef3c06a918133a2f85039a57379838909876a1d509b7aa',1,'fsl_i2c.h']]], ['ki2c_5ftransferdefaultflag',['kI2C_TransferDefaultFlag',['../a00015.html#gga87ea07668194cfb46c7c368d2cb42433ae80f7b768b1621e42ac965d3d23de5e2',1,'fsl_i2c.h']]], ['ki2c_5ftransfernostartflag',['kI2C_TransferNoStartFlag',['../a00015.html#gga87ea07668194cfb46c7c368d2cb42433a5cb44bf860c0482c0ca0165cf0d31d8a',1,'fsl_i2c.h']]], ['ki2c_5ftransfernostopflag',['kI2C_TransferNoStopFlag',['../a00015.html#gga87ea07668194cfb46c7c368d2cb42433afb8aeea71b5b7475e3d3df86220db566',1,'fsl_i2c.h']]], ['ki2c_5ftransferrepeatedstartflag',['kI2C_TransferRepeatedStartFlag',['../a00015.html#gga87ea07668194cfb46c7c368d2cb42433aadf112471ef12f194985a093cd9b9721',1,'fsl_i2c.h']]], - ['ki2c_5fwrite',['kI2C_Write',['../a00015.html#ggab49c827b45635206f06e5737606e4611a93b476b469c2a4bfed5916b458ae9bb0',1,'fsl_i2c.h']]], + ['ki2c_5fwrite',['kI2C_Write',['../a00015.html#gga1625497320644ba123718bafdd2c1f39a93b476b469c2a4bfed5916b458ae9bb0',1,'fsl_i2c.h']]], ['kiapcmd_5fiap_5fblankchecksector',['kIapCmd_IAP_BlankCheckSector',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9b439af9906d00c0f5a83e5c878c2e50',1,'fsl_iap.h']]], ['kiapcmd_5fiap_5fcompare',['kIapCmd_IAP_Compare',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caabda85aeb932e76c81db46f45a8c6f656',1,'fsl_iap.h']]], ['kiapcmd_5fiap_5fcopyramtoflash',['kIapCmd_IAP_CopyRamToFlash',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3fa0f400c5389e777ba1f8d6acb27d72',1,'fsl_iap.h']]], @@ -278,183 +279,197 @@ var searchData= ['kiapcmd_5fiap_5freaduid',['kIapCmd_IAP_ReadUid',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae3058d7cb02b689b3993fae3c913e0f0',1,'fsl_iap.h']]], ['kiapcmd_5fiap_5freinvokeisp',['kIapCmd_IAP_ReinvokeISP',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caaf09dfcba592f2a1e02727d9eeebee15d',1,'fsl_iap.h']]], ['kiapcmd_5fiap_5fwriteeeprompage',['kIapCmd_IAP_WriteEEPROMPage',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9d9f078feeaf1c2c85c6e1a57a043dd2',1,'fsl_iap.h']]], - ['kiocon_5frst_5fn_5fshift_5frstn',['kIOCON_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ab95a1dd8a7fbc479365344d58ac55737',1,'fsl_reset.h']]], - ['kmrt_5fchannel_5f0',['kMRT_Channel_0',['../a00018.html#ggaece5c1972e35dec2efcce98847a09622a27e83d437e2798b19c59c2af4d99e1dc',1,'fsl_mrt.h']]], - ['kmrt_5fchannel_5f1',['kMRT_Channel_1',['../a00018.html#ggaece5c1972e35dec2efcce98847a09622a44b7807f36fe0a21240e721d46d8f9d7',1,'fsl_mrt.h']]], - ['kmrt_5fchannel_5f2',['kMRT_Channel_2',['../a00018.html#ggaece5c1972e35dec2efcce98847a09622a17597fb66d9b1d3e97b318db79267f52',1,'fsl_mrt.h']]], - ['kmrt_5fchannel_5f3',['kMRT_Channel_3',['../a00018.html#ggaece5c1972e35dec2efcce98847a09622af57e6e009258a71bc3a2890aedff34b3',1,'fsl_mrt.h']]], - ['kmrt_5foneshotmode',['kMRT_OneShotMode',['../a00018.html#ggad481f648f1c89a1eab327530d6fef1d0abe4ba0a30bc09f1921a0504eb826b3dc',1,'fsl_mrt.h']]], - ['kmrt_5foneshotstallmode',['kMRT_OneShotStallMode',['../a00018.html#ggad481f648f1c89a1eab327530d6fef1d0add9f55173bb54f623c955c29c22dd5b2',1,'fsl_mrt.h']]], - ['kmrt_5frepeatmode',['kMRT_RepeatMode',['../a00018.html#ggad481f648f1c89a1eab327530d6fef1d0a6b415c060dc09ed293ec3dda829cb257',1,'fsl_mrt.h']]], - ['kmrt_5frst_5fn_5fshift_5frstn',['kMRT_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a81a00b3196e6197af1ca45b8a5bac450',1,'fsl_reset.h']]], - ['kmrt_5ftimerinterruptenable',['kMRT_TimerInterruptEnable',['../a00018.html#gga9d2f90ae2c6f99410e2908dac8cc6943a2a5e8ee568da2c888093b732f2157ea5',1,'fsl_mrt.h']]], - ['kmrt_5ftimerinterruptflag',['kMRT_TimerInterruptFlag',['../a00018.html#gga0bb94508d8cf924c3a6971364377673aa6942598faf3aef148a850f8850cf01c3',1,'fsl_mrt.h']]], - ['kmrt_5ftimerrunflag',['kMRT_TimerRunFlag',['../a00018.html#gga0bb94508d8cf924c3a6971364377673aa745c62687191197b48b35dbd1aac40db',1,'fsl_mrt.h']]], - ['kpint_5fpatternmatchalways',['kPINT_PatternMatchAlways',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa128703d2c2175a315aaffad869ed6a32',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbothedges',['kPINT_PatternMatchBothEdges',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aaac967156901735a43316f7f1c4720328',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbslice0',['kPINT_PatternMatchBSlice0',['../a00088.html#gga048bc24e58d7df40af2a45efaabeea9ba106986aeb5e12c2b76e070def3b37d95',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchhigh',['kPINT_PatternMatchHigh',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa7dc0770cf7ffe184788c30bd1ac9c515',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp0src',['kPINT_PatternMatchInp0Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a51f543e6f680df9beb5841231b7b3a96',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp1src',['kPINT_PatternMatchInp1Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6fc5c9dbee30b375feb9ce6c86b224c2',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp2src',['kPINT_PatternMatchInp2Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a41b057b493937cd87bc212cb2e316f8a',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp3src',['kPINT_PatternMatchInp3Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6be4432cb9e687d043a2c25c1af48869',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp4src',['kPINT_PatternMatchInp4Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76aabc93fc28498f5577939f38e0513304c',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp5src',['kPINT_PatternMatchInp5Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a78ff6e40ab17d81181284c56b62b77aa',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp6src',['kPINT_PatternMatchInp6Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a9b2f5abc74e498a599f5c005a6cc911f',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp7src',['kPINT_PatternMatchInp7Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a2c93115b816dc676460cfc1be85778f0',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchlow',['kPINT_PatternMatchLow',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa3b4af984526871b66489b0eb294d2df5',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchnever',['kPINT_PatternMatchNever',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aab5630ebfbc6e52c9b04f659918c094f2',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchstickybothedges',['kPINT_PatternMatchStickyBothEdges',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa059c3472509304186252b655cf486d8b',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchstickyfall',['kPINT_PatternMatchStickyFall',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa9d2a3e9717c34de3a884b695f35a6c37',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchstickyrise',['kPINT_PatternMatchStickyRise',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa654c70eeed38b0be2271dc51d7cc04f5',1,'fsl_pint.h']]], - ['kpint_5fpinint0',['kPINT_PinInt0',['../a00088.html#gga15da1f70e8d0a05e9d492d01ceca7da8a5df52c4fbb437e61b638d35cbc550162',1,'fsl_pint.h']]], - ['kpint_5fpinintenablebothedges',['kPINT_PinIntEnableBothEdges',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a58ac8e76b409274b7d02ef6e9805dcef',1,'fsl_pint.h']]], - ['kpint_5fpinintenablefalledge',['kPINT_PinIntEnableFallEdge',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a6f54d55ac52e8e697f83637109560e89',1,'fsl_pint.h']]], - ['kpint_5fpinintenablehighlevel',['kPINT_PinIntEnableHighLevel',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91affc9b2756b7642ddb60ea78f4bbbd592',1,'fsl_pint.h']]], - ['kpint_5fpinintenablelowlevel',['kPINT_PinIntEnableLowLevel',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a3bd6a570eee5c1fddd95ef2411b35a7e',1,'fsl_pint.h']]], - ['kpint_5fpinintenablenone',['kPINT_PinIntEnableNone',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91aa48de84b39b48759086f2d7ae0c8091e',1,'fsl_pint.h']]], - ['kpint_5fpinintenableriseedge',['kPINT_PinIntEnableRiseEdge',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91ae873d30aec2ca54de88c69f55ea42a07',1,'fsl_pint.h']]], - ['kpint_5fsecpatternmatchinp0src',['kPINT_SecPatternMatchInp0Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a1f7f561990336a81a46f22395820907d',1,'fsl_pint.h']]], - ['kpint_5fsecpatternmatchinp1src',['kPINT_SecPatternMatchInp1Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a8cc05c9aec163085b7a2b4481fdc971d',1,'fsl_pint.h']]], - ['kplu_5flut_5f0',['kPLU_LUT_0',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab2e2c007b30459eb00fedba56e37da25',1,'fsl_plu.h']]], - ['kplu_5flut_5f1',['kPLU_LUT_1',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0784ad660fcb92a3859ed2c0efa77819',1,'fsl_plu.h']]], - ['kplu_5flut_5f10',['kPLU_LUT_10',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a9beff09b11808602b2120b42d9ab724e',1,'fsl_plu.h']]], - ['kplu_5flut_5f11',['kPLU_LUT_11',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a63e2b4a4b53cde3b148d52f26d92e1d3',1,'fsl_plu.h']]], - ['kplu_5flut_5f12',['kPLU_LUT_12',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a15bd1d988c6677a6a41edc0846851338',1,'fsl_plu.h']]], - ['kplu_5flut_5f13',['kPLU_LUT_13',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a30bd16853ab1723b58f1747def9e4252',1,'fsl_plu.h']]], - ['kplu_5flut_5f14',['kPLU_LUT_14',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7ccad915ba0e8df2a152409690994f16',1,'fsl_plu.h']]], - ['kplu_5flut_5f15',['kPLU_LUT_15',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1af09d4068b7ef636c55a7ab0feb950a36',1,'fsl_plu.h']]], - ['kplu_5flut_5f16',['kPLU_LUT_16',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1abcda2f4fbf266f73a80298ae2d090e47',1,'fsl_plu.h']]], - ['kplu_5flut_5f17',['kPLU_LUT_17',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a6529478ac43b74b509ff0c2cd437e495',1,'fsl_plu.h']]], - ['kplu_5flut_5f18',['kPLU_LUT_18',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7a4daf25836c5010a6b9ccc29f159091',1,'fsl_plu.h']]], - ['kplu_5flut_5f19',['kPLU_LUT_19',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ada22615f50454e9ebd246a18a985f016',1,'fsl_plu.h']]], - ['kplu_5flut_5f2',['kPLU_LUT_2',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5e95a4db197106de75dfccf6e5504364',1,'fsl_plu.h']]], - ['kplu_5flut_5f20',['kPLU_LUT_20',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0646ea5aa4c85d9c19a43f8211d0ffeb',1,'fsl_plu.h']]], - ['kplu_5flut_5f21',['kPLU_LUT_21',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a31c3637504bb180309ccf71210ff6fd7',1,'fsl_plu.h']]], - ['kplu_5flut_5f22',['kPLU_LUT_22',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5f6d7c46d68bd39e999c53ffabfa8372',1,'fsl_plu.h']]], - ['kplu_5flut_5f23',['kPLU_LUT_23',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a55b6304360a86b29fb90a42da5aaf493',1,'fsl_plu.h']]], - ['kplu_5flut_5f24',['kPLU_LUT_24',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa6e7a35876133d26d47ce9f76b72d520',1,'fsl_plu.h']]], - ['kplu_5flut_5f25',['kPLU_LUT_25',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1afff7e144292e1eb0a47774207f42a102',1,'fsl_plu.h']]], - ['kplu_5flut_5f3',['kPLU_LUT_3',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7f11a678cf930523e06f52b367f2eca5',1,'fsl_plu.h']]], - ['kplu_5flut_5f4',['kPLU_LUT_4',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab8a42508ab4be0320796b2eba3b57a7d',1,'fsl_plu.h']]], - ['kplu_5flut_5f5',['kPLU_LUT_5',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a60ff9ca06daaf5b4f945b6e2e89f4b36',1,'fsl_plu.h']]], - ['kplu_5flut_5f6',['kPLU_LUT_6',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ae68d03749187a90877d1742a3d61e4a1',1,'fsl_plu.h']]], - ['kplu_5flut_5f7',['kPLU_LUT_7',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a98359b577ce66e191e23d776d0d0628f',1,'fsl_plu.h']]], - ['kplu_5flut_5f8',['kPLU_LUT_8',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa5bff988a77fc711fd0c7b84c1fb105c',1,'fsl_plu.h']]], - ['kplu_5flut_5f9',['kPLU_LUT_9',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a518c8602828f0e104a12623958f587fc',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f0',['kPLU_LUT_IN_0',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa648d32891b76f5ea3e6e5cf8a296c01b',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f1',['kPLU_LUT_IN_1',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa62c7580fd67b1ffa1385d370a5d3a4e6',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f2',['kPLU_LUT_IN_2',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa8587d933d69f3c3bfe42e848db879a20',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f3',['kPLU_LUT_IN_3',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa06891c0dcbd6029f027b2d6398a447df',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f4',['kPLU_LUT_IN_4',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa6b62d55b282bfe85f5de3d7d38c8c5fa',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fflipflop_5f0',['kPLU_LUT_IN_SRC_FLIPFLOP_0',['../a00089.html#ggaec74828294222d85c4341013b842eb05ad7617c8c6cd8f49c832f501129279b8e',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fflipflop_5f1',['kPLU_LUT_IN_SRC_FLIPFLOP_1',['../a00089.html#ggaec74828294222d85c4341013b842eb05ac741b79171d673ec73a93a7c5e77cb10',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fflipflop_5f2',['kPLU_LUT_IN_SRC_FLIPFLOP_2',['../a00089.html#ggaec74828294222d85c4341013b842eb05ab46ce34ffd4906d48a7f1829861ff193',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fflipflop_5f3',['kPLU_LUT_IN_SRC_FLIPFLOP_3',['../a00089.html#ggaec74828294222d85c4341013b842eb05a26b5bf6af4b2b774757fc7fa42cdebdf',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f0',['kPLU_LUT_IN_SRC_LUT_OUT_0',['../a00089.html#ggaec74828294222d85c4341013b842eb05a2e915ea983c34dc1e202a3ea99ece27b',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f1',['kPLU_LUT_IN_SRC_LUT_OUT_1',['../a00089.html#ggaec74828294222d85c4341013b842eb05ad79e240fc201ef98da1a4e217968f087',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f10',['kPLU_LUT_IN_SRC_LUT_OUT_10',['../a00089.html#ggaec74828294222d85c4341013b842eb05a5e28e0b496b69e7a57f43e810d1179b9',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f11',['kPLU_LUT_IN_SRC_LUT_OUT_11',['../a00089.html#ggaec74828294222d85c4341013b842eb05a19ecdb96a7c9b797b938851a9f22611c',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f12',['kPLU_LUT_IN_SRC_LUT_OUT_12',['../a00089.html#ggaec74828294222d85c4341013b842eb05a315a9f58216b1ac361dc5452805f4cb9',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f13',['kPLU_LUT_IN_SRC_LUT_OUT_13',['../a00089.html#ggaec74828294222d85c4341013b842eb05a23c7b1eac9733cda25f050d543111d89',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f14',['kPLU_LUT_IN_SRC_LUT_OUT_14',['../a00089.html#ggaec74828294222d85c4341013b842eb05a0e731c7f1daf5be7aa344b9f793ef02c',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f15',['kPLU_LUT_IN_SRC_LUT_OUT_15',['../a00089.html#ggaec74828294222d85c4341013b842eb05ae8e8fa7853444eb933183fd905b9ce9d',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f16',['kPLU_LUT_IN_SRC_LUT_OUT_16',['../a00089.html#ggaec74828294222d85c4341013b842eb05a8ba7dca9c3475364351932fc1a248ffc',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f17',['kPLU_LUT_IN_SRC_LUT_OUT_17',['../a00089.html#ggaec74828294222d85c4341013b842eb05a357a302e7e9f65b3c24b32b3348a18b1',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f18',['kPLU_LUT_IN_SRC_LUT_OUT_18',['../a00089.html#ggaec74828294222d85c4341013b842eb05a9b1b21d8bd068e9cb385406f5d2ae0f1',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f19',['kPLU_LUT_IN_SRC_LUT_OUT_19',['../a00089.html#ggaec74828294222d85c4341013b842eb05af73267254ab79f85cbbd45b698baf790',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f2',['kPLU_LUT_IN_SRC_LUT_OUT_2',['../a00089.html#ggaec74828294222d85c4341013b842eb05ad0c5942c2fb503a4561cb64b1b8b2ba5',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f20',['kPLU_LUT_IN_SRC_LUT_OUT_20',['../a00089.html#ggaec74828294222d85c4341013b842eb05a2a7360dbf2b1ce3c37fec99ab6ea95c2',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f21',['kPLU_LUT_IN_SRC_LUT_OUT_21',['../a00089.html#ggaec74828294222d85c4341013b842eb05aea4c749172f50d02938115e943b1a62f',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f22',['kPLU_LUT_IN_SRC_LUT_OUT_22',['../a00089.html#ggaec74828294222d85c4341013b842eb05a2206f6b0a4c280e058652b183d193ad7',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f23',['kPLU_LUT_IN_SRC_LUT_OUT_23',['../a00089.html#ggaec74828294222d85c4341013b842eb05a7a4eb0b7daa528b354646ae876fdbc7d',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f24',['kPLU_LUT_IN_SRC_LUT_OUT_24',['../a00089.html#ggaec74828294222d85c4341013b842eb05a42744ca56fd5b26094f7f7ebc8142518',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f25',['kPLU_LUT_IN_SRC_LUT_OUT_25',['../a00089.html#ggaec74828294222d85c4341013b842eb05a0866c5dfcf79f24a034e5487325ad089',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f3',['kPLU_LUT_IN_SRC_LUT_OUT_3',['../a00089.html#ggaec74828294222d85c4341013b842eb05a7d02ada60d9a59c5bba04cd6a2ca146c',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f4',['kPLU_LUT_IN_SRC_LUT_OUT_4',['../a00089.html#ggaec74828294222d85c4341013b842eb05a7701a2d64699c85a464acfe6d6f3ec21',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f5',['kPLU_LUT_IN_SRC_LUT_OUT_5',['../a00089.html#ggaec74828294222d85c4341013b842eb05abb535644479d02d916b2d2606934e28f',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f6',['kPLU_LUT_IN_SRC_LUT_OUT_6',['../a00089.html#ggaec74828294222d85c4341013b842eb05af941e0debe79fb78f1fdcf24068298b3',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f7',['kPLU_LUT_IN_SRC_LUT_OUT_7',['../a00089.html#ggaec74828294222d85c4341013b842eb05aa7ca2e6b97d2947ae2c9781eb66d9a38',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f8',['kPLU_LUT_IN_SRC_LUT_OUT_8',['../a00089.html#ggaec74828294222d85c4341013b842eb05a279388c181b6df93831630b7b3748fcc',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f9',['kPLU_LUT_IN_SRC_LUT_OUT_9',['../a00089.html#ggaec74828294222d85c4341013b842eb05a7cbee20d065a1de8eeb2e842fdca95f2',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f0',['kPLU_LUT_IN_SRC_PLU_IN_0',['../a00089.html#ggaec74828294222d85c4341013b842eb05a8de9649d2a2fb07fe4e675f6401869d2',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f1',['kPLU_LUT_IN_SRC_PLU_IN_1',['../a00089.html#ggaec74828294222d85c4341013b842eb05a70ba87af436721a2783e56f3117594ce',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f2',['kPLU_LUT_IN_SRC_PLU_IN_2',['../a00089.html#ggaec74828294222d85c4341013b842eb05a1b56e9b3c1c5e46478eb5673c5bddaed',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f3',['kPLU_LUT_IN_SRC_PLU_IN_3',['../a00089.html#ggaec74828294222d85c4341013b842eb05a45f568953423b0976250843eade62716',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f4',['kPLU_LUT_IN_SRC_PLU_IN_4',['../a00089.html#ggaec74828294222d85c4341013b842eb05a6b32cf2706b79fff3a7fc2a1d1159e1b',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f5',['kPLU_LUT_IN_SRC_PLU_IN_5',['../a00089.html#ggaec74828294222d85c4341013b842eb05ac68302205f055d68cd0833e6ec89cacb',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5fflipflop_5f0',['kPLU_OUT_SRC_FLIPFLOP_0',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a22a26e5d5f65d4ac8491ad2c87ebeef3',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5fflipflop_5f1',['kPLU_OUT_SRC_FLIPFLOP_1',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a1afdc6f86b2c95d086b0c7d2d60d26cc',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5fflipflop_5f2',['kPLU_OUT_SRC_FLIPFLOP_2',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a117f999dffa45b676b077d373cb2e086',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5fflipflop_5f3',['kPLU_OUT_SRC_FLIPFLOP_3',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a2a0154f5345c71bae6f44244fcb30d46',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f0',['kPLU_OUT_SRC_LUT_0',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a4ce7c06a3aa62401716670839ee2db9d',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f1',['kPLU_OUT_SRC_LUT_1',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a7ee81d7d75ed79784d00718ee8b52883',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f10',['kPLU_OUT_SRC_LUT_10',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2aa0c5d92b16c617f2c77ab0b2e2e6ebb1',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f11',['kPLU_OUT_SRC_LUT_11',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a6c8dcd271aa50f8847c21031ca1651cc',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f12',['kPLU_OUT_SRC_LUT_12',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a5b731b787441958b61eb1ef6f13c0a8c',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f13',['kPLU_OUT_SRC_LUT_13',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a55478a1fa529d12411191d3b57b6aa6f',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f14',['kPLU_OUT_SRC_LUT_14',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2acf68ff6a086185f803b22698327e3109',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f15',['kPLU_OUT_SRC_LUT_15',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2afa8b125d171fda1128d55ee1ce6b1bb8',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f16',['kPLU_OUT_SRC_LUT_16',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2acb147f2edce9b8da4c454f80f94ac43c',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f17',['kPLU_OUT_SRC_LUT_17',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a9dca2593aa3f9571111177158871a171',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f18',['kPLU_OUT_SRC_LUT_18',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a74581067715a2b1448ded8335f1618a2',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f19',['kPLU_OUT_SRC_LUT_19',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ad50df8fa854b16d2945d2e98dceb167d',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f2',['kPLU_OUT_SRC_LUT_2',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a71f80a9c0585243f033e80575cc9ef71',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f20',['kPLU_OUT_SRC_LUT_20',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae6dd471565ca87404e3e950f0b4896ec',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f21',['kPLU_OUT_SRC_LUT_21',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a3cffbba2da3be0f386061cdc43c5c58a',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f22',['kPLU_OUT_SRC_LUT_22',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2af659716e11aa0911a531d2cdc19bf3ea',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f23',['kPLU_OUT_SRC_LUT_23',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a47395d18c548dff7cf1e82891808ecc6',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f24',['kPLU_OUT_SRC_LUT_24',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae648baa1c80d2711d1bb2bb4fc077827',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f25',['kPLU_OUT_SRC_LUT_25',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a0912636699433338f1c5db68c7bbec7f',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f3',['kPLU_OUT_SRC_LUT_3',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a318c6f61334de6ee3dfdfedd85c892aa',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f4',['kPLU_OUT_SRC_LUT_4',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2af58d23d9fe1120ca0bd0c9832b9c81df',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f5',['kPLU_OUT_SRC_LUT_5',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a3e4635d41b82d9da053cda8b53b16237',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f6',['kPLU_OUT_SRC_LUT_6',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae8a09b9553f3e191688cfe6844bc26b9',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f7',['kPLU_OUT_SRC_LUT_7',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ac5055185f27452b220491009e47a8843',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f8',['kPLU_OUT_SRC_LUT_8',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ab1a914c426c7434b66a2a70e28c91d6d',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f9',['kPLU_OUT_SRC_LUT_9',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a1bfd5fb60cb03e1ec3ff1cc2b859205d',1,'fsl_plu.h']]], - ['kplu_5foutput_5f0',['kPLU_OUTPUT_0',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a40255673c924ddc3a47215102db19c23',1,'fsl_plu.h']]], - ['kplu_5foutput_5f1',['kPLU_OUTPUT_1',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab977a1ed5f8dda05f237315bdacbfdce',1,'fsl_plu.h']]], - ['kplu_5foutput_5f2',['kPLU_OUTPUT_2',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a7f7830f2f3a70270d451466e7fd81b8e',1,'fsl_plu.h']]], - ['kplu_5foutput_5f3',['kPLU_OUTPUT_3',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a05f4915e3590779c64072c567ea8ef77',1,'fsl_plu.h']]], - ['kplu_5foutput_5f4',['kPLU_OUTPUT_4',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3b4542ce1acdb7bd4c87e184129a1cd2',1,'fsl_plu.h']]], - ['kplu_5foutput_5f5',['kPLU_OUTPUT_5',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3db75ac7e6eb4bd49bc6dfcbdca28bab',1,'fsl_plu.h']]], - ['kplu_5foutput_5f6',['kPLU_OUTPUT_6',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a42d0169861f7e6874c6cc1cfd38cfdee',1,'fsl_plu.h']]], - ['kplu_5foutput_5f7',['kPLU_OUTPUT_7',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab84844ba156c357257d0be920c79459d',1,'fsl_plu.h']]], - ['kplu_5frst_5fn_5fshift_5frstn',['kPLU_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ac0e9dc6f492774ceef33623e62882da5',1,'fsl_reset.h']]], - ['kpmu_5fgenreg0',['kPmu_GenReg0',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492a80dcccd621286706e1c8a4ebe9c10f0a',1,'fsl_power.h']]], - ['kpmu_5fgenreg1',['kPmu_GenReg1',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492a740c74b8de11afea3adf94099430d43f',1,'fsl_power.h']]], - ['kpmu_5fgenreg2',['kPmu_GenReg2',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492ad0fed29c216cb44973a5720f27c25b57',1,'fsl_power.h']]], - ['kpmu_5fgenreg3',['kPmu_GenReg3',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492a8464e8acd4980abf527cdc08a1039f03',1,'fsl_power.h']]], - ['kpmu_5fgenreg4',['kPmu_GenReg4',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492ad41dcf0263e8b148f96f850b2be396ac',1,'fsl_power.h']]], - ['kspi0_5fclk_5ffrom_5ffrg0clk',['kSPI0_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a845c271da53362455548e3a2d785e46f',1,'fsl_clock.h']]], - ['kspi0_5fclk_5ffrom_5ffro',['kSPI0_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a225c7ee90596ed70ba883f4db61aa8a7',1,'fsl_clock.h']]], - ['kspi0_5fclk_5ffrom_5ffro_5fdiv',['kSPI0_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58aad0657c34aef515cd39d693c8a7896b2',1,'fsl_clock.h']]], - ['kspi0_5fclk_5ffrom_5fmainclk',['kSPI0_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ae27646652709428349bea6c7044c070e',1,'fsl_clock.h']]], - ['kspi0_5frst_5fn_5fshift_5frstn',['kSPI0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ac5dc2b0f880a50d33e7d79f7af4c4f33',1,'fsl_reset.h']]], - ['kspi_5fclockphasefirstedge',['kSPI_ClockPhaseFirstEdge',['../a00019.html#gga9ad313685ade497f5cbcb71c74a1b4dcad15d61c6fd642f13101edbf401d0c72e',1,'fsl_spi.h']]], - ['kspi_5fclockphasesecondedge',['kSPI_ClockPhaseSecondEdge',['../a00019.html#gga9ad313685ade497f5cbcb71c74a1b4dca18a98985c1f7dd56175e4a2724db3675',1,'fsl_spi.h']]], - ['kspi_5fclockpolarityactivehigh',['kSPI_ClockPolarityActiveHigh',['../a00019.html#gga3e5a7cd043c9596779bc23b34cb3d1f9a031c0ee2693cf1fd621e8b8cba676629',1,'fsl_spi.h']]], - ['kspi_5fclockpolarityactivelow',['kSPI_ClockPolarityActiveLow',['../a00019.html#gga3e5a7cd043c9596779bc23b34cb3d1f9acfc8257079c9604086622df6de326aea',1,'fsl_spi.h']]], - ['kspi_5fdata10bits',['kSPI_Data10Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731a2b2887663c9d025a4137263fc7984024',1,'fsl_spi.h']]], - ['kspi_5fdata11bits',['kSPI_Data11Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731a91e908a7a4725ab9df05549a2b034dec',1,'fsl_spi.h']]], - ['kspi_5fdata12bits',['kSPI_Data12Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731ad1cfe18fd912c0d25dc32e6ca9fa8f97',1,'fsl_spi.h']]], - ['kspi_5fdata13bits',['kSPI_Data13Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731ad8c2fa2e2f5bf137176dc736cc6a2531',1,'fsl_spi.h']]], - ['kspi_5fdata14bits',['kSPI_Data14Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731ae83435f494d1b9f3ce2f2da0ac03fc38',1,'fsl_spi.h']]], - ['kspi_5fdata15bits',['kSPI_Data15Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731add11012a8887066fad29e23c20d66af8',1,'fsl_spi.h']]], - ['kspi_5fdata16bits',['kSPI_Data16Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731abbc2566ba4eb2b5e5dc259a7c4f80bcf',1,'fsl_spi.h']]], - ['kspi_5fdata4bits',['kSPI_Data4Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731a0cb8b30c67c53c6d53712d5bacc818ce',1,'fsl_spi.h']]], - ['kspi_5fdata5bits',['kSPI_Data5Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731a7232b4afb5bd82503252ce608638e6eb',1,'fsl_spi.h']]], - ['kspi_5fdata6bits',['kSPI_Data6Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731a6604fcf5c8bebf904c5b072295027bba',1,'fsl_spi.h']]], - ['kspi_5fdata7bits',['kSPI_Data7Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731a2c62d3d7d92866c97bb513d4e603518e',1,'fsl_spi.h']]], - ['kspi_5fdata8bits',['kSPI_Data8Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731ad6b98474a7f14ae7665b7b6d3355657c',1,'fsl_spi.h']]], - ['kspi_5fdata9bits',['kSPI_Data9Bits',['../a00019.html#ggafa691c5329a7325ee57c9f06fa295731a4b864a74545165c2cf2dd74134fdadd1',1,'fsl_spi.h']]], + ['kiocon_5frst_5fn_5fshift_5frstn',['kIOCON_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ab95a1dd8a7fbc479365344d58ac55737',1,'fsl_reset.h']]], + ['kmrt_5fchannel_5f0',['kMRT_Channel_0',['../a00018.html#gga05660f6ec5e7c0ac6204165d7ab9bf35a27e83d437e2798b19c59c2af4d99e1dc',1,'fsl_mrt.h']]], + ['kmrt_5fchannel_5f1',['kMRT_Channel_1',['../a00018.html#gga05660f6ec5e7c0ac6204165d7ab9bf35a44b7807f36fe0a21240e721d46d8f9d7',1,'fsl_mrt.h']]], + ['kmrt_5fchannel_5f2',['kMRT_Channel_2',['../a00018.html#gga05660f6ec5e7c0ac6204165d7ab9bf35a17597fb66d9b1d3e97b318db79267f52',1,'fsl_mrt.h']]], + ['kmrt_5fchannel_5f3',['kMRT_Channel_3',['../a00018.html#gga05660f6ec5e7c0ac6204165d7ab9bf35af57e6e009258a71bc3a2890aedff34b3',1,'fsl_mrt.h']]], + ['kmrt_5foneshotmode',['kMRT_OneShotMode',['../a00018.html#ggae772c3f1dc986d55a787b987f731b389abe4ba0a30bc09f1921a0504eb826b3dc',1,'fsl_mrt.h']]], + ['kmrt_5foneshotstallmode',['kMRT_OneShotStallMode',['../a00018.html#ggae772c3f1dc986d55a787b987f731b389add9f55173bb54f623c955c29c22dd5b2',1,'fsl_mrt.h']]], + ['kmrt_5frepeatmode',['kMRT_RepeatMode',['../a00018.html#ggae772c3f1dc986d55a787b987f731b389a6b415c060dc09ed293ec3dda829cb257',1,'fsl_mrt.h']]], + ['kmrt_5frst_5fn_5fshift_5frstn',['kMRT_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a81a00b3196e6197af1ca45b8a5bac450',1,'fsl_reset.h']]], + ['kmrt_5ftimerinterruptenable',['kMRT_TimerInterruptEnable',['../a00018.html#gga854d01b9575db5b6f0f7aaad49cf0c7da2a5e8ee568da2c888093b732f2157ea5',1,'fsl_mrt.h']]], + ['kmrt_5ftimerinterruptflag',['kMRT_TimerInterruptFlag',['../a00018.html#gga13878b183833475306c8aabb81391a8aa6942598faf3aef148a850f8850cf01c3',1,'fsl_mrt.h']]], + ['kmrt_5ftimerrunflag',['kMRT_TimerRunFlag',['../a00018.html#gga13878b183833475306c8aabb81391a8aa745c62687191197b48b35dbd1aac40db',1,'fsl_mrt.h']]], + ['kpint_5fpatternmatchalways',['kPINT_PatternMatchAlways',['../a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a128703d2c2175a315aaffad869ed6a32',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbothedges',['kPINT_PatternMatchBothEdges',['../a00088.html#gga395ddf0144533abe3773a44dfc6a8a28aac967156901735a43316f7f1c4720328',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbslice0',['kPINT_PatternMatchBSlice0',['../a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a106986aeb5e12c2b76e070def3b37d95',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbslice1',['kPINT_PatternMatchBSlice1',['../a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a0d3bf0a5022325acaae66e172c984db0',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbslice2',['kPINT_PatternMatchBSlice2',['../a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47af00e092237b1b299bdfdd901f207c468',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbslice3',['kPINT_PatternMatchBSlice3',['../a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47ae5db81838d55c8a8839b1dd47954d865',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbslice4',['kPINT_PatternMatchBSlice4',['../a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47ac0ce3f2fbc216bc3cec68c47263530c5',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbslice5',['kPINT_PatternMatchBSlice5',['../a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a1ca87ca7db6ec59b675c6e70abc45da0',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbslice6',['kPINT_PatternMatchBSlice6',['../a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a86a79a77b44e91f432bb8ee9070f9602',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbslice7',['kPINT_PatternMatchBSlice7',['../a00088.html#gga67c1b06ba8a5e6faf0af44c2bfeccc47a3a75ed56ef8a3429c01fc686f22b8e8a',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchhigh',['kPINT_PatternMatchHigh',['../a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a7dc0770cf7ffe184788c30bd1ac9c515',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp0src',['kPINT_PatternMatchInp0Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a51f543e6f680df9beb5841231b7b3a96',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp1src',['kPINT_PatternMatchInp1Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a6fc5c9dbee30b375feb9ce6c86b224c2',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp2src',['kPINT_PatternMatchInp2Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a41b057b493937cd87bc212cb2e316f8a',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp3src',['kPINT_PatternMatchInp3Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a6be4432cb9e687d043a2c25c1af48869',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp4src',['kPINT_PatternMatchInp4Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9aabc93fc28498f5577939f38e0513304c',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp5src',['kPINT_PatternMatchInp5Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a78ff6e40ab17d81181284c56b62b77aa',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp6src',['kPINT_PatternMatchInp6Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a9b2f5abc74e498a599f5c005a6cc911f',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp7src',['kPINT_PatternMatchInp7Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a2c93115b816dc676460cfc1be85778f0',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchlow',['kPINT_PatternMatchLow',['../a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a3b4af984526871b66489b0eb294d2df5',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchnever',['kPINT_PatternMatchNever',['../a00088.html#gga395ddf0144533abe3773a44dfc6a8a28ab5630ebfbc6e52c9b04f659918c094f2',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchstickybothedges',['kPINT_PatternMatchStickyBothEdges',['../a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a059c3472509304186252b655cf486d8b',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchstickyfall',['kPINT_PatternMatchStickyFall',['../a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a9d2a3e9717c34de3a884b695f35a6c37',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchstickyrise',['kPINT_PatternMatchStickyRise',['../a00088.html#gga395ddf0144533abe3773a44dfc6a8a28a654c70eeed38b0be2271dc51d7cc04f5',1,'fsl_pint.h']]], + ['kpint_5fpinint0',['kPINT_PinInt0',['../a00088.html#ggaf3fe032c283828db1b5790b3922be911a5df52c4fbb437e61b638d35cbc550162',1,'fsl_pint.h']]], + ['kpint_5fpinint1',['kPINT_PinInt1',['../a00088.html#ggaf3fe032c283828db1b5790b3922be911ab62e1c2d3cdccef05e45ae9028cecbb4',1,'fsl_pint.h']]], + ['kpint_5fpinint2',['kPINT_PinInt2',['../a00088.html#ggaf3fe032c283828db1b5790b3922be911a81913bc912b5164bb749b6a2e8823808',1,'fsl_pint.h']]], + ['kpint_5fpinint3',['kPINT_PinInt3',['../a00088.html#ggaf3fe032c283828db1b5790b3922be911a751ce5a16f196ca04d08d2e5d65af47c',1,'fsl_pint.h']]], + ['kpint_5fpinint4',['kPINT_PinInt4',['../a00088.html#ggaf3fe032c283828db1b5790b3922be911acf173e0dc3d44c77bb08146494a0249b',1,'fsl_pint.h']]], + ['kpint_5fpinint5',['kPINT_PinInt5',['../a00088.html#ggaf3fe032c283828db1b5790b3922be911a45fc443b2ec03c89708ac897fc3fc902',1,'fsl_pint.h']]], + ['kpint_5fpinint6',['kPINT_PinInt6',['../a00088.html#ggaf3fe032c283828db1b5790b3922be911adf92ec20d1fa6a12bda6c42e5304cf46',1,'fsl_pint.h']]], + ['kpint_5fpinint7',['kPINT_PinInt7',['../a00088.html#ggaf3fe032c283828db1b5790b3922be911aae1e0da9add6e3e1dd57705a682d65fa',1,'fsl_pint.h']]], + ['kpint_5fpinintenablebothedges',['kPINT_PinIntEnableBothEdges',['../a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497a58ac8e76b409274b7d02ef6e9805dcef',1,'fsl_pint.h']]], + ['kpint_5fpinintenablefalledge',['kPINT_PinIntEnableFallEdge',['../a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497a6f54d55ac52e8e697f83637109560e89',1,'fsl_pint.h']]], + ['kpint_5fpinintenablehighlevel',['kPINT_PinIntEnableHighLevel',['../a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497affc9b2756b7642ddb60ea78f4bbbd592',1,'fsl_pint.h']]], + ['kpint_5fpinintenablelowlevel',['kPINT_PinIntEnableLowLevel',['../a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497a3bd6a570eee5c1fddd95ef2411b35a7e',1,'fsl_pint.h']]], + ['kpint_5fpinintenablenone',['kPINT_PinIntEnableNone',['../a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497aa48de84b39b48759086f2d7ae0c8091e',1,'fsl_pint.h']]], + ['kpint_5fpinintenableriseedge',['kPINT_PinIntEnableRiseEdge',['../a00088.html#gga2e08ec6acfb4d114d470ff86a0bef497ae873d30aec2ca54de88c69f55ea42a07',1,'fsl_pint.h']]], + ['kpint_5fsecpatternmatchinp0src',['kPINT_SecPatternMatchInp0Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a1f7f561990336a81a46f22395820907d',1,'fsl_pint.h']]], + ['kpint_5fsecpatternmatchinp1src',['kPINT_SecPatternMatchInp1Src',['../a00088.html#gga7adaf153a42d62fb9b02d6468f7279a9a8cc05c9aec163085b7a2b4481fdc971d',1,'fsl_pint.h']]], + ['kplu_5flut_5f0',['kPLU_LUT_0',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6ab2e2c007b30459eb00fedba56e37da25',1,'fsl_plu.h']]], + ['kplu_5flut_5f1',['kPLU_LUT_1',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a0784ad660fcb92a3859ed2c0efa77819',1,'fsl_plu.h']]], + ['kplu_5flut_5f10',['kPLU_LUT_10',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a9beff09b11808602b2120b42d9ab724e',1,'fsl_plu.h']]], + ['kplu_5flut_5f11',['kPLU_LUT_11',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a63e2b4a4b53cde3b148d52f26d92e1d3',1,'fsl_plu.h']]], + ['kplu_5flut_5f12',['kPLU_LUT_12',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a15bd1d988c6677a6a41edc0846851338',1,'fsl_plu.h']]], + ['kplu_5flut_5f13',['kPLU_LUT_13',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a30bd16853ab1723b58f1747def9e4252',1,'fsl_plu.h']]], + ['kplu_5flut_5f14',['kPLU_LUT_14',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a7ccad915ba0e8df2a152409690994f16',1,'fsl_plu.h']]], + ['kplu_5flut_5f15',['kPLU_LUT_15',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6af09d4068b7ef636c55a7ab0feb950a36',1,'fsl_plu.h']]], + ['kplu_5flut_5f16',['kPLU_LUT_16',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6abcda2f4fbf266f73a80298ae2d090e47',1,'fsl_plu.h']]], + ['kplu_5flut_5f17',['kPLU_LUT_17',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a6529478ac43b74b509ff0c2cd437e495',1,'fsl_plu.h']]], + ['kplu_5flut_5f18',['kPLU_LUT_18',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a7a4daf25836c5010a6b9ccc29f159091',1,'fsl_plu.h']]], + ['kplu_5flut_5f19',['kPLU_LUT_19',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6ada22615f50454e9ebd246a18a985f016',1,'fsl_plu.h']]], + ['kplu_5flut_5f2',['kPLU_LUT_2',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a5e95a4db197106de75dfccf6e5504364',1,'fsl_plu.h']]], + ['kplu_5flut_5f20',['kPLU_LUT_20',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a0646ea5aa4c85d9c19a43f8211d0ffeb',1,'fsl_plu.h']]], + ['kplu_5flut_5f21',['kPLU_LUT_21',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a31c3637504bb180309ccf71210ff6fd7',1,'fsl_plu.h']]], + ['kplu_5flut_5f22',['kPLU_LUT_22',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a5f6d7c46d68bd39e999c53ffabfa8372',1,'fsl_plu.h']]], + ['kplu_5flut_5f23',['kPLU_LUT_23',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a55b6304360a86b29fb90a42da5aaf493',1,'fsl_plu.h']]], + ['kplu_5flut_5f24',['kPLU_LUT_24',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6aa6e7a35876133d26d47ce9f76b72d520',1,'fsl_plu.h']]], + ['kplu_5flut_5f25',['kPLU_LUT_25',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6afff7e144292e1eb0a47774207f42a102',1,'fsl_plu.h']]], + ['kplu_5flut_5f3',['kPLU_LUT_3',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a7f11a678cf930523e06f52b367f2eca5',1,'fsl_plu.h']]], + ['kplu_5flut_5f4',['kPLU_LUT_4',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6ab8a42508ab4be0320796b2eba3b57a7d',1,'fsl_plu.h']]], + ['kplu_5flut_5f5',['kPLU_LUT_5',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a60ff9ca06daaf5b4f945b6e2e89f4b36',1,'fsl_plu.h']]], + ['kplu_5flut_5f6',['kPLU_LUT_6',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6ae68d03749187a90877d1742a3d61e4a1',1,'fsl_plu.h']]], + ['kplu_5flut_5f7',['kPLU_LUT_7',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a98359b577ce66e191e23d776d0d0628f',1,'fsl_plu.h']]], + ['kplu_5flut_5f8',['kPLU_LUT_8',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6aa5bff988a77fc711fd0c7b84c1fb105c',1,'fsl_plu.h']]], + ['kplu_5flut_5f9',['kPLU_LUT_9',['../a00089.html#gga53709dc3cc8b1891ebc99b4b606d49d6a518c8602828f0e104a12623958f587fc',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f0',['kPLU_LUT_IN_0',['../a00089.html#ggaf04e2722181b97d14b151b43716647fda648d32891b76f5ea3e6e5cf8a296c01b',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f1',['kPLU_LUT_IN_1',['../a00089.html#ggaf04e2722181b97d14b151b43716647fda62c7580fd67b1ffa1385d370a5d3a4e6',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f2',['kPLU_LUT_IN_2',['../a00089.html#ggaf04e2722181b97d14b151b43716647fda8587d933d69f3c3bfe42e848db879a20',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f3',['kPLU_LUT_IN_3',['../a00089.html#ggaf04e2722181b97d14b151b43716647fda06891c0dcbd6029f027b2d6398a447df',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f4',['kPLU_LUT_IN_4',['../a00089.html#ggaf04e2722181b97d14b151b43716647fda6b62d55b282bfe85f5de3d7d38c8c5fa',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fflipflop_5f0',['kPLU_LUT_IN_SRC_FLIPFLOP_0',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ad7617c8c6cd8f49c832f501129279b8e',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fflipflop_5f1',['kPLU_LUT_IN_SRC_FLIPFLOP_1',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ac741b79171d673ec73a93a7c5e77cb10',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fflipflop_5f2',['kPLU_LUT_IN_SRC_FLIPFLOP_2',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ab46ce34ffd4906d48a7f1829861ff193',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fflipflop_5f3',['kPLU_LUT_IN_SRC_FLIPFLOP_3',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a26b5bf6af4b2b774757fc7fa42cdebdf',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f0',['kPLU_LUT_IN_SRC_LUT_OUT_0',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a2e915ea983c34dc1e202a3ea99ece27b',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f1',['kPLU_LUT_IN_SRC_LUT_OUT_1',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ad79e240fc201ef98da1a4e217968f087',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f10',['kPLU_LUT_IN_SRC_LUT_OUT_10',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a5e28e0b496b69e7a57f43e810d1179b9',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f11',['kPLU_LUT_IN_SRC_LUT_OUT_11',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a19ecdb96a7c9b797b938851a9f22611c',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f12',['kPLU_LUT_IN_SRC_LUT_OUT_12',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a315a9f58216b1ac361dc5452805f4cb9',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f13',['kPLU_LUT_IN_SRC_LUT_OUT_13',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a23c7b1eac9733cda25f050d543111d89',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f14',['kPLU_LUT_IN_SRC_LUT_OUT_14',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a0e731c7f1daf5be7aa344b9f793ef02c',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f15',['kPLU_LUT_IN_SRC_LUT_OUT_15',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ae8e8fa7853444eb933183fd905b9ce9d',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f16',['kPLU_LUT_IN_SRC_LUT_OUT_16',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a8ba7dca9c3475364351932fc1a248ffc',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f17',['kPLU_LUT_IN_SRC_LUT_OUT_17',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a357a302e7e9f65b3c24b32b3348a18b1',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f18',['kPLU_LUT_IN_SRC_LUT_OUT_18',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a9b1b21d8bd068e9cb385406f5d2ae0f1',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f19',['kPLU_LUT_IN_SRC_LUT_OUT_19',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843af73267254ab79f85cbbd45b698baf790',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f2',['kPLU_LUT_IN_SRC_LUT_OUT_2',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ad0c5942c2fb503a4561cb64b1b8b2ba5',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f20',['kPLU_LUT_IN_SRC_LUT_OUT_20',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a2a7360dbf2b1ce3c37fec99ab6ea95c2',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f21',['kPLU_LUT_IN_SRC_LUT_OUT_21',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843aea4c749172f50d02938115e943b1a62f',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f22',['kPLU_LUT_IN_SRC_LUT_OUT_22',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a2206f6b0a4c280e058652b183d193ad7',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f23',['kPLU_LUT_IN_SRC_LUT_OUT_23',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a7a4eb0b7daa528b354646ae876fdbc7d',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f24',['kPLU_LUT_IN_SRC_LUT_OUT_24',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a42744ca56fd5b26094f7f7ebc8142518',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f25',['kPLU_LUT_IN_SRC_LUT_OUT_25',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a0866c5dfcf79f24a034e5487325ad089',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f3',['kPLU_LUT_IN_SRC_LUT_OUT_3',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a7d02ada60d9a59c5bba04cd6a2ca146c',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f4',['kPLU_LUT_IN_SRC_LUT_OUT_4',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a7701a2d64699c85a464acfe6d6f3ec21',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f5',['kPLU_LUT_IN_SRC_LUT_OUT_5',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843abb535644479d02d916b2d2606934e28f',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f6',['kPLU_LUT_IN_SRC_LUT_OUT_6',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843af941e0debe79fb78f1fdcf24068298b3',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f7',['kPLU_LUT_IN_SRC_LUT_OUT_7',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843aa7ca2e6b97d2947ae2c9781eb66d9a38',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f8',['kPLU_LUT_IN_SRC_LUT_OUT_8',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a279388c181b6df93831630b7b3748fcc',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f9',['kPLU_LUT_IN_SRC_LUT_OUT_9',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a7cbee20d065a1de8eeb2e842fdca95f2',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f0',['kPLU_LUT_IN_SRC_PLU_IN_0',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a8de9649d2a2fb07fe4e675f6401869d2',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f1',['kPLU_LUT_IN_SRC_PLU_IN_1',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a70ba87af436721a2783e56f3117594ce',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f2',['kPLU_LUT_IN_SRC_PLU_IN_2',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a1b56e9b3c1c5e46478eb5673c5bddaed',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f3',['kPLU_LUT_IN_SRC_PLU_IN_3',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a45f568953423b0976250843eade62716',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f4',['kPLU_LUT_IN_SRC_PLU_IN_4',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843a6b32cf2706b79fff3a7fc2a1d1159e1b',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f5',['kPLU_LUT_IN_SRC_PLU_IN_5',['../a00089.html#gga8b57cb85ad1b1f1685bbb8b85ce4e843ac68302205f055d68cd0833e6ec89cacb',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5fflipflop_5f0',['kPLU_OUT_SRC_FLIPFLOP_0',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a22a26e5d5f65d4ac8491ad2c87ebeef3',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5fflipflop_5f1',['kPLU_OUT_SRC_FLIPFLOP_1',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a1afdc6f86b2c95d086b0c7d2d60d26cc',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5fflipflop_5f2',['kPLU_OUT_SRC_FLIPFLOP_2',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a117f999dffa45b676b077d373cb2e086',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5fflipflop_5f3',['kPLU_OUT_SRC_FLIPFLOP_3',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a2a0154f5345c71bae6f44244fcb30d46',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f0',['kPLU_OUT_SRC_LUT_0',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a4ce7c06a3aa62401716670839ee2db9d',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f1',['kPLU_OUT_SRC_LUT_1',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a7ee81d7d75ed79784d00718ee8b52883',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f10',['kPLU_OUT_SRC_LUT_10',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0aa0c5d92b16c617f2c77ab0b2e2e6ebb1',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f11',['kPLU_OUT_SRC_LUT_11',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a6c8dcd271aa50f8847c21031ca1651cc',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f12',['kPLU_OUT_SRC_LUT_12',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a5b731b787441958b61eb1ef6f13c0a8c',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f13',['kPLU_OUT_SRC_LUT_13',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a55478a1fa529d12411191d3b57b6aa6f',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f14',['kPLU_OUT_SRC_LUT_14',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0acf68ff6a086185f803b22698327e3109',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f15',['kPLU_OUT_SRC_LUT_15',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0afa8b125d171fda1128d55ee1ce6b1bb8',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f16',['kPLU_OUT_SRC_LUT_16',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0acb147f2edce9b8da4c454f80f94ac43c',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f17',['kPLU_OUT_SRC_LUT_17',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a9dca2593aa3f9571111177158871a171',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f18',['kPLU_OUT_SRC_LUT_18',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a74581067715a2b1448ded8335f1618a2',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f19',['kPLU_OUT_SRC_LUT_19',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ad50df8fa854b16d2945d2e98dceb167d',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f2',['kPLU_OUT_SRC_LUT_2',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a71f80a9c0585243f033e80575cc9ef71',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f20',['kPLU_OUT_SRC_LUT_20',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ae6dd471565ca87404e3e950f0b4896ec',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f21',['kPLU_OUT_SRC_LUT_21',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a3cffbba2da3be0f386061cdc43c5c58a',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f22',['kPLU_OUT_SRC_LUT_22',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0af659716e11aa0911a531d2cdc19bf3ea',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f23',['kPLU_OUT_SRC_LUT_23',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a47395d18c548dff7cf1e82891808ecc6',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f24',['kPLU_OUT_SRC_LUT_24',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ae648baa1c80d2711d1bb2bb4fc077827',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f25',['kPLU_OUT_SRC_LUT_25',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a0912636699433338f1c5db68c7bbec7f',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f3',['kPLU_OUT_SRC_LUT_3',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a318c6f61334de6ee3dfdfedd85c892aa',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f4',['kPLU_OUT_SRC_LUT_4',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0af58d23d9fe1120ca0bd0c9832b9c81df',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f5',['kPLU_OUT_SRC_LUT_5',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a3e4635d41b82d9da053cda8b53b16237',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f6',['kPLU_OUT_SRC_LUT_6',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ae8a09b9553f3e191688cfe6844bc26b9',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f7',['kPLU_OUT_SRC_LUT_7',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ac5055185f27452b220491009e47a8843',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f8',['kPLU_OUT_SRC_LUT_8',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0ab1a914c426c7434b66a2a70e28c91d6d',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f9',['kPLU_OUT_SRC_LUT_9',['../a00089.html#gga3eadd99eeb98ae312c02a884168b2ac0a1bfd5fb60cb03e1ec3ff1cc2b859205d',1,'fsl_plu.h']]], + ['kplu_5foutput_5f0',['kPLU_OUTPUT_0',['../a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa40255673c924ddc3a47215102db19c23',1,'fsl_plu.h']]], + ['kplu_5foutput_5f1',['kPLU_OUTPUT_1',['../a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffab977a1ed5f8dda05f237315bdacbfdce',1,'fsl_plu.h']]], + ['kplu_5foutput_5f2',['kPLU_OUTPUT_2',['../a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa7f7830f2f3a70270d451466e7fd81b8e',1,'fsl_plu.h']]], + ['kplu_5foutput_5f3',['kPLU_OUTPUT_3',['../a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa05f4915e3590779c64072c567ea8ef77',1,'fsl_plu.h']]], + ['kplu_5foutput_5f4',['kPLU_OUTPUT_4',['../a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa3b4542ce1acdb7bd4c87e184129a1cd2',1,'fsl_plu.h']]], + ['kplu_5foutput_5f5',['kPLU_OUTPUT_5',['../a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa3db75ac7e6eb4bd49bc6dfcbdca28bab',1,'fsl_plu.h']]], + ['kplu_5foutput_5f6',['kPLU_OUTPUT_6',['../a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffa42d0169861f7e6874c6cc1cfd38cfdee',1,'fsl_plu.h']]], + ['kplu_5foutput_5f7',['kPLU_OUTPUT_7',['../a00089.html#ggafab1f9e477a3f88661e3f54189ac52ffab84844ba156c357257d0be920c79459d',1,'fsl_plu.h']]], + ['kplu_5frst_5fn_5fshift_5frstn',['kPLU_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ac0e9dc6f492774ceef33623e62882da5',1,'fsl_reset.h']]], + ['kpmu_5fgenreg0',['kPmu_GenReg0',['../a00080.html#ggae01dae3d7bbcc338031dfd019f93681ba80dcccd621286706e1c8a4ebe9c10f0a',1,'fsl_power.h']]], + ['kpmu_5fgenreg1',['kPmu_GenReg1',['../a00080.html#ggae01dae3d7bbcc338031dfd019f93681ba740c74b8de11afea3adf94099430d43f',1,'fsl_power.h']]], + ['kpmu_5fgenreg2',['kPmu_GenReg2',['../a00080.html#ggae01dae3d7bbcc338031dfd019f93681bad0fed29c216cb44973a5720f27c25b57',1,'fsl_power.h']]], + ['kpmu_5fgenreg3',['kPmu_GenReg3',['../a00080.html#ggae01dae3d7bbcc338031dfd019f93681ba8464e8acd4980abf527cdc08a1039f03',1,'fsl_power.h']]], + ['kpmu_5fgenreg4',['kPmu_GenReg4',['../a00080.html#ggae01dae3d7bbcc338031dfd019f93681bad41dcf0263e8b148f96f850b2be396ac',1,'fsl_power.h']]], + ['kspi0_5fclk_5ffrom_5ffrg0clk',['kSPI0_Clk_From_Frg0Clk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a845c271da53362455548e3a2d785e46f',1,'fsl_clock.h']]], + ['kspi0_5fclk_5ffrom_5ffro',['kSPI0_Clk_From_Fro',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a225c7ee90596ed70ba883f4db61aa8a7',1,'fsl_clock.h']]], + ['kspi0_5fclk_5ffrom_5ffro_5fdiv',['kSPI0_Clk_From_Fro_Div',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85aad0657c34aef515cd39d693c8a7896b2',1,'fsl_clock.h']]], + ['kspi0_5fclk_5ffrom_5fmainclk',['kSPI0_Clk_From_MainClk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ae27646652709428349bea6c7044c070e',1,'fsl_clock.h']]], + ['kspi0_5frst_5fn_5fshift_5frstn',['kSPI0_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20ac5dc2b0f880a50d33e7d79f7af4c4f33',1,'fsl_reset.h']]], + ['kspi_5fclockphasefirstedge',['kSPI_ClockPhaseFirstEdge',['../a00019.html#gga76b6ec98408fdaf2e9133952eefa1baaad15d61c6fd642f13101edbf401d0c72e',1,'fsl_spi.h']]], + ['kspi_5fclockphasesecondedge',['kSPI_ClockPhaseSecondEdge',['../a00019.html#gga76b6ec98408fdaf2e9133952eefa1baaa18a98985c1f7dd56175e4a2724db3675',1,'fsl_spi.h']]], + ['kspi_5fclockpolarityactivehigh',['kSPI_ClockPolarityActiveHigh',['../a00019.html#gga17f9450af8f63de3fa2b3b105eeec38da031c0ee2693cf1fd621e8b8cba676629',1,'fsl_spi.h']]], + ['kspi_5fclockpolarityactivelow',['kSPI_ClockPolarityActiveLow',['../a00019.html#gga17f9450af8f63de3fa2b3b105eeec38dacfc8257079c9604086622df6de326aea',1,'fsl_spi.h']]], + ['kspi_5fdata10bits',['kSPI_Data10Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280a2b2887663c9d025a4137263fc7984024',1,'fsl_spi.h']]], + ['kspi_5fdata11bits',['kSPI_Data11Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280a91e908a7a4725ab9df05549a2b034dec',1,'fsl_spi.h']]], + ['kspi_5fdata12bits',['kSPI_Data12Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280ad1cfe18fd912c0d25dc32e6ca9fa8f97',1,'fsl_spi.h']]], + ['kspi_5fdata13bits',['kSPI_Data13Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280ad8c2fa2e2f5bf137176dc736cc6a2531',1,'fsl_spi.h']]], + ['kspi_5fdata14bits',['kSPI_Data14Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280ae83435f494d1b9f3ce2f2da0ac03fc38',1,'fsl_spi.h']]], + ['kspi_5fdata15bits',['kSPI_Data15Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280add11012a8887066fad29e23c20d66af8',1,'fsl_spi.h']]], + ['kspi_5fdata16bits',['kSPI_Data16Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280abbc2566ba4eb2b5e5dc259a7c4f80bcf',1,'fsl_spi.h']]], + ['kspi_5fdata4bits',['kSPI_Data4Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280a0cb8b30c67c53c6d53712d5bacc818ce',1,'fsl_spi.h']]], + ['kspi_5fdata5bits',['kSPI_Data5Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280a7232b4afb5bd82503252ce608638e6eb',1,'fsl_spi.h']]], + ['kspi_5fdata6bits',['kSPI_Data6Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280a6604fcf5c8bebf904c5b072295027bba',1,'fsl_spi.h']]], + ['kspi_5fdata7bits',['kSPI_Data7Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280a2c62d3d7d92866c97bb513d4e603518e',1,'fsl_spi.h']]], + ['kspi_5fdata8bits',['kSPI_Data8Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280ad6b98474a7f14ae7665b7b6d3355657c',1,'fsl_spi.h']]], + ['kspi_5fdata9bits',['kSPI_Data9Bits',['../a00019.html#gga63554b1f1f01b827bf6449b55ca80280a4b864a74545165c2cf2dd74134fdadd1',1,'fsl_spi.h']]], ['kspi_5fendofframe',['kSPI_EndOfFrame',['../a00019.html#ggaaa2e2f2efa7be228c775fa239ab5ea03a3bc0d76da8f97613467566dc39bee3b4',1,'fsl_spi.h']]], ['kspi_5fendoftransfer',['kSPI_EndOfTransfer',['../a00019.html#ggaaa2e2f2efa7be228c775fa239ab5ea03a857a31be854542eafecfea1484ad38b5',1,'fsl_spi.h']]], ['kspi_5fendtransferflag',['kSPI_EndTransferFlag',['../a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a4f52f3d130ab947331e668c7856d8551',1,'fsl_spi.h']]], - ['kspi_5flsbfirst',['kSPI_LsbFirst',['../a00019.html#ggaa68518c16202382c2e1f1c7c66a9d53da20ac53684c3e1ad338553cecbfab94a9',1,'fsl_spi.h']]], + ['kspi_5flsbfirst',['kSPI_LsbFirst',['../a00019.html#gga1737fd82344e0800f66b541342894b85a20ac53684c3e1ad338553cecbfab94a9',1,'fsl_spi.h']]], ['kspi_5fmasteridleflag',['kSPI_MasterIdleFlag',['../a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a03ba57874691d230ad0f657cf01a7978',1,'fsl_spi.h']]], - ['kspi_5fmsbfirst',['kSPI_MsbFirst',['../a00019.html#ggaa68518c16202382c2e1f1c7c66a9d53dae7b997e8cff761aab865cbd42c4c3989',1,'fsl_spi.h']]], + ['kspi_5fmsbfirst',['kSPI_MsbFirst',['../a00019.html#gga1737fd82344e0800f66b541342894b85ae7b997e8cff761aab865cbd42c4c3989',1,'fsl_spi.h']]], ['kspi_5freceiveignore',['kSPI_ReceiveIgnore',['../a00019.html#ggaaa2e2f2efa7be228c775fa239ab5ea03a2616295b1939805764ffb815876b9eea',1,'fsl_spi.h']]], ['kspi_5frxoverrunflag',['kSPI_RxOverrunFlag',['../a00019.html#gga17a846c851b3b17e6a1564a5fab48e09ae281d12263ce63ef7c964f8564bea473',1,'fsl_spi.h']]], ['kspi_5frxoverruninterruptenable',['kSPI_RxOverrunInterruptEnable',['../a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67a4acd737132f9c3cbd8cac500767289e5',1,'fsl_spi.h']]], @@ -464,7 +479,7 @@ var searchData= ['kspi_5fslaveselectassertinterruptenable',['kSPI_SlaveSelectAssertInterruptEnable',['../a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67ad1de0c0c42c7a707e305edbd3fde88b7',1,'fsl_spi.h']]], ['kspi_5fslaveselectdeassertflag',['kSPI_SlaveSelectDeassertFlag',['../a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a1f153042fdb9681e7bd435c4cb9bd7c5',1,'fsl_spi.h']]], ['kspi_5fslaveselectdeassertinterruptenable',['kSPI_SlaveSelectDeassertInterruptEnable',['../a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67a8f55948795fa8d256b5b6e520a95f145',1,'fsl_spi.h']]], - ['kspi_5fssel0assert',['kSPI_Ssel0Assert',['../a00019.html#gga420a3d3f841957068648585b89fa66d1af64655214a47d11f960f633b1478b117',1,'fsl_spi.h']]], + ['kspi_5fssel0assert',['kSPI_Ssel0Assert',['../a00019.html#gga6cb1b64722deb9a98a4f1a442f58f8a9af64655214a47d11f960f633b1478b117',1,'fsl_spi.h']]], ['kspi_5fstallflag',['kSPI_StallFlag',['../a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a214bc23d48bafcc89241530e55195f76',1,'fsl_spi.h']]], ['kspi_5ftxreadyflag',['kSPI_TxReadyFlag',['../a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a3f796180c934ef3b688530986a844fe5',1,'fsl_spi.h']]], ['kspi_5ftxreadyinterruptenable',['kSPI_TxReadyInterruptEnable',['../a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67a0ec3e79b793d213ed30f74a338437129',1,'fsl_spi.h']]], @@ -545,6 +560,8 @@ var searchData= ['kstatusgroup_5fdspi',['kStatusGroup_DSPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aee549dc5fb5e05a0d8daaa61a089b222',1,'fsl_common.h']]], ['kstatusgroup_5fecspi',['kStatusGroup_ECSPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab99693af818132e3cb4f9198965ad637',1,'fsl_common.h']]], ['kstatusgroup_5fedma',['kStatusGroup_EDMA',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0ec7f0302a8f7eb082f449933880a1ad',1,'fsl_common.h']]], + ['kstatusgroup_5fele',['kStatusGroup_ELE',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a446c74416be882daecb3038dbe42e9de',1,'fsl_common.h']]], + ['kstatusgroup_5felemu',['kStatusGroup_ELEMU',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae9d67092ecc6b4f406fc0fb862174476',1,'fsl_common.h']]], ['kstatusgroup_5fels_5fpkc',['kStatusGroup_ELS_PKC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a70c9114d88c3a9b48cabb36cd4b2884d',1,'fsl_common.h']]], ['kstatusgroup_5fenet',['kStatusGroup_ENET',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7d6b922ab2a42d6ef35cfb2be4f80251',1,'fsl_common.h']]], ['kstatusgroup_5fenet_5fqos',['kStatusGroup_ENET_QOS',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aae462c0663af9e2d180cd06c5798ac3b',1,'fsl_common.h']]], @@ -635,7 +652,6 @@ var searchData= ['kstatusgroup_5fsfa',['kStatusGroup_SFA',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9cf111a176ebe1210ae6faaa950aac54',1,'fsl_common.h']]], ['kstatusgroup_5fshell',['kStatusGroup_SHELL',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a660addd059be8786f2aa3d9a1a196ae1',1,'fsl_common.h']]], ['kstatusgroup_5fsmartcard',['kStatusGroup_SMARTCARD',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae16d6bba44ae6f24187946960fb826dd',1,'fsl_common.h']]], - ['kstatusgroup_5fsnt',['kStatusGroup_SNT',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae67462f0d7dbac886d8a1272a29b846c',1,'fsl_common.h']]], ['kstatusgroup_5fspc',['kStatusGroup_SPC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7491bc6ede9a2eb6e7f292bb1530b7d7',1,'fsl_common.h']]], ['kstatusgroup_5fspdif',['kStatusGroup_SPDIF',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8bea2b5f8b1458aaa0dc29ddbb972d87',1,'fsl_common.h']]], ['kstatusgroup_5fspi',['kStatusGroup_SPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a60c31c70600aff4f290ca2d790622977',1,'fsl_common.h']]], @@ -647,176 +663,178 @@ var searchData= ['kstatusgroup_5fusdhc',['kStatusGroup_USDHC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae2f54d029f0e74c8fed8812e24000a74',1,'fsl_common.h']]], ['kstatusgroup_5fvbat',['kStatusGroup_VBAT',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af4cabd4468ae9e670d9c188064c56f41',1,'fsl_common.h']]], ['kstatusgroup_5fxrdc',['kStatusGroup_XRDC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af98b407f4e66e7046a3173031b45253d',1,'fsl_common.h']]], - ['kswm_5facmp_5finput1',['kSWM_ACMP_INPUT1',['../a00090.html#gga6db188a71a269613f30825af80c02053a28fab9703b5177948f7409efa9ff848a',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5finput2',['kSWM_ACMP_INPUT2',['../a00090.html#gga6db188a71a269613f30825af80c02053abd4f15c4f7b44b457764ab2aa67ac5ac',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5finput3',['kSWM_ACMP_INPUT3',['../a00090.html#gga6db188a71a269613f30825af80c02053ad8072ce6e9985ae8377377ae49ba57d7',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5finput4',['kSWM_ACMP_INPUT4',['../a00090.html#gga6db188a71a269613f30825af80c02053a2f09e0ca30217841402ba6bf16f8f785',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5finput5',['kSWM_ACMP_INPUT5',['../a00090.html#gga6db188a71a269613f30825af80c02053ad1b1f498a44a52ed74eab7333c03e177',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5fout',['kSWM_ACMP_OUT',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da76769594d29a6d8659c5c625b4212a24',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn0',['kSWM_ADC_CHN0',['../a00090.html#gga6db188a71a269613f30825af80c02053acc0420d5b902bffdc029c0a179fd9653',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn1',['kSWM_ADC_CHN1',['../a00090.html#gga6db188a71a269613f30825af80c02053af96a078ddf8497b6680dcb8812abccaf',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn10',['kSWM_ADC_CHN10',['../a00090.html#gga6db188a71a269613f30825af80c02053a3872c11496f6cd2755a2cc33edeee25e',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn11',['kSWM_ADC_CHN11',['../a00090.html#gga6db188a71a269613f30825af80c02053a1195026daab11c8e8e8a07ea2588dc59',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn2',['kSWM_ADC_CHN2',['../a00090.html#gga6db188a71a269613f30825af80c02053aab8f0dd610beed22acf2a5a2c3149a38',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn3',['kSWM_ADC_CHN3',['../a00090.html#gga6db188a71a269613f30825af80c02053ab3e46c2499e53d6187acef5b9c92faeb',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn4',['kSWM_ADC_CHN4',['../a00090.html#gga6db188a71a269613f30825af80c02053a131299a8724b490b48ff5ea4ae88ec85',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn5',['kSWM_ADC_CHN5',['../a00090.html#gga6db188a71a269613f30825af80c02053a8f49833db881a5cca72e39060510fe33',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn6',['kSWM_ADC_CHN6',['../a00090.html#gga6db188a71a269613f30825af80c02053a2cf1d219093b981b000fefd1b4b88835',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn7',['kSWM_ADC_CHN7',['../a00090.html#gga6db188a71a269613f30825af80c02053af60644696c7226d16b940a379e974d07',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn8',['kSWM_ADC_CHN8',['../a00090.html#gga6db188a71a269613f30825af80c02053aca55eb81b04844960f74d6b6f735f406',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn9',['kSWM_ADC_CHN9',['../a00090.html#gga6db188a71a269613f30825af80c02053a332c28d49858ffceda3b7d9a9a317d0c',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx0',['kSWM_CAPT_X0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da5673e5074470fd8c7773f987a45612ab',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx1',['kSWM_CAPT_X1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da74e21d63631f6e3eeae57269cc597ff9',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx2',['kSWM_CAPT_X2',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dae11d56486ef46dfad9ea855f223483f2',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx3',['kSWM_CAPT_X3',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da144b0c83acca82fa910848f7587af18e',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx4',['kSWM_CAPT_X4',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dadad77032cc009f3690361c9f4d552cdd',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fyh',['kSWM_CAPT_YH',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da839653f003a5f245984cbbb3e0ded090',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fyl',['kSWM_CAPT_YL',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dabe002214e7dc409f243527310e689060',1,'fsl_swm_connections.h']]], - ['kswm_5fclkin',['kSWM_CLKIN',['../a00090.html#gga6db188a71a269613f30825af80c02053add9353fb1a660338dc4129f564d43c41',1,'fsl_swm_connections.h']]], - ['kswm_5fclkout',['kSWM_CLKOUT',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da867964bdb44cfca767b2fe212243ad68',1,'fsl_swm_connections.h']]], - ['kswm_5fdac_5fout0',['kSWM_DAC_OUT0',['../a00090.html#gga6db188a71a269613f30825af80c02053aafaffe0fe7c5ed4eaed04bd7acac4f0b',1,'fsl_swm_connections.h']]], - ['kswm_5ffixedpin_5fnum_5ffuncs',['kSWM_FIXEDPIN_NUM_FUNCS',['../a00090.html#gga6db188a71a269613f30825af80c02053a609f40f8714286a7fce78c131a97b69f',1,'fsl_swm_connections.h']]], - ['kswm_5fgpio_5fint_5fbmat',['kSWM_GPIO_INT_BMAT',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da9e23b0bd838b14f99f22d1ae76d63143',1,'fsl_swm_connections.h']]], - ['kswm_5fi2c0_5fscl',['kSWM_I2C0_SCL',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da6f0a53c70373fdbf41edf57122669a47',1,'fsl_swm_connections.h']]], - ['kswm_5fi2c0_5fsda',['kSWM_I2C0_SDA',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da679e93580df161b665365f658345ed65',1,'fsl_swm_connections.h']]], - ['kswm_5fi2c1_5fscl',['kSWM_I2C1_SCL',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da5ba6a30f56eb6e001abb178bb215469a',1,'fsl_swm_connections.h']]], - ['kswm_5fi2c1_5fsda',['kSWM_I2C1_SDA',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dab15fc1b1ba8e4f1a35fc314c60b467be',1,'fsl_swm_connections.h']]], - ['kswm_5flvlshft_5fin0',['kSWM_LVLSHFT_IN0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dacd8498beecae42d50d55fd9135fa5554',1,'fsl_swm_connections.h']]], - ['kswm_5flvlshft_5fin1',['kSWM_LVLSHFT_IN1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da6edbcf66e2b9d21b36413d5913952b7b',1,'fsl_swm_connections.h']]], - ['kswm_5flvlshft_5fout0',['kSWM_LVLSHFT_OUT0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da4bfff10a0df16a23aebb02bc2a19f662',1,'fsl_swm_connections.h']]], - ['kswm_5flvlshft_5fout1',['kSWM_LVLSHFT_OUT1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da167b30e083150f7ef078b3fc15b9a2fb',1,'fsl_swm_connections.h']]], - ['kswm_5fmovable_5fnum_5ffuncs',['kSWM_MOVABLE_NUM_FUNCS',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dac735c6243e08a73181d0f0f8f6c130bb',1,'fsl_swm_connections.h']]], - ['kswm_5fpinassingnfixed_5fmovable_5fnum_5ffuncs',['kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdab1344f53d421d647d2f85460ff8630df',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fclkin_5fin',['kSWM_PLU_CLKIN_IN',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13daf4805a2bfb2a47017d373209a10ce52d',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput0',['kSWM_PLU_INPUT0',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda1dea0e7772dbe79bdf2cfb15e7a547a0',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput0_5fportpin_5fp0_5f0',['kSWM_PLU_INPUT0_PortPin_P0_0',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9238a533be8bd8e0955b7a90f5ae4d44',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput0_5fportpin_5fp0_5f17',['kSWM_PLU_INPUT0_PortPin_P0_17',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a34bca304086fb621de87b04528f8164b',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput0_5fportpin_5fp0_5f8',['kSWM_PLU_INPUT0_PortPin_P0_8',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ad0716ea2f10ff5fbd04234889b1e53ed',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput1',['kSWM_PLU_INPUT1',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdac9ea3883dbd984c68e4049f5fa48903c',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput1_5fportpin_5fp0_5f1',['kSWM_PLU_INPUT1_PortPin_P0_1',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af15b31098ffc1b3561d7ffbfd191c2e3',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput1_5fportpin_5fp0_5f18',['kSWM_PLU_INPUT1_PortPin_P0_18',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475afa22c48b958dcc1d146a381ceb411dc6',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput1_5fportpin_5fp0_5f9',['kSWM_PLU_INPUT1_PortPin_P0_9',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa54388872ede616ae8431f22adf9b883',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput2',['kSWM_PLU_INPUT2',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda669e23d5037d7508cace2b056a6e5299',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput2_5fportpin_5fp0_5f10',['kSWM_PLU_INPUT2_PortPin_P0_10',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2e20c680e47cfed45e9ccd1462b921a1',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput2_5fportpin_5fp0_5f19',['kSWM_PLU_INPUT2_PortPin_P0_19',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a576c74aa596dfebd41123a123b1e086f',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput2_5fportpin_5fp0_5f2',['kSWM_PLU_INPUT2_PortPin_P0_2',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a7ac7b3d83eff1aca3ca60bf8102dac',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput3',['kSWM_PLU_INPUT3',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaf70a738eecaddb8268f264bb7baf6b9b',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput3_5fportpin_5fp0_5f11',['kSWM_PLU_INPUT3_PortPin_P0_11',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0ff213062c17f56f0bd74cf6510b1ee',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput3_5fportpin_5fp0_5f20',['kSWM_PLU_INPUT3_PortPin_P0_20',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af6c85c442a0709477e5729c9dde36fdc',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput3_5fportpin_5fp0_5f3',['kSWM_PLU_INPUT3_PortPin_P0_3',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ae557054f417e495346ddcc3e7f7162ba',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput4',['kSWM_PLU_INPUT4',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda8b3379b03023f7f9057837109b142230',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput4_5fportpin_5fp0_5f12',['kSWM_PLU_INPUT4_PortPin_P0_12',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a09729e747b6419e05e6b80eecd08b380',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput4_5fportpin_5fp0_5f21',['kSWM_PLU_INPUT4_PortPin_P0_21',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af8ebc1a1ac7242706e0a4d3254de8a1a',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput4_5fportpin_5fp0_5f4',['kSWM_PLU_INPUT4_PortPin_P0_4',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a88cbbe93397f750184a74a2fb1635441',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput5',['kSWM_PLU_INPUT5',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdae66a048f12b6af45145f2747fe9de530',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput5_5fportpin_5fp0_5f13',['kSWM_PLU_INPUT5_PortPin_P0_13',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a30425caf231771228aa99f2871efa005',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput5_5fportpin_5fp0_5f22',['kSWM_PLU_INPUT5_PortPin_P0_22',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a78528eef89bede351c7f85b2de156747',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput5_5fportpin_5fp0_5f5',['kSWM_PLU_INPUT5_PortPin_P0_5',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a4de20ff3218f9af0175dfba0a1aaa38d',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout0',['kSWM_PLU_OUT0',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaa2fbf0c2913f92571b79aebda5d5cec6',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout0_5fportpin_5fp0_5f14',['kSWM_PLU_OUT0_PortPin_P0_14',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa99b5d54d06869f8977cf41527daf915',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout0_5fportpin_5fp0_5f23',['kSWM_PLU_OUT0_PortPin_P0_23',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a8b81353af093a8df62363344a1359c42',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout0_5fportpin_5fp0_5f7',['kSWM_PLU_OUT0_PortPin_P0_7',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475acf4d35c0b2416225ace1794d2316d78b',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout1',['kSWM_PLU_OUT1',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda865830e9fdc6bf03bf1d477ca3c6a6ca',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout1_5fportpin_5fp0_5f15',['kSWM_PLU_OUT1_PortPin_P0_15',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0c66d3751768e52c7b283f7c94b17bce',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout1_5fportpin_5fp0_5f24',['kSWM_PLU_OUT1_PortPin_P0_24',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a1b0e5339f4df77ac5085a16d8d0ff7',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout1_5fportpin_5fp0_5f8',['kSWM_PLU_OUT1_PortPin_P0_8',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ac53270179d009a402596effdc9ebabdc',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout2',['kSWM_PLU_OUT2',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda5bb0b796d35f26e384e79aea47cf62b7',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout2_5fportpin_5fp0_5f16',['kSWM_PLU_OUT2_PortPin_P0_16',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475acc1e82634c86fc3ee7c0a50026e901ad',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout2_5fportpin_5fp0_5f25',['kSWM_PLU_OUT2_PortPin_P0_25',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2d217651e60f137293707c19d717af37',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout2_5fportpin_5fp0_5f9',['kSWM_PLU_OUT2_PortPin_P0_9',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af3bbdfacb373ba7dbcbedde68406d03f',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout3',['kSWM_PLU_OUT3',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdad6866a46cce2335ee7228f696af14ea2',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout3_5fportpin_5fp0_5f10',['kSWM_PLU_OUT3_PortPin_P0_10',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa9aeb1c6417d18b72b3d94aec5ac7431',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout3_5fportpin_5fp0_5f17',['kSWM_PLU_OUT3_PortPin_P0_17',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a77450c6220c5a32fde70c7e91d3edbb0',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout3_5fportpin_5fp0_5f26',['kSWM_PLU_OUT3_PortPin_P0_26',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0c298ece28ee4367783dcf472fe20b6',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout4',['kSWM_PLU_OUT4',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaef14d94c177e35d440f3549aeed9c7b8',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout4_5fportpin_5fp0_5f11',['kSWM_PLU_OUT4_PortPin_P0_11',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2da86e45befea6a916816c5e523daad5',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout4_5fportpin_5fp0_5f18',['kSWM_PLU_OUT4_PortPin_P0_18',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a73d53097414e5fc5f4cbe2bcdc5b16d1',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout4_5fportpin_5fp0_5f27',['kSWM_PLU_OUT4_PortPin_P0_27',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a58d7c20b50aaa229094c5dc60a0cb14b',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout5',['kSWM_PLU_OUT5',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda66b170fb8a6d54c31eacce78c619adbf',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout5_5fportpin_5fp0_5f12',['kSWM_PLU_OUT5_PortPin_P0_12',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9c78a8bb274fb2604cb4e80af4da988c',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout5_5fportpin_5fp0_5f19',['kSWM_PLU_OUT5_PortPin_P0_19',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa4096fcdb3eb88b567870cf2f250208e',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout5_5fportpin_5fp0_5f28',['kSWM_PLU_OUT5_PortPin_P0_28',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a31d722ef719c89924cd70efe94723ad3',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout6',['kSWM_PLU_OUT6',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdafae5eae69465f413508aac6ac906b7fe',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout6_5fportpin_5fp0_5f13',['kSWM_PLU_OUT6_PortPin_P0_13',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a7ea7748ab2a2bb448eca9daab449a9fa',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout6_5fportpin_5fp0_5f20',['kSWM_PLU_OUT6_PortPin_P0_20',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a89ea9c75bd1ef71e7f1d4c52544dad9f',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout6_5fportpin_5fp0_5f29',['kSWM_PLU_OUT6_PortPin_P0_29',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2b352e3ffe67686dac289a8f8d86fba0',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout7',['kSWM_PLU_OUT7',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaafdc30e41c762800c39cd92cbffb2b31',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout7_5fportpin_5fp0_5f14',['kSWM_PLU_OUT7_PortPin_P0_14',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0038fe47f7308af0fb046b6977b5928e',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout7_5fportpin_5fp0_5f21',['kSWM_PLU_OUT7_PortPin_P0_21',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af1e2e2ccc38fec30ae5b3e617524cdab',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout7_5fportpin_5fp0_5f30',['kSWM_PLU_OUT7_PortPin_P0_30',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0ead9e203d786e410f1d21f19f02aed2',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f0',['kSWM_PortPin_P0_0',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35abf7ea2c0f06252d5e966ca63f23dba2e',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f1',['kSWM_PortPin_P0_1',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a9010bbdc4d0ba698a18c200f27586c5a',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f10',['kSWM_PortPin_P0_10',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35adb2af26fbb96cad1a3f4d666e3759e39',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f11',['kSWM_PortPin_P0_11',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a6514b3be861759fdc7f645c4a8190176',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f12',['kSWM_PortPin_P0_12',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a473548308d7fac0d5fa4ac959f81e444',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f13',['kSWM_PortPin_P0_13',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a0efea555d71ff08c985b3c002e49f25a',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f14',['kSWM_PortPin_P0_14',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ae2a752505db2acdb06e6047c3c447f63',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f15',['kSWM_PortPin_P0_15',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a21dd2c94b4ab7b133e9818a5a394f967',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f16',['kSWM_PortPin_P0_16',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a0c38ea80f06768e2ad6282fbc11d5187',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f17',['kSWM_PortPin_P0_17',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a74b6edcb7dba9f95182a4faa57dd110d',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f18',['kSWM_PortPin_P0_18',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a1eb0795f2598b9acf64e7abcb63f78d5',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f19',['kSWM_PortPin_P0_19',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a488a17a4e210b5000df9ecc534d24c8b',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f2',['kSWM_PortPin_P0_2',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aa8e8084f5ea75a2e776f4a8f8a8d5e61',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f20',['kSWM_PortPin_P0_20',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a1127b8f8732d34f2c80daf825bb14853',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f21',['kSWM_PortPin_P0_21',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a8ec22695a3c8fbc028cc86299ca76b9a',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f22',['kSWM_PortPin_P0_22',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35af2a8176b461addf3d18b1128800dd7ef',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f23',['kSWM_PortPin_P0_23',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ae6795c51fac27d7426848568f125a6e8',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f24',['kSWM_PortPin_P0_24',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ac61483b0bbae6a06d6789e41aaf450fc',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f25',['kSWM_PortPin_P0_25',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a7a625624c8520faff1f2e6b0a1230ba5',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f26',['kSWM_PortPin_P0_26',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ac557f3233abd222c5cf7d809e082f94b',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f27',['kSWM_PortPin_P0_27',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aea9feb0c4582cb00ea087fef692f7623',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f28',['kSWM_PortPin_P0_28',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35af3005bf86c4488117ca1396151d2c2af',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f29',['kSWM_PortPin_P0_29',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35acc5bcdfe59c04f2da33835496b3a2ddf',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f3',['kSWM_PortPin_P0_3',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a3a31a8f0c23e7d75db386e0f1705fcb8',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f30',['kSWM_PortPin_P0_30',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aeba0cfeb40308a0bd10bd33298bf9e84',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f31',['kSWM_PortPin_P0_31',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a7b0ebc4f977a126eb51422b3df962e87',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f4',['kSWM_PortPin_P0_4',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a933c38c001bf24a62f0c8bf62e9bbbfb',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f5',['kSWM_PortPin_P0_5',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a75b66d0e23730af2446bdec683db11d3',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f6',['kSWM_PortPin_P0_6',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a4e2797855da4686eb7de9e75c5034d66',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f7',['kSWM_PortPin_P0_7',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a8a3af6c1f0f5791666b2c8409321ee0b',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f8',['kSWM_PortPin_P0_8',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ab0f66b94af6c72515e705077a0aea28b',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f9',['kSWM_PortPin_P0_9',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ace9b73074e1c03234c89b40ecd52a1e6',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5freset',['kSWM_PortPin_Reset',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a6873cb2ccda9efd34d7fdd75f3829f1c',1,'fsl_swm_connections.h']]], - ['kswm_5fresetn',['kSWM_RESETN',['../a00090.html#gga6db188a71a269613f30825af80c02053a2c3df2d1d6d1fb817003b824eb699585',1,'fsl_swm_connections.h']]], - ['kswm_5frst_5fn_5fshift_5frstn',['kSWM_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770abbd5b2eb886157d35a4ff88077fa192b',1,'fsl_reset.h']]], - ['kswm_5fspi0_5fmiso',['kSWM_SPI0_MISO',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da84653c7b62da4f773676a39a2abe597a',1,'fsl_swm_connections.h']]], - ['kswm_5fspi0_5fmosi',['kSWM_SPI0_MOSI',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dad6e9059822d87be57e82960aa79d9229',1,'fsl_swm_connections.h']]], - ['kswm_5fspi0_5fsck',['kSWM_SPI0_SCK',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dabd1859e7fd97d6aad4edf95f92eb1df0',1,'fsl_swm_connections.h']]], - ['kswm_5fspi0_5fssel0',['kSWM_SPI0_SSEL0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dadeb15fd0fbfb9f438e22762cad170359',1,'fsl_swm_connections.h']]], - ['kswm_5fspi0_5fssel1',['kSWM_SPI0_SSEL1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dacdcd02ea5803089ebcd609e227acab8b',1,'fsl_swm_connections.h']]], - ['kswm_5fswclk',['kSWM_SWCLK',['../a00090.html#gga6db188a71a269613f30825af80c02053a9b86fc933fab954e947140ea4ca2ffe3',1,'fsl_swm_connections.h']]], - ['kswm_5fswdio',['kSWM_SWDIO',['../a00090.html#gga6db188a71a269613f30825af80c02053ac0ebb404f4a50e198c79a35af9721e6c',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fcap_5fchn0',['kSWM_T0_CAP_CHN0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da14bfd78536056ebdc4d2bfed0438b5cf',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fcap_5fchn1',['kSWM_T0_CAP_CHN1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da048cac659ef579d1358cc6d2a7db2c3a',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fcap_5fchn2',['kSWM_T0_CAP_CHN2',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13daef5103aadc41068ca6157543520491b2',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fmat_5fchn0',['kSWM_T0_MAT_CHN0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da0ac9c784851c59d1c334fba730bdc091',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fmat_5fchn1',['kSWM_T0_MAT_CHN1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da4be08849669f9f086a238335662c6ac1',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fmat_5fchn2',['kSWM_T0_MAT_CHN2',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da11e574aeb2ec56f282a103d78c49ccbe',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fmat_5fchn3',['kSWM_T0_MAT_CHN3',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da3ab2e3d866e55d84dc2c342eb660c0d5',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5fcts',['kSWM_USART0_CTS',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dacf5086c4d10114ed1e2adf80ef0c1d8e',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5frts',['kSWM_USART0_RTS',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da83269426ac6b85e729bd940e7c6bc168',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5frxd',['kSWM_USART0_RXD',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dac38fd128ae8088af0ab82bb9e1ab2b0e',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5fsclk',['kSWM_USART0_SCLK',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da95076efb390207c31347c9d6eaf7a568',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5ftxd',['kSWM_USART0_TXD',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da7a0b07e7613ca95cbae02f51e74338ae',1,'fsl_swm_connections.h']]], - ['kswm_5fusart1_5frxd',['kSWM_USART1_RXD',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da40ca641c0138d551c28bb9dd184bf948',1,'fsl_swm_connections.h']]], - ['kswm_5fusart1_5fsclk',['kSWM_USART1_SCLK',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da209dc130cd5427683bedca3187726030',1,'fsl_swm_connections.h']]], - ['kswm_5fusart1_5ftxd',['kSWM_USART1_TXD',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da3ca0015b97fb5a1b24b1f6fda113488d',1,'fsl_swm_connections.h']]], - ['kswm_5fvddcmp',['kSWM_VDDCMP',['../a00090.html#gga6db188a71a269613f30825af80c02053a1c8051ee75028cdb756ce666cc9b1bb9',1,'fsl_swm_connections.h']]], - ['kswm_5fwkclkin',['kSWM_WKCLKIN',['../a00090.html#gga6db188a71a269613f30825af80c02053ae9a9d439d460166f8795ddd23c7135b5',1,'fsl_swm_connections.h']]], - ['ksyscon_5fgpioport0pin0topintsel',['kSYSCON_GpioPort0Pin0ToPintsel',['../a00091.html#gga4a63ee69b68459770551a0e4d7b6cdadafe2a386c6f3e8884b995d08fd87de542',1,'fsl_syscon_connections.h']]], - ['kuart0_5fclk_5ffrom_5ffrg0clk',['kUART0_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ad0784125581fd7bf09f4b3bab3af725d',1,'fsl_clock.h']]], - ['kuart0_5fclk_5ffrom_5ffro',['kUART0_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a67d2eb5235a0677d1ef161e5e1c2b340',1,'fsl_clock.h']]], - ['kuart0_5fclk_5ffrom_5ffro_5fdiv',['kUART0_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58af06770587aa45bbb62d1e4fe36d03d81',1,'fsl_clock.h']]], - ['kuart0_5fclk_5ffrom_5fmainclk',['kUART0_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a841785f980e4c406eeecdcf07559fe66',1,'fsl_clock.h']]], - ['kuart0_5frst_5fn_5fshift_5frstn',['kUART0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770aa294a3c09c1565947ebcd78694acff24',1,'fsl_reset.h']]], - ['kuart1_5fclk_5ffrom_5ffrg0clk',['kUART1_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58af45a833dead43235df03a982dc25ea33',1,'fsl_clock.h']]], - ['kuart1_5fclk_5ffrom_5ffro',['kUART1_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a9fbd759cc60932bb0896625543477290',1,'fsl_clock.h']]], - ['kuart1_5fclk_5ffrom_5ffro_5fdiv',['kUART1_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a1b183d67629d90ddc1a9b9baf2ebc285',1,'fsl_clock.h']]], - ['kuart1_5fclk_5ffrom_5fmainclk',['kUART1_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a066679b9e0a01ccd0aad6e6caa643f2f',1,'fsl_clock.h']]], - ['kuart1_5frst_5fn_5fshift_5frstn',['kUART1_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a8bc751e4927f0c20a0695b284fc40c38',1,'fsl_reset.h']]], - ['kusart_5f7bitsperchar',['kUSART_7BitsPerChar',['../a00020.html#gga28e46a3538cf5f5140523132a963283ca9562d6cdd240e9808a9a1d1946f400b7',1,'fsl_usart.h']]], - ['kusart_5f8bitsperchar',['kUSART_8BitsPerChar',['../a00020.html#gga28e46a3538cf5f5140523132a963283cad71aee19329a4127614132d30e50ad8a',1,'fsl_usart.h']]], + ['kswm_5facmp_5finput1',['kSWM_ACMP_INPUT1',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba28fab9703b5177948f7409efa9ff848a',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5finput2',['kSWM_ACMP_INPUT2',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8babd4f15c4f7b44b457764ab2aa67ac5ac',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5finput3',['kSWM_ACMP_INPUT3',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bad8072ce6e9985ae8377377ae49ba57d7',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5finput4',['kSWM_ACMP_INPUT4',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba2f09e0ca30217841402ba6bf16f8f785',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5finput5',['kSWM_ACMP_INPUT5',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bad1b1f498a44a52ed74eab7333c03e177',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5fout',['kSWM_ACMP_OUT',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a76769594d29a6d8659c5c625b4212a24',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn0',['kSWM_ADC_CHN0',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bacc0420d5b902bffdc029c0a179fd9653',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn1',['kSWM_ADC_CHN1',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baf96a078ddf8497b6680dcb8812abccaf',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn10',['kSWM_ADC_CHN10',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba3872c11496f6cd2755a2cc33edeee25e',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn11',['kSWM_ADC_CHN11',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba1195026daab11c8e8e8a07ea2588dc59',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn2',['kSWM_ADC_CHN2',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baab8f0dd610beed22acf2a5a2c3149a38',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn3',['kSWM_ADC_CHN3',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bab3e46c2499e53d6187acef5b9c92faeb',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn4',['kSWM_ADC_CHN4',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba131299a8724b490b48ff5ea4ae88ec85',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn5',['kSWM_ADC_CHN5',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba8f49833db881a5cca72e39060510fe33',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn6',['kSWM_ADC_CHN6',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba2cf1d219093b981b000fefd1b4b88835',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn7',['kSWM_ADC_CHN7',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baf60644696c7226d16b940a379e974d07',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn8',['kSWM_ADC_CHN8',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baca55eb81b04844960f74d6b6f735f406',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn9',['kSWM_ADC_CHN9',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba332c28d49858ffceda3b7d9a9a317d0c',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx0',['kSWM_CAPT_X0',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a5673e5074470fd8c7773f987a45612ab',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx1',['kSWM_CAPT_X1',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a74e21d63631f6e3eeae57269cc597ff9',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx2',['kSWM_CAPT_X2',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185ae11d56486ef46dfad9ea855f223483f2',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx3',['kSWM_CAPT_X3',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a144b0c83acca82fa910848f7587af18e',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx4',['kSWM_CAPT_X4',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185adad77032cc009f3690361c9f4d552cdd',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fyh',['kSWM_CAPT_YH',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a839653f003a5f245984cbbb3e0ded090',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fyl',['kSWM_CAPT_YL',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185abe002214e7dc409f243527310e689060',1,'fsl_swm_connections.h']]], + ['kswm_5fclkin',['kSWM_CLKIN',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8badd9353fb1a660338dc4129f564d43c41',1,'fsl_swm_connections.h']]], + ['kswm_5fclkout',['kSWM_CLKOUT',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a867964bdb44cfca767b2fe212243ad68',1,'fsl_swm_connections.h']]], + ['kswm_5fdac_5fout0',['kSWM_DAC_OUT0',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8baafaffe0fe7c5ed4eaed04bd7acac4f0b',1,'fsl_swm_connections.h']]], + ['kswm_5ffixedpin_5fnum_5ffuncs',['kSWM_FIXEDPIN_NUM_FUNCS',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba609f40f8714286a7fce78c131a97b69f',1,'fsl_swm_connections.h']]], + ['kswm_5fgpio_5fint_5fbmat',['kSWM_GPIO_INT_BMAT',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a9e23b0bd838b14f99f22d1ae76d63143',1,'fsl_swm_connections.h']]], + ['kswm_5fi2c0_5fscl',['kSWM_I2C0_SCL',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a6f0a53c70373fdbf41edf57122669a47',1,'fsl_swm_connections.h']]], + ['kswm_5fi2c0_5fsda',['kSWM_I2C0_SDA',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a679e93580df161b665365f658345ed65',1,'fsl_swm_connections.h']]], + ['kswm_5fi2c1_5fscl',['kSWM_I2C1_SCL',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a5ba6a30f56eb6e001abb178bb215469a',1,'fsl_swm_connections.h']]], + ['kswm_5fi2c1_5fsda',['kSWM_I2C1_SDA',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185ab15fc1b1ba8e4f1a35fc314c60b467be',1,'fsl_swm_connections.h']]], + ['kswm_5flvlshft_5fin0',['kSWM_LVLSHFT_IN0',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185acd8498beecae42d50d55fd9135fa5554',1,'fsl_swm_connections.h']]], + ['kswm_5flvlshft_5fin1',['kSWM_LVLSHFT_IN1',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a6edbcf66e2b9d21b36413d5913952b7b',1,'fsl_swm_connections.h']]], + ['kswm_5flvlshft_5fout0',['kSWM_LVLSHFT_OUT0',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a4bfff10a0df16a23aebb02bc2a19f662',1,'fsl_swm_connections.h']]], + ['kswm_5flvlshft_5fout1',['kSWM_LVLSHFT_OUT1',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a167b30e083150f7ef078b3fc15b9a2fb',1,'fsl_swm_connections.h']]], + ['kswm_5fmovable_5fnum_5ffuncs',['kSWM_MOVABLE_NUM_FUNCS',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185ac735c6243e08a73181d0f0f8f6c130bb',1,'fsl_swm_connections.h']]], + ['kswm_5fpinassingnfixed_5fmovable_5fnum_5ffuncs',['kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15ab1344f53d421d647d2f85460ff8630df',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fclkin_5fin',['kSWM_PLU_CLKIN_IN',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185af4805a2bfb2a47017d373209a10ce52d',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput0',['kSWM_PLU_INPUT0',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a1dea0e7772dbe79bdf2cfb15e7a547a0',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput0_5fportpin_5fp0_5f0',['kSWM_PLU_INPUT0_PortPin_P0_0',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a9238a533be8bd8e0955b7a90f5ae4d44',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput0_5fportpin_5fp0_5f17',['kSWM_PLU_INPUT0_PortPin_P0_17',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a34bca304086fb621de87b04528f8164b',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput0_5fportpin_5fp0_5f8',['kSWM_PLU_INPUT0_PortPin_P0_8',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79ad0716ea2f10ff5fbd04234889b1e53ed',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput1',['kSWM_PLU_INPUT1',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15ac9ea3883dbd984c68e4049f5fa48903c',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput1_5fportpin_5fp0_5f1',['kSWM_PLU_INPUT1_PortPin_P0_1',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79af15b31098ffc1b3561d7ffbfd191c2e3',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput1_5fportpin_5fp0_5f18',['kSWM_PLU_INPUT1_PortPin_P0_18',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79afa22c48b958dcc1d146a381ceb411dc6',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput1_5fportpin_5fp0_5f9',['kSWM_PLU_INPUT1_PortPin_P0_9',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa54388872ede616ae8431f22adf9b883',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput2',['kSWM_PLU_INPUT2',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a669e23d5037d7508cace2b056a6e5299',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput2_5fportpin_5fp0_5f10',['kSWM_PLU_INPUT2_PortPin_P0_10',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a2e20c680e47cfed45e9ccd1462b921a1',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput2_5fportpin_5fp0_5f19',['kSWM_PLU_INPUT2_PortPin_P0_19',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a576c74aa596dfebd41123a123b1e086f',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput2_5fportpin_5fp0_5f2',['kSWM_PLU_INPUT2_PortPin_P0_2',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a5a7ac7b3d83eff1aca3ca60bf8102dac',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput3',['kSWM_PLU_INPUT3',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15af70a738eecaddb8268f264bb7baf6b9b',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput3_5fportpin_5fp0_5f11',['kSWM_PLU_INPUT3_PortPin_P0_11',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa0ff213062c17f56f0bd74cf6510b1ee',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput3_5fportpin_5fp0_5f20',['kSWM_PLU_INPUT3_PortPin_P0_20',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79af6c85c442a0709477e5729c9dde36fdc',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput3_5fportpin_5fp0_5f3',['kSWM_PLU_INPUT3_PortPin_P0_3',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79ae557054f417e495346ddcc3e7f7162ba',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput4',['kSWM_PLU_INPUT4',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a8b3379b03023f7f9057837109b142230',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput4_5fportpin_5fp0_5f12',['kSWM_PLU_INPUT4_PortPin_P0_12',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a09729e747b6419e05e6b80eecd08b380',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput4_5fportpin_5fp0_5f21',['kSWM_PLU_INPUT4_PortPin_P0_21',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79af8ebc1a1ac7242706e0a4d3254de8a1a',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput4_5fportpin_5fp0_5f4',['kSWM_PLU_INPUT4_PortPin_P0_4',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a88cbbe93397f750184a74a2fb1635441',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput5',['kSWM_PLU_INPUT5',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15ae66a048f12b6af45145f2747fe9de530',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput5_5fportpin_5fp0_5f13',['kSWM_PLU_INPUT5_PortPin_P0_13',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a30425caf231771228aa99f2871efa005',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput5_5fportpin_5fp0_5f22',['kSWM_PLU_INPUT5_PortPin_P0_22',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a78528eef89bede351c7f85b2de156747',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput5_5fportpin_5fp0_5f5',['kSWM_PLU_INPUT5_PortPin_P0_5',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a4de20ff3218f9af0175dfba0a1aaa38d',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout0',['kSWM_PLU_OUT0',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15aa2fbf0c2913f92571b79aebda5d5cec6',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout0_5fportpin_5fp0_5f14',['kSWM_PLU_OUT0_PortPin_P0_14',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa99b5d54d06869f8977cf41527daf915',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout0_5fportpin_5fp0_5f23',['kSWM_PLU_OUT0_PortPin_P0_23',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a8b81353af093a8df62363344a1359c42',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout0_5fportpin_5fp0_5f7',['kSWM_PLU_OUT0_PortPin_P0_7',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79acf4d35c0b2416225ace1794d2316d78b',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout1',['kSWM_PLU_OUT1',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a865830e9fdc6bf03bf1d477ca3c6a6ca',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout1_5fportpin_5fp0_5f15',['kSWM_PLU_OUT1_PortPin_P0_15',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a0c66d3751768e52c7b283f7c94b17bce',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout1_5fportpin_5fp0_5f24',['kSWM_PLU_OUT1_PortPin_P0_24',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a5a1b0e5339f4df77ac5085a16d8d0ff7',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout1_5fportpin_5fp0_5f8',['kSWM_PLU_OUT1_PortPin_P0_8',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79ac53270179d009a402596effdc9ebabdc',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout2',['kSWM_PLU_OUT2',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a5bb0b796d35f26e384e79aea47cf62b7',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout2_5fportpin_5fp0_5f16',['kSWM_PLU_OUT2_PortPin_P0_16',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79acc1e82634c86fc3ee7c0a50026e901ad',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout2_5fportpin_5fp0_5f25',['kSWM_PLU_OUT2_PortPin_P0_25',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a2d217651e60f137293707c19d717af37',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout2_5fportpin_5fp0_5f9',['kSWM_PLU_OUT2_PortPin_P0_9',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79af3bbdfacb373ba7dbcbedde68406d03f',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout3',['kSWM_PLU_OUT3',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15ad6866a46cce2335ee7228f696af14ea2',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout3_5fportpin_5fp0_5f10',['kSWM_PLU_OUT3_PortPin_P0_10',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa9aeb1c6417d18b72b3d94aec5ac7431',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout3_5fportpin_5fp0_5f17',['kSWM_PLU_OUT3_PortPin_P0_17',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a77450c6220c5a32fde70c7e91d3edbb0',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout3_5fportpin_5fp0_5f26',['kSWM_PLU_OUT3_PortPin_P0_26',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa0c298ece28ee4367783dcf472fe20b6',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout4',['kSWM_PLU_OUT4',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15aef14d94c177e35d440f3549aeed9c7b8',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout4_5fportpin_5fp0_5f11',['kSWM_PLU_OUT4_PortPin_P0_11',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a2da86e45befea6a916816c5e523daad5',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout4_5fportpin_5fp0_5f18',['kSWM_PLU_OUT4_PortPin_P0_18',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a73d53097414e5fc5f4cbe2bcdc5b16d1',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout4_5fportpin_5fp0_5f27',['kSWM_PLU_OUT4_PortPin_P0_27',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a58d7c20b50aaa229094c5dc60a0cb14b',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout5',['kSWM_PLU_OUT5',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15a66b170fb8a6d54c31eacce78c619adbf',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout5_5fportpin_5fp0_5f12',['kSWM_PLU_OUT5_PortPin_P0_12',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a9c78a8bb274fb2604cb4e80af4da988c',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout5_5fportpin_5fp0_5f19',['kSWM_PLU_OUT5_PortPin_P0_19',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79aa4096fcdb3eb88b567870cf2f250208e',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout5_5fportpin_5fp0_5f28',['kSWM_PLU_OUT5_PortPin_P0_28',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a31d722ef719c89924cd70efe94723ad3',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout6',['kSWM_PLU_OUT6',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15afae5eae69465f413508aac6ac906b7fe',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout6_5fportpin_5fp0_5f13',['kSWM_PLU_OUT6_PortPin_P0_13',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a7ea7748ab2a2bb448eca9daab449a9fa',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout6_5fportpin_5fp0_5f20',['kSWM_PLU_OUT6_PortPin_P0_20',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a89ea9c75bd1ef71e7f1d4c52544dad9f',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout6_5fportpin_5fp0_5f29',['kSWM_PLU_OUT6_PortPin_P0_29',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a2b352e3ffe67686dac289a8f8d86fba0',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout7',['kSWM_PLU_OUT7',['../a00090.html#ggadaa2f3f3cbfd49301b5bf9243b9bec15aafdc30e41c762800c39cd92cbffb2b31',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout7_5fportpin_5fp0_5f14',['kSWM_PLU_OUT7_PortPin_P0_14',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a0038fe47f7308af0fb046b6977b5928e',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout7_5fportpin_5fp0_5f21',['kSWM_PLU_OUT7_PortPin_P0_21',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79af1e2e2ccc38fec30ae5b3e617524cdab',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout7_5fportpin_5fp0_5f30',['kSWM_PLU_OUT7_PortPin_P0_30',['../a00090.html#gga0330b1b5a30c88e7988cab35982afa79a0ead9e203d786e410f1d21f19f02aed2',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f0',['kSWM_PortPin_P0_0',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8abf7ea2c0f06252d5e966ca63f23dba2e',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f1',['kSWM_PortPin_P0_1',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a9010bbdc4d0ba698a18c200f27586c5a',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f10',['kSWM_PortPin_P0_10',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8adb2af26fbb96cad1a3f4d666e3759e39',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f11',['kSWM_PortPin_P0_11',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a6514b3be861759fdc7f645c4a8190176',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f12',['kSWM_PortPin_P0_12',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a473548308d7fac0d5fa4ac959f81e444',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f13',['kSWM_PortPin_P0_13',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a0efea555d71ff08c985b3c002e49f25a',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f14',['kSWM_PortPin_P0_14',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8ae2a752505db2acdb06e6047c3c447f63',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f15',['kSWM_PortPin_P0_15',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a21dd2c94b4ab7b133e9818a5a394f967',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f16',['kSWM_PortPin_P0_16',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a0c38ea80f06768e2ad6282fbc11d5187',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f17',['kSWM_PortPin_P0_17',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a74b6edcb7dba9f95182a4faa57dd110d',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f18',['kSWM_PortPin_P0_18',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a1eb0795f2598b9acf64e7abcb63f78d5',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f19',['kSWM_PortPin_P0_19',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a488a17a4e210b5000df9ecc534d24c8b',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f2',['kSWM_PortPin_P0_2',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8aa8e8084f5ea75a2e776f4a8f8a8d5e61',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f20',['kSWM_PortPin_P0_20',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a1127b8f8732d34f2c80daf825bb14853',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f21',['kSWM_PortPin_P0_21',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a8ec22695a3c8fbc028cc86299ca76b9a',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f22',['kSWM_PortPin_P0_22',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8af2a8176b461addf3d18b1128800dd7ef',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f23',['kSWM_PortPin_P0_23',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8ae6795c51fac27d7426848568f125a6e8',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f24',['kSWM_PortPin_P0_24',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8ac61483b0bbae6a06d6789e41aaf450fc',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f25',['kSWM_PortPin_P0_25',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a7a625624c8520faff1f2e6b0a1230ba5',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f26',['kSWM_PortPin_P0_26',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8ac557f3233abd222c5cf7d809e082f94b',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f27',['kSWM_PortPin_P0_27',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8aea9feb0c4582cb00ea087fef692f7623',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f28',['kSWM_PortPin_P0_28',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8af3005bf86c4488117ca1396151d2c2af',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f29',['kSWM_PortPin_P0_29',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8acc5bcdfe59c04f2da33835496b3a2ddf',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f3',['kSWM_PortPin_P0_3',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a3a31a8f0c23e7d75db386e0f1705fcb8',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f30',['kSWM_PortPin_P0_30',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8aeba0cfeb40308a0bd10bd33298bf9e84',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f31',['kSWM_PortPin_P0_31',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a7b0ebc4f977a126eb51422b3df962e87',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f4',['kSWM_PortPin_P0_4',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a933c38c001bf24a62f0c8bf62e9bbbfb',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f5',['kSWM_PortPin_P0_5',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a75b66d0e23730af2446bdec683db11d3',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f6',['kSWM_PortPin_P0_6',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a4e2797855da4686eb7de9e75c5034d66',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f7',['kSWM_PortPin_P0_7',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a8a3af6c1f0f5791666b2c8409321ee0b',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f8',['kSWM_PortPin_P0_8',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8ab0f66b94af6c72515e705077a0aea28b',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f9',['kSWM_PortPin_P0_9',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8ace9b73074e1c03234c89b40ecd52a1e6',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5freset',['kSWM_PortPin_Reset',['../a00090.html#gga026be0053c5c663a386264d645ab1ef8a6873cb2ccda9efd34d7fdd75f3829f1c',1,'fsl_swm_connections.h']]], + ['kswm_5fresetn',['kSWM_RESETN',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba2c3df2d1d6d1fb817003b824eb699585',1,'fsl_swm_connections.h']]], + ['kswm_5frst_5fn_5fshift_5frstn',['kSWM_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20abbd5b2eb886157d35a4ff88077fa192b',1,'fsl_reset.h']]], + ['kswm_5fspi0_5fmiso',['kSWM_SPI0_MISO',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a84653c7b62da4f773676a39a2abe597a',1,'fsl_swm_connections.h']]], + ['kswm_5fspi0_5fmosi',['kSWM_SPI0_MOSI',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185ad6e9059822d87be57e82960aa79d9229',1,'fsl_swm_connections.h']]], + ['kswm_5fspi0_5fsck',['kSWM_SPI0_SCK',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185abd1859e7fd97d6aad4edf95f92eb1df0',1,'fsl_swm_connections.h']]], + ['kswm_5fspi0_5fssel0',['kSWM_SPI0_SSEL0',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185adeb15fd0fbfb9f438e22762cad170359',1,'fsl_swm_connections.h']]], + ['kswm_5fspi0_5fssel1',['kSWM_SPI0_SSEL1',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185acdcd02ea5803089ebcd609e227acab8b',1,'fsl_swm_connections.h']]], + ['kswm_5fswclk',['kSWM_SWCLK',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba9b86fc933fab954e947140ea4ca2ffe3',1,'fsl_swm_connections.h']]], + ['kswm_5fswdio',['kSWM_SWDIO',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bac0ebb404f4a50e198c79a35af9721e6c',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fcap_5fchn0',['kSWM_T0_CAP_CHN0',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a14bfd78536056ebdc4d2bfed0438b5cf',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fcap_5fchn1',['kSWM_T0_CAP_CHN1',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a048cac659ef579d1358cc6d2a7db2c3a',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fcap_5fchn2',['kSWM_T0_CAP_CHN2',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185aef5103aadc41068ca6157543520491b2',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fmat_5fchn0',['kSWM_T0_MAT_CHN0',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a0ac9c784851c59d1c334fba730bdc091',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fmat_5fchn1',['kSWM_T0_MAT_CHN1',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a4be08849669f9f086a238335662c6ac1',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fmat_5fchn2',['kSWM_T0_MAT_CHN2',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a11e574aeb2ec56f282a103d78c49ccbe',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fmat_5fchn3',['kSWM_T0_MAT_CHN3',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a3ab2e3d866e55d84dc2c342eb660c0d5',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5fcts',['kSWM_USART0_CTS',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185acf5086c4d10114ed1e2adf80ef0c1d8e',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5frts',['kSWM_USART0_RTS',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a83269426ac6b85e729bd940e7c6bc168',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5frxd',['kSWM_USART0_RXD',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185ac38fd128ae8088af0ab82bb9e1ab2b0e',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5fsclk',['kSWM_USART0_SCLK',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a95076efb390207c31347c9d6eaf7a568',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5ftxd',['kSWM_USART0_TXD',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a7a0b07e7613ca95cbae02f51e74338ae',1,'fsl_swm_connections.h']]], + ['kswm_5fusart1_5frxd',['kSWM_USART1_RXD',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a40ca641c0138d551c28bb9dd184bf948',1,'fsl_swm_connections.h']]], + ['kswm_5fusart1_5fsclk',['kSWM_USART1_SCLK',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a209dc130cd5427683bedca3187726030',1,'fsl_swm_connections.h']]], + ['kswm_5fusart1_5ftxd',['kSWM_USART1_TXD',['../a00090.html#gga0de8c58793b6da2e30d6b2102344d185a3ca0015b97fb5a1b24b1f6fda113488d',1,'fsl_swm_connections.h']]], + ['kswm_5fvddcmp',['kSWM_VDDCMP',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8ba1c8051ee75028cdb756ce666cc9b1bb9',1,'fsl_swm_connections.h']]], + ['kswm_5fwkclkin',['kSWM_WKCLKIN',['../a00090.html#gga2a9a2be2e5b32c223a550ff31812ae8bae9a9d439d460166f8795ddd23c7135b5',1,'fsl_swm_connections.h']]], + ['ksyscon_5fgpioport0pin0topintsel',['kSYSCON_GpioPort0Pin0ToPintsel',['../a00091.html#ggaadba3526b7e160e7a91564ad01eb7e6fafe2a386c6f3e8884b995d08fd87de542',1,'fsl_syscon_connections.h']]], + ['kuart0_5fclk_5ffrom_5ffrg0clk',['kUART0_Clk_From_Frg0Clk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85ad0784125581fd7bf09f4b3bab3af725d',1,'fsl_clock.h']]], + ['kuart0_5fclk_5ffrom_5ffro',['kUART0_Clk_From_Fro',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a67d2eb5235a0677d1ef161e5e1c2b340',1,'fsl_clock.h']]], + ['kuart0_5fclk_5ffrom_5ffro_5fdiv',['kUART0_Clk_From_Fro_Div',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85af06770587aa45bbb62d1e4fe36d03d81',1,'fsl_clock.h']]], + ['kuart0_5fclk_5ffrom_5fmainclk',['kUART0_Clk_From_MainClk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a841785f980e4c406eeecdcf07559fe66',1,'fsl_clock.h']]], + ['kuart0_5frst_5fn_5fshift_5frstn',['kUART0_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20aa294a3c09c1565947ebcd78694acff24',1,'fsl_reset.h']]], + ['kuart1_5fclk_5ffrom_5ffrg0clk',['kUART1_Clk_From_Frg0Clk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85af45a833dead43235df03a982dc25ea33',1,'fsl_clock.h']]], + ['kuart1_5fclk_5ffrom_5ffro',['kUART1_Clk_From_Fro',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a9fbd759cc60932bb0896625543477290',1,'fsl_clock.h']]], + ['kuart1_5fclk_5ffrom_5ffro_5fdiv',['kUART1_Clk_From_Fro_Div',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a1b183d67629d90ddc1a9b9baf2ebc285',1,'fsl_clock.h']]], + ['kuart1_5fclk_5ffrom_5fmainclk',['kUART1_Clk_From_MainClk',['../a00079.html#ggaf6cf35ae56aa98ed8ff20eeecbcdfb85a066679b9e0a01ccd0aad6e6caa643f2f',1,'fsl_clock.h']]], + ['kuart1_5frst_5fn_5fshift_5frstn',['kUART1_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a8bc751e4927f0c20a0695b284fc40c38',1,'fsl_reset.h']]], + ['kusart_5f7bitsperchar',['kUSART_7BitsPerChar',['../a00020.html#ggaba5e41db910ac7f4e3a9732b1bfa002ea9562d6cdd240e9808a9a1d1946f400b7',1,'fsl_usart.h']]], + ['kusart_5f8bitsperchar',['kUSART_8BitsPerChar',['../a00020.html#ggaba5e41db910ac7f4e3a9732b1bfa002ead71aee19329a4127614132d30e50ad8a',1,'fsl_usart.h']]], ['kusart_5fallinterruptenable',['kUSART_AllInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ad97d89d6e3a853cc07c854838a4f31f9',1,'fsl_usart.h']]], + ['kusart_5fautobauderrorflag',['kUSART_AutoBaudErrorFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610aa655bdfd278003a00cf5195986a38892',1,'fsl_usart.h']]], + ['kusart_5fautobauderrorinterruptenable',['kUSART_AutoBaudErrorInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a7154c6ede60243bf5f9e727a5cb18ce5',1,'fsl_usart.h']]], ['kusart_5fctsstate',['kUSART_CtsState',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a2515d20982ec4edf617f6872e666a1d3',1,'fsl_usart.h']]], ['kusart_5fdeltactsflag',['kUSART_DeltaCtsFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a722bb0119b5dc19d471b62c622aca9c7',1,'fsl_usart.h']]], ['kusart_5fdeltactsinterruptenable',['kUSART_DeltaCtsInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ac01c5ca3a2671c568e35d196ea223ada',1,'fsl_usart.h']]], @@ -824,12 +842,12 @@ var searchData= ['kusart_5fframerrorinterruptenable',['kUSART_FramErrorInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853acc912dfbb3e827b37e9a942bf601672d',1,'fsl_usart.h']]], ['kusart_5fhardwareoverrunflag',['kUSART_HardwareOverrunFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610ade5bc3f17cf2c303ba2e0eeedafd858c',1,'fsl_usart.h']]], ['kusart_5fhardwareoverruninterruptenable',['kUSART_HardwareOverRunInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853afb9ef5dc29f02f42773fb5b7fcda2e3e',1,'fsl_usart.h']]], - ['kusart_5fonestopbit',['kUSART_OneStopBit',['../a00020.html#gga58ab07609b094f719f903475de6e57b4aa637b8f5f0edd262181a20c1fbed7c12',1,'fsl_usart.h']]], - ['kusart_5fparitydisabled',['kUSART_ParityDisabled',['../a00020.html#gga9b5ca9521874092ccb637a02d7b26ba2a46309b174047a84a78c77b7648bdf21b',1,'fsl_usart.h']]], + ['kusart_5fonestopbit',['kUSART_OneStopBit',['../a00020.html#ggaeeaf3b2b6394d3a656342cfbce0e39eeaa637b8f5f0edd262181a20c1fbed7c12',1,'fsl_usart.h']]], + ['kusart_5fparitydisabled',['kUSART_ParityDisabled',['../a00020.html#gga8725fe345ac7bb48cc48f9553212f5dba46309b174047a84a78c77b7648bdf21b',1,'fsl_usart.h']]], ['kusart_5fparityerrorflag',['kUSART_ParityErrorFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a46d487d50d8392d25c5c4e76cdfb6674',1,'fsl_usart.h']]], ['kusart_5fparityerrorinterruptenable',['kUSART_ParityErrorInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a3dc275bab22f77a1182bbab5fd5cea3b',1,'fsl_usart.h']]], - ['kusart_5fparityeven',['kUSART_ParityEven',['../a00020.html#gga9b5ca9521874092ccb637a02d7b26ba2aad8d786301da1bb92e2b911c386d39eb',1,'fsl_usart.h']]], - ['kusart_5fparityodd',['kUSART_ParityOdd',['../a00020.html#gga9b5ca9521874092ccb637a02d7b26ba2a64df3b823d2ab5f3f56ffb3f520eafb1',1,'fsl_usart.h']]], + ['kusart_5fparityeven',['kUSART_ParityEven',['../a00020.html#gga8725fe345ac7bb48cc48f9553212f5dbaad8d786301da1bb92e2b911c386d39eb',1,'fsl_usart.h']]], + ['kusart_5fparityodd',['kUSART_ParityOdd',['../a00020.html#gga8725fe345ac7bb48cc48f9553212f5dba64df3b823d2ab5f3f56ffb3f520eafb1',1,'fsl_usart.h']]], ['kusart_5frxbreakflag',['kUSART_RxBreakFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610af0ae1c44d00b140e86202627084f8638',1,'fsl_usart.h']]], ['kusart_5frxbreakinterruptenable',['kUSART_RxBreakInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a9c9626a150100989578d9f18f9b3d59a',1,'fsl_usart.h']]], ['kusart_5frxidleflag',['kUSART_RxIdleFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610abc766d479d00f480e78d05f21f8e1d59',1,'fsl_usart.h']]], @@ -837,24 +855,25 @@ var searchData= ['kusart_5frxnoiseinterruptenable',['kUSART_RxNoiseInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a7d342596a68c59d4e38bfe33c60f53c9',1,'fsl_usart.h']]], ['kusart_5frxready',['kUSART_RxReady',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a03db476c7c52f9624dab00d9af430411',1,'fsl_usart.h']]], ['kusart_5frxreadyinterruptenable',['kUSART_RxReadyInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853addb8c45f45a91da558ae553a72557445',1,'fsl_usart.h']]], - ['kusart_5frxsampleonfallingedge',['kUSART_RxSampleOnFallingEdge',['../a00020.html#gga786ba5b98195c3df810a061b6c0cca91a40fdc56c60a07652a4283491087eed6b',1,'fsl_usart.h']]], - ['kusart_5frxsampleonrisingedge',['kUSART_RxSampleOnRisingEdge',['../a00020.html#gga786ba5b98195c3df810a061b6c0cca91aea6908db956ab3f686c7ee54d68d401c',1,'fsl_usart.h']]], + ['kusart_5frxsampleonfallingedge',['kUSART_RxSampleOnFallingEdge',['../a00020.html#gga148de18c5f1501903739e858695dc1bda40fdc56c60a07652a4283491087eed6b',1,'fsl_usart.h']]], + ['kusart_5frxsampleonrisingedge',['kUSART_RxSampleOnRisingEdge',['../a00020.html#gga148de18c5f1501903739e858695dc1bdaea6908db956ab3f686c7ee54d68d401c',1,'fsl_usart.h']]], ['kusart_5frxstartflag',['kUSART_RxStartFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a2fab8368a6a3246714c83405202626b3',1,'fsl_usart.h']]], ['kusart_5frxstartinterruptenable',['kUSART_RxStartInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ae642012aae33bd8954699572e4adb475',1,'fsl_usart.h']]], - ['kusart_5fsyncmodedisabled',['kUSART_SyncModeDisabled',['../a00020.html#gga7ecd603d2579abbe714d58eb582821b8af7a378247f82677090007d0c38be78f2',1,'fsl_usart.h']]], - ['kusart_5fsyncmodemaster',['kUSART_SyncModeMaster',['../a00020.html#gga7ecd603d2579abbe714d58eb582821b8af279132afb0bee88bae3065c20c79ab2',1,'fsl_usart.h']]], - ['kusart_5fsyncmodeslave',['kUSART_SyncModeSlave',['../a00020.html#gga7ecd603d2579abbe714d58eb582821b8a71df5907ecd16c5f08fe1e6673064958',1,'fsl_usart.h']]], - ['kusart_5ftwostopbit',['kUSART_TwoStopBit',['../a00020.html#gga58ab07609b094f719f903475de6e57b4a3aee3195dc850778e33f2ebacf8847d3',1,'fsl_usart.h']]], + ['kusart_5fsyncmodedisabled',['kUSART_SyncModeDisabled',['../a00020.html#ggab3b6a5d80be9940d58f739ded5962a59af7a378247f82677090007d0c38be78f2',1,'fsl_usart.h']]], + ['kusart_5fsyncmodemaster',['kUSART_SyncModeMaster',['../a00020.html#ggab3b6a5d80be9940d58f739ded5962a59af279132afb0bee88bae3065c20c79ab2',1,'fsl_usart.h']]], + ['kusart_5fsyncmodeslave',['kUSART_SyncModeSlave',['../a00020.html#ggab3b6a5d80be9940d58f739ded5962a59a71df5907ecd16c5f08fe1e6673064958',1,'fsl_usart.h']]], + ['kusart_5ftwostopbit',['kUSART_TwoStopBit',['../a00020.html#ggaeeaf3b2b6394d3a656342cfbce0e39eea3aee3195dc850778e33f2ebacf8847d3',1,'fsl_usart.h']]], ['kusart_5ftxdisableflag',['kUSART_TxDisableFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a615387365fba3c394b1a595e9baa3c95',1,'fsl_usart.h']]], ['kusart_5ftxdisableinterruptenable',['kUSART_TxDisableInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a2dae162d4f0509695fce62b0ed1b9e4b',1,'fsl_usart.h']]], ['kusart_5ftxidleflag',['kUSART_TxIdleFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a8106fa188ea138f48c7740dcf5c2b294',1,'fsl_usart.h']]], + ['kusart_5ftxidleinterruptenable',['kUSART_TxIdleInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ac5611ab6b973e6777a7d7db8321f62f2',1,'fsl_usart.h']]], ['kusart_5ftxready',['kUSART_TxReady',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610ab35037d2ed958a740984aa0a125d72aa',1,'fsl_usart.h']]], ['kusart_5ftxreadyinterruptenable',['kUSART_TxReadyInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a42c8946331d417404af48aed9fe53f88',1,'fsl_usart.h']]], - ['kwkt_5falarmflag',['kWKT_AlarmFlag',['../a00021.html#gga0c4c3a2aa689d7cdd0608eb1076d83aaaf60149e2b9f22db27ef273f412e86150',1,'fsl_wkt.h']]], - ['kwkt_5fdividedfroclocksource',['kWKT_DividedFROClockSource',['../a00021.html#ggaf985ebf5e165e2fbda510cac71cad222a22e7e8fe1fd3cc9d4d3278e0fb7bae32',1,'fsl_wkt.h']]], - ['kwkt_5fexternalclocksource',['kWKT_ExternalClockSource',['../a00021.html#ggaf985ebf5e165e2fbda510cac71cad222afe5c2695869b965f7833386743cc1147',1,'fsl_wkt.h']]], - ['kwkt_5flowpowerclocksource',['kWKT_LowPowerClockSource',['../a00021.html#ggaf985ebf5e165e2fbda510cac71cad222a3c617873be9cb696f53cca9529ca6dfc',1,'fsl_wkt.h']]], - ['kwkt_5frst_5fn_5fshift_5frstn',['kWKT_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a80aae2b47fdc2701cb923d27ca9e5a7a',1,'fsl_reset.h']]], + ['kwkt_5falarmflag',['kWKT_AlarmFlag',['../a00021.html#ggab79bd4bf294072d42f3eb1006503df5eaf60149e2b9f22db27ef273f412e86150',1,'fsl_wkt.h']]], + ['kwkt_5fdividedfroclocksource',['kWKT_DividedFROClockSource',['../a00021.html#gga9f55ad94f6b9b9906690399c088b82b6a22e7e8fe1fd3cc9d4d3278e0fb7bae32',1,'fsl_wkt.h']]], + ['kwkt_5fexternalclocksource',['kWKT_ExternalClockSource',['../a00021.html#gga9f55ad94f6b9b9906690399c088b82b6afe5c2695869b965f7833386743cc1147',1,'fsl_wkt.h']]], + ['kwkt_5flowpowerclocksource',['kWKT_LowPowerClockSource',['../a00021.html#gga9f55ad94f6b9b9906690399c088b82b6a3c617873be9cb696f53cca9529ca6dfc',1,'fsl_wkt.h']]], + ['kwkt_5frst_5fn_5fshift_5frstn',['kWKT_RST_N_SHIFT_RSTn',['../a00081.html#ggad4aceeed7467f943d313d51ec38a1a20a80aae2b47fdc2701cb923d27ca9e5a7a',1,'fsl_reset.h']]], ['kwwdt_5ftimeoutflag',['kWWDT_TimeoutFlag',['../a00022.html#ggaca0e9724bd1f25336527ea66c77e476faea9db9afc1f9c70872a755eaa24a4184',1,'fsl_wwdt.h']]], ['kwwdt_5fwarningflag',['kWWDT_WarningFlag',['../a00022.html#ggaca0e9724bd1f25336527ea66c77e476fa825be03d771f06e1d6cf947ca78f857e',1,'fsl_wwdt.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_61.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_61.js index 03eb877..e1b104d 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_61.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_61.js @@ -12,7 +12,6 @@ var searchData= ['adc_5fclearstatusflags',['ADC_ClearStatusFlags',['../a00009.html#gaa94fca220976d31ee991f1eff9cbe0db',1,'fsl_adc.h']]], ['adc_5fdeinit',['ADC_Deinit',['../a00009.html#ga9e87d0842217dd30d2d9699df580e237',1,'fsl_adc.h']]], ['adc_5fdisableinterrupts',['ADC_DisableInterrupts',['../a00009.html#gaa134681cb86361faad65aa8c501be403',1,'fsl_adc.h']]], - ['adc_5fdoselfcalibration',['ADC_DoSelfCalibration',['../a00009.html#ga6c5be196874795f6647b18fd1f3443b4',1,'fsl_adc.h']]], ['adc_5fdosoftwaretriggerconvseqa',['ADC_DoSoftwareTriggerConvSeqA',['../a00009.html#ga13edd8c6ef6665907b00a6a819187292',1,'fsl_adc.h']]], ['adc_5fdosoftwaretriggerconvseqb',['ADC_DoSoftwareTriggerConvSeqB',['../a00009.html#ga6bee3a133b58f1badcfded306544d58f',1,'fsl_adc.h']]], ['adc_5fenableconvseqa',['ADC_EnableConvSeqA',['../a00009.html#ga0576bc613006f8a4d3bb6db93167be65',1,'fsl_adc.h']]], @@ -20,7 +19,6 @@ var searchData= ['adc_5fenableconvseqb',['ADC_EnableConvSeqB',['../a00009.html#ga93453ff5ee1ccf1429d5035920cdcd10',1,'fsl_adc.h']]], ['adc_5fenableconvseqbburstmode',['ADC_EnableConvSeqBBurstMode',['../a00009.html#ga4d72a0696ea69cf01a7d121b8e3d1efd',1,'fsl_adc.h']]], ['adc_5fenableinterrupts',['ADC_EnableInterrupts',['../a00009.html#gad4368ec191782815efeea62a95ad3744',1,'fsl_adc.h']]], - ['adc_5fenabletemperaturesensor',['ADC_EnableTemperatureSensor',['../a00009.html#ga038d00e89652d2b860ef23b79cdbe23d',1,'fsl_adc.h']]], ['adc_5fenablethresholdcompareinterrupt',['ADC_EnableThresholdCompareInterrupt',['../a00009.html#gaa1deefb49e6221ba772d755bf4aa1a57',1,'fsl_adc.h']]], ['adc_5fgetchannelconversionresult',['ADC_GetChannelConversionResult',['../a00009.html#gacbd1fa05c3e1095dd2a8a741db533780',1,'fsl_adc.h']]], ['adc_5fgetconvseqaglobalconversionresult',['ADC_GetConvSeqAGlobalConversionResult',['../a00009.html#ga06879c096d9702b2f378dc2db178e961',1,'fsl_adc.h']]], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_63.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_63.js index 689c2d2..24fd6fd 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_63.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_63.js @@ -41,6 +41,7 @@ var searchData= ['ctimer_5fdisableinterrupts',['CTIMER_DisableInterrupts',['../a00012.html#gaf1b8088779288346a7508dc7ef6e99d1',1,'fsl_ctimer.h']]], ['ctimer_5fenablefallingedgecapture',['CTIMER_EnableFallingEdgeCapture',['../a00012.html#ga37f6a866661fa105f4e66e76e02d4236',1,'fsl_ctimer.h']]], ['ctimer_5fenableinterrupts',['CTIMER_EnableInterrupts',['../a00012.html#ga24464606cafc0f316116b164966a906e',1,'fsl_ctimer.h']]], + ['ctimer_5fenablematchchannelreload',['CTIMER_EnableMatchChannelReload',['../a00012.html#ga47db49d83551ef1e38375570f29e5f0e',1,'fsl_ctimer.h']]], ['ctimer_5fenableresetmatchchannel',['CTIMER_EnableResetMatchChannel',['../a00012.html#gaeb3527b175c6158c02d76e063e2794b5',1,'fsl_ctimer.h']]], ['ctimer_5fenablerisingedgecapture',['CTIMER_EnableRisingEdgeCapture',['../a00012.html#ga0b4954f928c8e278c29325ea10aa7fa5',1,'fsl_ctimer.h']]], ['ctimer_5fenablestopmatchchannel',['CTIMER_EnableStopMatchChannel',['../a00012.html#gaf575852d70d9db218b0def620a0b7302',1,'fsl_ctimer.h']]], @@ -54,6 +55,7 @@ var searchData= ['ctimer_5fregistercallback',['CTIMER_RegisterCallBack',['../a00012.html#gaa7de0b40e3127d3d8901398df50feb20',1,'fsl_ctimer.h']]], ['ctimer_5freset',['CTIMER_Reset',['../a00012.html#ga7ea373165af081e0e1700f7a3ba56642',1,'fsl_ctimer.h']]], ['ctimer_5fsetprescale',['CTIMER_SetPrescale',['../a00012.html#gacd6bd05573ab1128b8f3feaf30058e32',1,'fsl_ctimer.h']]], + ['ctimer_5fsetshadowvalue',['CTIMER_SetShadowValue',['../a00012.html#gabe141933756553c5fcbb23160f065b00',1,'fsl_ctimer.h']]], ['ctimer_5fsetupcapture',['CTIMER_SetupCapture',['../a00012.html#gae279db71f27ceb5a6d15ad8d17cbd670',1,'fsl_ctimer.h']]], ['ctimer_5fsetupmatch',['CTIMER_SetupMatch',['../a00012.html#ga64b1d0ba86d952b5ec30e201880c7bb5',1,'fsl_ctimer.h']]], ['ctimer_5fsetuppwm',['CTIMER_SetupPwm',['../a00012.html#ga2a70f03b835a36e5b321861d0b0ebb41',1,'fsl_ctimer.h']]], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_64.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_64.js index 1b9401e..741543e 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_64.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_64.js @@ -14,9 +14,10 @@ var searchData= ['dbgconsole_5fgetchar',['DbgConsole_Getchar',['../a00092.html#ga11898c5015274863741c4f3f4d9edc08',1,'fsl_debug_console.h']]], ['dbgconsole_5finit',['DbgConsole_Init',['../a00092.html#ga12e50ee0450679fd8ca950a89338d366',1,'fsl_debug_console.h']]], ['dbgconsole_5fprintf',['DbgConsole_Printf',['../a00092.html#ga7f9e0678f4c708ed5640b0823c07dc35',1,'fsl_debug_console.h']]], - ['dbgconsole_5fputchar',['DbgConsole_Putchar',['../a00092.html#gada572d86a06f028b5b1a5d0440f683e3',1,'fsl_debug_console.h']]], + ['dbgconsole_5fputchar',['DbgConsole_Putchar',['../a00092.html#ga8afb1b704fd0d836e6bd5aae3a417d65',1,'fsl_debug_console.h']]], ['dbgconsole_5fscanf',['DbgConsole_Scanf',['../a00092.html#ga53b115907016172dcf58fcffab144a6d',1,'fsl_debug_console.h']]], ['dbgconsole_5fvprintf',['DbgConsole_Vprintf',['../a00092.html#ga48560c409b88fbe195e140aa20c5307b',1,'fsl_debug_console.h']]], + ['disabledeepsleepirq',['DisableDeepSleepIRQ',['../a00082.html#ga73a306a0d86cc31334f1825593c613a1',1,'fsl_common_arm.h']]], ['disableglobalirq',['DisableGlobalIRQ',['../a00082.html#ga4f63764780e8eae7726d7a7e10f4b2a1',1,'fsl_common_arm.h']]], ['disableirq',['DisableIRQ',['../a00082.html#ga7f9bbd23e70eaf6670d3425683753961',1,'fsl_common_arm.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_65.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_65.js index 0a9c658..cc604a0 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_65.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_65.js @@ -1,5 +1,6 @@ var searchData= [ + ['enabledeepsleepirq',['EnableDeepSleepIRQ',['../a00082.html#ga00a1c5f2555215dc1ac509052e0f3376',1,'fsl_common_arm.h']]], ['enableglobalirq',['EnableGlobalIRQ',['../a00082.html#gacca38e4e11db8e795201c82f6ce4c9d5',1,'fsl_common_arm.h']]], ['enableirq',['EnableIRQ',['../a00082.html#ga2b92855a9ebf6eadeed1527b8339d50a',1,'fsl_common_arm.h']]], ['enableirqwithpriority',['EnableIRQWithPriority',['../a00082.html#gaa9463731425e110e2d841820f4559a3f',1,'fsl_common_arm.h']]] diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_69.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_69.js index 4790665..c5a9d62 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_69.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_69.js @@ -40,6 +40,12 @@ var searchData= ['i2c_5fslavetransferhandleirq',['I2C_SlaveTransferHandleIRQ',['../a00016.html#ga097c0b20503381de44cccba311dcf236',1,'fsl_i2c.h']]], ['i2c_5fslavetransfernonblocking',['I2C_SlaveTransferNonBlocking',['../a00016.html#gaa387d81ea08a9d4b39cfeb3dfec505f7',1,'fsl_i2c.h']]], ['i2c_5fslavewriteblocking',['I2C_SlaveWriteBlocking',['../a00016.html#ga280404c6b1ede542f2c1c20bd382869c',1,'fsl_i2c.h']]], + ['iap_5fblankchecksector',['IAP_BlankCheckSector',['../a00083.html#ga93a68a9ca640a51b56350d59cac4dd7c',1,'fsl_iap.h']]], + ['iap_5fcompare',['IAP_Compare',['../a00083.html#ga48b79c8dc56de9714927e70ad230fa0b',1,'fsl_iap.h']]], + ['iap_5fcopyramtoflash',['IAP_CopyRamToFlash',['../a00083.html#ga6b58df04b8aae97c7e31884f3fe3413f',1,'fsl_iap.h']]], + ['iap_5ferasepage',['IAP_ErasePage',['../a00083.html#ga93474c7fb9be2c15eabc9dbf73c99bdb',1,'fsl_iap.h']]], + ['iap_5ferasesector',['IAP_EraseSector',['../a00083.html#ga0093db979d7b9afca6dca250f42b2eef',1,'fsl_iap.h']]], + ['iap_5fpreparesectorforwrite',['IAP_PrepareSectorForWrite',['../a00083.html#ga361041aa626a50391c40c9d6936ba2c0',1,'fsl_iap.h']]], ['iap_5freadbootcodeversion',['IAP_ReadBootCodeVersion',['../a00083.html#ga747cfb2ed95cefa6f39ae2ad089f8ade',1,'fsl_iap.h']]], ['iap_5freadpartid',['IAP_ReadPartID',['../a00083.html#ga916f8b461de93f08044df1724290dcbd',1,'fsl_iap.h']]], ['iap_5freaduniqueid',['IAP_ReadUniqueID',['../a00083.html#ga258d8c49d494cbd0d18321af56e11440',1,'fsl_iap.h']]], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_6d.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_6d.js index e9e45a2..df9778f 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_6d.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_6d.js @@ -10,7 +10,6 @@ var searchData= ['mrt_5fgetidlechannel',['MRT_GetIdleChannel',['../a00018.html#ga320ba098c93a30174254eb763dd37dde',1,'fsl_mrt.h']]], ['mrt_5fgetstatusflags',['MRT_GetStatusFlags',['../a00018.html#gafa8493a0faee1cc356da26ca99a54da8',1,'fsl_mrt.h']]], ['mrt_5finit',['MRT_Init',['../a00018.html#ga44091408531a61dfac7c4ee4982be317',1,'fsl_mrt.h']]], - ['mrt_5freleasechannel',['MRT_ReleaseChannel',['../a00018.html#ga8e55bb859e4f9b98ea8ec580ed7b106a',1,'fsl_mrt.h']]], ['mrt_5fsetupchannelmode',['MRT_SetupChannelMode',['../a00018.html#ga8f4d38afa34e99ba521d9ca08be06355',1,'fsl_mrt.h']]], ['mrt_5fstarttimer',['MRT_StartTimer',['../a00018.html#ga388dd5041e6c179d637e17cd6e5a607e',1,'fsl_mrt.h']]], ['mrt_5fstoptimer',['MRT_StopTimer',['../a00018.html#ga7620866a54acdcc17abab2b46a94dc6c',1,'fsl_mrt.h']]], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_72.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_72.js index fa14bd1..cbd98bb 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_72.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_72.js @@ -1,4 +1,7 @@ var searchData= [ - ['reset_5fperipheralreset',['RESET_PeripheralReset',['../a00081.html#gac33f3428cb55ce07ae67802e5f534ffc',1,'fsl_reset.h']]] + ['reset_5fclearperipheralreset',['RESET_ClearPeripheralReset',['../a00081.html#ga3f9c431d066e700ee9e2ab83c11aaed8',1,'fsl_reset.h']]], + ['reset_5fperipheralreset',['RESET_PeripheralReset',['../a00081.html#gac33f3428cb55ce07ae67802e5f534ffc',1,'fsl_reset.h']]], + ['reset_5freleaseperipheralreset',['RESET_ReleasePeripheralReset',['../a00081.html#gadbdb012f4a805a6117f76cbcaf378aa3',1,'fsl_reset.h']]], + ['reset_5fsetperipheralreset',['RESET_SetPeripheralReset',['../a00081.html#gad9df042d0736710d46dcdd0d52d80f85',1,'fsl_reset.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_73.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_73.js index 0755978..cf97280 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_73.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_73.js @@ -33,6 +33,7 @@ var searchData= ['spi_5fwriteconfigflags',['SPI_WriteConfigFlags',['../a00019.html#ga709b0d176b737a8009221565f3ae19e6',1,'fsl_spi.h']]], ['spi_5fwritedata',['SPI_WriteData',['../a00019.html#ga92c1bd47d2ad7b45fc138fccb4bc1ace',1,'fsl_spi.h']]], ['spi_5fwritedatawithconfigflags',['SPI_WriteDataWithConfigFlags',['../a00019.html#gad3810513c85cb28f1dbf18b15614a09d',1,'fsl_spi.h']]], + ['swm_5fsetfixedmovablepinselect',['SWM_SetFixedMovablePinSelect',['../a00090.html#gae7a3cbb33db3c5d3f6663bdd21fb32e4',1,'fsl_swm.h']]], ['swm_5fsetfixedpinselect',['SWM_SetFixedPinSelect',['../a00090.html#ga55452f734d7cc5e02195ed926e4484c8',1,'fsl_swm.h']]], ['swm_5fsetmovablepinselect',['SWM_SetMovablePinSelect',['../a00090.html#gaae2dc5c29ae2358c616fa8a2b61a7e4b',1,'fsl_swm.h']]], ['syscon_5fattachsignal',['SYSCON_AttachSignal',['../a00091.html#gaa42f53e7578284bdc9816da8800e735b',1,'fsl_syscon.h']]] diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/search.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/search.js index d686ac3..7c69978 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/search.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/search.js @@ -8,11 +8,11 @@ var indexSectionsWithContent = { 0: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111111011101111111011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - 1: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101100101000100000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + 1: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", 2: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101110101000100101101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", 3: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111001101111111011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - 4: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - 5: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101100101000100100101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + 4: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100101000100100101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + 5: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", 6: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", 7: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100101001100101101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", 8: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_61.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_61.html similarity index 93% rename from docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_61.html rename to docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_61.html index 80ea25b..5942f5e 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_61.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_61.html @@ -3,7 +3,7 @@ - + diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_61.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_61.js new file mode 100644 index 0000000..44a933c --- /dev/null +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_61.js @@ -0,0 +1,20 @@ +var searchData= +[ + ['acomp_5fconfig_5ft',['acomp_config_t',['../a00008.html#ga78066df1c1b1ff94c949c635062ba790',1,'fsl_acomp.h']]], + ['acomp_5fhysteresis_5fselection_5ft',['acomp_hysteresis_selection_t',['../a00008.html#ga96d96cca1e3c96dba2753a4db623cb22',1,'fsl_acomp.h']]], + ['acomp_5finterrupt_5fenable_5ft',['acomp_interrupt_enable_t',['../a00008.html#ga00a425fdc3a8f0270f6b1496c9718898',1,'fsl_acomp.h']]], + ['acomp_5fladder_5fconfig_5ft',['acomp_ladder_config_t',['../a00008.html#gaf0ae8abcb6f3e8fde08615f492df7fc3',1,'fsl_acomp.h']]], + ['acomp_5fladder_5freference_5fvoltage_5ft',['acomp_ladder_reference_voltage_t',['../a00008.html#gafdeea2fb570a5d98264ced03af561757',1,'fsl_acomp.h']]], + ['adc_5fconfig_5ft',['adc_config_t',['../a00009.html#ga3b22457f328dd120d593051f40db8e1a',1,'fsl_adc.h']]], + ['adc_5fconv_5fseq_5fconfig_5ft',['adc_conv_seq_config_t',['../a00009.html#ga1b511fba76a0acb8e8aa0b3844962e45',1,'fsl_adc.h']]], + ['adc_5finforesult_5ft',['adc_inforesult_t',['../a00009.html#ga508d5921baba373e9ee7145858e60635',1,'fsl_adc.h']]], + ['adc_5fpriority_5ft',['adc_priority_t',['../a00009.html#ga252547d797d59b78370345cca566d037',1,'fsl_adc.h']]], + ['adc_5fresult_5finfo_5ft',['adc_result_info_t',['../a00009.html#gad4d586bb8e6bb1623c116c86586836ec',1,'fsl_adc.h']]], + ['adc_5fsecond_5fcontrol_5ft',['adc_second_control_t',['../a00009.html#gad2d3e423f1542e8e5bc6e063b34e818c',1,'fsl_adc.h']]], + ['adc_5fseq_5finterrupt_5fmode_5ft',['adc_seq_interrupt_mode_t',['../a00009.html#gaf7b92741cf8b758c97e9e6c57ba2461f',1,'fsl_adc.h']]], + ['adc_5ftempsensor_5fcommon_5fmode_5ft',['adc_tempsensor_common_mode_t',['../a00009.html#ga45d95e134021b358833d8c08fad48806',1,'fsl_adc.h']]], + ['adc_5fthreshold_5fcompare_5fstatus_5ft',['adc_threshold_compare_status_t',['../a00009.html#ga002dc4b410e50f6ac5dfebdd2b805b53',1,'fsl_adc.h']]], + ['adc_5fthreshold_5fcrossing_5fstatus_5ft',['adc_threshold_crossing_status_t',['../a00009.html#gabc50fa38745a93781aa8e63efc39264e',1,'fsl_adc.h']]], + ['adc_5fthreshold_5finterrupt_5fmode_5ft',['adc_threshold_interrupt_mode_t',['../a00009.html#gade2b7fadaa94974ae0903b8dc2da139d',1,'fsl_adc.h']]], + ['adc_5ftrigger_5fpolarity_5ft',['adc_trigger_polarity_t',['../a00009.html#gabae195883a5fe2742c6ffc76cd605d74',1,'fsl_adc.h']]] +]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_63.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_63.html similarity index 93% rename from docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_63.html rename to docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_63.html index 0dccad2..79396b3 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_63.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_63.html @@ -3,7 +3,7 @@ - + diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_63.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_63.js new file mode 100644 index 0000000..a9ed233 --- /dev/null +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_63.js @@ -0,0 +1,29 @@ +var searchData= +[ + ['capt_5fconfig_5ft',['capt_config_t',['../a00010.html#ga144d98c26ff4afcdeb44d1c7031cb7a0',1,'fsl_capt.h']]], + ['capt_5fdma_5fmode_5ft',['capt_dma_mode_t',['../a00010.html#ga606a6384f012744ab917b4344ec7a98d',1,'fsl_capt.h']]], + ['capt_5finactive_5fxpins_5fmode_5ft',['capt_inactive_xpins_mode_t',['../a00010.html#ga0e056ff4422c6989865712a185a896d5',1,'fsl_capt.h']]], + ['capt_5fmeasurement_5fdelay_5ft',['capt_measurement_delay_t',['../a00010.html#ga0f65d6ba3713aaeb0b928bfadc58298d',1,'fsl_capt.h']]], + ['capt_5fpolling_5fmode_5ft',['capt_polling_mode_t',['../a00010.html#ga8bbc2f332ee8dbc12ed3da6214419ed4',1,'fsl_capt.h']]], + ['capt_5freset_5fdelay_5ft',['capt_reset_delay_t',['../a00010.html#ga3d417e44bbd7b0f2c6379b6f2de6810e',1,'fsl_capt.h']]], + ['capt_5ftouch_5fdata_5ft',['capt_touch_data_t',['../a00010.html#ga5de965b76e76697fae576f93037a9d4d',1,'fsl_capt.h']]], + ['capt_5ftrigger_5fmode_5ft',['capt_trigger_mode_t',['../a00010.html#ga9e1bb8a7bd2cbff89e6f673ca57c6221',1,'fsl_capt.h']]], + ['clock_5fdivider_5ft',['clock_divider_t',['../a00079.html#gafb07cc54075c6d7c97989dc0765a60f0',1,'fsl_clock.h']]], + ['clock_5ffro_5fosc_5ffreq_5ft',['clock_fro_osc_freq_t',['../a00079.html#gaf6439c85442d8b622ae5932d89cee7c1',1,'fsl_clock.h']]], + ['clock_5fip_5fname_5ft',['clock_ip_name_t',['../a00079.html#gaf3dd76fcb181c1abd9eff8ddfc4f1c13',1,'fsl_clock.h']]], + ['clock_5fmain_5fclk_5fsrc_5ft',['clock_main_clk_src_t',['../a00079.html#gaaeb9d8a57f6119f6c1cf201205a72767',1,'fsl_clock.h']]], + ['clock_5fname_5ft',['clock_name_t',['../a00079.html#gacb9ec0a8b3aa569692b550ebdcac7b3c',1,'fsl_clock.h']]], + ['clock_5fselect_5ft',['clock_select_t',['../a00079.html#gac1d9d53822e555ef06047c649968efc3',1,'fsl_clock.h']]], + ['crc_5fconfig_5ft',['crc_config_t',['../a00011.html#ga8d7443c594312c4c8a5418fd12aa8881',1,'fsl_crc.h']]], + ['crc_5fpolynomial_5ft',['crc_polynomial_t',['../a00011.html#ga5196acf4fbe44e56f5b3609c524d737d',1,'fsl_crc.h']]], + ['ctimer_5fcapture_5fchannel_5ft',['ctimer_capture_channel_t',['../a00012.html#gab58c5d25a397ad3ea4f089720cc8d173',1,'fsl_ctimer.h']]], + ['ctimer_5fcapture_5fedge_5ft',['ctimer_capture_edge_t',['../a00012.html#gaa8f3f17e5986e89e8833dfd3a6f73e49',1,'fsl_ctimer.h']]], + ['ctimer_5fconfig_5ft',['ctimer_config_t',['../a00012.html#gae51a84eed9514dfaf69dc96f0573ed66',1,'fsl_ctimer.h']]], + ['ctimer_5fexternal_5fmatch_5ft',['ctimer_external_match_t',['../a00012.html#ga0b8ebcf3ce7d5c70171eb3a81c5e40d7',1,'fsl_ctimer.h']]], + ['ctimer_5finterrupt_5fenable_5ft',['ctimer_interrupt_enable_t',['../a00012.html#ga6ad362eb6d1fccbbf692e624140f8089',1,'fsl_ctimer.h']]], + ['ctimer_5fmatch_5fconfig_5ft',['ctimer_match_config_t',['../a00012.html#ga40092936e611d9c5525182c48b92a064',1,'fsl_ctimer.h']]], + ['ctimer_5fmatch_5foutput_5fcontrol_5ft',['ctimer_match_output_control_t',['../a00012.html#gaf25bea100cf94b195a396ed113f8efdf',1,'fsl_ctimer.h']]], + ['ctimer_5fmatch_5ft',['ctimer_match_t',['../a00012.html#gafaaa3af278c6301f84854a06d39fd29c',1,'fsl_ctimer.h']]], + ['ctimer_5fstatus_5fflags_5ft',['ctimer_status_flags_t',['../a00012.html#ga0004b37966f280b656f02a7879c5892d',1,'fsl_ctimer.h']]], + ['ctimer_5ftimer_5fmode_5ft',['ctimer_timer_mode_t',['../a00012.html#ga88c4223ed0ddac8579baee9a943fac13',1,'fsl_ctimer.h']]] +]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_64.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_64.html similarity index 93% rename from docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_64.html rename to docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_64.html index 31fc70b..137f58a 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_64.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_64.html @@ -3,7 +3,7 @@ - + diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_64.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_64.js new file mode 100644 index 0000000..f2c1e2d --- /dev/null +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_64.js @@ -0,0 +1,5 @@ +var searchData= +[ + ['dac_5fconfig_5ft',['dac_config_t',['../a00013.html#gab9703d5a615e29deaeaa91ff01ef240f',1,'fsl_dac.h']]], + ['dac_5fsettling_5ftime_5ft',['dac_settling_time_t',['../a00013.html#ga73e8aad041168c603df5aa5e27a4fec5',1,'fsl_dac.h']]] +]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_67.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_67.html similarity index 93% rename from docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_67.html rename to docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_67.html index ea38f24..2f4985f 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_67.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_67.html @@ -3,7 +3,7 @@ - + diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_67.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_67.js new file mode 100644 index 0000000..730bf11 --- /dev/null +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_67.js @@ -0,0 +1,5 @@ +var searchData= +[ + ['gpio_5fpin_5fconfig_5ft',['gpio_pin_config_t',['../a00014.html#ga9279df0a87f2432dc3c5d596028223b7',1,'fsl_gpio.h']]], + ['gpio_5fpin_5fdirection_5ft',['gpio_pin_direction_t',['../a00014.html#gaf7d75755774a0f20385fbdda546f1f1d',1,'fsl_gpio.h']]] +]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_69.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_69.js index 59024f8..0d29d7c 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_69.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_69.js @@ -1,6 +1,20 @@ var searchData= [ + ['i2c_5fdirection_5ft',['i2c_direction_t',['../a00015.html#ga4bf954d998f086594eece268c780bec7',1,'fsl_i2c.h']]], ['i2c_5fisr_5ft',['i2c_isr_t',['../a00016.html#ga64e4839b34e9156358c4eaa717b1c14a',1,'fsl_i2c.h']]], + ['i2c_5fmaster_5fconfig_5ft',['i2c_master_config_t',['../a00015.html#gad739710b6b65e12ca5ba9dc2a2a2b463',1,'fsl_i2c.h']]], + ['i2c_5fmaster_5fhandle_5ft',['i2c_master_handle_t',['../a00015.html#ga2fd51f58b8cfd309be985bce4b817fdc',1,'fsl_i2c.h']]], ['i2c_5fmaster_5ftransfer_5fcallback_5ft',['i2c_master_transfer_callback_t',['../a00015.html#gad292a48f957a9b76593c1779d9dce497',1,'fsl_i2c.h']]], - ['i2c_5fslave_5ftransfer_5fcallback_5ft',['i2c_slave_transfer_callback_t',['../a00016.html#ga0704c8f14da38feb11555e4127d86a18',1,'fsl_i2c.h']]] + ['i2c_5fmaster_5ftransfer_5ft',['i2c_master_transfer_t',['../a00015.html#ga7edd253f4df51a13bd0a6a0461b9f93a',1,'fsl_i2c.h']]], + ['i2c_5fslave_5faddress_5fqual_5fmode_5ft',['i2c_slave_address_qual_mode_t',['../a00016.html#ga02d133f25b39ab4842c870de2d66179f',1,'fsl_i2c.h']]], + ['i2c_5fslave_5faddress_5fregister_5ft',['i2c_slave_address_register_t',['../a00016.html#ga5011aa3cf4340912b0a54c5fd2ccc881',1,'fsl_i2c.h']]], + ['i2c_5fslave_5faddress_5ft',['i2c_slave_address_t',['../a00016.html#ga4952975f11796f57c1dbc8c9352ee496',1,'fsl_i2c.h']]], + ['i2c_5fslave_5fbus_5fspeed_5ft',['i2c_slave_bus_speed_t',['../a00016.html#ga222f565dee8428d8f882203a4a6c22ff',1,'fsl_i2c.h']]], + ['i2c_5fslave_5fconfig_5ft',['i2c_slave_config_t',['../a00016.html#gaa70dd0ec9815617269569190efd2de5d',1,'fsl_i2c.h']]], + ['i2c_5fslave_5ffsm_5ft',['i2c_slave_fsm_t',['../a00016.html#gace8044962fd3c872ae2d7952de85525a',1,'fsl_i2c.h']]], + ['i2c_5fslave_5fhandle_5ft',['i2c_slave_handle_t',['../a00016.html#ga394e5278816efe62815de9d25be84752',1,'fsl_i2c.h']]], + ['i2c_5fslave_5ftransfer_5fcallback_5ft',['i2c_slave_transfer_callback_t',['../a00016.html#ga0704c8f14da38feb11555e4127d86a18',1,'fsl_i2c.h']]], + ['i2c_5fslave_5ftransfer_5fevent_5ft',['i2c_slave_transfer_event_t',['../a00016.html#ga95f120f6a73af41648364538cf9a6eca',1,'fsl_i2c.h']]], + ['i2c_5fslave_5ftransfer_5ft',['i2c_slave_transfer_t',['../a00016.html#ga69e696cb21165bc58176475879a471cf',1,'fsl_i2c.h']]], + ['iocon_5fgroup_5ft',['iocon_group_t',['../a00017.html#ga06bfcbf91ce61bfc72ca270889515d26',1,'fsl_iocon.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_6d.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_6d.html new file mode 100644 index 0000000..c498924 --- /dev/null +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_6d.html @@ -0,0 +1,26 @@ + + + + + + + + + +
+
Loading...
+
+ +
Searching...
+
No Matches
+ +
+ + diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_6d.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_6d.js new file mode 100644 index 0000000..3d07cc6 --- /dev/null +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_6d.js @@ -0,0 +1,8 @@ +var searchData= +[ + ['mrt_5fchnl_5ft',['mrt_chnl_t',['../a00018.html#gaf78437e7a713ae57fd5c12135af514ba',1,'fsl_mrt.h']]], + ['mrt_5fconfig_5ft',['mrt_config_t',['../a00018.html#gadb656916391d01109e84f6e5d97fd5c3',1,'fsl_mrt.h']]], + ['mrt_5finterrupt_5fenable_5ft',['mrt_interrupt_enable_t',['../a00018.html#ga35fd7f67352e6660946fe980fcd4fc5d',1,'fsl_mrt.h']]], + ['mrt_5fstatus_5fflags_5ft',['mrt_status_flags_t',['../a00018.html#ga42f664094e65ac53728a8bb0dea0d641',1,'fsl_mrt.h']]], + ['mrt_5ftimer_5fmode_5ft',['mrt_timer_mode_t',['../a00018.html#gaff791fb43331fc1ae8f4e0d9003ac286',1,'fsl_mrt.h']]] +]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_70.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_70.js index 455a3cf..fe82d5d 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_70.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_70.js @@ -1,4 +1,17 @@ var searchData= [ - ['pint_5fcb_5ft',['pint_cb_t',['../a00088.html#ga262ac9596c0926fbe5f346e0f6aaf9f5',1,'fsl_pint.h']]] + ['pint_5fcb_5ft',['pint_cb_t',['../a00088.html#ga262ac9596c0926fbe5f346e0f6aaf9f5',1,'fsl_pint.h']]], + ['pint_5fpin_5fenable_5ft',['pint_pin_enable_t',['../a00088.html#ga1444166a5b3130cdd3f3c5a40f102ff3',1,'fsl_pint.h']]], + ['pint_5fpin_5fint_5ft',['pint_pin_int_t',['../a00088.html#gaa230ebd4a048282d15d3ce2d9fa9deac',1,'fsl_pint.h']]], + ['pint_5fpmatch_5fbslice_5fcfg_5ft',['pint_pmatch_bslice_cfg_t',['../a00088.html#ga17c131767c557e4e95809612c27fa2b5',1,'fsl_pint.h']]], + ['pint_5fpmatch_5fbslice_5ft',['pint_pmatch_bslice_t',['../a00088.html#ga859582ee39bfbd70c9305dbd2d68459d',1,'fsl_pint.h']]], + ['pint_5fpmatch_5finput_5fsrc_5ft',['pint_pmatch_input_src_t',['../a00088.html#ga69c6555d76c0c009931e669464c9be5d',1,'fsl_pint.h']]], + ['plu_5flut_5fin_5findex_5ft',['plu_lut_in_index_t',['../a00089.html#gab0cc888efc0344da5974c118746a7fb8',1,'fsl_plu.h']]], + ['plu_5flut_5findex_5ft',['plu_lut_index_t',['../a00089.html#gad3a004561355b02dc5e6a9ec1c040c92',1,'fsl_plu.h']]], + ['plu_5flut_5finput_5fsource_5ft',['plu_lut_input_source_t',['../a00089.html#gab860a724cb4676ddc81bde871a35b103',1,'fsl_plu.h']]], + ['plu_5foutput_5findex_5ft',['plu_output_index_t',['../a00089.html#ga8663a2681037005a1d56cd859c442553',1,'fsl_plu.h']]], + ['plu_5foutput_5fsource_5ft',['plu_output_source_t',['../a00089.html#ga16eadf55ac766f6510cbb9adc02fe504',1,'fsl_plu.h']]], + ['power_5fbod_5finterrupt_5flevel_5ft',['power_bod_interrupt_level_t',['../a00080.html#ga4611c10843d1988b1cf63c49897ae3cb',1,'fsl_power.h']]], + ['power_5fbod_5freset_5flevel_5ft',['power_bod_reset_level_t',['../a00080.html#ga0c729a296e2df1f011235215479452d4',1,'fsl_power.h']]], + ['power_5fgen_5freg_5ft',['power_gen_reg_t',['../a00080.html#ga16a5fe9d2ffb61e894ea9bb158d6b714',1,'fsl_power.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_73.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_73.js index 39263c5..d70c2e0 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_73.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_73.js @@ -1,7 +1,25 @@ var searchData= [ + ['spi_5fclock_5fphase_5ft',['spi_clock_phase_t',['../a00019.html#ga12e1c73dd72aaabd76ff5ce23c0d3244',1,'fsl_spi.h']]], + ['spi_5fclock_5fpolarity_5ft',['spi_clock_polarity_t',['../a00019.html#ga9de95ac02ae859ac26534c06eb47b5b8',1,'fsl_spi.h']]], + ['spi_5fdata_5fwidth_5ft',['spi_data_width_t',['../a00019.html#ga237f202331592eab8ddadc63b780fa9d',1,'fsl_spi.h']]], + ['spi_5fdelay_5fconfig_5ft',['spi_delay_config_t',['../a00019.html#ga5d2ab71dbc12d399a9e125103fd3ec10',1,'fsl_spi.h']]], ['spi_5fmaster_5fcallback_5ft',['spi_master_callback_t',['../a00019.html#gae9bd140aeb645efab6c7552b3994e01a',1,'fsl_spi.h']]], + ['spi_5fmaster_5fconfig_5ft',['spi_master_config_t',['../a00019.html#ga15e5f834f83ba89de1e920bba9d00394',1,'fsl_spi.h']]], + ['spi_5fmaster_5fhandle_5ft',['spi_master_handle_t',['../a00019.html#gafb311d8a8b7de084d82915f5a003a300',1,'fsl_spi.h']]], + ['spi_5fshift_5fdirection_5ft',['spi_shift_direction_t',['../a00019.html#gadc24bb931a59fc64ee4cb60ed56addb4',1,'fsl_spi.h']]], ['spi_5fslave_5fcallback_5ft',['spi_slave_callback_t',['../a00019.html#ga86b45b85e036adc762eed5bcd2a0491d',1,'fsl_spi.h']]], + ['spi_5fslave_5fconfig_5ft',['spi_slave_config_t',['../a00019.html#gaa62352a5d0a01f70fe74941809d03ec3',1,'fsl_spi.h']]], ['spi_5fslave_5fhandle_5ft',['spi_slave_handle_t',['../a00019.html#gad267cfee3a876b2860217ff94f03f574',1,'fsl_spi.h']]], - ['status_5ft',['status_t',['../a00082.html#gaaabdaf7ee58ca7269bd4bf24efcde092',1,'fsl_common.h']]] + ['spi_5fspol_5ft',['spi_spol_t',['../a00019.html#ga81db47cb3d4de3ce1bee11725659b0d7',1,'fsl_spi.h']]], + ['spi_5fssel_5ft',['spi_ssel_t',['../a00019.html#gab2c4dcc02a93990358783cbdce5e6d51',1,'fsl_spi.h']]], + ['spi_5ftransfer_5ft',['spi_transfer_t',['../a00019.html#ga59314d5596f240cfbe8b9466bd99ed3f',1,'fsl_spi.h']]], + ['status_5ft',['status_t',['../a00082.html#gaaabdaf7ee58ca7269bd4bf24efcde092',1,'fsl_common.h']]], + ['swm_5ffixed_5fport_5fpin_5ftype_5ft',['swm_fixed_port_pin_type_t',['../a00090.html#ga3d2fde56893738e6acf749dddcc0465d',1,'fsl_swm_connections.h']]], + ['swm_5fport_5fpin_5ftype_5ft',['swm_port_pin_type_t',['../a00090.html#ga8c2cb512971948e5b2bfb39cd2bb6b2e',1,'fsl_swm_connections.h']]], + ['swm_5fselect_5ffixed_5fmovable_5ft',['swm_select_fixed_movable_t',['../a00090.html#ga7a807bf49269e8123e7bf48eae6f087c',1,'fsl_swm_connections.h']]], + ['swm_5fselect_5ffixed_5fpin_5ft',['swm_select_fixed_pin_t',['../a00090.html#gac13cd10cc57b9f6378016c5bba9163f1',1,'fsl_swm_connections.h']]], + ['swm_5fselect_5fmovable_5ft',['swm_select_movable_t',['../a00090.html#gaa8cb4270c47608c85b36f9bca1b6c154',1,'fsl_swm_connections.h']]], + ['syscon_5fconnection_5ft',['syscon_connection_t',['../a00091.html#ga130c64a7ec8145b6c0d68cc76858699b',1,'fsl_syscon_connections.h']]], + ['syscon_5frstn_5ft',['SYSCON_RSTn_t',['../a00081.html#ga4f45cdcdc4d2507fffd4e8742fa7dac6',1,'fsl_reset.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_75.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_75.js index a9c0868..9c83226 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_75.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_75.js @@ -1,4 +1,11 @@ var searchData= [ - ['usart_5ftransfer_5fcallback_5ft',['usart_transfer_callback_t',['../a00020.html#ga9688f27725349ed0dd7a37c9a75eccc0',1,'fsl_usart.h']]] + ['usart_5fclock_5fpolarity_5ft',['usart_clock_polarity_t',['../a00020.html#ga804d7ffe69bedc08ea1d10829b33be28',1,'fsl_usart.h']]], + ['usart_5fconfig_5ft',['usart_config_t',['../a00020.html#ga6e64075cc12bfd8c2cefe6a24a210145',1,'fsl_usart.h']]], + ['usart_5fdata_5flen_5ft',['usart_data_len_t',['../a00020.html#ga8fb417dc9cfa4304cefe7bec12479a8e',1,'fsl_usart.h']]], + ['usart_5fparity_5fmode_5ft',['usart_parity_mode_t',['../a00020.html#gafd66e4e7133327cb2a1df4e24d8f4cb6',1,'fsl_usart.h']]], + ['usart_5fstop_5fbit_5fcount_5ft',['usart_stop_bit_count_t',['../a00020.html#ga4b8e5ed1a787d428e84a5a550fedc417',1,'fsl_usart.h']]], + ['usart_5fsync_5fmode_5ft',['usart_sync_mode_t',['../a00020.html#gafadd2dad2820634ecf4663e0273a178b',1,'fsl_usart.h']]], + ['usart_5ftransfer_5fcallback_5ft',['usart_transfer_callback_t',['../a00020.html#ga9688f27725349ed0dd7a37c9a75eccc0',1,'fsl_usart.h']]], + ['usart_5ftransfer_5ft',['usart_transfer_t',['../a00020.html#ga5f095da5c95e5137fd47b284217dbe74',1,'fsl_usart.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_77.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_77.html new file mode 100644 index 0000000..794072b --- /dev/null +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_77.html @@ -0,0 +1,26 @@ + + + + + + + + + +
+
Loading...
+
+ +
Searching...
+
No Matches
+ +
+ + diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_77.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_77.js new file mode 100644 index 0000000..31d73b9 --- /dev/null +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_77.js @@ -0,0 +1,7 @@ +var searchData= +[ + ['wkt_5fclock_5fsource_5ft',['wkt_clock_source_t',['../a00021.html#ga2f8189bd165f45c4b6952003f74f4b27',1,'fsl_wkt.h']]], + ['wkt_5fconfig_5ft',['wkt_config_t',['../a00021.html#ga778acc4799cf97bf558e543417e03772',1,'fsl_wkt.h']]], + ['wkt_5fstatus_5fflags_5ft',['wkt_status_flags_t',['../a00021.html#ga2ab1ca4acd02e743300c0d79758c2759',1,'fsl_wkt.h']]], + ['wwdt_5fconfig_5ft',['wwdt_config_t',['../a00022.html#gade4f744368e9723e83fdefb72e88e692',1,'fsl_wwdt.h']]] +]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_61.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_61.js index 6a3c83c..bd1000d 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_61.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_61.js @@ -1,9 +1,9 @@ var searchData= [ - ['address',['address',['../a00016.html#ad7af2a58faba6a178daa97bd06ebce36',1,'i2c_slave_address_t']]], - ['address0',['address0',['../a00016.html#a4738c7cd55260f7e8a3825d0b2278a34',1,'i2c_slave_config_t']]], - ['address1',['address1',['../a00016.html#ae19c45c96699bb3a6821150ab820b029',1,'i2c_slave_config_t']]], - ['address2',['address2',['../a00016.html#ae855ba5c53f7e585c44eae8bada85e9d',1,'i2c_slave_config_t']]], - ['address3',['address3',['../a00016.html#a213d1737a633686701581a09859213a6',1,'i2c_slave_config_t']]], - ['addressdisable',['addressDisable',['../a00016.html#aca3dcdb3ab2710d991ada52d64bf102c',1,'i2c_slave_address_t']]] + ['address',['address',['../a00016.html#aac0aeb8b419b1312b7f873549541be45',1,'_i2c_slave_address']]], + ['address0',['address0',['../a00016.html#a5203e2e0d3d7e4f33aae8ced4df94778',1,'_i2c_slave_config']]], + ['address1',['address1',['../a00016.html#ad750f43edf4fce1b7d46aa567fbef65e',1,'_i2c_slave_config']]], + ['address2',['address2',['../a00016.html#adc043a79268cdf3f2f9bb82f486f25ef',1,'_i2c_slave_config']]], + ['address3',['address3',['../a00016.html#aa8bf7ada2ce9d9b2fd3fe94c7cae63f2',1,'_i2c_slave_config']]], + ['addressdisable',['addressDisable',['../a00016.html#a554d799403bbf3b7a43ba535f77036de',1,'_i2c_slave_address']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_62.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_62.js index 3a92d33..928d67b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_62.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_62.js @@ -1,7 +1,7 @@ var searchData= [ - ['baudrate_5fbps',['baudRate_Bps',['../a00015.html#a2186844dc87bcde999fc12005f4c550a',1,'i2c_master_config_t::baudRate_Bps()'],['../a00019.html#ae7695987e044d80983fd98a43812b1ea',1,'spi_master_config_t::baudRate_Bps()'],['../a00020.html#a5d2631bc772901b4114b01770f9bb337',1,'usart_config_t::baudRate_Bps()']]], - ['bitcountperchar',['bitCountPerChar',['../a00020.html#ab964b3fbce4b824beff770a138fd4b6e',1,'usart_config_t']]], - ['buf',['buf',['../a00015.html#a9577474c0c4395355174df2b016108de',1,'_i2c_master_handle']]], - ['busspeed',['busSpeed',['../a00016.html#a14acc40a290c779fde0825f3a8bdbb25',1,'i2c_slave_config_t']]] + ['baudrate_5fbps',['baudRate_Bps',['../a00015.html#a282b6a9bd8e98bf35e8183665488d98c',1,'_i2c_master_config::baudRate_Bps()'],['../a00019.html#a8cba2f473c8c924773ddc933be83c939',1,'_spi_master_config::baudRate_Bps()'],['../a00020.html#a80339c90b740ca4d4417a97328641ec5',1,'_usart_config::baudRate_Bps()']]], + ['bitcountperchar',['bitCountPerChar',['../a00020.html#a610524a1732fca3c58c7f109f1a11ea6',1,'_usart_config']]], + ['buf',['buf',['../a00015.html#a62ffa1c0fea595fb26ac5604c437a549',1,'_i2c_master_handle']]], + ['busspeed',['busSpeed',['../a00016.html#a27793bbbe385b9446a1e10e1d109dbbd',1,'_i2c_slave_config']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_63.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_63.js index ca53c34..6b9e4b9 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_63.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_63.js @@ -1,18 +1,18 @@ var searchData= [ - ['callback',['callback',['../a00016.html#a7229e894f762ead4bd08b4add49e6bc2',1,'_i2c_slave_handle::callback()'],['../a00019.html#a445e70d437c917e6af1b4037bdbb6a3f',1,'_spi_master_handle::callback()'],['../a00020.html#a50fd5afc23c86d872ee2a1d46bd4145e',1,'_usart_handle::callback()']]], - ['channelmask',['channelMask',['../a00009.html#ab63c0a8803f4d02e040d55efd8d58e88',1,'adc_conv_seq_config_t']]], - ['channelnumber',['channelNumber',['../a00009.html#a6829bb748bc55409fed8615f6a40bb84',1,'adc_result_info_t']]], - ['clockdivider',['clockDivider',['../a00010.html#a9a8da1759cc4ea2b284400908075c871',1,'capt_config_t']]], - ['clockdividernumber',['clockDividerNumber',['../a00009.html#abb59c0a54988e01653f80c8a59d18b1a',1,'adc_config_t']]], - ['clockfreq_5fhz',['clockFreq_Hz',['../a00022.html#a15e2f3ecd343280edfd8fbaa0bf8c9f4',1,'wwdt_config_t']]], - ['clockphase',['clockPhase',['../a00019.html#a7fbed6c387c99764c4f405fc7d9761ee',1,'spi_master_config_t::clockPhase()'],['../a00019.html#a56c657f64c2e26fe7dd772c1a77efb9e',1,'spi_slave_config_t::clockPhase()']]], - ['clockpolarity',['clockPolarity',['../a00019.html#a780c1f03e9670a2c38068a7bd49d4f13',1,'spi_master_config_t::clockPolarity()'],['../a00019.html#afd5bb71a7dc1908cb8a1860564601a45',1,'spi_slave_config_t::clockPolarity()'],['../a00020.html#ac808e4908f1d6f9ca78fec3d0b8f118a',1,'usart_config_t::clockPolarity()']]], - ['clocksource',['clockSource',['../a00021.html#ae5078ce05e0689966c2c5c49f9aaf89a',1,'wkt_config_t']]], - ['complementin',['complementIn',['../a00011.html#a9982af011e3e1fc9756dcee96281ebda',1,'crc_config_t']]], - ['complementout',['complementOut',['../a00011.html#a673b6508efa086da7b7bd537a876241e',1,'crc_config_t']]], - ['completioncallback',['completionCallback',['../a00015.html#a15b84b8a94c2b2e5ace0a695c79edd84',1,'_i2c_master_handle']]], - ['completionstatus',['completionStatus',['../a00016.html#a35adbf64ca65dd2c1b52f9260f5b9e90',1,'i2c_slave_transfer_t']]], - ['configflags',['configFlags',['../a00019.html#a582eea734badd0049c98ea3cf89b3e4b',1,'spi_transfer_t']]], - ['count',['count',['../a00010.html#a2b0d01a9feb8b378e76f4d3240e9ebe8',1,'capt_touch_data_t']]] + ['callback',['callback',['../a00016.html#aa1a2ab536368e7b2e8981879f1a3f5ca',1,'_i2c_slave_handle::callback()'],['../a00019.html#a23ddc6ed23916e8d059decde7018013b',1,'_spi_master_handle::callback()'],['../a00020.html#ac9be0049f0ca8da0b38a0bb9d09d541c',1,'_usart_handle::callback()']]], + ['channelmask',['channelMask',['../a00009.html#a9743fed64072f085713d02155a37ff10',1,'_adc_conv_seq_config']]], + ['channelnumber',['channelNumber',['../a00009.html#a133e25bdbfb7e5ffd30c94f5216433a5',1,'_adc_result_info']]], + ['clockdivider',['clockDivider',['../a00010.html#a6261f0e14942576f0b3e5065876a142f',1,'_capt_config']]], + ['clockdividernumber',['clockDividerNumber',['../a00009.html#a55737a4c28557ec53b39ede642c6fd15',1,'_adc_config']]], + ['clockfreq_5fhz',['clockFreq_Hz',['../a00022.html#acbab700e360be852f9b80ab4a37d8f68',1,'_wwdt_config']]], + ['clockphase',['clockPhase',['../a00019.html#af9e0087b540a3d80d58ab1cd7384538f',1,'_spi_master_config::clockPhase()'],['../a00019.html#abcaa0eec27e2e09c26c4eb4d7a516de8',1,'_spi_slave_config::clockPhase()']]], + ['clockpolarity',['clockPolarity',['../a00019.html#ae90ee3831c40c91378c657046f74825f',1,'_spi_master_config::clockPolarity()'],['../a00019.html#ad3c74e7d0889da63926fe36ab14ec477',1,'_spi_slave_config::clockPolarity()'],['../a00020.html#aad8518580e6b01e84394fda5aaaac498',1,'_usart_config::clockPolarity()']]], + ['clocksource',['clockSource',['../a00021.html#a661c018494a522eba0c6bf127bd684ab',1,'_wkt_config']]], + ['complementin',['complementIn',['../a00011.html#a21fbdaae981f48037ac2ae4f17014d4e',1,'_crc_config']]], + ['complementout',['complementOut',['../a00011.html#a6b4f17bf4ed5716ce78d1505bb3b7df8',1,'_crc_config']]], + ['completioncallback',['completionCallback',['../a00015.html#a0c6862e22cf2047661ce05975756093a',1,'_i2c_master_handle']]], + ['completionstatus',['completionStatus',['../a00016.html#a5c09cb680bd188a4176823b6dfa21335',1,'_i2c_slave_transfer']]], + ['configflags',['configFlags',['../a00019.html#a59471cf32712d027d561669583d2f89f',1,'_spi_transfer']]], + ['count',['count',['../a00010.html#a0d0af0032496196c04ff3c21e08da611',1,'_capt_touch_data']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_64.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_64.js index 0e4da45..ed9b081 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_64.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_64.js @@ -1,8 +1,8 @@ var searchData= [ - ['data',['data',['../a00015.html#a8c7f63bd1e7de04e40f5cd733f5c7388',1,'_i2c_master_transfer::data()'],['../a00020.html#acad21ced979ce0c2af98f0b1837863c9',1,'usart_transfer_t::data()']]], - ['datasize',['dataSize',['../a00015.html#a68e2dd69e1e34b1b505270e1e07e8a34',1,'_i2c_master_transfer::dataSize()'],['../a00019.html#ae003337c9398e5f5e3189f5b2ba6335b',1,'spi_transfer_t::dataSize()'],['../a00020.html#a605c0a56df2815ffb3461aaaf116752a',1,'usart_transfer_t::dataSize()']]], - ['datawidth',['dataWidth',['../a00019.html#a018402d4d181b482376a878b38db740f',1,'spi_master_config_t::dataWidth()'],['../a00019.html#adc19252b2493f3f9d12f029e57908ce5',1,'spi_slave_config_t::dataWidth()'],['../a00019.html#a11b51e4d88d3f8d9137a13ce301ed46c',1,'_spi_master_handle::dataWidth()']]], - ['delayconfig',['delayConfig',['../a00019.html#a787477e7b0ae7833dcbdb1c85fef361c',1,'spi_master_config_t']]], - ['direction',['direction',['../a00015.html#ac5b89dc9115b7760431c981e0c1fd443',1,'_i2c_master_transfer::direction()'],['../a00019.html#aace9261acfad8526f3d5b0b59346629a',1,'spi_master_config_t::direction()'],['../a00019.html#ad4eb458a0c1a0c0934eec53d71b78ffb',1,'spi_slave_config_t::direction()']]] + ['data',['data',['../a00015.html#a083727a4268398628225a68cad8c4a50',1,'_i2c_master_transfer::data()'],['../a00020.html#af4347f3022beb6cb6d930582eaa7d284',1,'_usart_transfer::data()']]], + ['datasize',['dataSize',['../a00015.html#a7034b157201c46c116dc251e3b56412d',1,'_i2c_master_transfer::dataSize()'],['../a00019.html#a79e2b8992abc1795158494ee18ad4473',1,'_spi_transfer::dataSize()'],['../a00020.html#a772fe840060516d61371497eeda93bca',1,'_usart_transfer::dataSize()']]], + ['datawidth',['dataWidth',['../a00019.html#a8d506d4156e7e9d46ba531ff56ddd3a7',1,'_spi_master_config::dataWidth()'],['../a00019.html#a7313f9e492afbf8ff4680502124b4fe2',1,'_spi_slave_config::dataWidth()'],['../a00019.html#a078d736075e4466b9b45e066f33bfb6f',1,'_spi_master_handle::dataWidth()']]], + ['delayconfig',['delayConfig',['../a00019.html#a0dd557fb69673bc7e825d0e9845fd575',1,'_spi_master_config']]], + ['direction',['direction',['../a00015.html#a7bd3afcf89b78d9397b2f0c55f9deb27',1,'_i2c_master_transfer::direction()'],['../a00019.html#ae222bf1f735603efc912489f7d3b778c',1,'_spi_master_config::direction()'],['../a00019.html#aa45fa3b6868b3efab6bb769342a83b08',1,'_spi_slave_config::direction()']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_65.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_65.js index 8942e61..893839e 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_65.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_65.js @@ -1,27 +1,28 @@ var searchData= [ - ['enablecontinuoussclk',['enableContinuousSCLK',['../a00020.html#a8d618d37f6867480bd0e1fcd9e5b50a5',1,'usart_config_t']]], - ['enablecounterreset',['enableCounterReset',['../a00012.html#aaa00b16aba273e3f11f9480154acc1e5',1,'ctimer_match_config_t']]], - ['enablecounterstop',['enableCounterStop',['../a00012.html#a94fe2c63ce7fcb5cf68f9ce73974a3e8',1,'ctimer_match_config_t']]], - ['enablehardwareflowcontrol',['enableHardwareFlowControl',['../a00020.html#ac34e16abe408d6e9daa37741420f7ec0',1,'usart_config_t']]], - ['enableinterrupt',['enableInterrupt',['../a00012.html#ae09fd69820c9285d7f146260d2c51584',1,'ctimer_match_config_t']]], - ['enablelockoscillator',['enableLockOscillator',['../a00022.html#ac0e6a4a8be4bd468fdb082a156219a44',1,'wwdt_config_t']]], - ['enableloopback',['enableLoopback',['../a00019.html#a384bc00ef4cd5b4e9cb6a4d48ec336bd',1,'spi_master_config_t']]], - ['enablemaster',['enableMaster',['../a00015.html#a2419131a10906475fd31950f17ac8895',1,'i2c_master_config_t::enableMaster()'],['../a00019.html#aa033bd20cfbb1a14f0fd43f4b31bb27e',1,'spi_master_config_t::enableMaster()']]], - ['enablemultitask',['enableMultiTask',['../a00018.html#aa8d8b810ee17770fd48674454f59bb08',1,'mrt_config_t']]], - ['enablerx',['enableRx',['../a00020.html#a8b9813693fdfd2116e0d6019ea39b41d',1,'usart_config_t']]], - ['enablesinglestep',['enableSingleStep',['../a00009.html#abe7f67296372743da67e2eac58f9aafe',1,'adc_conv_seq_config_t']]], - ['enableslave',['enableSlave',['../a00016.html#a92d20835618a946b8f7702455877ef7a',1,'i2c_slave_config_t::enableSlave()'],['../a00019.html#a9fcd3fae6d886c7dfd0c3fd4cd51e6fe',1,'spi_slave_config_t::enableSlave()']]], - ['enablesyncbypass',['enableSyncBypass',['../a00009.html#aaf2640b291a24e4222953c7686007a3b',1,'adc_conv_seq_config_t']]], - ['enablesynctobusclk',['enableSyncToBusClk',['../a00008.html#a6f9542645b06542277cb07ea138e5064',1,'acomp_config_t']]], - ['enabletimeout',['enableTimeout',['../a00015.html#a1c6831135c83c013d2a3cfc3e7210357',1,'i2c_master_config_t']]], - ['enabletouchlower',['enableTouchLower',['../a00010.html#af153fbc551235805bebdcae4efa95462',1,'capt_config_t']]], - ['enabletx',['enableTx',['../a00020.html#a2c3b5faf44be34a152232b9dfaaf064d',1,'usart_config_t']]], - ['enablewaitmode',['enableWaitMode',['../a00010.html#a65c26d6fd762782ca1370d3de678e98b',1,'capt_config_t']]], - ['enablewatchdogprotect',['enableWatchdogProtect',['../a00022.html#adc32c01dcffb7f140c8e1fd049862928',1,'wwdt_config_t']]], - ['enablewatchdogreset',['enableWatchdogReset',['../a00022.html#a78ce1ec4de049becaae7e3be51772313',1,'wwdt_config_t']]], - ['enablewwdt',['enableWwdt',['../a00022.html#a405b63e15703dc92b3179b5089319e38',1,'wwdt_config_t']]], - ['enablexpins',['enableXpins',['../a00010.html#a22171a4d042ea93fa19f6d7e22caa516',1,'capt_config_t']]], - ['event',['event',['../a00016.html#ad0a9e837e9df16d89bab98a78af5bd10',1,'i2c_slave_transfer_t']]], - ['eventmask',['eventMask',['../a00016.html#a1be21257ec375e09106dfe242c02beea',1,'i2c_slave_transfer_t']]] + ['enablecontinuoussclk',['enableContinuousSCLK',['../a00020.html#a691ca4c00ee5bd1bde98fd7c6b63a131',1,'_usart_config']]], + ['enablecounterreset',['enableCounterReset',['../a00012.html#a21541c95f8155a7668f604269630112c',1,'_ctimer_match_config']]], + ['enablecounterstop',['enableCounterStop',['../a00012.html#a20b9c2ce6be8ecf9f0f640bba9828851',1,'_ctimer_match_config']]], + ['enablehardwareflowcontrol',['enableHardwareFlowControl',['../a00020.html#a4d3990d1b607803e6f17c97678de7883',1,'_usart_config']]], + ['enableinterrupt',['enableInterrupt',['../a00012.html#a65dfe86005e09a191b64c31726f5b8ad',1,'_ctimer_match_config']]], + ['enablelockoscillator',['enableLockOscillator',['../a00022.html#aa664f6d30e5b267bf960ad09fb36275f',1,'_wwdt_config']]], + ['enableloopback',['enableLoopback',['../a00019.html#a1677d25ffaa802e2a44ea7ed20d2ca18',1,'_spi_master_config']]], + ['enablelowpowermode',['enableLowPowerMode',['../a00009.html#a0f905ed7fa606d287ad9fff223a84efb',1,'_adc_config']]], + ['enablemaster',['enableMaster',['../a00015.html#a7a58fd313d0615ac1ca70d2b4f17ae9a',1,'_i2c_master_config::enableMaster()'],['../a00019.html#a21f290440b9d5e90f40c297a35e9d5ec',1,'_spi_master_config::enableMaster()']]], + ['enablemultitask',['enableMultiTask',['../a00018.html#adf44f75ebc1e4c27ed0cf88c03c122d9',1,'_mrt_config']]], + ['enablerx',['enableRx',['../a00020.html#a370b6e0a9f1c05e037f9e940d7e36694',1,'_usart_config']]], + ['enablesinglestep',['enableSingleStep',['../a00009.html#a965d79f3c62d5614f423a1a34b72a8ea',1,'_adc_conv_seq_config']]], + ['enableslave',['enableSlave',['../a00016.html#ad720331a891010209a1209585056a2b7',1,'_i2c_slave_config::enableSlave()'],['../a00019.html#a9cd2350556d5f374c285e1cc82d1a0cd',1,'_spi_slave_config::enableSlave()']]], + ['enablesyncbypass',['enableSyncBypass',['../a00009.html#a7658740de8624cabaf36e55569f5e0a5',1,'_adc_conv_seq_config']]], + ['enablesynctobusclk',['enableSyncToBusClk',['../a00008.html#aadb2c1f3afec1367d80ee500fecf9924',1,'_acomp_config']]], + ['enabletimeout',['enableTimeout',['../a00015.html#ae638534f9f5735a294e951652c1d650b',1,'_i2c_master_config']]], + ['enabletouchlower',['enableTouchLower',['../a00010.html#afabf0825d1df7f15902138064c9dff9d',1,'_capt_config']]], + ['enabletx',['enableTx',['../a00020.html#a1d7ec8bb576610aa864cbc0107349a21',1,'_usart_config']]], + ['enablewaitmode',['enableWaitMode',['../a00010.html#a99e3cabacd7886f131f941d4ff6cf96a',1,'_capt_config']]], + ['enablewatchdogprotect',['enableWatchdogProtect',['../a00022.html#adf57c281f83db946ed4553f513f00061',1,'_wwdt_config']]], + ['enablewatchdogreset',['enableWatchdogReset',['../a00022.html#a6ff22b44e352c0182bdd87b9ff61ee2e',1,'_wwdt_config']]], + ['enablewwdt',['enableWwdt',['../a00022.html#a4a2401c8e97f8eced0fdca7ffdabacbc',1,'_wwdt_config']]], + ['enablexpins',['enableXpins',['../a00010.html#af0e94cfd8917e5b0190c2a8abff13692',1,'_capt_config']]], + ['event',['event',['../a00016.html#a461d482d4a91765e8820b55b17e7e53c',1,'_i2c_slave_transfer']]], + ['eventmask',['eventMask',['../a00016.html#a26fb4094fa78037240e2dd1d71637026',1,'_i2c_slave_transfer']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_66.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_66.js index ea4681b..30412fd 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_66.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_66.js @@ -1,5 +1,5 @@ var searchData= [ - ['flags',['flags',['../a00015.html#a8835787e1b0f9a4b8868e7cbe53e45d5',1,'_i2c_master_transfer']]], - ['framedelay',['frameDelay',['../a00019.html#a9609e5c510bf5d0c120a403ed40aed42',1,'spi_delay_config_t']]] + ['flags',['flags',['../a00015.html#a84861e140902bd67ae901b2f4c53bfc0',1,'_i2c_master_transfer']]], + ['framedelay',['frameDelay',['../a00019.html#abf7584dd222128b434052c58d1bdecbc',1,'_spi_delay_config']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_68.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_68.js index 8af68ec..b054657 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_68.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_68.js @@ -1,5 +1,5 @@ var searchData= [ - ['handle',['handle',['../a00016.html#ab74516c1edb1424ddb1554de7cae69bc',1,'i2c_slave_transfer_t']]], - ['hysteresisselection',['hysteresisSelection',['../a00008.html#a46ecb6f7efe54967d816deafdf1c65ce',1,'acomp_config_t']]] + ['handle',['handle',['../a00016.html#a5138a6295a93e877a8de83980095b8ab',1,'_i2c_slave_transfer']]], + ['hysteresisselection',['hysteresisSelection',['../a00008.html#a4263e26731a1edc99ab1334412794b0a',1,'_acomp_config']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_69.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_69.js index cfa0702..143d512 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_69.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_69.js @@ -1,6 +1,6 @@ var searchData= [ - ['input',['input',['../a00012.html#ac07c23c0bbadea74c24c03e401321fbc',1,'ctimer_config_t']]], - ['interruptmode',['interruptMode',['../a00009.html#aa37fa0f5c6c3351f811d4f31f40290ad',1,'adc_conv_seq_config_t']]], - ['isbusy',['isBusy',['../a00016.html#a81ece18a362fc9779750be91f7cc6b30',1,'_i2c_slave_handle']]] + ['input',['input',['../a00012.html#ae81716b8893efcbe0a03b7a96215cd66',1,'_ctimer_config']]], + ['interruptmode',['interruptMode',['../a00009.html#a9113b389cf95ab7cbe6b6127dce14406',1,'_adc_conv_seq_config']]], + ['isbusy',['isBusy',['../a00016.html#af6d7a3aa8f16a2ddacb9cf58977fd67c',1,'_i2c_slave_handle']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_6c.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_6c.js index 507416a..71ed17e 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_6c.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_6c.js @@ -1,6 +1,6 @@ var searchData= [ - ['laddervalue',['ladderValue',['../a00008.html#a8271667393096dad310f8b2e486b1c4b',1,'acomp_ladder_config_t']]], - ['lastcommand',['lastCommand',['../a00019.html#a4e66b572aa792a179028af5340bc83cb',1,'_spi_master_handle']]], - ['loopback',['loopback',['../a00020.html#a9892d7a138f2245bc9b7fe4e6c1652fb',1,'usart_config_t']]] + ['laddervalue',['ladderValue',['../a00008.html#adcb0d4715a113383eeff5c8099c6179f',1,'_acomp_ladder_config']]], + ['lastcommand',['lastCommand',['../a00019.html#abd11fc7796a0daf717776f0aa4bd1a90',1,'_spi_master_handle']]], + ['loopback',['loopback',['../a00020.html#a36c8c2286aaeb9a7c0e2fc5e716b1230',1,'_usart_config']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_6d.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_6d.js index 0ef21cc..01ac9f6 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_6d.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_6d.js @@ -1,6 +1,6 @@ var searchData= [ - ['matchvalue',['matchValue',['../a00012.html#afa3614f09e787565b3514ddd4d9545a0',1,'ctimer_match_config_t']]], - ['mdelay',['mDelay',['../a00010.html#a6f8664474411be56853bb70cd8de8b70',1,'capt_config_t']]], - ['mode',['mode',['../a00012.html#ab72ef3a10cab9754d3cecb44ef7ad6ac',1,'ctimer_config_t']]] + ['matchvalue',['matchValue',['../a00012.html#a5960cac6e983dce00a98f942e22f5832',1,'_ctimer_match_config']]], + ['mdelay',['mDelay',['../a00010.html#ac15874a392739d70799948b6d9d1ac64',1,'_capt_config']]], + ['mode',['mode',['../a00012.html#ae5118e6ce0406226a78b579332afc0e7',1,'_ctimer_config']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_6f.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_6f.js index 0a11ecd..a6fad57 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_6f.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_6f.js @@ -1,7 +1,7 @@ var searchData= [ - ['outcontrol',['outControl',['../a00012.html#a0e8b29f0c0f74c5273942a521e3b16d6',1,'ctimer_match_config_t']]], - ['outpininitstate',['outPinInitState',['../a00012.html#a31ca8ee3406b7a17c793d94469e1e68d',1,'ctimer_match_config_t']]], - ['outputlogic',['outputLogic',['../a00014.html#a9d37ffd9a2943f10a91095759bd52da5',1,'gpio_pin_config_t']]], - ['overrunflag',['overrunFlag',['../a00009.html#a5d9fd2ad5f95c7b55a72ac1b5d10221f',1,'adc_result_info_t']]] + ['outcontrol',['outControl',['../a00012.html#aebc9be7186748d055b9f5a32c241c51e',1,'_ctimer_match_config']]], + ['outpininitstate',['outPinInitState',['../a00012.html#a742e587e497d79f10529d45268078d15',1,'_ctimer_match_config']]], + ['outputlogic',['outputLogic',['../a00014.html#a70ede6dc868ff6dd15987ba62c5d574b',1,'_gpio_pin_config']]], + ['overrunflag',['overrunFlag',['../a00009.html#ac203d9e6924d2b46deb5611052543f16',1,'_adc_result_info']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_70.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_70.js index e8635e3..f26027b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_70.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_70.js @@ -1,10 +1,10 @@ var searchData= [ - ['paritymode',['parityMode',['../a00020.html#aff1d7e368b35ae89db8aa393207e7ccc',1,'usart_config_t']]], - ['pindirection',['pinDirection',['../a00014.html#a70aed128003103272f5740f12fbff525',1,'gpio_pin_config_t']]], - ['pollcount',['pollCount',['../a00010.html#ac5c6145f8f3ee5abc6130bea3de2d8c8',1,'capt_config_t']]], - ['polynomial',['polynomial',['../a00011.html#afbe53ea36b200e69cb7f693e6f0a11f1',1,'crc_config_t']]], - ['postdelay',['postDelay',['../a00019.html#a960b87887e431dabbb5641109cb56d90',1,'spi_delay_config_t']]], - ['predelay',['preDelay',['../a00019.html#a24a2584817f03d1ace0a6cecc718bc09',1,'spi_delay_config_t']]], - ['prescale',['prescale',['../a00012.html#aa8baec2c586854dc58ff4cdb82b7996b',1,'ctimer_config_t']]] + ['paritymode',['parityMode',['../a00020.html#a596335f12cc74301076951ce438b29e8',1,'_usart_config']]], + ['pindirection',['pinDirection',['../a00014.html#ae01198d65e4be510c4468dce75b6d779',1,'_gpio_pin_config']]], + ['pollcount',['pollCount',['../a00010.html#a63c6f24b87a854af63cf75d8498f9f25',1,'_capt_config']]], + ['polynomial',['polynomial',['../a00011.html#acdcb878b2b9318dffe5be1e214f67663',1,'_crc_config']]], + ['postdelay',['postDelay',['../a00019.html#aed8236ecb1aea1a39be3f060e8de34c7',1,'_spi_delay_config']]], + ['predelay',['preDelay',['../a00019.html#a5a9538fb17f9ad1ae6e5bc3654674bf5',1,'_spi_delay_config']]], + ['prescale',['prescale',['../a00012.html#acf773fdddb3e5695ae30f4ab45e4b2fd',1,'_ctimer_config']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_71.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_71.js index 3b82450..2943224 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_71.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_71.js @@ -1,5 +1,5 @@ var searchData= [ - ['qualaddress',['qualAddress',['../a00016.html#a4c9d09c35c4641d38bf565de31b07320',1,'i2c_slave_config_t']]], - ['qualmode',['qualMode',['../a00016.html#a51577d06d8812b0c999957898ec016b5',1,'i2c_slave_config_t']]] + ['qualaddress',['qualAddress',['../a00016.html#a0b7ba3bb25c3bc531ade96ab283b8a5b',1,'_i2c_slave_config']]], + ['qualmode',['qualMode',['../a00016.html#a98fcd913b880ce599149066d0cd1f431',1,'_i2c_slave_config']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_72.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_72.js index 827a823..8c006ad 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_72.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_72.js @@ -1,20 +1,20 @@ var searchData= [ - ['rdelay',['rDelay',['../a00010.html#a2023ba3491243edb7ad6be41ea0b0f68',1,'capt_config_t']]], - ['receivedaddress',['receivedAddress',['../a00016.html#ad6f3b291ee81b69cf91c161ae26d65ae',1,'i2c_slave_transfer_t']]], - ['referencevoltage',['referenceVoltage',['../a00008.html#a66356c0246938695521e8a0ece69cf11',1,'acomp_ladder_config_t']]], - ['remainingbytes',['remainingBytes',['../a00015.html#aca481b5d3ca6dce53cf440b534ff80c2',1,'_i2c_master_handle']]], - ['result',['result',['../a00009.html#afa0a0f7e84c888060b963280035378f6',1,'adc_result_info_t']]], - ['reversein',['reverseIn',['../a00011.html#aecb53a8e8a78e3273c6d3b2c874ab7f2',1,'crc_config_t']]], - ['reverseout',['reverseOut',['../a00011.html#ab34c2bedb6fc476f9cbe760b6d45a417',1,'crc_config_t']]], - ['rxdata',['rxData',['../a00016.html#a3e497078c6038baa8748ce5ecebc7e3d',1,'i2c_slave_transfer_t::rxData()'],['../a00019.html#a28b878b99d5ec790b5c8f3bafe140da0',1,'spi_transfer_t::rxData()'],['../a00019.html#a69f260bde2e1728233f835a148b2f51b',1,'_spi_master_handle::rxData()'],['../a00020.html#a44bd38d7d89b7e185ac9bb49bd7214ea',1,'usart_transfer_t::rxData()'],['../a00020.html#a7fcedbe0ea6e380084a05ba1bb06e38d',1,'_usart_handle::rxData()']]], - ['rxdatasize',['rxDataSize',['../a00020.html#a94a60ffa711d28e3c9151c9990a9145c',1,'_usart_handle']]], - ['rxdatasizeall',['rxDataSizeAll',['../a00020.html#a35109a019b73257d24021ad79d951e64',1,'_usart_handle']]], - ['rxremainingbytes',['rxRemainingBytes',['../a00019.html#a23b2531ea36b53e42cd6b2a3780d3017',1,'_spi_master_handle']]], - ['rxringbuffer',['rxRingBuffer',['../a00020.html#a2cac1523ac58da64d4076c6155309d2f',1,'_usart_handle']]], - ['rxringbufferhead',['rxRingBufferHead',['../a00020.html#afb838e91205d1aa1a2b064591bc11c4e',1,'_usart_handle']]], - ['rxringbuffersize',['rxRingBufferSize',['../a00020.html#ae1c5e6a8ccdd56a678e8dda316e43845',1,'_usart_handle']]], - ['rxringbuffertail',['rxRingBufferTail',['../a00020.html#a197ce470fd9b42e53a867ef84eb2b6dd',1,'_usart_handle']]], - ['rxsize',['rxSize',['../a00016.html#a75e06358c0d5840a1dfc19a029e10ba8',1,'i2c_slave_transfer_t']]], - ['rxstate',['rxState',['../a00020.html#a7f621935f46f5f1bdd10ca755e1b51a7',1,'_usart_handle']]] + ['rdelay',['rDelay',['../a00010.html#a4d7124d2fe27a7b307abef0e3d1bd55c',1,'_capt_config']]], + ['receivedaddress',['receivedAddress',['../a00016.html#a521a410193f5184aaea5ab42b8bafac7',1,'_i2c_slave_transfer']]], + ['referencevoltage',['referenceVoltage',['../a00008.html#a425079b28fa9d9d2942cc2160089ae18',1,'_acomp_ladder_config']]], + ['remainingbytes',['remainingBytes',['../a00015.html#aa041075cd1ecaf90bcc73d96478e94cf',1,'_i2c_master_handle']]], + ['result',['result',['../a00009.html#a3e3d2d7de657f63a68fa37041fb793fa',1,'_adc_result_info']]], + ['reversein',['reverseIn',['../a00011.html#af12b83e2d0d509072a6f6f9cfe851c08',1,'_crc_config']]], + ['reverseout',['reverseOut',['../a00011.html#a7c89d6338d481fa27e2291c28b375ae1',1,'_crc_config']]], + ['rxdata',['rxData',['../a00016.html#a93589ac311615c53149c70f87cc85220',1,'_i2c_slave_transfer::rxData()'],['../a00019.html#a747148e84f9c242b274a022aed7a0555',1,'_spi_transfer::rxData()'],['../a00019.html#ac2ff0ccc0b7c02ad4be567e9e09eb840',1,'_spi_master_handle::rxData()'],['../a00020.html#aaebd685f866e8426d8ac31f80e9c5ac0',1,'_usart_transfer::rxData()'],['../a00020.html#ab542745215695fe8ec1fe961eb279b03',1,'_usart_handle::rxData()']]], + ['rxdatasize',['rxDataSize',['../a00020.html#a56f610440fdc4468294c0b1a88cbb40f',1,'_usart_handle']]], + ['rxdatasizeall',['rxDataSizeAll',['../a00020.html#aeb56ce65366b01bc8fd035dd500faf5e',1,'_usart_handle']]], + ['rxremainingbytes',['rxRemainingBytes',['../a00019.html#a3706da91ee40a2f2bd006765fa6d9d5f',1,'_spi_master_handle']]], + ['rxringbuffer',['rxRingBuffer',['../a00020.html#a330deecdce9b4d973e43b38e7f1b81ec',1,'_usart_handle']]], + ['rxringbufferhead',['rxRingBufferHead',['../a00020.html#abf7d0609ce46c8738aec656fbbf0305c',1,'_usart_handle']]], + ['rxringbuffersize',['rxRingBufferSize',['../a00020.html#a22acf3b7ea83a9b701ec5ac7819ac538',1,'_usart_handle']]], + ['rxringbuffertail',['rxRingBufferTail',['../a00020.html#a047780bd1268a676f4ebb16c306cd75a',1,'_usart_handle']]], + ['rxsize',['rxSize',['../a00016.html#a97340f3d81fba5c6f687f51ea68793c3',1,'_i2c_slave_transfer']]], + ['rxstate',['rxState',['../a00020.html#a05861925b5c798c477a57e10acfa054d',1,'_usart_handle']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_73.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_73.js index adc0527..600c662 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_73.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_73.js @@ -1,15 +1,15 @@ var searchData= [ - ['seed',['seed',['../a00011.html#a88920b83ff1317f511c1e9f4916b3b30',1,'crc_config_t']]], - ['sequencenumber',['sequenceNumber',['../a00010.html#a3305567326ede24c2f45a2d5b788eb2e',1,'capt_touch_data_t']]], - ['settlingtime',['settlingTime',['../a00013.html#a64eb5fd25bfaaa5593caf6e9116dbb5b',1,'dac_config_t']]], - ['slaveaddress',['slaveAddress',['../a00015.html#a97891bd050609c6dcd1276714277e480',1,'_i2c_master_transfer']]], - ['slavefsm',['slaveFsm',['../a00016.html#a18589a5de9b06b2eaf7d4260eac03a58',1,'_i2c_slave_handle']]], - ['sselnumber',['sselNumber',['../a00019.html#af889de97327eb44641d8acc1f509d074',1,'spi_master_config_t']]], - ['sselpolarity',['sselPolarity',['../a00019.html#ab514b4866ee539808abd92a75ca8be51',1,'spi_master_config_t::sselPolarity()'],['../a00019.html#a0d0bceaaae2e5bae690fb2c3289f48f6',1,'spi_slave_config_t::sselPolarity()']]], - ['state',['state',['../a00015.html#add7ec18bc8239c5c87ffcec2fbcf5dd8',1,'_i2c_master_handle::state()'],['../a00019.html#ae7933252a37be998d127217f34f6fd16',1,'_spi_master_handle::state()']]], - ['stopbitcount',['stopBitCount',['../a00020.html#aeb0b8a38f5d7e0def2aa1b079643682f',1,'usart_config_t']]], - ['subaddress',['subaddress',['../a00015.html#ae7facb612714785d4e143e57d47a5af3',1,'_i2c_master_transfer']]], - ['subaddresssize',['subaddressSize',['../a00015.html#aeec8dccf4a49f03ff9a40f5982a24796',1,'_i2c_master_transfer']]], - ['syncmode',['syncMode',['../a00020.html#ad5debb2aa90fc8fa732edd1ab4bdbbea',1,'usart_config_t']]] + ['seed',['seed',['../a00011.html#a5db17f3b1bf658ca8c44531a370c447d',1,'_crc_config']]], + ['sequencenumber',['sequenceNumber',['../a00010.html#abf61047d6c6489bdb2f836e7720fe088',1,'_capt_touch_data']]], + ['settlingtime',['settlingTime',['../a00013.html#ad028490a4c5b6ddc206b0c9f6efd7903',1,'_dac_config']]], + ['slaveaddress',['slaveAddress',['../a00015.html#ae21c9a34c722273d6c28f0abe72b5424',1,'_i2c_master_transfer']]], + ['slavefsm',['slaveFsm',['../a00016.html#a8cc8b98baef51cb985c227d65e5f75f1',1,'_i2c_slave_handle']]], + ['sselnumber',['sselNumber',['../a00019.html#a2f58207867da4bce8e21aef3d14b36c0',1,'_spi_master_config']]], + ['sselpolarity',['sselPolarity',['../a00019.html#af04e8fe6a645da87ee12619e59e2598f',1,'_spi_master_config::sselPolarity()'],['../a00019.html#a63ddc4cf4beddeb93868a2511bf6286f',1,'_spi_slave_config::sselPolarity()']]], + ['state',['state',['../a00015.html#ade67a2d6339836711ee255a18405e750',1,'_i2c_master_handle::state()'],['../a00019.html#a4e535bb80a844ac409dbf51c2ecee955',1,'_spi_master_handle::state()']]], + ['stopbitcount',['stopBitCount',['../a00020.html#a68387d7059ba0187baaab53ae2a4ff45',1,'_usart_config']]], + ['subaddress',['subaddress',['../a00015.html#a7a4f43a16e63d9f286bc41b6255b5e3f',1,'_i2c_master_transfer']]], + ['subaddresssize',['subaddressSize',['../a00015.html#a0c2c6dde8d11db7793fdea90f1b34afb',1,'_i2c_master_transfer']]], + ['syncmode',['syncMode',['../a00020.html#a25c70030145b41ac1f4030ebdc4390b1',1,'_usart_config']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_74.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_74.js index d584716..b467425 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_74.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_74.js @@ -1,21 +1,21 @@ var searchData= [ - ['thresholdcomparestatus',['thresholdCompareStatus',['../a00009.html#a1014edddf4d1ea57e751088dcadace6b',1,'adc_result_info_t']]], - ['thresholdcorssingstatus',['thresholdCorssingStatus',['../a00009.html#a443ffd542f5690ca2cb239181db4aaf7',1,'adc_result_info_t']]], - ['timeoutcount',['timeOutCount',['../a00010.html#a2d65011e0db3619f02eacea89b6ab5a3',1,'capt_config_t']]], - ['timeoutvalue',['timeoutValue',['../a00022.html#a9ada6867151ee688d47176cbe771cf2d',1,'wwdt_config_t']]], - ['totalbytecount',['totalByteCount',['../a00019.html#a4e19f9299f123f181536c6bd4456e50a',1,'_spi_master_handle']]], - ['transfer',['transfer',['../a00015.html#a6858d3525f762d7aded20e6c95eb19fc',1,'_i2c_master_handle::transfer()'],['../a00016.html#a9934cd1a4d4b4e02ac5856e28f86a229',1,'_i2c_slave_handle::transfer()']]], - ['transfercount',['transferCount',['../a00015.html#a5943d16f5ed6e7c4ebd334cdbc0e2afc',1,'_i2c_master_handle']]], - ['transferdelay',['transferDelay',['../a00019.html#a7ea0733fc746e2bafe1c0999db7d4804',1,'spi_delay_config_t']]], - ['transferredcount',['transferredCount',['../a00016.html#a0394563c8d0f9eeeecd242a65cee2ad4',1,'i2c_slave_transfer_t']]], - ['triggermask',['triggerMask',['../a00009.html#a53ec8373edca82340278a104721ed8bb',1,'adc_conv_seq_config_t']]], - ['triggermode',['triggerMode',['../a00010.html#a998c94c1d03dc0f2be30ae3a3982585f',1,'capt_config_t']]], - ['triggerpolarity',['triggerPolarity',['../a00009.html#a280dc4b4d9c35c2411fe92cf3cadb838',1,'adc_conv_seq_config_t']]], - ['txdata',['txData',['../a00016.html#afaff4f7ef2ae4ed49eff607a58db18c2',1,'i2c_slave_transfer_t::txData()'],['../a00019.html#addc4f20760a1a62c4d20cdf9443f3af3',1,'spi_transfer_t::txData()'],['../a00019.html#a6cb4626f6b63c70fa21fe2e3338dc915',1,'_spi_master_handle::txData()'],['../a00020.html#ad081b93c86f16dedd57dfc105a71e7fa',1,'usart_transfer_t::txData()'],['../a00020.html#a2e0eada6edeef87ca5609381a495caa0',1,'_usart_handle::txData()']]], - ['txdatasize',['txDataSize',['../a00020.html#aafb090dd1831c9e83df2d60cf3cd25f0',1,'_usart_handle']]], - ['txdatasizeall',['txDataSizeAll',['../a00020.html#add7cbfa096ebb8bfe5084c9940d0a9d8',1,'_usart_handle']]], - ['txremainingbytes',['txRemainingBytes',['../a00019.html#a62fa101ddfc970e7b6bcba0b2eb6b869',1,'_spi_master_handle']]], - ['txsize',['txSize',['../a00016.html#a3d2ef683b0439ce83e3d54e8823ebc38',1,'i2c_slave_transfer_t']]], - ['txstate',['txState',['../a00020.html#a30a2856c0e736ad39fe44c015bd54ca4',1,'_usart_handle']]] + ['thresholdcomparestatus',['thresholdCompareStatus',['../a00009.html#a793ec5bdf0875dc6ee03904e7df9f1b7',1,'_adc_result_info']]], + ['thresholdcorssingstatus',['thresholdCorssingStatus',['../a00009.html#ad19349a037214c68ca3afb7b0751514e',1,'_adc_result_info']]], + ['timeoutcount',['timeOutCount',['../a00010.html#a27f8cf637ec988e2df0170bb123f5e4b',1,'_capt_config']]], + ['timeoutvalue',['timeoutValue',['../a00022.html#ab55d5525745d39713c954d1296e2a9df',1,'_wwdt_config']]], + ['totalbytecount',['totalByteCount',['../a00019.html#a90dcc0891804465061db37a61d6a6dfe',1,'_spi_master_handle']]], + ['transfer',['transfer',['../a00015.html#a403d5da02efab45e1ebb8bef2ae063d5',1,'_i2c_master_handle::transfer()'],['../a00016.html#ad590d3719153a8cd99d22f10ee7bf859',1,'_i2c_slave_handle::transfer()']]], + ['transfercount',['transferCount',['../a00015.html#ab08095b4638786e1b364c1eed398fce4',1,'_i2c_master_handle']]], + ['transferdelay',['transferDelay',['../a00019.html#aef054205e545959e62a3aea546cee042',1,'_spi_delay_config']]], + ['transferredcount',['transferredCount',['../a00016.html#aae53c04dd44495a5f8cf8813e715a53e',1,'_i2c_slave_transfer']]], + ['triggermask',['triggerMask',['../a00009.html#ac01424048f052ea58ae64493bc6efd9c',1,'_adc_conv_seq_config']]], + ['triggermode',['triggerMode',['../a00010.html#a47ce7657992c3f912bd0f03648eba96a',1,'_capt_config']]], + ['triggerpolarity',['triggerPolarity',['../a00009.html#a989334ade488c5980bc4022c66a25671',1,'_adc_conv_seq_config']]], + ['txdata',['txData',['../a00016.html#ab269c32e68b47ebecbdf6dc99694dc1f',1,'_i2c_slave_transfer::txData()'],['../a00019.html#a4c9897ae5dd550dba2db4a5bc4beb00e',1,'_spi_transfer::txData()'],['../a00019.html#a867963777e36d1376c6650031c56a8dc',1,'_spi_master_handle::txData()'],['../a00020.html#a348d1c6d67a97dfd5d80cd4abbb5133b',1,'_usart_transfer::txData()'],['../a00020.html#a1c1a5d272a1b95815904f86a75aa1d3b',1,'_usart_handle::txData()']]], + ['txdatasize',['txDataSize',['../a00020.html#ab7313fa622967f06f722c54c294bc884',1,'_usart_handle']]], + ['txdatasizeall',['txDataSizeAll',['../a00020.html#a0fdc2991f592938454d6fca89d51c048',1,'_usart_handle']]], + ['txremainingbytes',['txRemainingBytes',['../a00019.html#a6694d3629434500b949c94f88e6f6743',1,'_spi_master_handle']]], + ['txsize',['txSize',['../a00016.html#a8d96f5ea7d8287ae770a43aeba051765',1,'_i2c_slave_transfer']]], + ['txstate',['txState',['../a00020.html#a7f8a78e459b75948a84f665fd3092ded',1,'_usart_handle']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_75.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_75.js index b91ba4f..e770ac2 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_75.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_75.js @@ -1,4 +1,4 @@ var searchData= [ - ['userdata',['userData',['../a00015.html#aad7df570c53adb2e80acd2ba0d39d109',1,'_i2c_master_handle::userData()'],['../a00016.html#a98ea5e99278b386e2ddb99d45a9750ee',1,'_i2c_slave_handle::userData()'],['../a00019.html#ab8d01b85149d749ab1c748bb5116b90e',1,'_spi_master_handle::userData()'],['../a00020.html#adbecb8574e5d62ee38761a3b4c30e4c3',1,'_usart_handle::userData()']]] + ['userdata',['userData',['../a00015.html#a862e1a312eb8b2bd537f1a22a48f455f',1,'_i2c_master_handle::userData()'],['../a00016.html#a0c21a56aa399763f1969a28fbea35054',1,'_i2c_slave_handle::userData()'],['../a00019.html#aaee79d264a914993a6f719038a62f1b9',1,'_spi_master_handle::userData()'],['../a00020.html#a1fafdad864492cb0f78a2a312759a515',1,'_usart_handle::userData()']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_77.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_77.js index 430043e..d1f6cae 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_77.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_77.js @@ -1,5 +1,5 @@ var searchData= [ - ['warningvalue',['warningValue',['../a00022.html#a449186b990027ae1e7543458e2f8714a',1,'wwdt_config_t']]], - ['windowvalue',['windowValue',['../a00022.html#a6ec33e8656fe2cfc997634b348ca2cfa',1,'wwdt_config_t']]] + ['warningvalue',['warningValue',['../a00022.html#a350a5289fd77fc6f5b2834a66726d80e',1,'_wwdt_config']]], + ['windowvalue',['windowValue',['../a00022.html#ad7c0d3a959ecac990eed2c9cfc1a0f09',1,'_wwdt_config']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_78.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_78.js index e8ea573..4b1c511 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_78.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_78.js @@ -1,5 +1,5 @@ var searchData= [ - ['xpinsindex',['XpinsIndex',['../a00010.html#ada6854ef1bf21b8f35acba939c389190',1,'capt_touch_data_t']]], - ['xpinsmode',['XpinsMode',['../a00010.html#a34dffb25e8164ae4addccac265358f00',1,'capt_config_t']]] + ['xpinsindex',['XpinsIndex',['../a00010.html#ac3cb07338155e9af597f13a608941203',1,'_capt_touch_data']]], + ['xpinsmode',['XpinsMode',['../a00010.html#ae902ee4d97896c27a4885e4136e899d0',1,'_capt_config']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_79.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_79.js index 6a38e1a..c47d4bc 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_79.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_79.js @@ -1,5 +1,5 @@ var searchData= [ - ['yestimeout',['yesTimeOut',['../a00010.html#ad73f9e52f210a4ef91e0732ba882c384',1,'capt_touch_data_t']]], - ['yestouch',['yesTouch',['../a00010.html#a5acedab58178a003114a220a5ea3f6f4',1,'capt_touch_data_t']]] + ['yestimeout',['yesTimeOut',['../a00010.html#a918a1f29c2ee23f496a31d9683fb8882',1,'_capt_touch_data']]], + ['yestouch',['yesTouch',['../a00010.html#a661bfc69fa8b5c41e2d815c9e6ae1954',1,'_capt_touch_data']]] ]; diff --git a/docs/MCUXpresso SDK ChangeLog_LPC804.pdf b/docs/MCUXpresso SDK ChangeLog_LPC804.pdf index 9c2dd5f3e07d6294c6a65b8118acc109c77ca891..7fd009b75306c1450f9c81711f22e1bd06d69b98 100644 GIT binary patch delta 37773 zcmagERa7Nwkgbb5g}b}EYvJzh?(Pn&3NIWM?(Xh|ySu|e3U?^n;qKmj`kwB4#yIyW zShtA<)OzKiex7vtN0=MvW;g?4Czg6Ba1f|_A>xe% zWRRV(r)I~-oHp2=2`Nt;)Ng3HT5e%pqg@n#s{#;8`GT4^qUh8uY6ec8vI&pbb|!c&vJ z64n>;wS*}fG0Kw{3Ya<92ozD2k+ko|d^xJMK15bbg=KwM*;sZ?v zn1BEnYzW?e-xaVI1|IN&)dOWq=rHPaArDhscu0X1=Vp zch_B`Jm3b)6e%V-TVm%ID@2Uh&@lw>GGzzF>hMN9Xxv4G-W?ztU2|IRfstcn-2frH zi?Wy)3I9#v$OwL zwR~etXIa9oP7m#^*z>m?H~~ux2)VMPkceS(nU6Ie;dQvjR}~&1hO0$0M>6o(N2c%m zkqTjA(-E$&f+e*o!t?TCv5#~IGru`6LovjNjQUpr?-x3T5b2^tU6hgUI+cQGd| zB#`zX>Qs3U{QHiiIjf2tmw9P@74P_1mMf;gHZ&iLQ+d(F@Yr{PL~#lO*s5BjxE6ajE3*APZYg41zYgWh1o+u zT=k$Ag#ReY9%hS+C&u&WH+uoXlu2ROSUs#kwHu=~LbI8$O~P?#@X#z&^z=h5Sz!XHAHo zBy`Lu)ppf_xz_3qeQ~(oj7^d2%z9jox1D5@dExgT{>4L+MB=}!S&GM#^A_n2JF~9< zVo3+-N867#bl|Y@c(`K?l$`A=QI*RC@JsBMbgQ_6)&Q`qn{bEI2pmUO)uMT~^WlvJ zU(qDENeV$8m5Q5`lZ}*>RE?BHiid}kll_0yysV_09RF3b1M`GsfS>r-5bXc{tbogO zL+1@v%<$_wh5%V+-e-ZLt(~>o8NZekR-YB5KJsdS#F&RoAAjFj<3Aj>+i`#ggnxMTz6+R(s;%SMh61l$oMf z6%V9z#uD+V-~HT3A=*{T(9%$ue{ZWyyp`2@9#ua1qxAJX0xF-ELMx&@2EY)iimZ^0 z&d!n4N2|Ll_<{(nef8`~mm@{b%}Cj~>!Af5AO$A&0LV&9m}q~-$^&``pvV(QC2R{! z?iY2`oVD&W`n8K2APgxr8QtojNTb0W_}lvBt!ATE^7YNAcSWtuD(r=axB-$_qP8|udD-7zr#FWl+9hakeqx7$ zb>xTleZc`bP2($${P8?YA>$coP>v$wY>KU+B)#6KfSg|&y4RXws79CU0B6Uaiz)3I z2?oRg?|!7@*QRZPXhy0=Ewbfu?~Rk7nm6&vD7CMzGLE1OW)8i#+dqWI8(JretNCBt z$9YkVw*iOJmi~9pTndmLuYT!-QSpioq`jljLBq(@O+*bX#pYnqThZoxww1vvRir}n zqaFQ(fWf>m@z}CH+>s20X0ci!zGjm_52Ke~Zjw4%J7J%OLM@yuQEKA=#nKuCT0xDq z=-WhS@xyFQ^vVc!cXOt1yXo4(9F zn&da zAE}=Dgw&2~-XUz7Jg2F*z5W|;WYhyI2n<|Z4XV=Y7a|@r$O@zmxDqIARMF9QU*F2= z&cw5iUr}YRm;&J}c57Y}c9MqywiJ!tL37(1vKeI)e-mb+nJ`HGoo%=))CjOyz{GPa z0P3A@y#Zqy6B~RAPTevW0XC+P+M!D+w5A&b!wen!+f0NzmF@&aG?zZS=K%1Z76S^M zpI~ku&_!UayLOxktD;ZS1-PzLCNXn|C+gBAFA@vVnfl>~TfOkpQuaAbvpAJ@m@e`I z(D2;~nLOzzv6FDL%j>5eUuW*A@$ep+ijw-F;)irJ>L<-zVm|{?Q^oqdbS-r=SxjTu zzebZHLqNhN^cI>RDb8Yom}L(3f^<2aWZXkUN}9zo^>6#7{e~L|JJ&5>*Lk0Ja*j>9 zG`bM9|4lys(MvYg|5q|eIXVBktOD5-C4nUL*bp3i{{bbyp^m;Yh#NELsgCs30tJIf zu8`SZ{=E2aI8@vv7d!7#%4H#=;S~Eoa3<#yXN{!POIaF}$}@%%6yVdlZre@MNOb z@Y3bxY74H0%+}bl@<-U<6+Jbnd^B#|u7V+AI^!!w#HQ=JP4 zgGeT5WX(`(SBTuo_&Swee8@Exm3Ucj=mbmeCSlHDs+`0M^lJ|>nCf;?3Xdy$v#g&g zm{CFjnS=yqwptT4J`+AhM8vb&mIL%5p1RF5oM;&`^D%9Mt3RsF8W}B0V4Lo$ARC(E zV{^tNsdOrzs*sZq)Gi**nm}>0f;eb6S`n479ZYf!ihaT;Qcrz{DV{A`_lw2h5pJOwu@Wv7NSjXBu? zZI*wEaoDfDVm)^qVn2;fUv@1XF`Al|A1TIVzbPoW1z2DB7Q^}zoz}{S|JKdN6QSn@ z5Fwv#Rm&_Q1|q};@V^rYt1d|SP%t#c&_FDZ2d=QFX&v9_J!LqNDp#W^py9Pe5kmD= zs*+bNMjdvQ>-*ztJMU`@>}{Ow73`ZGh0*&mIyr*aqz@m$Rmve}g`d_wHzS}Uz7GXo zOMgQxPa$(~V355MzO~~+0AJuYQq~y;AUPiy%7Lvf=cH5^s#UL~&;@uJeRyN06C>|< z{c;9V*cR~_3?`9GY)uOZ%Fk8Cxx=6(8#-mJRl*n^OyFk+OvYfupYk~-g_w)uh>q+8lv(seI znyDpR*V0L{8@9Fi8Y5kR-+R+Xd1l`%*9^=vk}rN&LDqcK9WlIQI1GM|3AmEvR!aNG zBN53F{(k3*v!+HiC z2{Te}7PYAgE?azT77r0lXWn;yVVL}Y9OdvoTQ&S~gV=v8Tk>xM<WH= zu#)_Hm18A=;bCRwVC4e3u(JMFS;lJkKl&{8|BW@y{}|(CfR=1x07LH$Uev&2?Gv;| z%;6*^zy$$WafB5aRQ6Ub@X>`(A3U#xNxL=63h)t^sPN;cip-&n#m6DOWIjhgJ0$M? zG&Emwo_!7i?w)wMpi<}*wTOt(r%XO$o)~fSm|H@2O}Cqw1}u4&`DprDkYqmlRd5Z{Lyu`6Xt@YsU@~&N_EYhy?y64x&Jbj4%z(1>>5AU zH*_><+xMBriHq{y!yI3YIYBR%E{!uO7Dc~u+x>9C- zz{s~ZxUI)VdysGg>yXv|I5qN7SBNpr>$V^B|9r72A7(=qqid!#@-CmR-II88r^`a7 zT9JhV+!^5Yd1n4KQ`YDfZ+fQ6uPya~(Y#HjMY3MZrKW7w4dqtURdwyQ=5VNE($;5g zUBTAZk0pVUx|$xr81QJ8g)i?D--2Ct=JNDrLr9VcC785%#yCNkl2DIop}@>MLRSv! zQh#LPG*YAssxVuRF&_H*3#%*MQrw;hnT}3CS_LF@K``u9#ANln|`cL}{_>z zvspvaPMM^^)F2c(lw1o*(b`YE2aG2_U8*j5T&p*&98Z(5*Hc_kmb<@bn|(XN4;*-{ z3T49gov#l0aKr!+7;Yh)t=eq0Atc0F0DNW(^GZ>59CRDF3HPG`iYOY88gf4#W9!0Y z%~Jwf)0FN2AVI}(|CkqYz)&76aGo?aS#UbwAddrJ5sVdL^ykLAlsgzF#Ol1@AH39Kn>&fOa$~Qb z719h#m!hLfWosuvxnIi@s{7+>5uj?nRxI4yLtCLg1gw9d>XcFW1wbwFLISLwHX?%? zz6}6Q8#Z>6O^3nOoYbt&HrprEn0ux;@uq;Nj=A~kA@3MfiF1U+twpd!RzqsQ5QoAJ zPUq*Ee!s5WoQosvZiNdD@2g{Gele&?LAzHfxlnFSm2g!P^A5{+7^w%%oHwA2u#oX} zqYMKRt%KC;lexrR6#4s9XKqa|wlbG!@K_P^oH@1UpcUuo(=*#T8nj3)FKA~{l2^}7 zc$!C@QA$H%HDig!uuMXQ2O<$*_`n`C4f`D-$vwL`PXWVCg!Dcgi82zrmUd5P^Jzwi zEyV>63U9w7-;MjQvO^KRc4){`0+Yw!@BC_0Bs(c;!CoSJltbO$`*njDC{4Pg>snV0 zs!&q|CQ{)~FsD#kRwgZoG$hqus2pkhMZrC(&%=1s2J4__DYlv-g}F1p+w(am;79E~ zUTrVKyT335K$+x}Cf400*A~s%le|A`T6z+EM&2Ml7~Px+8BJag_IOv9c8oo$x7j}z zj+~cR;;Bp|KwW+juk2}aBM)43Shmn7%gpN2*8JomaTHTHH!rNIXQ&od5sb>B<<@Hw ztPXm3re0EyU_pzLbrTtYCy3^L_r$sBjs|Kg_>S2|P*oG{QeS#7rdLwu0y08 zS*}F#tALc5VLz8s@};MtKP}SHg}VyhM? zC}e)^l@0??@2vA9d_;z_Iz2bwED!k4#MH@|tPrY4$m907&>c}{e&oZ@ZsXQN)FwvC zQPVO|*^kG4{NY3c6`CDSEqp&K6k(H}Q+;S*y2>!i8i}YhwR9$9>TwWM*;?3mU&4o} z@?fYG%h!2j%#Vr5GB`@_d5NU)Z0-v9LV6wQRte6KFIVk~l&$XyU_jOGa_mY+;NF=O zxpVGZ*678V>JvNH1id@h!Dm@Ln&|wj+v19Ln`U$5w$fYk-Ep(ctm|CktwnqOY1JUm z!M~+NKDB_O{l#qutt~dd z9XfPHogqsDOk^x}f4R>oqKJJzg!t*n$J&RF`)Bdb8`#YX7#u1hZz&>C{0|on+-V-% zfGHSb7?SsXnfw?Almjp<~2(a$}2%N#N@EFtj(#*JDY=YDIkJDf7 zRb{q6oyZ`FMxpuL$Q}uQKcky>asfEqZ+hgmJrzyugaUI%7{8%coEal0k}*y2%D;Jf zf##>!!PyHeU5$S`8~Tl7aS_n~-8>dMUC+eTuec|ACCmc2{vu8zgp>AZ3kf0j_{6E7Wd*fW-|ZyV3-c{;Deo6ZVZNlVxwgD&tOjT%s6}Tg zgFkD?Lc>MXgxK9PVOHUn!qvnhN$HWjL>`)`5&4{4(gwM}MNQv=!ISxFgxo`n`qnWBeU;r!;wI z)up1d_>IG(su+QeqD7T>h)p&i&_VX{=Ez(6$D9Lu0QRq!9hP(?d|CQrKF6v6*EU;` zw4(iC1FuHTmx4Y-4elB_=wm4rc`wBj0O``qL31QW32E{sNS;smr_#5OxS+tfHq=x; zWb?!TTM|9wEZ;E>Vl}&ZJ{+8{Y(WVDB@n2iyjN&#^9oxgByWGgf@Kw(yyI-XDe81R zHYi8aR)bjfC&k`XED`aHYh=!`Y9EwQ>CYE#?Bposq<-oVe)yW))bZBpTS10&fPstA z?OEwZj{BHK#-*M_H7;V4hKl{PdcA?Rx^zHA1qDB{=a9B*$5RO?+)8)&HmaYdU{w)= zAGh1@c^TyYqx$4fjuc04){N1QA!cjui11eyy^r26Ywg+W<>Yet7%W5`O<}jF=E$uq z|2gF=Yjd1B8Yi1RyQpXq0wYZ*fN5fdvM!lG)ADiEy2nKxOPTh>`}dKms>Pc_k`J{bgE913<-qBN*JuO}Mr|Y;;)AGlcod3)fTf%8_yB^_ptTasi0M60Q*X^=U$iR zja8?BH|+tZwxfcbDGUCRSsH;R9YbSr1&^i4HJ;+R{U~je{JhtNv68lWuXyJ8anrc* zmcOVvXA9v~7h^(1S{Tl4L(9)ji6*Z z6!B9Ul=h;hqNf-&)?2dxfTBN1U1`wU^ep8ZJJHR7_sujI8p#n2ET<68<}#4`2QIcV zMm>JOx?BYbG)M`9z^@k8hbRm`U#XWlvoHwbF7l7IekxYWong8VL1y@>h!;EGS0)a= zn{N~g)=w=GtdBI#0@2@r))pGe#|*l*PS*t4#7_cbwWiBHGON*CI0-q2`?G$8kVfcw zv5JnLF1TNieptxF{~}XP!sCDRu)P1@QCkj3CWZaKA`KHMM}R3Ds++kOtIjrfa6JJm zR4A=Scft=#nA+@hR8bn;`CT}S69ZQ7XWy5eoY7VqAl!FNu3NE_5mzWFS~pihJHu68 zIDy`fszeg;V~-pShD>B>VX+w>ZcPZUTRD}WwjAM-j`K&=Hrdp}Wx2_+$*Qm`ML=E*{Q;%sqsLzvJaBTJ+eFSe@4y|#$0Ii&RWLo5qUxfS_6eQgcLsQY zr*lEW^BH~Wm}Ke{Y&-hj5Z1g&9d1m)ix3{ zmCUy$nBmO7IzsB$s5`+%m_1C7#dn_8{>i@-^yl6x&H^DL`1o=8GD$P*Im?hi-gHB+^IMidT>k9LRP|bNF%|1YGjwe~%*^6=~ zI3*4Y7J?*ZW{N>py;o!QTlBPpxeO{7W{ir%bCQu=7g2xLYJEV}%`L!p)6Rqr>-u7G zQfoLvTtLR!dj*f!DI~f$$@#UG`bw}jN0~3zJ(dWJe5; zM;w#ysKtq!U}Spo(5HuUqb9W&ZR$iGpTkoMTG1OCDp6sbf;9Lm38!%1XE(QL0=Gfd zM@{Yosoydeojrm$l8lc#97iMUsJlOrx^Va21i&2MG)2BfW-?J}57z*$5M`uR_aHAT zKSF{`x0t*_O%&Nx3~P4s^(=_V-5)fqn^?Za`L|wR>p#4-2HAX6Q>L5Jd@F;C!a$xd^|;?#+$}zQ4N_eDn_dF@Nsk z024LbEd&pt0l~8j_gm8Wzu%4Rlk7!m4qB3&i|e(8f>lYC zy^Y>qHGUZ@r1U?HCHvpq%SzCc((VxdZB;VozFeC#oR>Qs=7v3}qF+xdK6s{#{YGhP zRHBe;tA>%=#<<(rn0r`DkGmrTrzcBG6E@X@7#%I=x0)dGlAi;O>?brh_Z4h<1h5lp z_AH>QINz31Z(6ttmQCC_o8F*TS28?oVVpcCafW0oa|^Uxu(rzilI=VID%l})#=GPS z>LIkjV$KH}kxYpCSbV$k2HiNVcPHINp8FScBzC?ciBfm&KxSO-!)-dlru+~wZiUuC z5^mLN_4YC>lKC^DibTnmU^q5RqwoH#KgXWtskuTM=R~v>LH5l6WyDMNxGXJ?+aPDg z($oB;hxct7TM}CF>=9pOZ6dH>QNbxbW0*SdSzi|F;Z%wxr|t*#(N0fCBCbsC+e&Gz zJY@_3T+r`)@LwRY%kTf+fn)^1&I9awq5~}J7&`CqV*XN8nQ7#3 z#4{;L+)zzHhfI~3S{rYEf9sCRNd|K~B`?l^wHR%2z+mVDcLPA0 zDWadZ3SF3V63yC{ouhUJPOBcj9pr7y>N{R9xHDal&q99vDLhZCzn>o_b1M{iduG4b z$$N0F4fl6^Vivb{&uU%vBs_>HFcQ>F?^Z-4vq?RVum&EQlk1FD#ox9egHe3q`z~P8V_g7cmYb2-L z#d$n5btX@bhYhYDlY~-W6ZHvN6E8y|z}B(U08Y~?kBlM3kecD$`XijQqvjseD)(?7zdTwBLlSyj$|}Vp#SGgKY{&tpOd~_%YJf z9iWJMQ|TwkhT_}7I2L~%!k~JVb_$(3Ch&o0mPKTh`alU1<{W*zKp-2pTaf#RM8Pjv z%x2$2@0aSgzG%k8S0b#!VmOaUI8o5CUBmBiM&t9A#rA}h{)iSQb!sXGJ~$NDQkoGp zwZwBac$_>db-Ty@>6kcmbO4r2Ke{Jo2~if@3MD!xi$rVMI#!+>*Y7WhJ5lO8+<>k1 zp>Psz9&w_5TRGc-%1Jf-_^`;;jw-VCBLsMnS+C$Xa?QUL6>f@HF!ABcoG>m%=JGDM z`ZGzz9o-Fy4!MWHEDz%N%FsMxH*!vU5mc8{3YA`n%b#gkpT`qQW&jx7RvJ8qitl8X z6p$`%o+K8G);Df6szj-Q-i^#;VgEMiGxAnQa-7lNeNbmxH6|w>kP3aU?jVb$jyv@U;HjP940m->g3e@}2nd0f-lQeBTb9$4U}GH-*S z_5LW77h{TG{-qIo?xT-TPOSm8)TBk4**@)wTnv-H{WHb8^%5|JXgW}kDvGC(&NYRU zays|iMY7oCEa>&LEMWe+f8oq+j0DZl%_X1CD{sfO!wFqAV{GKfO*pp5@x|KW+o~&Qx*jo?SVT?+C)jz=B&*IW2AfgvoVnc+ zF{EGqiJyIlD3n~MZJ?~BZQOzf_ENAo>~)e_9|(m;hj?@Yfe-<0OdXgDvF%ZZU9LeV z(J=8e_-d5t2TR)qklU@@hzB06vZRsDA}@{Bfv#Iq`T(pVKR0Qci6><%BppyTO1PW? zYig47Ml(Cfgro8iVElFw;?A9q-WJkJkq*e{O8IaOds=5nUdCFA&KR{n0KGL5u!CP_ zbc%{>J*;0=_`|zgvlVpTV|13aZGUG93lYFO)(wf17)~*5;dL9VL&CVIciAaV&k}*{ z0}|nuoByTdrJCFSpymHzLCOOAHU3$4 zOMlsSu0hzMjiEatrck9MWm@$HMUWrrwDP!eBhSH#Q}_){W^hD^6DyBWm@!Qdw_;$Gwbr>WeennO5|;%M=e>C}Re>Yo-UiO%tHeNHpY(j51XR5T zTB#oJYkUDx!{6+6S)2my9M6GR`tG!(Ovs(eai*|Ve-Lwf3C%$S(?BIbpO^T@hqALtAU$u zH%5eB>CS!l4aWeMzZr!jwH78S7#jFhzm_x9FtC0&HM0S}G&z2lY+RBuP=7>}}^jCbQKL$E{$3(U_ooJt= zAYnUec8B53a6PY%DeAk^FVoclAP`Y=dFY&3Ga}HD%fvn7*;E`;D6Ci22Wqn*IRo?P zi+g{xJ1T?bK4os3e=b&Ydc7Z3#!}?K57cFj3D!Czxexw)iJ3_<{ow*4|A0=R%r|<q#rOQ73m$E_&FQySIQ;W5bB-i&qn6eifoF+5MzRkJnE zoeZ?Q`^?1n&im;@^arfBd!ef$sY*EZ^9-luXoQxO<&UK$`rt_mdzxvU8HNdvOZRn7 zFFw=KZ*{>Tvq-SYB9|C2h<>(&1TVnh(IO*N~nE$RZlUi1YEUu4Ph@ ztHnOj$0epfg*EE{MjnjX+{m%^+11R3mDDTI>Rk4m23_(rYpD<%6|)f#MK7I9Vjwjl1sIqIVkZ&uH9l8>9f- zpjU%2;hTbL##j*rrLTn%onnZ%<4Yz(DkLim!~VMH;pWI7VXr;&vVL(ELuN+Dm@k2@ zAK--gD^D;%uu4B}j74XU!vA|*8--DadR?ra&rKA_1R$lvj(cBV*rEo z6{!A)d-=ap@Bh9R`)>j+3lucKf@I}n`*+tczyJ{--A*UQl4|@Kn@K%*n56QjG)I;n zZu`1`RKGZat=x9x^KBiPK zEjqSado+=9zRUUG}q$6~5>`kLssgFaOxGmBzPDT(Ax zO6KCO#iq7d^0B?Hc2ozUhj0GGKq?h?r9|M0WC94|$K!W5dJ`lFM6chISE-Q7Lg&E-8l#FXpMR`}1P zB-BRP;C&>Q%$sO3u{bX%n8K?YAskj6->`yThv?wF*NAaVdb>UKG( zH%?XS6CvSYo?qY$LGXwF63@pFP>f<+ZfQdrU|cp`C{hSM*Lk#3HHwQxeFbE{(OBhW z1mRNo%ay9qi8W`Tp{mqeeMKk7Ne|x9G$i>)rc`d_ipzVH5#~zC*MBaDG#CIx&D8c6 z(X)em*#Z=>MbR_;Xm>mDC1vrR0;%%R;urt20FT3_l1SqK9v3*J9K(-*gtZSH82-*$ zWUEzlC1U(4gR_VuOW$y|3zdGMM9DB-u#6h*usrIt(E1lDG$`gut4HZU@jt@kLc{uC zZE81Q9rq$TU3G>|W6vr(8svb{`y8jAP$2KZyQ|NU=OMw?Z5Rweyo;I#|B=oDYy0Ba0QXgBuk@@QGinmuL-bzHvA&$v+CSoQ0N zwYieu{&dCHahm2z0W+#(t-B5T9Bf4cl-@MYcVPteF5p9@LgE=iLsz`^?o+3tK_R{J z@7(D`^pU4LIxxr!)=9**gXKR^xL@FF4@5vTZVce2$r>=01s6DFO8V~=%8U$_gPWb1 z?;r3mnz6zBXZlB4D@AMu2{c0$Pi^szqlO0#C;Ty4%Rj0bp}qgKQX)UNXB-pQK(|-QLK_R;1pGp z_}OCt4s@CE-k5v7nkRIoIo9kh)||KV6qQ(JLbGL5dsW?+w!deg1_=Bfz*F773)bXy z!%H6dXkKn97F0Awg=yq$m=Wb~b;+;bqpS9?D4ReX6eCEGJ zz79QciAesME9SFCmn}m{;zAH(kGpSVV}9G~5Y0Qk8;d6bQ6;x` zUtw$;w1npyl~b!4Rd6@UzxNz8*0wtAqgo^RhYtNJEYoG#okY&S6HC0&99MVL^npPH zXw^wXe74QO=Y@YGBt%0+@4Bjtt<;d#>@abgsUo@l&O106-MCa-1WeZjBuqbT+} zE=MF2XsxTI87mP^eC{zc*O?Fe_0QpkOU5y%y6@j$t zzm&_w%g&OKU?U&GrDx%JrxJAP;5d)oO8) zI1R+drGCK0%uiP}0ykC8-`Jk(_O%ZdHRe)%XV+l&uFU!KBcND7*@lYkXtQ#`6^dL*295ZVTUygY&n5 z@E2W+^IA1NsqG8emvr6IE@2bJ)E_Z9Jz{wk*RMtMrMY&!JC8I?(d!2X&-aT8$J(i> zlHcL*>6RR4;C^J?g@+#aq`q%QrC(hqmC77-(_!_F9vkL%)6`Z*88 z_J$KZLF`O5eK)34HK%{fX-Yo6ZNPHl0&%Z2bEV50E+XzYmRu*&$eAowiak|ZQJu5c zi7{q>#p)_ae*2zNH$fKLN1EdG`*fZ~hVr?8AV9B1kvWsQN>g>^ysK00dPR&JmIcM< z-pz?D@%_VaKH3odwP60&Ba8KqePeQK%l3cF3rt{!?FtMhFFW%;el9pG1~Af&1ol6V z?7&((qyHa8abp=C*y)sU?2yz45=_N5$N{~m#doil^f7Y5XvHp z4u&L{y1VyNOC~{Ni!+vm=sQ_WvEk*F^Y@`&z3l@w)BgN=6ht4}Z)Fj?RTMGhzZ?eV z9nuP2Kmr+#@R=6fDBbG4-fmVPIP>X}WKuvFEp?{7s)e~eheH(L9d7Hf4(1;@v**S| zuY5Yr;C?>%njfp^rLq3zJ7H&oUu#9cjc+DRQOo2TNEzB1R|G0JJqs*6#kXbhsFOm% z?dY3cQUG#qR?ieXQkk6K4t!gFZpf!~9|5)Xca-Xr4y|~MCYA-AU!XFg z%`*bW9#k zHdnDDpBHYz{Kj#$R@(x;rDLH633Obo#jL3?-tYpy%$R#Z3S_5)8Y*C1C{fgiLnX6I zM9EiwkflXGW$|vx>;-01CbgT6D=oNTrI`P%?o3dML@}jBVSLQiESAD|mJ$Kj|FFV2GeM-hqsAE1?8-}Nj(#{fndFL zefT)Yec)A6^+%4!wG++o5^ND77bg3^X@73Pb{GQyzu#(Be}9L@SlXalb5rpFcs{r4 zrNa!s$V609RzjC##)*E%SQ-jPcjfEJ?k!*Y5e=6==6WdF8#k^MDeLuCSW_h~u&>cl zvH$JDF0{`R9tyuyS_Pye4_(~!T%dOFSErhfuFGhuYuJWYi+i3;)hfEFSZ?usm5T9P zMiC02Ymr_PjymDlhUsopY1(>*8AIc<$vs>!L*Pao?WpdT>A;2;3os1Imrf&5pc@Fu ze&ty2_hlCF@eKYv-83OeC#dF8UUpWk7$x|5Th6xa<`(FB^JH-lzaa$t%s+=UOCeUb zsy9x-yMlr8+wNF#4lV>DZX5!fAqg!Neli25&-VMR=VNGz=cFK=v>7$3`YX%vxZR*Y z**HW65#CuxZ0+UfH;{1q*L>qDD0F7HiYv7?DRn=}-5TTgpdyup_lAsMc$QVX9E3W1iPl^UisXxE=uV zM*JTUyPpm|R+|56vRRTHl%_j(a@}Xm2wC`|EfEQ@{OR64-2<7v?DJ%H9Gt7_Jn6bc zN}32gqWOQs7+={mw&^K-Job`@*p-?_8%wt3d0>L$<%(qP@}Kl}HYHJr(S# zeJI$4{LsrpB>HV?)84c7ek6y5)EcWpHYsVTW8n)O&U|Gc&*9M)J~#aQYL$la=jRBo zcFJ&8dD%lS72}`juZodqqu(IQm!eLf;q%|Ip?S-Nq{?G^O4#V@C$w;RPEhl?z^>OQ zg4a7rXK*ev(A4D|ij$R@71-~J3AAt}{jUQCFw2$vA9ONvvGD*0UD^Mud~^N(qh_ZY z76cdHzwueO&VNy}|Nii=q5>)@YrN8)M}XqOQmJ>2tpG7Z<1}UhN)6WPJsac$(hzZVip86*Pp7}H0jFB%zJj7vC+Fv?pL;vE9C zaS0%Xf)Ue-Y%rH#fTS2j2y}p=t=|MA0I1ppaMnePaqn~C#SuDWX)oai#eHsU73GbL ziLW69H?WDBPr^g`J_GlknO^tr8kzu9jHAprnhANF_P*1;UOWms88ukhq(FuNr6a`Bl;MlFD zL{}FmhcR)y=hxa5gLfef6+Q|0vwV1i>er?_GzFIiEvvVEqU{W^-?zs!~Bi{l>bwt3sb zF$!`LP>pv5x!C}=biH@bUcYoS$U>|F8+<_4msb{EedHXcn_z*FhR1LqjfPXdMS{am zE4;oIPV)aW_SSJxZQuW}(xTF>ND4?f9e|)nNJvVDASnV$H-mx*(%s#SbSt2QfRu=o z(kUPU((oL-_j<20pV#mEjQ`-89c!<>)_cX-vro7g*Kj$9%d%s{)l0Q;mUn(U?_9OK zx&Qca9jFcCgY3!CB%BKhpZOAi!84y`;sUz-xmQC7OBk#~g zRFQ95Fj*KS$IJip*nexTPJJ>pzcu!E8h^z>ctMiS<> zENpGTdOsFL5_*r5Kd_Bqu4nAcT7c0(rjJXw=;i#{-W7<-KbriuN5F5Jk-65^OocQSN{S$gMgD-YW6z+LB9Hc!){*q z&vRM>Kcue4i3*$LSHJ@^>Bwcr5=k8*BQlMzx)*mwCFJJnBg=RXG0*Od@gX;+IiXtN#|R&gdjF#JD{del+Tv zQf&2e^U+wy_L*`>LAmDBtXb0#{>`EV;JFJ^$Mdl?@Vs)+D-({sUVL~MUy1YU*zOE{ zN4@?xdzV40s7PWCD@-I^Teu#}xE}MU=(6T#@rf^j6_<(MI-~~NRE)=G8L&7^MZdJF z9M;|Zw6_4&&f&YeG;tKqV{d$y;%d$6Fy8#!vyYqgTJhBVj>d#f_xDs+nLJ%$L`(Ta z>}#`hza0=YFf_ ziOS?#^Ui0;PzQ^u=)9+A6c92O3)SFcb9)F=yH7i?FZDs5$&pN+~QYu%A{XvyzCT$aF93<4hlmiP<3&V)oa+ zJ^JXrLq2MqHgfEx=&_hM0o2tRR^RJqdg8>{>aDjEp3PX@6#K+oLo(BPJsPh0;i3cW z)LmarYRVq^ANZ5*Kl|8|^9K`KC_RcZP40;fHDr#CUJ)C6tA@!ZWg0=}%I#2?Z~MyV zR<%nEEbAq_c;fAn-RTsRvKU)d(#ZVH@TZgh_^~3F-BF^{>T6*=OBd@mC##3MBM6nx zL^T4)%a^L3<$_9Ao1sWLZzo~F>65-HkBO_ zBjVf!%EHx0C%$_6MWE`cDxw%-M`fXvG2X9<=>oG&A0`*L={$56s2(oeI>T=;HA0u8$G4mx3*OKbF*h4{1&+ zg>#q{1@R7)K6adA<{)vmGER_M^x<3y`Jk`Mf{M2a)JvG>)@p+Y<$gd0BH{&C=J^$Ie0;xUI&=K02h{BLZ^*$8p{_70IUO)IE$fGD)25Z?mzy zUow2b|K@Av(d^)MN@SjYkoD~kJ?>PPcLC@E#R#r|H$`uoOw#p@_o$L;GMUxzAO%T@ z;WaNFx$70Ws2VRDT+OGf7q%xXQ)S~x%xZ)GOX(e^8+{x0g!?gt!A(-7KQR?- zcBRYVQ3bB%OOHk)B9}`Ol-o&o`Nku5xh;7<^Sd4p?2BGTwx?&2?}W`TG+7-{eKAY0 zdbdFqNr5OV#4vAAK9-I9nh0ifm*bf7v~>9HO+8)3K7C$@=Ngk#3WTEVQ~%AIE{ScN zdJC2*1Aetrw2yKw5iEe+tKX>QMGRqL^-|sxl(uo%?pIZ4<0%O&dB+6K^F@~_FvScO<~v_CCse$(p7v<@`jZbt<#e#8wCR-X zp=274;Zf$TNr+g8PDkl=22T9v_ehx(UDVVC1(!dC5+ha1e^?w)4T(6*zFW@5@M`@G zaAAsH9{9(m?@7A=zxOH5Ar1p`?wclN!qYO5=X)9Pp5>&>M{-y#=Z z`{a@qZNh)e@yESJQ5t->9P@=EQ~k1q62V@kt5xeu37kc!umUz3^0@A)nd*SOxd)!d z7U0JCM12MN$Y;G7jGTi`gXWad5e0j*{K<{A@w$Rs!x=`q$vv3XpFEkN&%&ZGomR~X zWxA8O^PdEshAIvSEtse%Wjr@Lzc*}i+g`OU`}revOTw^F#e|CMJWiVRXy&4o4fvYcDqB5>H4?2q{N zA(yXM_U4LQh($gBr5oN(yb7=+Ma+yoTBzRL?q`0~1XspSHUipL&yMF`H+WykOS|-~ z;;hO|{`AeTFBvqVjyx01o6Nh6lX*&RLbd1+t_^!|Et<4%w`UrNFJ8(~supce2JO$_22ZG1*^*Jz~bh}1} zDTd3`ilh-lXOsnwZS?iY<7QFDl*eH&hFigD{u2rGE{t<;U)~bGw3k+p!Xh5W>6q&N zZNL~r%aMyvet)?>Hat(O(dAz25AT?e6Vz-VGvO1~0l1tP^|jvT%1P%VEp(q+@SO>D zi5o`KJYCOk7om`n{OZ*IeD{V9<|o}{>ZAvoF5gF6Io^UdqjaAS(Pa7LQ{*Ev67KB!BvOB*i+!9|uTx%9gV!5XlC60O3>5BR3=_1vU zDO>2~@&<=KWJllks4`KfNnaHx@v%gND<9mEk zVRi2Popm}5Sk{=`b6Tb<=8mu4(Q`+iY1hi@r_srW3k`=J=7g>jqBE1|iSpTFGp^|r z2Z3ri*6B-IRm(eOHs8bfT?a5^3Yv&4%lfxApu00`iVxbMy6x?lw=AM@+C-Lq!ma1< zR}}E+ zCCc=((A@S&&w8WA~uBrgP zZIO^5m-eL|^y60sU#JW?0_;fBzTen`W}Mah5$={`KlE$Q{ISDr_+zN`VNWzAJx%As3Q z5k6_vTI;vhgN85ZjUdu#>Jy=}H&_)I9R-3dEsR>{J22<_^-fH0%6z>q;mtOaDc19S zGGfQNG1yvKgKP{2hh3x}^9}O-*xX%tNr#1Trf6x=+Pp5a7t}1ZBI)#~+pGBeCwa?w zcdMRdd5gz*b-~J0N|@tpU6@%7)qUc~os5P?T3OI51Hwzx?GLjLKkcQLy9o<6xE&Y$-LmgQMC$>Z;XS+WdNS*c ztc|*q^~=fZyqJ5>uF%hV)sl;PGKjib?+Ugkr9e9dFyf{*o1wc;yJ=eQUCKK4xEv%N zreXEMqr7V`YnbhDw`6BVta24(p(@Ce6$q2NeT_HSGtV#v|HFaa_ysaoCy~{TTAPH- zbPCVH>spQLmr-Rpa@CbXFbcSVL*I8<9dx@7-gWs@VtAyyV)hs1_ws$AXFZs!;}#yK zRInBotzz)%-SFnPBprRP`7#XDf(1=4ICgoZZm|aN;g`q|eq&L2%36?2qmaIvZTP-x zk<*{Re(~f!M@YYTJx5MXi{npW(V%>{ADO8Ehv|`GPc<&RtXJ>V(|}ypD3H$|I|$9| zU)fltQPAJf@ZxB=bMKJ;or)^FmOf8kB2$vnq*etJ9nIRiVI6d&KwR^h#LDT%&oz7ycQKv}W;C;0B2hO;aLXqHa9)^ zgt6+b&boh<9trB0xOu)q>=zn!`VSMs;V?ls2po~~68!t`uP^_vxIZO;4iE9`)CzEb zTgNWr*JU)5lunNV38n|C-@X#As1F`)n@H+hb0*98R^^(j+zZab7*eOf|n~_-t zFfkUVp@WM8Q;TbT`HYV~j&uzR`{Kd7e5Oyzd0P6P5a{YPHs4aF;wO^i8U@Ln55M|= z0yq>jXvH{~6szfMhiQmcaON{5QTR^5zO8sno%uXAXb_KDW&RyXzU;dW$37;YxYbJL z8T$v7_iz*KV6VKmY@cZ^4m?87mNvN=@eAHCi=O+FHG2z|Qw|#s@CxKY7~a;+h+K>w zvNG`&S(uEaYc+Zy$<0B@Rp!Pq3Ti%USW`-ATPyXTuC{_<;90YrWXi-CNmcrNy)16u zAlpQ#pzb}EFPZouS3g=ZH?Lp8y$vYB!&daBnBPn=yazA;nT7SWwU?uGPOTmJiy$5#f~}U zeelt0yrD--pSyfRqV~Ph>#fO;@Cn!C=bv0?XfE>-FgFKFCc45Kxz1_H?Eg4%d2+Ll zHqhfUyQ_f04>qfxwacqyIVyNg++S*g=jvjwa5&$*#wBUh;@dPuHXLaRi32fS^%+(H z^W15ecrZ{c7F^{lVIv!tB$+vlu?#ovU_T_aKarSzCId^&!|Q+Y)_JAKy+03iXH#AX zosyqAnKXuyndLnTTCXygYh_aZ(mOEQq|Z63>NI<@A$;X|9g)W6UbiiTU`vvO9XFV? z<@We;Y_Hku7cN`+dQB$Ik{Q(r$EwCxcU=uC2CdhL&5!#oy%aJi&0X{_N%*VmjZx0M)V@5|QxJF1D}dXYF4ZTc7&u zSHZ&(b^dFka6EG?@z6ffo*Uzwve9Vu;oXFM2h|PXDc=X@l%tK5%eU5_TlUx{Cv!|j z*!gTL+WJ)Y%B)zpCDL72q`8r%Ed2co@`(%$qun#kQ5@v-&>9(IXi)~|i zb*R7^dwRmET)5${NNQq;*YEZ$PTF%)c;op#2Xc`beU2%qgPG4R{q zdQQ-+>idK3h%1V*gSSn=(oONZ@C{*+`4$E3;;h%Okiyv1HY1Y|_F|$m)y9u!zUQ({ zzLwfmO^~oAP5Ei~{HRcuFy)rX)>nz|(Z+cq9OQQ}rR|bE4Rzxd z>F}96`Doxg2maQj>mNV|vG=FfuV%jsNpaE#4&JYRTyJ_&cIGh?0z=lgpU+2`VCt_9 z)s?O-qFbfSOenk?IW%d+k>v_nO%LYpG10eRV9Kpb!02~`Q>`0C&!fG;ed^@EW;Yt{ahb5f#ap1%enBm6f$`e(D8si$3v0LhG7@O7 zOfMT3_PA*j0vy?jEH^v&_*FFz0!HcSYCg9X76lv&gfKH;pt|3?$i z82*2qDtZ(_LjVRN|9y%J+~f~3l(N}fOh<+lQ}v4V~w!7XY9 z!kS?F@G8+NQF)z-rWsb*SMBKAgN)OWhQ0G0X=DiI;A~1v9iq)jHqbzDl)23xENgSH zx%D+D(N%&u!1fzTa9N2S+N+%Kn4$dA)nc-N74D}vmE`V<29=3`|P2TTtC`8 zCC+gnNNhkhm7%Yzd1Ryg496ZUAKB3OlQ=_qOFxEM&c12ga1L$l=Jb^xlGQI9e#kY{ z%A@d_WTgawS&%ALU*ipM7dVm3(R7-Tc*)QA$@O+D7ep>**)W^T<{l|12z4$-l7`!E z+t%H4P_U3rbS&0pQ8dZZ5t~hg5>+TudPd~y9vc4wWS9naE z@#;$$g6{E)7!3}0{1v0OK@vg?rr``>GI_HqBX{>eHlZZphBeK?T+Q68&OD5e+91_yQjP`s#uJM#VO)XK zjVi`*cQSvf)5W|v(61vI$KUWwMRp7}ZUBdilJ&4^E)Y z7Y~XzCEV{b&V82vU*3%{VjiA!dvB3B>yRzLmk~~PgdcUm!a)6_%d_ZnWpT^~og#g~ zkFU%<9;DgB@JuWQe&96ePq;H!epA|K#XO(E>t~%uo3ip(m+WHx*y|Aipn9Y^Z^3Jna zIj>cZVp?t?)XKKZZ?l;W$Rc5xAbAfQK=oB7(n_eqwCU!KpXIxy^~I|A0Ho=cAGm#k zY`a{ShiXidpf;VET&lfE40UOH=ZhDSMh>^JVy`9TZG6`2Es{y0_6IlCYTvr{d|AM} zb^^P;`zgKQCPPdBE-k^gO&&damtCWc?j(&-76-vHBr9zH^_`y zoCXS4zhTOUqC2Fn?4PSq$m!`7;A)RvioCB=EJjV!44J%?kQ}han@&6Z_L+_U;ivYC zG0Sf9gDvB2S8kFD_Ef05*=Jo(YSMi7MC%!ma<-PqidBj$0^zT5VD`DbL0cn??}zUF z>_ch~X#C9v;=Ot55E>@uIvFJv{z;cwvUQf&n-yx8e=14$&fmGqB}Ps?X!QA2qBi#^ z0mJ7F;u8h^r@ydHBt{<(fsS~JV2-Gb(f%FsGR6KI{!YbEN0`L25J5m-LEtfKKrHy5 zKTp4rj+6U;r|Eb|NCX=%4IcW}4YHUCAU*~%bV%Bx--}VE)Z#2-ir-;QTI9@y)YqpR$ zgFk;JWWVn`gCAW|#wOueZkGkQg_%q{`+1i$MhqaSH^xK^T%ae6-%E+}+TUO}iI5Y9 z&ZxR`|@MAhiM7 z(9xT1tSwEDkKTfgfqpBB5sjF>Td9g9_8wm^CyZ;^XQ+@Q%Ru|PdD-b?LQ1vg1de*d zRbrKI%OLLJp}c%})XqI)D38&*_0#G30hfk5|AN$5`D_{_)BIXtOS2l4h@VBE=Od4_ zy|d5LZg+e3T&vpUbYXf=l;m#O7O=Uo_&F4xkeJJt&cxzID(4`bt4Fp7=G)_u15Vf7 z#{T+Qq04Im&qN}#oXKuJ7CRF%Q$uw9J@lGw!nFVpRV`Z_IN`7Z50TRF!lw~92)3f)qXeQs_uH@ZkGP3HDz9UQJYR~4IEKfcB< z`{CAe$)uC7bkSUgJ9(fFc3?~+ao}-%`__Xz+bhFquasWdp+YsfP?1bh%M{-94o`Nx z$=WgYR30GV_Ex*{jDjG265u&&)3OBB!>Y}z^idoJCB5#S8k^+Og*OdpOn;h(Ec&U7 zxlrj8OM#XYjuGd@>`8qdc2@2Sd4IB@7OC(|5!KEiE4iTD!C9##R#}XZBsVkjt&_Zv zm)>oXbWhz^yS)nW!8pnGBA%%bU%5H0!Lx!N$+X03w=Oi^zqZkUys95zD5D(NlSTdh zQ}H<}#))@(4N|2W`1hmg>zI6@jfk1BajJVFbm7+vRVA$RrrxElUdY(jDR>!>8fSGW&?R_7HuB`nWN505=JQiCg6p08WF zsByz-iEn)BkaTEcXl-|$i8^5}WBUr>Ns}u@(Vd*3&oisZrH|nruRhIa&>aW_gcnYB zDHT3YgbPq!f9a~BSDLPiz)Wd>oveMps{Qrzvy>S2=@)Ir4iW1l9R?>}nZor)gPrqV zg(7dgaNWP3l<=73t%VjX-yM9GaSaxMGq;18R6%R*4{Pq799RqHv&W71!E(9S;hxE`$dZRYV?xSEYX{OfRI zW|tY;+V1DIb6X;MQsjJGqL6bI^7M8Fe*J^%tV|S(X#FDUFZR(b8{8aIUc{$RiH8J7 zJau8CK|)UNq9whqVq*8$!Pdycjukks5~j`lH;mE%p&!uT@dFD|5fRes60AC4prdjs zH`VDKwpe7**sBp2<0wyu7LDbKa7v}3{rduWO)YI!IE)pB2F|u4;Soux95nyyn}{$~ zfH6?S(+b)DHws5YrqK|f5XisoobOTBvl|qm@aQe+`)&-C2s7>~V@YdVqA|Y|4>HLH z1(rs=^|k_>YUKS8e zpDruZgl2Zz*io1I2@iJ(SFd`+NFvw^+|6^2QkA}u za5qm*Kk9y0+l#Df{iWG&edjRmNBDFE?%jC^k|LJB=I#HE)7K{P8#v9VsOw>3n%B(> zodTt8+iYQ! zEA#h~!KcS`%nFis%%999C@@S$IipyT=_J2CZnH0UGKj9Un-nG8pksTWBag7onXe7k zSIxiGm3*Q*pYCu;W2~&C0z+9X!r4JR;}t-y{~&W6fZDroiKsECHu&}=B7FC zsJo&4nQHq)_pYjreOJ-loZUBqi0*BDE7)*PF!8wgP}w4{p$@ZTvjt|1JSZ%KflzUc zz59)vYDL|nBfnkNpBeN15zcCzqECN*3L2>NpmUU4TLSYQYo7RWxLn-~X=SBK5$fMf z5O87D>APbS#okN{h7EHH%4!CZQ5f zZgY~caEm;}MhW&*EB>h&&ok#cvs*nal9eD1MYLVq#}fNJ~GV_ zPmCXyTal|3ai8+BGc0a(Jc%A?88jGKbyQh8x}7mPci%cNlV@$?NnXLlCoOyZnDomg z1ZA-o!Bh4=In5iFE|S;={fe*6TPz+ZOsJQ=nf{0gY#6nw;Q(ETR%zBX_5Pt!A*+KSW23j{lhD0~Zv3%#JE0~9?(go>$WwKXV zdqPw?b9uIF#{=ciquExJU6rmLV@C61$#Mo9#aj-GBW@YVjo}*mV;U-J+Jc6qImNo_ zYWkv=E__<4jJh%VNj}pXy%9#bJe~C=?RnpD#USL!1EP*Yy0Ee?=v#(#-4mGgXd1!TN38f zD!wF9?ai+Ap-l#5!uRORtNIek$2LJRGI}6X*vpaYXF#@l6Ub>+r*lc^x zhp{Vq-PR)1V&G&C2xTKT-FYg@SgLi7Q$N_+dXt@3E{)y0Vkj}ONxUS-h9~X*{fpnL zlPk3DubkYFN)V)7Mty}7tc%l-2+0m{RK-0s+}UiJL-BUCelBYe;p6So0}c0&7&D?o zu1j1sWk)w$=RWptp)QOEx0HFeK^16>qGE zC`i|Mh2Cn48H}L!1};e{D(%y>h@1T`W!`6`TCysaK<02Wx<*GbR75sr9l?}>tL<4WR~(Lo zzYt%37X~7Cr1cDU|LFy?tLbWBPFJlvCM#%9f;sm+)Yz9)$ai47niiP(qWbV|p74c= zti;Mxyp<}%;rIk#u%9Q;BB+-(WBj8 z@5md#7uyvzF`ombF=|1*Ns-N)EoO#Z>j zk1BF6^?=LW+y(A?@UThuh~pAUgaKmNZr?gMd+xz8 z=;i}4+B>YfWTABzbHs@Pb0WfKlHGg~CmeN2*4jE9l~9?_+gt0MFK3DC`^B60xA4Ra zn?Yp`Gie7zFYk#eoB9mJ7F}}DsVbBSiSI9Lx%dSS|NFL$ z=CzboiG$0gIwfZ;f?mGYfq1m5-}@$$kA`*a*%~#*b$p?0p({u)CK0kEW9iqpJ7qq} zlIcRFBNjTdF;!Ey9hEE^BBOXFla{$@ zLhEGA`ZC*9a$xWCwfPr^vjoXwU4u8yznQ1KQ@y$SeI#XM@j}kT`-|?W&iA_2%^;JRnN{swojyTcdll+_pJUhfpR-!#W3+k5%`d<)xc|)a(>Xo0_s?bp!-nzRU{~>SG-Ma1i#Dzl@ z+Q0z?azakWCw^4}oA&k-VN>&4u8JqsHiqob_xtIl`OijMR_ou{TTy8WuNPeX*pEpH8X7oTl_zNs2_p650AgXxI zt;z5v zNR8d~8_y=}GelBszhML)%}#KeUHAA&cj)zmQ-VO$pCYQo{m^^hNu*eu^p=C%s+6Ty zot&6jl*M36#VA5+bgXKn4*p^L+c=7b(oxApzO6dTgDRDEGNr|CaO8M<^s}r>WPJ#i z-vMyx^}WaG0VC4|8)XQVGEX<3`uEfNLqoFg8~bNwzpI9pRNuv@Rv$4qHUv`7WT}}x z4~$p>Gnph=oga#0EeRZARDV&nGWH_I7_Q_l+m&5WBJbikzWZ^MxPywcv~raRw%rR8&9hy;#w69 zlgyGwHM^ol)fV8;^kca0@a`soQ7N5&HJ|GkqN^bGj{2DAqol3;s>_bqOJLH5u)6i# zXHB2q-xIg7vRXFNn~;4JKW!tkP#E+>r2fk{36=hPN(YC=#U9JA#qIn1(|Lk!)Q=4l zF~xp3M>yS2rl9rZ=;tk=Om%o*sPTU%hqTty17_`2`WPL<+={N-_-LQgK0AH*kMU1Y3%aGvCa& zY)b6{J9o)<8EdL^jg()~o0pQ!XtRQXtLF5kY_nG1H-~FEZ)w)p;0=GEtQul{Ri4a- zfpQe6p)<@174G$)ndkGjOEGr$J^zN6p=HZ_J6QTaM0Le2rmKK6xZ%U3>>bGC5{X=& z=Lu=Cffha=sWRgD-hd^emI3E)=}866!kv$HmG`PJ#Q}T_X_-(I5^N(+v5f3aT5@1qui?o$hPzKZHHc(9nB8vuQzY9VMAO zmN4M?YmsW5lzL+E$>^FG?c1L#DpBRtEhYb&?b7+XuKzD_Sfc|Tzs z%?>C(mB9(EX1ZDMYa<0LLf>A9Wltk`F#D)7u;77)Ww#&ep3s))bHlCD3myI`JI3Ad zZLc~$7x1lJ@E(+B*cyAb#>FQ6nfAgZh%;;;xy77H;<9P%)b6bu-hFXb>qi%a&CWd1 z82+Y}%2F6a%_l8Gm%Isy73zGtVvfJwg@MR_&be2w8-6C6_u%cVo3Vt4?H`-dn2@e6 z@j)u*hPphxt~S>rC$7c9vM7t0YhT72NxicR;u&}=o0_1&(+FJBl)=i{rt$r8BSO#l_?*l zF`QG`X28QplkLg0yQs-gJ0y*h7xC@lx%5=uDm&7L)=*M4RaxDTC90+mu{2@aNUQ72 zFKI0KtJG<4ih13RmMJ#cO>K_-klS^K$;M#o8)T7%YOZ3~GCJyVBzMmFn`ds?$nj4& zhw>=SvBuhD7f0=#nHFIZLeeM^atLxZW4=VRs=QaqS0KdZ)lA zJv3jOCcdBmy_v4tz^#^abHv_MXtig`xcxb&fOe0}zQd#1)bm3TeIs-VLN6?es4(Kn zGIx}|^Sz6+?Gu(#z?U(|UVQN(aDy?YDbpJzQumS3fy>}xMxsE_r;8ycaFgr!O^y~X ze8ZAoh4ZnddoV6bo%N;;PD&L^XWy2>?>z^l4_yq6cZ0+*7mP7hX6Gt;-0jH2@NC^Q z?vq#`DI1mAoz$)C%MYYpG|85E)4YIjxhtHbXy!-A8g=LSTjq>2hJ2dxVG;}4OPW_J7#fmS!|oS6fp-}EKD2JY_Q4h=j{Ua%s(xbFtbNK)#r0^IDd-n`Cs3*;~b*(iyTiZ`nF2}3$DSN zd*~zi@*2B)1AWV`!I_?q!E*H_hYyXr9j}Q-k=U78w7oz_W|oydyhZ|>@=WkBIuasK zM@zfsnxX>~6vdcI6K^L zBrsh&opZbQr8-474a2v}sAA{q>|NR>3T{V!)by$XdL(KdyeP*wG+*xvSIG1I&MGa4 z-t~R$=hU_$X#;srw^jF2xNLh24!r_B$WR2ddbO1`4QZ+b&Qm%Q)hiDhEQBMAY*^pY zsX5ZT_|hpe-LJGkQY8_m%1wrmZkt@MKF=82YjP6A!Lri2R5++;P+lb_v>Fi)WXJT=UadxSEN0nv3)W)+DBf=P0q5M$bKV#XY9p!7dnCf)Jt_28vby# z*kby*wds%mHHKZS_nXps$xIs8ruKUTH4ppr4--5WzJ5O;_CK#B0o;=mF-t%Zq1SX8 zMwB-;J;3KhutGrpyw~i{YwiBM772|88i9eAoY8w5v{W0koZWc=^p80!gNKX>mBk}H z3yw*U#j^uw)f94gS1#fqVKHa5@aU_hHSy+wHv(4+>ft32@xVY}Fa(5vgJEFpYoyoj zJ~oyxv^Qbpkr0A_ApiL?X2S^2oevEMs@A6;R%=$$Uw^>RXf!qr4g!IpF|o#Y+}D6r z{Lvf)g2U0+G%y?tk68qoV}PRhf1870a1aD2X#baXIyM^qCk+g60Yd&s1KL3l2y7Y@ z4#yb}ia-Mi!|4a}ds!eT3Wmcc3>Xu8Kp4P04h;&zS_lXP1J3v2v_pXYWDo=J07JrY zRsutVaF~IE5IDkxL%=x9z@Z52{Q>p>j3q%}Ul0f+2Ae}T3jDWd{*??Ijl`K40fykL z7y&`ztQY}<{JCOa!3a3;7TiDXcY*FIyHb_#NRXs8j7Dc&qC;_%6%2vFarz>WI8p!uCjEOMn7@r0j79*k^CvS9 zFbshuE)WC?1z-rP9bo-9ec@mn$v}`09A+>OGzf+*LI@g+0~t^-u8BdR2n4pMp>W7Q zw*6ayp-AZIOCwJ||I*MPD6qI+^8ZZ)A<=Lgr9lBZh1D5^f&i6?KL-S%VE>~X{C~7V zg8pS1^B1OqfNjE=1c3%)GXq5fdxAAF41_{s_l1E$IOqt2KoQvb0mHz-5F8=`SA<{y zd$9Kym;;T?3fe3;Yumvv3YK5*35@(3=7IJ9D}E##ml*{3^c}0GpZ~N&;DQwL zzgqqG>d?R4?7w}{fN}q4ykGJMBhhG_{Y1mS*f{>6_vI zWCpnN0*3}e;`n#Kv`^nK|7Ty|SIRh2fT91yk5l;rYJ>x~fWN}ASqKtWSs`%fp97wb zhXkA|HZw@be^Bq2egKLIhGMYo9fAgS54$r8g%viPP68Z(z-fnu{tp_i6wm-9{nhv1 z_z!R}IPMd08#o>dh)Hk;go1xnp#Km*^wb|?F$jesv4Y!}y~lWrXQ46FPw<2=P@I4f z3TzFwD51doI0M6R0SxejI647%LYxR0iUj=?-u)K~fEWhHFF=t%lz_b=B%lGS z#1<<6?Z92Mr!4$yrT`K^u>l7LLgPR&3A4?yvFwg9U)E#)<2Jd;+)g z|G)y+|Ih^(3$(+A#k-C1_Vl26N7+c30M38j^Hp2m@dxNp^^Vs#J^YoASdp=BXBGNNLF#A1;l57 zwqlDKXogJ#-10vY|Lq+yATSWT;`nMn`Z&=mpy}932=v8OOrYJLdkkzb90bJhe=-R4 zMZ#d%xggLGCwzhf8iFN$pfgZR_;WyD5@1tsXmIQt1LzC?4RF76!Bc;PjpIP_`v+J6 z3jsRAaN>WU9S%GLx(dS*KhPJz;y)S009+$+5DpF~HI^a)ogqjp5dwXIjN;D$PiffR z5$Fs4A6tS3ApIBq{R=d3AbiCVE6^E)6HEY^77k*bW?xv$oc6^DN`W{U{JS0O?_3Zd zVrMO<0ToVo4F^&(M2w~r9y>9eoGFCySHEzuDOA6 z(|16ED0Ivl=f9+hvjc!c(7;v2z!v_;7Xe!e#j-MhF`TUj0qt<`4A4Ueu0T#h0c>eP zew|#!nivRc|6~U6zPMf*Fcd711MmlkesK&6$Qyst{;5fvn&@xhKN1GeAIFq|#o=rt z01a5}fQfO6U;xty9G4(^3Q9QU4Me}VD@Nd?1#n2rSw}o-pss{Y!@sPzVCsvVnjAr?d$Kk$+@`r@DrOpm3^=fF+9#E?Liw3O!AMpc;Cj!SxVL;gh z%bJ0F{?{{r)5ZVp3j>luYzqf~2Rl*)A`!?RLBgs0flvfM11t_L|H|o{;wu_tfHc%cJ_w0_Rh8@rli2|C^VS#>QzZaDboKBztkQ^ delta 37585 zcma&MV{~S5m^>KUNykn)wylnB+fK*M8{2Pe+ji1P$F^Zz)yUcX@vzhL8)!I+7GM&eWnK7;^6WpWV_FlE7BjuJ+-vPv9-X?(qRq`TFmybJ6w zG_GhIiE0tNv9wDZ&t&j`#5|QKaZ4&1Ot!)9VD(?;hLbrxuvlkmQ&60V#FI0cCL{*n zun|jWrlh|vlYexP#|<2d&3DvPdVi{BnhJEpO-|TtHaOohl_S@jcOSVMlA8k}^RDYn zT}&t9mBPcBx zkzH5yjuM`KRw3{S#*o76|H%JAdPfm;`dVDGhVf|cN{6(?SAM|x$ny>N%jxP{5yUY> zc_n@%Cm&_46rIAaskCysyO9aGtr|wWdHUjeK>)<%&TFNy1T-E3lo^Psiwk_{rw95$ zVS=&$=gR{2K*0j;X?S5gr)#l|IY%e2cYOCni9RRy42)~9oSiD+X>uP+u8 zXXBxX+zolU5)wJ>wQWR+h=;<*C6eSH{Cz)V?koyMrIy^WQFL1+@;)3&1FkLbJ_|f| z-K7el4E0HlN?r4!iu)@?L+FB(W;|StxaPm@yRqP7$mjy*M4Wl(wjSgg8#O_txFCz$ zq9LV4W|BoBl;C<`lp{_}xzp&dM(c%Qh%thbo>WwXkJK-6W0WeUf)|x4kC^F+^RkkB zkVMKvJ2{Ac;Lv|W3PRl%rF+WxU7iwcQkxwg!)bQex!O(2KiaNibnjM#_8mW!E`AwM zn+>9=(6~d$_5AJyjzJRw2BysTMWUI1&&HSxaaFjVcl$*9qUe$hVYi(O;iqYqehouqFRU-ro*NI)pTuPB6$k%pALX)hx99b zo2bLU7mfTAYu`0ateh`gUv)FJf|np~WsPQ%8O6TqaweCx=(`t35U{o?S5h0PZFiKyoC6Y$OxwW#m6^nH62rJPmI>BK~xDyDuNy;>`Hazht9G z9CEPP2G+RelvkN&%)9KHDM5;7wp~oQ@JQ*t)5x0Jo+Awvez`b3<!bM{SyT3Ds2r ztkoEeYs~LS=R^J&Gt04CTZ~GxwGnMF%(d^V%^w;jT-`8b${ml-nx^^m=01M&MXtnN z%)h;m0z(W&vDURg**j<@`qY*lW<$@HE_-MA3`0_H zH$l-Gb>O&AsMv_vn2DK)m5CX}IoXNXSpExh5wo%WAI!x>%*FM==;Gk!W*k1vx zOB}oVT*L}y8rMzWL!^KN_5sStuKIT@ErWAO{R2}dKuC(}~WgZg^Yd-E9>S(2Vo!GK9hGf`VG zAQ4=*J|vPwcWYqle$^8rZ4%RK2nAJY(v}Vj26M_UuBmyXKTu17QlDi23UFk|INyl< z0NyBr{qW>(8;cpG_QI!dZz7t0>Ml6F{9g5~*YGh}_3goLUXNzY zYX7qj;i7N%rT_lD(dmOc7T|tPmF7@rYFFuHl|&@oa}>wKkCRZB5?=>kxV2RDQ?Xe9 zkzix(kwNY|y|>NZ8qB-i26UXcLndPAX@~ha!8-gb|HSs}p0&zNfsq!;4Ep_RQA^F5 zDi7k9G}10G&-#UNd-z$_+{S3gENI2kL)6fyq81TbmG(xLEfSP79za|NmgB6_y`@~{ zv`?0&j*9|TpXde$>?|Mr3%^e#xfG>WCpTARf2S?<<}^d#F1TTL@s(&MRc<6n3)lGj z$5i9M<`T!m7*yvZg;eXTmsPJu=FWOlxNvt}m|jN&L<7%I5$kVNMc18Y((*nsrUbw`|7Ael5uJ)*H^ z6G@p$q)sHyJ;J;*q!^$f1*&6-e|Zrp@`RVsKYJf;$t2q_CMqWNMUXMoOc9RCUl;q7 z0_JE%c@TL28D|5l5Ubl2sd!&twNmrf+>J5-_N-vX>L+HPE-zw~r7g?ldm2;qBHYtK zL3D$vt!Oc}+(4j#0+J{Q=e*tE&tTXZ&XUo$(i+#Z(PwYuzCM7hn$LV-d6A|F0@=iD9uQJxJ0bw^U})`t_plS(MO zQMn)6G$L=S<|sY5b^;BSN8vme%MVg01$@Qj$UnKL0I$#dE1^y=8H`*jkNr*|v`N3NTXYdEdt$J>$3 z3?TDf!ISVk;Gs}@)5i#W9wfVm5Ho1cM1_Ck`nJHpF(|@T0-yd8yU+M}Pg8cSLdf$+ zk;JJcXBxoh1>Ldi5d*uB94jIvs+kcAl0){`HC|dHc=1yYV}o5q=YF3H{bSj5ts}Vq zS15lip%zo(lvZhi4;2gNQX&ikFcDe{*Z#2dh5g6a`o{vY8OFQkLR@c%a{E)%nbN@& z{8#mn)r{!DzzQMMfugdW)8^2RT+HUisji##ygPu2RX9&g0bgv&BKgW%+_{ANab-VJ zF95QsYCVxkZEyo|sH97(HR*eE{@*b`rKYV~xET^B7_9@J#bIR%sLMy;|BFV)F!jxw z)l-4&n0x*UqP_KjBtE3|?3bSk=67+-$kuB>NArBeNYO4ycB&4A(A(CGt#WY-ojyn? zp9-MV?HTYGKc3X=oVNAGy#1PI2(A&lkSuMq5VM!M>G+Kq>#*34Sc}e1IQ3>AM4YZT zZYAKa3;077Y}ba1IPN^_>rEw$pn`4U!u__OWF?sJkYL`jEBx#r+?ZeG{PZfK9)oW$ z)kd73IvR<2pYKSrLVcT*E&p6Aa6FhMq#>hLL5feKz??2pdYFoK&{(yH)-ujB-DnJJ zlLal9BC1>U=1+~KRvl?+ZTR{(J#7V}4mLOv5)F7d4;$9v8A&tyo{gFJiB32xwbH0h zsRj1)e@xJSqm-HHe@@N+nx^c)?>b2!ISnQl6U%?N1Hh!FlEOY0ir;l@-BUTgo-S`h zrs=jOT;&$*f?ZTF1g$BWN`cH?R(9RZwFBDlW{KG|rebr~f*W7XtmB6b0WM0C5QYZ$hxBbyOb z9_W*yH6S^!a@R+6G`@Cl#1RK4M~3gM_0~$V zMNWkCA)~rwFZZmO**QZXmI@DUQzx40k0}@?m~8)4KM^lljKINO#C3*eB{g#-`s+&* zW(batjh>2_cGV-hk!XZ2M?dmqq`dfO!Mdu?G~h=ZZTy2@wr6fd1XWnx7(zkUm$duEtrse8&q4GLeUd)kJ zE9azt;)W%Kl0Er6t=bKj3WzO$pT|E6wGLoYV0_&Fkvqddj{+W!o@u#u>X3~%l0X=l zV%E?N>}ylQRN!E{zB?*<3%H#A%4{j#lLv5F2J2z9ocCjxUHgxPx@Ir4Fq+lhzSm4O zWCkAd2EW&#XuFWk6A}`+wIBZ(L?sCJzSwk}=A?*?J7O%98%1%<#4b8yW zTI^?H{EXz=rmwsgQcH7dFTtP+ZKD%&Q~k^7UQ4x72_}B&_A^Oi12d$67fo?AeQNE9 zA-U$7HuL4I!0Y;PbN6-=!vnc|VhzyIsxaTU>f{Ik8{9z<=t}W0%#Rm*`G*5GC&*4| z-8!D*gN;8_YZ?A#ipB!0mVj}?+l_s zFW?SAo{W0=Z)R4c|}-{w!wpb>tl%e+Gi0i10E z$;zDgkyXxTUJo}e?5XeAQdGs1hm%$51Ke-JDOSi5TrV$P_8L^l;2!2XXyH=ldpnJ_ zOasp+0dUEay)yT*s}O9o`@`4LB73cE*vpH!=~H{dhv;LEMvXBGzjw}PQrP(zK2 z42q!8Y^>}I%-k$MIwq$7#wPzTGczj#2OA48{eR$TCOw@0A)@|M=>H$eV*3xDE(wfb z76EKKXZ~ZVXM=o+_Y!p!V!w^deJ%pd3jw_GkwcRL(!59V~;EJ56xlZ9Ch=Xphg<@!UeI~j*!G&pGzP3;jP znyob?v4wf7XPdYg2K2k^w*(2kWX1wr0asgNJ8!e_HqB*%H1&aCDkgzbp3SF@D?nz= zo1cv#md+-~e8^#@f5f6_qnp5*K3iI>lHI}DXOjwYmzbBkGT5{wTL?DI#pW39Z|a@Z3;j zhJmzKC_t?qz$KLJD&Wt zR{i0vkNE=Bns2M4x22)s{vli5w*qcfTvt9#T+`0n7<`(}{++V=T!Wx8(OxFp{fCbWWDi1gSD&r6=oN?10Ps3bI8= z9xgokFZ{`)eeGOM4hf$*wjhVPjuZ>yM+Z{10<8-y#S*ElM9($G(JoKSWg(18$`_4g zT1Cckr3oLU3(1GyUd3A=dWC_I$>AfMfuJ*wEo!+f{W`;NaF?YRoksRD%k}vz!RF=LeT~PutrdaWUqT zj2>vzLqGYVX<+;{CIMW^#W@F)@CQSF%ntgLyI6U3)}5iWf_e?DkX9_XNY4-TXH;fC z?5$LHmoRD1``FzKs{lgbE41}IqKKa}+@`(1+cl_R!Vx>Z5jowq1GlNbZBIbf112ntUEa30a8y_ANk6sSt5Cwx4h?GDG$Bp7a1qiZHc;#E5t`L03!iumu^g(*W#A-c-4yN7o$=kcIx5 zeNrl8?|HW#PPfxW5hv*gPIV;$?Dxqgjxaf0ttK&hGV$_@)(?`^juEP zXh@X;R>sEYC{pPk5002V-Y|QXPSb~TgJ@DFE#F9}Od$L$=UFWd)*wRwP;`Wovj5xV zIhh&R!I?FRbAW^XPp|j?Qd$4932|U0CngvN_dl~o2fXIA1k8dkfei<&eTX}Quz@Yl z^6lVYdb2Au#l4wfrFk`pY!bT2`bNfF9lsh>^#DX zSXgvE)muQ9AR$_6@-jZfLD7ptMQ7Trw9Gp>KXY6X1}5|jI$EYEC}HuuWxvESM_THU zGUqbYi>Mg}!Vz%yYT%ae1$tLK4Mu0n%%t$bKc7;*(I2 zg0}!<`=n=1iQp0Z^$wRQtLkA?y?jd;nUBAi)F^ z0s|nJ`+kVwN4;;gQBSAXVj47EieR zkt2{9m5@gxcDKnMTM^78n-BSSn5Zv?39)2QdMA;D2G#gT*+O+@8LgLVAeh!0Z=0&b zH3?SR7eEBaK0=;x|CFceHJ+)O|jDNRmqckG`?DL_jyLZt122-6_Xf8 z9PjY)!9!|^-=?}vqYFa}2c3LjggaPU@$5h3=)AKMmvKp9IH*ia2-+cJ^WUZQ58pTb zUP@hg%!ADfu2SE!$JuGlTfu3OwE49b2@%>!0tlisw*Sfr^=2@B=XeO)b2DjnMRj0v zQrYc7L>Y4dN(VQGZt72jJLXhQdY|J<0MOq0>I~NQ4EStyCx$=sBZ=WyMbe33zbpD( zU-{Frhz3kQLj!j47;@2+Wpa6y>qZ-0Dch{s})0n%ItK;WEIhzG~@v?>cHafidVQ~ zI+yZNaeC-KV8exv`(4LhL{`_c9(EyHjjP@vm=!INu`RNlxCp8Y16p0Nb9*}kU>_f- z89NLLtmNK%@SwsJm9|#|9~lYwW5ownZ)|Zea#yt$AJZvhSr+4{Pk-=H@oQmj0R533 zrjcCgKUk9l>8N$`=DH?um7uNPM-TgYJJ24=+WT@5RQiWWM9k9aiH^!MCmQsC0;Pi5 ze&MT4>di<*Cxy2J|* z`*BbfKnC%^)%)1dltv-UicRTP>xr@`jCE8mvb5eL^f0K*VRYucSXRlq)U}g}D+6`rK8KPtCnr5F0q;15xroAf zSri3L(zV|(~BHF2vgs2~#b$E3JgR93~8k{d`TccHvKln#A0d^X9$T18&$_$O?< zJ{Ey}>^>A9CnzR_wl1+po!x5V%(mnE)r)bta`Z;?6NCt~H_e^qN;TXilS8ubyw^Gm za`&!Sc*E>qf-`h(5*nqMn)-TUGL&QU*jQ2oD!K{3GGKHESaig)kTEsP79j;>h#^|B zG**!en-xXZ{20Vo3PZt8~nXw5C(eyOBpI+1g2GGI+GU~}ZIf=JWdT?eaP?Rl0uNHA-pf%?c_IEt3A z1>v0|&OR5A_;zkVjjyr!8ml{(JBMDsIqta%Frc$on|g$^Sn#8{?#jXl(zF2*C!fEK zlvog2`x|g52ci&kh?W5tk8Jb}dSms+uOlS_<^iRGUwtcO&0n@hK$GN|M*=hJZl9bX z79{lQGlQtRe5x@nnRi#W^~Q7=RM)!mz*^$cQ#$~+5B9ZaK0rdumU#;sFpSQRlGl0u&kgIW^<$4QN|^@%c$Skq&-Rf7qqAC2PyqEu(V1GBWcpWod-g`C6-^=; zY!;UtEN%KBa#i!m?_PipPO4*vT_yX9LSZ%25D~0V&$c?3iei`)m@(mpF=R!E?q;Y` z3F(V7GS$Am4yH5u)V*rtUddD{-hf*AX$y+dVzga@<@WkvpLN}>3|+4_nSc*Q#O^hv zEL03R`k2rueZ@N<5>;|ti z_cmCzAOg?ltMK+O%_C#<$^+WB8S51U5=N4u2}5TFhA)BMD7UPZR#&Cz&DD)7Ov@mm z{ka3GWlWlmv)OU!wbhtU>dLt?z63DJznjQz9v-D6Zpjk)svg|Ie>gBj$`}^^lnn8} zR_mvnwp88m(G~%|b}E9;O8AlSon+15i%8XD>>5;+Y~ZOi0U9b2lM4$<*`Ykv>e*Mm zr!Dzf>Z4tWFPSszu|fRex%?kzm%jd052w<^M4fTQbe~Gtox_v7sGKS{O=#2kIqg-{ zN~zeiIAaxE#~4MMq$+O59 zhEwR9%vo6PHQpz&`WpaP&~HL`c5m}CMp39?JC8a;8_8WC^JR0qAN_S@t?w7hxqDlMO?Yf!nDyt;g9chQ zUOw;uPw?jz=dTDseCdbuU#GmtZNTTk=V%rt5oSQokM1~)dDr*J>D?7qzQ=>-FEU|N zgn`k3>^_Oyb1~k(5%6|_>OrmE)Q^RR>sA8&0Yt=Qg_ht8gPme33)jZsFl}pNRb|=N z{rJ&!zXG8e?nf6pyXwl2se9NUw7Xdz3?fB?55KaN;8b#JU5eX#9YFYd@Pl9eR^QIl zVCi5L@s7XeK7xQ#!EO$fZ~#DtzCo~h5l$KnMZ-YtNI)l+UVH*H~XE*NmdQeTC8z*L}CPIxLRS`;IU?w|RoAD*M2hTXlTZ*E&FP|V?s02^gWXrNH{M97zq!|eU}SO-0xXx^j{nB9V?mU`)z zLwl?SxT}Nxl*U>_hVDrymJTeQ&SSflssmXr+Q9}HhUQce^nypmYhdCoBU>k>b$CaK zTHwpQp=5XsP@^{)z4>VpjR5j$Jf_P)3vZ!AM^iJxO?C zv97o)qfs-1DT{-rP;`%|Nt{mtuoF#8JcQLOxA5?-NO9$nj{tXE<8*-pU?o)@p(uEL zMJGQWwR@AAOh0DhS>&wj<^o1!yof`;;--HYpLtR31 zfU_PNWZ*#%z1}7^_GP2kVn#0^GB4E*_qj8d3^xJEj4nNf+Cw}Xt#K)%TpzFYP6H>( z$tdhE8Gw<$E(2^>t90IC(tXa&FGeupcm*~V#Ggu8f7Zy5G>BZ#naiW!A0IwUWN4(U zlPY(!vD2?XC>|HAf&y{_$flitMI+JHjUigVnd)UFH6*V7>7$=E0DBHO+Y8ROs06zxz8()zTo z;&9%JWY~~*F2{ql3dt{nsyIGzvhAD-xuPz{k$0D%4(Dv<^RbAVKdM#rZJmXBDiVFA zq+=E4PJ|MV)W#0dDcE;C$I*Id&$X^90&h2i@{)s{lt(T)>)OhS+!0}ukk_xlJ+x zr$r@o?=Z)sg~h+Ug;42F9`o^oC60FdS_!UGHfg!z+Ip}m@Y*YA?cm9G|Xc5PL^hUvG@8O4Q*3h z{JdVR1{P0s)j312q8hhtl~iYn>}x?osyDEBCJ&y{v~1_XdXq&JbL}7IUg0c_zAh{A zA_5ND9qi6JMEhT`GHuQS8l_~O{x=@Vm-_+E%FOv6yHkLk^BNb1@3F>0vwctMg-f0d;H)MeXVlb&=%JfQcuYfC0 zUQ*=XPbEO=Y{S*T3&I@;dH$#u*?A3d=9}}ecy+JJ+jbZ6i|^pQPSAGt*-G_#mJ-!z zf9B%$$^7Ne_~q;EDDU!*S}|CIevSl%$X>?wO=QMy z|8^ewoA4-w?kK2}Og1)`%lERO)LdnJ^FaoPNmu3XjRcL#^vRPr7> z^ezeY#9vWr3K`ZC;u|eylPCQCsgrhgr2qg1-=fCsT786}4C&~YG$wTV=1sXekg-;a zn?^OgPd}Gw@P>?o<$>LA0$autov!*(bj;MQ11eFkW(MWKcDh}#7>=7$ZHqbPPj@lA z3`o}S3L4>XN)(%20cU+8eWY^a1mD4^EL>EU>FLPNKxtM1e0ct;Yc?2ey+F3@8MFLl78c>Sf`r84F-Q&c9w zTe+b4ICWB~ClOC1c_3Q>YYKHFnWHscYW1(^*fDMgx3|Aqy+d+J^ER6Wy(P`4h8^9_ z#uG840_YwEOa_Rxa~mrAs4ITH1PS2Wn-ET~A+^n~dar2mO@h)_hCAALo>vO&}M~nDuOn zw;xWUlcoCw(b$;D)8(E*kLH%7{&h>XC6LsP-C`c2%Io!B4U3b^)o+Wa^ap^QIp;Ut z>ce`Qc6G3Ah%xd5J*2?FgdF{6bI%(6y#)eTt zvxXIFr~vQOy>3)nNOD;g0ro3Pk7xXrYy}c+zJ9XXHnUf#F@L!o$PV3kIc-o2a|#nk z{jwlw_dMl;!#RZH^JFHV>j~hz1%%k(;SEAUA91}BOjWcr{}wHBtaxNDe+1OQO%dLu zAgMt+In1b;?dR~_f}lui(DxMeaN>iS9<)sX*xyLA`>%_D-(DEHY@D_su#K`4JsI}a z70fvq?!xY47g=V?bhuHj%^-F;K8pt4Y3UVtC#~11Ev%{Hq7Q>h=IVfE=>U{4T~515 z44Y<;1=k0w<=vpiv&zw@WrjG?ZTQFGn$GDJDI;w8&@L{gt8=OLU-ig#N71}Q+O&_y zb#}dTAcKmJB6*2N($@lO*HR`a&M0e58Ob6`gj;6H_{7M=h_p3f0D$f&VZiqv>D4t` zAFJ=~jfUu-6`%d;EIPD9TB{CX)H;)XeAp3n2Kz=e3|O(J8(&?C&JL;E$ym1U*KW#0*yn1y3c5^I8c7^qMp8I-tONhZ_xv;J>skU3v38O-h`UpEVs3aJpYt;yw&5Aa6J zTfNIN*^zZsMN}L;5=n-8{iK8xJz58GQwYUq%ojYe-vGAUsCM?>?VAdjkPG9pFB34s zg_-*oPWfLt7zOwtQa-eR0hXybn||1jvK)`z$iigID4J7oQN&+k7@o<#2PYY0<)E%n1EC9wpz-E!bDDcKH0`22yQ6eRf1tSH?`D!RcBNXztNlm3hDI$s@nxvimXHAw(yOH2tvle=Te z!f#x`#t^@pTscY!iY{<*s`-X0G^LMMTl#+AeI^Hdx9&+T11Nc+H}n^M8!#eE+STfV zro?6Go&>%NyTCXA6hmFYr672wgHEOqUSlp)+$u4YcgU4(_P8vGuf{+(rd2!O2H||= zZwQ}kKQw)4l(JeLni%dDg=2@RgMKef^vM|)Ehvo`{Pj?UrFi2gbOE#gzQI;BqhPkI zy*$Iih@GyrQNpO5?fqAviXgVW9t1gYm_LT#Cq-^KY@^Y+;VxrHnfnA-Yf;-PY4aOjC8&#{l3T`(0vpSu`O(qz$uH6XBb&X zK_cZCqyWSQv(>RZ)ltjh4k~AUb=LIBu?w=pi(H=QIl7UJAycmvzJkMu2#J8d+_`Rn zyiXA9%)kMFQ$M!Odo!FyQ8dw_+3sqwZhjZ*DWsnZ{o${x!FUHY_CP&Bbl<*a0h-r$ z-g`Q9E60C_#6x?+qnr?(N9L4aodns(SuRtVUHiCzn1R;cG>bn~h(|R*SB`NKWGCyc z(!(sKJ4U1Y*Q7-Oea}^sqo^(hQ7&bc0MtW7uDKCF8M!$)aYr1o%O=S%}lYi4vP{TFgA053DR9WtqpKw`kfxpg_%KUNm{bk)1d1psA5m!Z8{rs5lw$IN^nvB|5pFY8Ngv*4N{7b zQCk9dpkE6+%dPRRP}gE1GCSu>+M^4(NLH)Kt1hKw65hc}n)PW)-pH|9Lw0j|;R~}P zMnZS~?MeP|xeT*;e6x@rzEROF6~YT;i7AoZxmp4!fKQ}B+w|v0+@-?r%$U~j%F2aLDWVa9m!hR+$*ia@Uztz&k4r z)PqXx7-vGncpn$WN?E-jg5@Zf%!Tz zKmVVp<$qOl{zu{UKX>2y;LJ>H|C~4tFiRH}@BnP57GX>=c#9E9+?^xGIB8;)D&04P z7y;*j(1{aQ*9?Lz?@eWc)g4wyZ&YxZ(`*4lmY;L5Yi;DpH_4V=OSa8NZtD3RM9 zI3aiT2mw6{H*;ARWblaau7_iu%4t!GSFi%}Zwb(njJ8oniW!cW*pX(N*)5fgAyrlZ zw#>p+;~1R1u>gZ?leMREi6ymW+bfgQ&N4Cn2_KEq4kKAxTZv`*bxO$^Cw{)17ZNS3o7QZ`{Io*RBK02%hSTFQpD0Qyeq!- z-!ybM96DJ{=RQnl*{xK^NCT58mu*V`v1?s9xw%);NHg`PwvMcfw%k!s87)=OQB~JS z)#?wvkEQcyUN14#iKK;&J!wfSF}Ap`#Nt`Q#UZn+IawoE8GX2)uZxOL=xx3zv_ZWZ zW#*nq6rDj{yd!pzRAKWZ>0`uLVCZMUb)75ux&*W5F34jj{t3(%9yx0qIj0DKOu9x{ zijcFUF&dJI0t)n@1NwJ4w#pXi(hS>l90P|_AQtdLUuxS6xb8;R4?e5iKcIl>d?t~-?hLS%%cKtb6o zEEbtSuCG;>r?7ia7@luoyBWw!Ri)w2d5EpHp)&b&y&ySgHj@k4j^_1g^gN&_2PFk)!^O{zUL>9&S>cOu zf(h%IU<=b3*R2SN?{@CipjEGe1>1b=or0KxXw&cpb}e|?9^)ODcD=TPeX*@=(D$nA z0)A2j8!;g{Z~uB;EZ|1_8_FSGI5eD`a^^i$Vi6ML>oNM6$*qO2&f`-<4dG= zRD*>M{CLaOSgwJ-pd25OS z0a}a?Z5{yBad(lfS>UM_YT>F8k_drd`^w5<`tLg#+4*GPC_>Q z=b72SZd7(QHmMdqr*4cdu9m`VnZ@P=+O+86HXLvqqwW2{usocPwdxzDDB_NAX?)%$ zBpz4PO~|v`nhzdI1}383)n=EKZD~O%LxI)x@tOd$4hSBnS_zYx5L|5`!%MPSNwxiX zATp~Y*gL3166bK8O1jVGS^BW!Q{6rwFwW9lg#u5a)uQ!*DLH&ytLeDhpdr&Ef~3Be zb_W2x#LMN(X%RsKgCpQ&;G82{FTK=L6Kpd|3z)An!@iofJ?FW#6wlFlBns zUCX8CW~oh!HwPt+X`1m~I!{uwOqdn3va^YKyzRnTMC*neqOK#lDG$IJdQFaBUS7_% z-3}!hl%i0%=U}`m01M4tK?d9FC4RbGjs<+e3xE)97cP}%sk#0E2;G#HOMzV1P^2Ca zhfCMVeoU?}+~}qM@$+?|C}TN`Le)wlO?`KMyz=;crWDmt$GZR%&;Q_StWq}(&RSmC z`aAXsZe0zbe;zbA2AXM2jiEdW3=LC&$|x-qKL4Xa&9A7}O)|m+-IBK^57iN0aS>1t zTl@teRzyAzK~lb*!M^)cvL-*3Lg5pEbUd(F;2`~1FbXLKrmHZ-Hg zbXpwRw5u^eV4Gt*y)vn@Yg z3s72amWbcVJ3H)%E_aWg-qfWOcmz~os6|W9wxuYXM0}{le(0veGdkK2j!6@+v*$9c zmQ$}4i^nnWungB%Y3ARmzSR%P%dOrVo)6nr@*OG!yg21{{`-EW(4yVm@C7Zyi(7tR zqNdhW)-ZHGBDTjRfTX*0=W&XW}Wx(Dhm92x~9V$D8Oi&ElvTbcm?by{F?r@AArKWI@ zbX%^Lf((;~cw29MSy>A>E&{L|u+*~BpOwt(o{viB;(%5HKxQb@{l)PdIBWVH@W~vD zZ!FwSc1+NPtKvP>NwW>vcIKlI@l|459UX)vwlZtEj2rC4e3hayDe>d&xW# zcp9?J_^Z$d1Sw90yiSsC#9u;SccuYEUUdd2u5p8vx+<9*dpI{%$GEx64VOzcdRi4N z-KJW~7Mbrpk*``snKjCg;_BDbuW4E(T|B0)xIxj~^&$pk*U+xMHq))MDwog09xR#D z6vvdQMCLrb4MiiJVSv08kr0p)WkfNygA^ z-OTcB@tQMdC=h*>+nwFX(+67t{x3T}CuRXY-gH`oxc$*ms^_d>%XyBas4g#yVlL6YA}$sP^m)iS+ZqZo)dC_ z$^wnqW;&LdY!OMW;hZnoYb>iFhMCmTB&bRlzBUy^hH{{N^BcuY&oz-~O=bZuQk+BW zexGVDK^d0~?>Jp@VVnYnHD3-z;o0xOkG*v%C~AMOzIL%#`}!cYadf)*N|G_US3e`2P=(wBCgDzRiiF#~woGRBM?+f6d-1XF={He$kYt#iU7#}jzY^}6 zIHPjIogoh5;@zq_{1?e%I;Uaeg$F(v-dcn?Hi~IdXncgHGcACRL zT`Hw`@`8s=`6wA7X>LV2o7yBD!lCi7sSdua-%1DCaE!{MfK*Z&RNYQ1jRf{7yg+b` zYQvxFI}A}xuz&9e!0HELQVSr|dm#k93H&~GZ%TIp*LdV~V4+&4KR28`jM0NM>v&D) zS=O0DnLyudnSh#JQH`Ukr~0m&g`lDsng?EIzj|Xw)x)V>-wUd3e(~-nT@?{?^~t-9d4k03-$+RV_)g|2`*^gbf>4OWnH)=;%g6qhF%SmbvNBCDO=^0~n3!70yUU zNWtszg{YR*1X3Die$`)KL{s`~unp!<;ho9-STA{CTQ%m%!9ThD{^h4BB>y?wFi;>r zb3KJv2<|*^;;d$UY`2hGC6gi%b8lagdjIM$UoU9?43K>x+y`$T`+6U}Un-ipUuyOv zILOwWVfgmO+mZY&*P*(Q3+-;kTHq>#huEcer#KBY-le{Bp;9qvy0!XnV?Y0efTE_; zC1P}kFPYLKsWfig;P$h!4lI9au=oO%&VyDjW5}smJ!B?k3u-qzqjFBPoQeICB?<&K zI8fH%6kt$pfpH+*-I_=hZ$zvX8CXtJBvdd`q$YUtA#_nSodCdn*x)R9KKNQ{x=~|3 zBsnP2wC`Yl=$zs|&>~zQ=$r`3a_@L?)9mq+m=E*GC3t_MV<$H=F zdDul<>QuV&u(%7B)XEa%TQ?uHN>fv{nURkY1+;LoyN8mm$&Os1F&n#NA7e@H0al`5!P>Hqs6Xe3X9*A z7NO!};-P&L%t(}3Pae*6d4nB{%S4q>k4P)?E|iDxNZ`HMKki2l7dcpW(I$%*76HtQ zT)lz}9{u2}AMe(rc1pIbq}~wJtD(#COa+3RBIC0A92N(zY ze=6}w&CP#RC=|f60m+5g9SUs$x%N^akSk>QubiDb{0wF&-E51ZuSY>s)91N6d0gaS zg-iq6FCv8OxPx1dT){gR?p~6ilxJHLo0Xx;EdzcqgLPu4M}%zxEkB_cs`G4l*5NiP zF9&an@vYNh1w@f5f8}9Kdjx5GT5RP3${xUB{|pPqRwk#faprEyBQ#R;q?Xa6AOpbR zX?A;lJi(XBdgra>2Lzdkka!CEi}Vt-#Yt-l-ZiwoVP@*+u|PgW)FOphHVaY_R@&c| zk%&F8(=Ff@KlehBg{R$REHc*(-}p1QB3y}=D(xXcoO<&#*uh8$D!2F08xs5VLM$Ma zuIdcU#V#9GwIQr7%we@KLHlui7J+)UJ~Zc_`*N&8p1$KTG1L4hR)d{cpel;5zMJbi z%>is%Yeu$4D04uR@TfC#W?D0|8wM-pdQwIqAEjAKod==&n2 z0!n{4PehA#GAVeJKmm2@u{h@!T>&Gs>J0A;?Bh(wcgKLFE~7AoP$V>fXSmLyw$FOC|oV#=bf%%I5o95G4f> z5D<{=+GKY@>28z~Nu|3>V5LM_8bL}Vm5`KBKuV+~q(MnRx=Y@hr``Qt*YD*YmcyKT zX3m`WoU`}L$oy)mN`uUzy+f{k4Y4X@3um&nioEBT^py6aiH)*b&z7QwOk~SZ_>n*h z?Ir)=%)whxa`W#w5BD>P-U-QUkEGYEiBxfaRB)P)A#G1Me4O2dFReLf_2O(SWLujn zuz=i5ovH5%NOSH7bt|v)i%RZhlHk|!v)*n|ofP`@f?p_a^;6;BBS&YmH`BX_bTsLi zy_a**rirywNep%f_V<+v#+U4XTK*2q-ryb(g~}xHM@OQoec^vnIWel zd!U{IkC47}8k{NQ+NdGGDah>B(~Up$-3iPRRg%{=&|(0s*JzPtng#?l1UR@OwRQ zC^xFDR@r`~C<(j1+}*I*wf`Y6=_dFoaot5WihC*rW)#Q_{C2kDvG0=)ZW)OZSxIc5 zX2vu!uM1S()f3YGUfbpC^Ta(u`1+Fj9O*UPsEfrkoLw(Fg`@o5RqG3H ze4NN^dz>nhzsbAiE^MJCeaYupX;wDA3Gh9<)a^!l*~{L^@z?d2p&#pgYfSiCw5B=f zGB}h-T=Jf-!b8iXN&^I?fK~4nKG}M;w zsO{~4@#Fi)(V7VLH_Y1OdwYlO~gq(+)_(FMLz3ISPE z&G(xGDG$K1xq}H8ZoP>){aSO^0WZA*I*Pdm6blX@e3YFswV=f2&eDAJ+}AYo&QmsIJKQ!_3^ zo!7W@94{7y-8tGoY6oP^kNNK%?;h5T`*Is1Xcj#s0#o4uoQY-65WSTuQOxOfWspg^ zjP>9MTeUGMhW8UU!jjQvQ{OH}%|H4u9E#+B6u@wX<69W`i^wca*6T-HRt|NXtT!|8 zn>#ge>qO4+9?jJFois*UAt7N zEwuGhc&7dF%D%i@S(8(()j*f%kIc^g8lS}g)!2h4G)hzDkvS%GCEjN{1QAsdB-2n_ zL`A?SM48*VX_9({y~?2z@9cHBBIIT!Su+?}{W_P{|lE zuYGmuKRdnvFF(qNZSdG%+MHvl7=LrweooJXhUQ{>6$?Vr5bfR*cA8~_9PV>*^wpnR zsaLiU4jGqxpehT#mO4nvz*c=Hy|Am3z5TgN>#+Q!s+8RL2+p2@Q!dqtLz=q_J zTB^+Srb=?{tNAj+(2MBCJWa$TX^Ht%{T3?t(N9WS?fyc+r<3RXHXW^dgM zGlxyD=zoJ0@n}0uG@&7Vb?c)GN^ch)-y_zaannPb(0q|FMI_){8Xj5jY!q6L_bm&^ zO_1fe99dG&^AtW?DP*byspOHl+sV~>XelY(0ztOlmB?k4z~~SMk6H8BB>-sFH_YMS8`MSWW&L%s!+G1LgcG$@r3Sc z)6sq3ZH3|r{w+_PqlUT91Lg%2`DL2}rz1Tp_OAk3sGRDu3M*=ueR5osFPE}Wh{oDF zIohT~TCrXehSG}%RA76m+yPs6m@HyHEsh1D7zQHLOSS%6fy}_)UK=>yER~ZS-wAqi9vZcBpdG;wE0~k2iZf){?N1~Fe^a6o|NE()kU@tUCJKnotrruZ>4^armr`4Ur-Ak z8|Yv4x~14w-oL8xn8U%mr0wg&w=zsa+vEjP8=`kwQ}Af`!am^Vl^$C$>VJt{vlz%V zw{aA!ux+jWr9NUgBPX@3zeOc4!lL#5n(j8i=+~>!$U^jRxE1*Yd8?CfH}3Rg>Gn|W zmWE`C@1fw<;0SFuo(Z0Xg=7j|B0{^X(&=7PPaw`e#SfGFEJ0MPl6J4+QSM;&yXBTT zuL#YWaofC!oF@dFpA_RFua1V9XT-$sf6QOIqzEq-|3P%Y)NsL#RA#1b8GOI1bO}G* zG3y|mk5mXv|1d3d!DYIrllNeAF8ibP#UiVoADw}^^R^nV8vumwYh5AR&F2y&4>$9WGP---;1z+SVQk0TixpR}pI1dnl(1&qEGcH%-;zazTqjbF?Z zGmp&j!DF5yFWyM6oAymK`sJeitDiIL-pVxcAWgKC?Lxyu&ZV@=74z;+U09B7-2p{lqwgA%=YrT3|E?EQWo?`G@2%hSrQuu)&5jsZDh_+fSY$6K zv-a>1QSY>>`0@HL`Xu2HxbT{ugScE_(Ar#aOQ3q1cBM~p=T~76bIcjR#b4-$jfWZF z%yy?Qz71}^oh}zQeU87y*>#!R2n(K<&3c=VI{d@|{RniutzzH3T}E`nJ!dmSn^N}j z$pm>()9x`HrIq4W)F8!DhxO(&JZFX*OgHq38LSM7d+etjc*ytSU53CCBCp3>^!no0 zry^`7^;J^4pJ*K?7LJ%2?JG;tZ8H`c@?T;59x_lvhti(8q{s0k@kIEVw)??V8kARsQznC07FyLcQ$Po2il+SJ*ipX@E z8tA)Wwe)pL>^5$}6M~ldc8h+4TvpC6K8heaRDO-;W>R#xMGo(S7d=xywS^LStjLkv;W-GK9`ed*zG4M%C zOD=cPp4L~Z@}cfN*UAr3aOE3fV`%vwmrV_6uc@Xi<6OFu?)lP3CNh-R|*covfc&EKs-#Hg-iL$zJ5e zKZv{_sGNxpW}D|6Xw~5R`gls*Nbbv{ti4w_PG&{KKlg~{gcQnFl8tE!-5%(_Sy6S% zC-6#aooIaI&~8<8eOt={;m}RPWzs|-G%S9_FdMi1g?k-4O$cd&8=mcY&v&a&&mB3l zh~K~LB4u8r51EL{9X#rjsjXKYK(oc2=(CsYIs4oWPS81|bPQs=uTlH3RkowUz2gC# zAUqt@`B_tM?C}MeNuI5bR~*kcD^}-TyNsY1aH6+kW}N&$w}M2bNkgVI7Jm+*j(xo@ zY{}NIdU#lmQ@#+@Xm=&QGmu-&o!O281pK6sZr-{{IF$$kgU)>lhGd|~5i`zO^-cYe0` z!uOco-=|`Gr7T137k^NFCkJ6NF$5-KzG>1bW$_hZkAJE@Zqi^cqMM#%GEQ5y(=i?N z$k^W`a?Rgt)WEp%iI4VLMBp_vlIc{6$8zlv&C|OKi#ZhxfpSJ--+tbE)56q&OQoAn zM{L>F)2}u(lx>E)cH0Q9Vw7}`s-mM-1EHM_c7En?z)XJg7-dBILbhxbhfjcZTm z13!LJn_2rw{V1AQ;uB$q;F);)-b;S`@h6Xdu8`um`5H;&Z_c@VIGe|pzH0QPkr%0_ z>47I^&X>*=5SeW&K-hKW;-6W|i##5YnRD0e2=|)oO(2&x^JnBrzXw5&M$M1ULW5L;ovZB|NmU`GF1IRzVBzdn*%`!DWP8m8Ha_ogk1#D(HQB z2NQe(qMo0*6VCQ}VQ5P7>&GVxg{$xA(KV{&I8Kj8YUTz`ls`N(@aOUNI{k1jXbZ<& z0C!$EBJ9rDul}dmgn2B9H`0q@KIz{+)ir%5T%~fqI~107ZRS7_KaDFWZszH7oQ*O~ z-1kr>;5Tg?UD*GXn*ite;KJbNJG{}~0iO!IkY3cs-xj;>t3>d#kAn>dn)=){#K{&X z9bTnVmHMjTgIuC?=|$#IHj#1sXM9R>a?^)BGHYIO#IN|a6La~fR1PPOrX>5UiS8&% zy>*kTG`LGRTr_1FnGjduHY&WQ_dd&xhoGR?>Ot#$E{>0dHqXN%ZM8yl4Duht76KU4~D#8z& zYm;G=yppX_m1aGRL^t@8f=cTRiqs+c1`P*X0X zO&cy}x%@B4@kYb|Gk`RyQqCnU~ zFfWJb{3I7QL5>;6!d$RXJZe2p;e?5E(|wwDRK#62S8QoY=7}{;;$yvl&T5PICjvYH z3F0p7psm2Xcdc_y(r*l2X$nLHNkDRMu6(Mh`YC7ky}pa1dFjJ79#Su%6jgK--t$^r zspNet+Hkv=+?QhRBzkq1S3T4>xJaHm6Oeqlsj9Zv+!TXIC0Q7dIC}uwTbLak-86=X zG4>oU&TeL|Ur}9HgswkWP#w z(+_*`SmO(uL$X%>&_lEjTY@&a8+V|KU+0~{^1#OF>i#=B`D!lKcw$lCYjqx_!vfj5 zZ*a+Cj~td%JA}<_s!`PJGprk)f~r-e-MO*P$@E?KrOK?exwI z0`v9lcOW(j?4{IO!F4Q_Oz5DYm8U8ZmMrd^P`sblTs&4Tpae|FlY9{t7+XdSy=@z^R!Ydv8>={Hl${sUV}Is zR4l;ffoq8CVO&c*X`OVDQbt6;h^Edi5Yb`I>3LNvP=Ey*F=v*TQ!zs}%k`eU&4I6A z-X}<>nSRCo`EFGP&8;^cGBWd>GEvRh_DOqaz)uGS1BT>zjHuh|T|&%l?`6yvS@RQSywT2J zSJ3&YMVF0uJ9n}fuFhCEF<(yUWGGKAz-{}Ey2!ebed6tW6yZD8^|YRu>(CGRd9Glj zSgJuN{hipOh&-0Ber;$GGcC!Vu6K6Q6XJI7rVV=K#Qhozeq)PoczT~FzWRxMxmv+j zBRG4Ii6euS2g7`EN`3a^OSLY&xY}#NU#7H4vs5|0sr%P zKIZ?z(mAn|xJXoz1_GA{J+E``?l|b`Ihf8KXp#(>&vwu^`H~2W9PlRvF?=Nt%?nLC zy5Q&cHIZIk+5Z7o*A6qQOyb^w_OX@6qgyxbz`BL;RLCVl(u^30SS2V4iBS`+QJm`U zmTdQ_Nme=21k)y+Eb0~sq`CKkj4gfq@ytfbFFEH2qI_dzpD8*sghYwbf!f^g*8WIZSVNu(ZHl*_HXXYlI z*Lbb=+OphOjhDBsg;+lli`wE!<`omD)1-lPzhwI`o|PMTT_!%z{X&_mI)QRNag^{# zZsMJ9jEuL57y^{rO3|ye%Ojq-{a3JV$>%0A&XUlCwl zHfd|rlm+E{&r(-cW@IEfw#w4w8+f%NUi0=sM){SvZ;~UK%}uXle@wPT-C@C{N0%Io-3IC9v%HC8$p$-C!e}3{=TWo?kCByHSYTQz5v|k`B$8D`X6${G#m%u zq-L(YdHpAcwB&exnhbB!AJA+wt={>Xtk_CddM#e9jz6MaTc}`U8A7ys=961B(#H2` zaLNQ-I(vNDEYZt1$!!xdeqmpYbT9NHZ;`y!4KviUSQ!#uoH8`aiy_ljZEDT8lXQDE z_8nECr%&GZ1~%~c)<05WWMAhVBAXL`y}THCRW9c{&O&B&!9zDWx_GA;%RwIZ8X4Yi z%1^|cq(J0XWJ}ZP8pqUYWTmg!evgX;l5JA(UhA_P~`0k87|5; zWS2RmRAijVgB3`MWYS+H7^GhC6k2F`?TZ>a_AYzlX=HxyrP)vPpdDfTb%`qL9G8OZ zSDl?)!^p0rZ@ZCKXO@Y`=DmewtRY9+!QXE-N6ilk_3s*Ah-$cmWA`YxH_kK32^Rs1 z6O3VuBTCkdo64Yx^G;?0e$&R4Co|zgpcwA8iOI&y|**|{+jpA6J|6gx8s$^UtRyMP@aJ6It!+>ti_a{yQ`xCFC7fbqf z2<=H_%J|T?a<2;4R1scs{-Hcxa}Edtx<{P{c1py2Z`fK&b%F*Sz13!%N^sw=uKUtz z3u!afaKEa10ngCw*Q#+p%VQije>L2t5XzR7p4QzloaXxN;%(>Q8k$2&V-hJv22kq7 zFa{cL%7c**Y31%~8|W;NHQ?~d>uGmF`>Z>F~E#QDDHtWoqN@CX=NrD;4-0Fr-b}!cYKDwQzZ|%%9@=$I-rV^6c zLJ+$kB$08{M=Z`**XP#VAu3F2SA5jxAvNg66z~q*uN^OiM~l8;Z`j>!b2_LQDqK_% zrsvn}O}Z+&d?i}9<#j*;|1Q(hTg)vXTm(^fXUt-C=Uctn!hLc?R=5P5Rh$RP_`G%B zhO_aT*(@YJN!C{>F;9trG&^oAxNnSYsdjxF9N{Pq3oz67h-FC#Ieuw-Z-;C3*QXm( z+r#3ERc&sGTQCUvGvrtxc$UF^&v(%S+$b$i%@o(8#x1S;qL-?@MLPUl17lBA0O_@4 za*it`=H|m3SEzXHL*gez{lRw&?&A}o_#e((6;{oB9JX2BclC_Xf#gW3&GQ~V37;|& z$w1wHDRJyHIux{~Aj&V~>7HTefVc40EwXYWZGg(FT7g9XJtVI$w-WyD-LYittk^Ya z!JV%81HIl)maO$8xh{pv7@0 zAZ_iZ!|e?jNhUolWqTtQOC5(x;SrOJ=@A<39w}U2wUB%?C|AZvj#b51nld!A>)oY< z80NOOp^+~3%xE1OagRl<>kbTWj9Ka}<`qzcQfCu4UHoQ-2$VX@3gc8;?w5}#c-AX# z1rHh9;a6Sp5V|4jf)cU-Pg7`$CcG$$)CikUpREq80`Cl?cO7rC=;g9?ePiR63b$=t z^Ge}2ZMR}jQ=KMjj~gEyGS01IBzGS9TB9RIgOb z^;^`SJ3%%IBe=G_c*K-@jye~dn1WSm@(VxvN!`9|o~>2ErA9KdgC=MXvLm`K2Ulj9 z)Vx=D_fjEx=KcMNNp$tth~R3Fy!3v>(qTwN{)2@+%1o8}p5NOf{XWvHt*gg#k@xCe z%*f*d(`5YA<~rzIb+c5eEh@XYt`p>2J`hLh!Zo;_#?`G@6&hBw9vaJTZf0d68p+SVpOo>z&4o>4223GQkR;Wx(260KN=V>%;xewNZ&1+;5iyD@B z(VA7pXkW1j?qQNno$={~Lx0GdsEhiVKg3+0vhtYvn1>*@`WNzUe-s@jSh&Y8sa2sE zw8o}9ta#0wN8%~@aUrYDLa;&3mdlOT@l5xA@FuV&E%30XjmkqC8fYgz3=i&&esXe4 z;E=n^sv&vUN7pv==J2~bmusjXBfXf9Bole_M|?Ch;l+*o>qR&+#g;n8V_rHg8fvjB zr+3MoNDvVCkBt3XIMt;y{ybbTVt=RgmS8;X*mbho^6HjF`|ghWes^~qnF7qLe4K<~ zYI+nL&vb@MUQCz|T2+qDT`I{Qv!*~#LI|G;+}i06ztq<J zvx^YQ>pYo%mrT!(YqK|XcwkKgY#n#vWWEkY{Bejp@N$@$i-Vi-sbot zhGa0tg=CQ9K;qt(aK!CrT%-K!_<7xXdQ5N_lMqBm00E7=naRrazy85w?`CUjKqM;q zZ>QimCsD=!<15bVS(JEi6!edaC(t>XozAHs%E@giy)ae275`_tRVr}`BHizV(oEYr z0s;b_Z-qS;Ui+%U5xaBhUm=OsGR=(l@UV z)$D&8pB3pO4s8&8YaGkcbxHSXs3nu_l_LF*CMocuF9M5>hRw!=v0ZrnrSv8B$x?g) zEYsP0Cx!VgTKQ#Kp=OEsw}kmBt#_O3O>-gkv$$&F<36lvq9~j3UPik4m#BkKD7Aq zUO83*#$!_8EwOhh*(m%)BkPF#ibbFwN1K*c2v|jgq4J)V-cP^0&xDDU_u?&#$|02^ z*)x~5G!>F~=LBfXK@(=(KcsFxvABJ|*_C6!FR4{Tq~fKGUaIen+avjVU1)QSbRDUS zkp|Uut$WM#wU89e+Mg4vah$&E;uEKsZ}{DL-8R zJ^94exx4zgY%HGz`b4qzUD3{6Tvp z2hd9Eil*GxD~i>_&&<=lZVtGRhrX8}8?ROMR~b%f(0qQ0l2A?g#nTr*tXxknXC$ld z#Z|xh6CnZGt&pRG4@Uu;(V)nU-h7Zw^gdXwMbf5P8ywc zY4@e8`0rHLOBxcijRVtm2GaHv9#qO7?~WTZ84G{N9eXLvGA7A77M_ic=8BNcPU)=3 zn!VrLIAlKBKf_X9dDm}eVd-$k(oxj(MvnGOZIw`(4WF_0jE0>hw9~|2D6&Gtw}NC_ z#x@yUrL^ko~|pT$__+T9n}RDZV(i4s)f7$|=$oMiFb zgu*w0v%FN*Giq)Ca!8Z(=n~C~W7F|M&&P|r=vBGbWf9wYw#_@yk{$2f41Q2n;{glT zJ|yofCmGnZJ1zP~t}ActM(@36Xk%W|64SiO%Dv4OJyOaMtv~Fhahr~0c%?EyjB+@_ zA`rFoJXmY;?n}XX72j~LX7fChphizb_CxXS^iQ9|BIA>mXOS=XTZ>bEcoxgli$l3D z2BLW>wzVR^1=TgNwPfj0T@oC6a4^4onG|odw1nUCx&PN?wW1#Wd8JB^pBLQiS1sL= znQIBlpWwY5=eV9D0C`_-SKaAhQTA9qI(EuRs`{agdh_Zik^6Q!C3)@#DLO(y@j5o; zrU3r|;^M~PLwagqFzHUdGRe5zK@9!`@vBO7Ba7fVa1qO=+k#$CZZVT;F)U~#Z{3o8 z!t!D=1Y*|spgYDBRa?XV`CZ|=2$C;aF3v|p@6*|19{ah#8mGO#nCE^udlVsLpg0Jw zvLP4_;kv3B|5=Crw$8`3Mc+=x_{5O6553;%=)bHpE`C|w4Jq}~*h=a3^!B7v*2|$6 z>_a=Kw%xs0>dSYNdC1U%pj7mSsF9(*fveRAzbM)h6IbV<54#ieX;B+El9vw+Rn-hh z(lZR*KT4VuJdACo%aVzfIxQAt5Z1)G_syC?YU`=v2i0ZvvcXOo1()<({mZf}g^5hQ zGbXfJy(Iaer6nZg$9x?(Kc`%aCZIqG81sHcuiX|(iY8%X-^)Lq-V~jYj~d)F(fZEG zZ%#%4P4cR0kaFb}95LB_o*GQXvr5$PRN=iqFxw!<=r?}!-2&2!cHHI+`HkDV1npv; zIXu$cUSZ_|YmYBnV&GH>R*;HWG4S9F5fP}66)6)-Y5I``^^~&{8BtLXmogr5y4mr9 z1Woze%w$4SU2X&t7NcKyoirCh(R9GmF)Lgk&4(mq@(D6^6;r;pvNen+-Wh`1#aZaH z3y+!ci~ChVF2r#iNAtetDB~KN{L=Nh;euy^%DhpXSxXb5L{T7xW-_Y?k`a%_qk5Go z@oq2s2MhrTug7g(RTZ+{R=FDe4wDV^ z;9byUv&ufXMY=*Ych>yy=z@gRXb7RwVxP$&OV2DsGo>huU~bLe$(Z3tRY30qjh{3c z*?*mgU|7g5@RER6Ax?o7F%8EpX z;Zf}vqP?&2mb2h`A0KsRLxA+Pd``_%MqV}c)yJBlu711jXk?4 z`w^enyzqqHg7JZwmZzdK@T$037|Y}!TF9q^kT*D~aDjcIz)up#D#ev9Wk-4`zSV2; z>7~=!zImLxya#b4^;1I4i8Pv`We$b4 zKLgEtxbMK;tm4a+&7rrT{XF&yL0vbn8r(S-{Z_-VuyvO)WH)xAIQUBm$#Gno`kN^arwmgX(A25#s$3aXV2)h zbD;O)Da|XlyHN@+I`kcx@DzHu*=%NlSZJNEN;BnV#FDH{C`B71Cb@d^=n!?IWFU ziy(+(f*7Q4QqPX}i4v+`-B9~h^TYO+x1DLutzWPLzeea$qXBA ze>5<2Dp|J9NxA8J!TEsu)7SA_biueEiHKoaX#W?Ua_P2?9bH($)E4mggZM-hlj(t6?k%mcMK`zrl}~QF)Cca!==WS@-Cu8X)qR?6PvmnBhh$xCSjctnKdB)-qvJN83lZe4k6d?3AeH3nU`m%s2D z-gfxxNcUrNEa)KqB`B6-$924_uxxJRa0N!4sM5(Jf%na6I#fE|`UNGctzmLv156<=#gWY#+@9RDP^pj&R=H_cw`UA%A+CLzv^v{wA&0zFS}1 zNckUVZQbf-oK?l%|B*X1RVL!h#_3jx;2`7Tt2l9=H^jZKf}uZ%-eLdr^)*`r^@`7Y ziQ8f70%4mm|1}4lohrLT9dOK>s?%ZNA6j;(pUMLdDLq-;rZ+G7zD+0y`aU)`*jrnh zFbr#*1ul7^oA+{mKN|Y#WkKfNVTbb3ZSVkU)z>9 zfo7V!ysqEN^sK1N>6T}qJtABkd)WLKSjUTuE&U*TT@v37+s<)rg$axnq-igN=BOI>QNW zCLXF!2Fz#huM<=j=eQutk1mba-W?8{fIcy@YiRAa(0qel?b%~}V`f7%*7jWNvNQMn zL8eezkc=c@%crl_v^uTDBgy0vTW(9fM9oVkw9hES);p5nkq=|u>gY_+Ue0?CTB^P@ zsUjIw%1a|;x?%b1c5px2Q)CyYc{5N|YbSVTVwlFg=fZGt@o2fYm@XZsc2q3itwl4C zTkOTJ{gy!`&^xUE!jrsdG*^HH>! zq=KgH2;DVli7R%ClwT&yDGPJs-`yNw_eleDv#8mR846Q!kLcPK@jUjs5W*Ly-@YLc z2u{;Tih+G^TpBg&6Jz^qRWi!Qi{esKrG9+j@%KdC+D#^n^v@EYS!Dy>ZJZV_n5#Wk zCXuYZd;t1AXGl(bmS#5TXPYk$JQP>V%9PFEjV!g4PgJZ8G@>6f;y>SavvF!pmLPD( z?;%%72Qle_@L-u#@6s1m^ummqJT|nb6yuY^TU*z42xXYHg1KNiIxY(`HJ)mP!v1AH zx?IOnn>(V274#}n;&xVsoh7IXIkU?7U$~ME>9M1OUdBGloY4t`&S!c=yto|OsN7GM zMmq~t^;N)k@^meLE-N?QkVW0UQABt1%;vM&FHyGEY1@{6e=Q%b2Cx#+NPD6<7bEkQ@U~H%RM?rma))c=-ZZ*lI($i zG=baU5 zGJ1=fcb#OeGSpoQoE4+oFce<}4>_4M2{ zfZ904NVJ*hQ${#0E~bP$y;Gg)PRlFho;f`|B)6YM52scIv@hx8R z(QbP$`$m@+`!V|mn8Mn3$)T*FiXLw^pJ!>kL__a*`M%8|SCk4K;M)o__bUFdux;W0 zqoNmrKM%^0zkIDPj;Y-`rfI-=ZQ zJwtywCUl@yRa5xsyG3ENyeMDDdzHHeb$@h|*^=Jr(&qt!aLT*V2cCjKn@tx|3K){y zjSdGsC9mG`;UQn>8H^xv;ZiWO7`SuQ^5dz{aodp_!5inO1NCm_>uKqJFyl1wxg;aD zUmqdwg6J>uMtp@D8Ae%Lx@*)UL_S0@@ZR_-S`BVyk^7lOrczpAIfJT*DVZBIV#8~& zX(*jo`T51(5jm$ou3qic!Xr(`pAZ-Abh2GjqTM*Va?@JFok}9kIZw%)yBAmTw!~EC zQko8MXpiX~33zIqZJfNM@(4CQR@XXw9q=4-=ej>D{*=G)Cl~4axVV<=tncKup^!XP zdURAo)3gIM1eDSFi%w2-IAq{nUPhz29XfVHmHAq{i?%Nl_u1UZ3Dr@sl>7pTj?>L_ zo+IwLncT`OMr*a3qJt5gO*JSz$a;T(IPGcpc1Gs<;vNzxKE{3fV1JHmH5wjVhILcLUuYJE z02+aT^U|pUcRp6{e8kxgiL=ZkiA%L2PKs2-WhD}V{m~MPfFub5+t7fASHDuiy?F(= z;UbAy2ba2fTo-o%4+mEL(EvB~G8YttfFQs^AOsAe$4w-t;$SLm;%dgkB`pjALH_g4 zq+nBAA6_H~&ICIDVX|i;`r`u(g@pYNnh-pR&kUE78@M3!x8@)aTnL!r?=;}MeW4^D zpg9^S`TqA`U>FQ}UIzXz4Fm#1Q9{4dz%Ud7j{2Pjw1WsCFlkUY467Yf=b+ zjDbN5!GYUj|C|W=Z><6$5P+ipN&JTfK|!!)Cxn3f&HQgWAsE&G!9qefk6%?OPMdW=q>VCeaM!@o%e7|Xfu`<(`8I#xRb9E7PKP$cTy z>i&@bzditg!nSQB7)vrBBm`@XK}gvDXb1lv?GT`U2Z;XDr-BezN(h(<>imAl-)Dyc zVJQ+61x8|u6$V`3hA9~s1cGIT1PG`V{qwv( z?GFs7`oC-P=aPUS5G?-ZCDFE~TSNsSVmLh?LfJOONTz}3E7z@^n5!j}9 zz9N`@0k~}NHw*hi{$Kj%|9WfDqfs_CG0w^3v4=`p9ML< zP%LKP+%b%fK>(h`GE*Rd!X7j*=HJZ^NWM@);J=jcUte&ZgJNnwObCjJl)x}JmbA_> z^KTLET$N!!M91zJz-_-t3k`&RKxcj@o-?DcKnENI!qi}ZR|t~^0<{14H3kL)&@f>e zzzgJfn7JSv1i^yg01Z?8=ge3b0ia;{4}gYgVE`{+JAX;xpIi_Q0(AX1(VUMO(74}e z05fpw$nP|u9URMl05r@r9^i#w`U*6_jGairK?qC@2AIKEkq~Hy~agx4yzymBwv_e`MlPHWr{#Mthhl75V6+@z!K1;ryjU0!14eg8cPR&1paRY`a9tO za!+jK1KM1Zh_xfEb*JPALC)fmeI%nE`P4S|_ruPuQ8Fyh5IFQ$}$$sw>67mPsu zV+itpMk;_AYk2@>Bv!r$&@gigfEUnUZE!EkctB`Yux7=KrGfEj`1>d#FS)BOW9%=!bs3lx-op9s(i6a#6UcMScvo{?1V zi%We!|qrJK++h# z3?u}FqOl5<2nc|3FggaxMp%gq@Qx&wr6K=ELJX8qu`)aq2=!MC`xkKmwG1$(9|34W zm?8vR9s;w90tS*~EKd#S?*E`+)E@!)pJ$esrVA7!0A>AM3IMtSa%W5$42+qj0hk1Y z2^RoN0>X^Y01X8Nw%nh?lYe3kQ24|u-vIsOmNN01EuK zZvBI}z;Gx~2*hd#z#J^vf2(JIRB8ZT0QY0I1H_8qI{?Ry=@ZTo7m&YTN&yZ-V9x}= zg#WnWzdbSF1h6_jkMEd+fn#O%U^pCrs{w@xA!UUS=an&_&Lj;&!DJD@bVZqN$^KtW448no=UrS)oLxPg&CH2_ Qrz2ocB6fBeHCdwn2f;+(p#T5? diff --git a/docs/MCUXpresso SDK Release Notes for LPCXpresso804.pdf b/docs/MCUXpresso SDK Release Notes for LPCXpresso804.pdf index f844f5aed7ba1e625fa74a0904848f7baea785f3..5a30804d164d2578175d6c4abf0d930d7e779856 100644 GIT binary patch delta 127659 zcmZU)1yGzp(=8m_CBfa@-95owgS)#0=OH)=zBmLz2<`-Tm*DR1?r#5*_x?qKF@Du8bC+Eafutfw}s^ed`uRBNB-!}~aq z;6oFG4Zm@=8K|Uq$XZ`Iwb+>$*y+!XeF*9BFq!1O3d7Pxq9q!_D6@54ERK3BySQ;IEhAY@lqeYT54hcPt+Mri(`gw4Xe3x7=d7@QlV3cdssqtN=GCoMX zigvwO8%7=pHu`Jk9NmwlC1U!>y9rLr=27b(c#G9CCL$Rdc}-c*Z^^?WA!#dMw&21mT=wiEi-m&fw2?ik(ZF z-C9Yarg;Ip0x%s(jzz9P;>U;NOWg>=jXmK97Hp}wX#**qwR}U;qwrqO-xTJKG&bIm zYkoRqCpN>ne4t6~>8>6wAbW3|WlGuleu5VP(FOR6M%rrotW1Q>qhE-BWpN;B?j3wZxym@Jir_lxngdd@T6mTaJ%p%C6GTQ|0@!PU-lUzxPp?_Yo4o z4)!PSZ{B;9T!P+$PD74azoJGh&hi`oT4qIODPD}@d;59vQsEyQoDXYEFMLt__^Eg> zRe2fMn9a%9am!T{kSNj_RgtrGy%vnf&>F$OsVWvtry0dMdtc$E5u;&X@9lGRJgnph zB-PC>&-9#e(;C>ZaJ<)8^b6qg%V#~7ceRD{Gl6w(W7&}q{?>;btcH05XMmn*C*}U~ zVa!&R=kNCu5$dRWk&^ewZ9h1_f6vA5=>Am?_#W65y*dg^70O@UPiqWXUn}fZSp)IQ zGm&9sGV#)VvlP8`dNB*@lbAn{?R;38xfOnVwjG!0*Y1KS%Y?IIws=%{ zd8zR9Ydfw|qkAw{yE}LE`AR=&{(|bobA!)AZJ-=K zlEz;DxPi53%A}6zH4KttP`}{UmgAe21>zZbvfwTw}f9|zk~SW9vE3LL85X#+0m zW=RsPe_IE32#-QXC4`(_xtoMx^QQldm};83L@i^npTGsH{N-&;;ou0AkM#qHL24={ zb?DktSS&$-rV%qGll;j{yX%o+T#4hFzpfO`c?-S~$E8PGl(X9smc>N}u=GX^(08nr zU9FiY3Qx<_(jvg59-N<=2x8@p6#~;`8tG61w*BMh%Ah}jkQ)pu{3iJrb)oN4Iys|q zc_PE*Ehz&>cI`4s_wMX^<%49=xB26+mDN&U3FkO|C34NHkK$9&WZ5w`b>LCs4j?Ng zvuFK`M;PaCXh4Qwb4wB!^G!8Rw73p@7@>MEh8cF|>*>Zb^ijBIC|^1HHx2Oq{hqUv zzdRz`d^oK#GDo=Y{63omgZzr|H=}%d%RX0|-q-|`pR780Uew=Y_&R-k3Ltfqse`Hu zHdF5URM+~AOB4li*^&`HjzD{KQd#EzoRqIl|4uk(LbPcFOhmaJVkX zUR{sFHP;a`PW9z|)q46i9Mhn=G3wXKVM-zx%R;9z5&1EbcXV?r7^VCv5y5p!^GbaN&Br&2`(2P7OF+{_)oA-=aEpfY?h&=Q^ih@LQYi%?xIxBLI3OA;jCd-e|AOz-Z%c#& zl~D=(H-b&W1>&XV2cgsAfih|S!*);$g0UhN?EiOojp5@=9PIQ9c2PS7G9FS=Scv4Ex?j z`ix4TR0ct?#f@j;0+BNcfSj0=KqZWVV2caFV1COB3*urD01Ls7V<6ohnDJB;pjM{0 zOm6`y7S?~ulVSd!NCgWwXp$McKvrB3AM1ZMq{kuz#+0BVLW+N*9a-PD|Mq+be%Ib( zK=SZ{AMvPx7X88Kt^T;?TdgTHMxMZP*kFjpT{0ubK-jsUpOc6TL>AC{zgG?a7_p`% zx>YgSNF9hNXqfYBXLfjgu20u}+WZc<-kplvl}ffvEv&rk&a%AXmOs{YJDwUiJKk?6 zaxqiE`Cr<0>MkBHy`Zq1EtWU^@Oj)mH*MR%X%+DY9u^k?;QkS(u7oV4ZWXwn%sO8& zJY!yY+D)Fcf4SR6yF1+)C=T*Rk-MJed#wa1)jlDOw)ywYCoi_}H}mY&_ZhbNKHd)k zFV$uBC&#<5e*XL`OdW|cIya6YuMao*tLsUquk5X@4adoWjvWnL>qzD0@7pddo9p~z z%H4rx&AM43|J(B>k+$b2Lqq?k`yYnFGgKOY%>epke7YkSuoMrTa}PXc9+ja(Uy@+W z!of;ST8wc7L>Bw(-OgYq+KHYg=T8Y!KF)Bt9J29%Xl@ikL#eI{KhDfY2t$#LLz>!} zc`HKka?_sUs4GWeND}Xh)8kzq;9;y30Q~aV2yFL-al6j^!gTy}s`^OfGf$Z!h+s_j zizK{6B(>M12@LmfOJhcMr22m86R!?dpe;VGM5*Y;JYLT(vZei4<=^RAAA5?YAVeL$ znr(Bt638M6TKjd`HiA`|YtAH=>V~E!oSVNYd^xnbdL+2%KL_`^y)u?1(rjo3jBjWj zu8;TBaWPGodWMtK8u5r^toZAH$vPDzMxDI8<RT9GrANZAai$ec>LQ6;geiGfcAeB%k42p)Y&UDSKeNv}&7(TQ48$HO(0K1Ru^w;*? zd^1y_5>+ML4qn+U6reyNeI;t7rT<@OdyId^MT)o^-#$`GVh_Z(qEtYtb4N{E414P*ydylv=k<;^Lf}(KB1);qC{!5+43`yvwg`@ zJ_tJTJ?T%EmLabUB;_*?0K?MhJ4UM$=z68}`05>Grz|<|F=|ng3ss#RyOMj7g}{&$ zxsn~U+LzpmbBj_w)1`OfW3WidyeG)FK{w76OQXJxGEG3>V}z2{B{9P>KF364P~OpT+|@XO6} z59|kmDetIBg?`6X8zA82@o{;>-;VpP*pZZLI*m#Yd)(|5uymK<*I+wOxbSU*vM5Jlps=obtK`b*#uKtgy`8 z8YZ3*tg?fl1gi?sWx(kwG}cW@%iQr^a_+&RIYebugF|2D?s)mKf-yv0+IkW$55y(3 z&kLpicJ^n=1a%53QJIhNXTnw|P}*2SKTD}gJv8f2dA)o5aAL7yDVGduVsw$>C?|D( ziJ(Zw=kVCJU>ugQ5VmL#Fn#tM=UWHHgjtddu9WkRC;{Q`B^6C0uKhO8-eDytryemS zH&;Ex8p8zfwU-qR)m9c|0-fu)0O3hP^c~sZNyC@(ge+Y zI`0>sw;;u0*7%Z5xF8jqL@wl2s53dN-0a*;BS^xMJikgtrFh1NuL98ojT-^BAwQ$3fWtLI>(z!jGc>#DPikGc5 zOXWW2WR!1}=58E@QWm2<>L z-mhN2&3+JfCy?yG;3*p6)5%L0IQ?q(%cE3(qo99~bw~cA04HKPd0FVVW0iH0NBOBe zwG5eWliK1#cTozZf*><$swwlj?H&#~GUg_dAxfMM%G;#O_BfoKIMD~9u(`UX`>m6* zx<4{fznx8=-S@61Q}lV`b+@JT9*@r5gJgdn-ITMXJ6*Na`B~E(sW=4A+In&IVaI(o z;&LUibe*Gw1$c(nHU|i8_uaQ1>{?b}%0_pbeqe0aOwuduRFFlMjHf9n?tGSZ?3}T@ zyk@rX;|@D*AxNQ%`NLOB9UN=XxoI}+xu(#+P+@o~J`*bRMe}2<#piC;uv_TZ8fk?A zFxS0M5eEKroZHV=fZXA5i0aV6Ih~QJ2D*yJhnf|NyMJFH(%_3+i}XeT(hAT zME0pyg4a%;$$&V)*elV%4~>M&>qYr4bu!6IkU%$B~t`p3`hJA-fY zu+AQ`&)uk}DMt?fTY3SW)N_q4;unsUl%A8IXWPECjwMyxo3kvHK8p?3H{u{==&aTl zKzqe=A2NCEc&TBr|KiYH5%%hj87uv7pcy3Fzk#2JuF8#9u`h0pj{4^bksQp%#z&%Y zZ=9`lt0bBMZStV#jV=R0QUuwD9r&P?)|I(L61|dl4JfiF!#9Ex54=#Mcx%sNW<{8}ifTZV+}^gaDBt@BW%+>~ zo3p91mp8M5wa_+`u?M%rW{V#JIUuj)sK%-ekw$jR#0+5%M|OTd`(YIeq}S!*u*_Y< zSi;L9UU_R6I&srLJ+Lc#aGxUY74t67XFyw>hz>pP;04CN5|)1h!1^r_Lzw-MnIF%- zSUCdepr;|Hh=ys-ceDee_eE9h6=9dNV*FjLpseyhw@~nxd&%l@Pyz8zzCAUZLj!)T zk>hwbKOTL1YB`U<$V3-K0Gi{J#rFFryBpL_>Tz5rsioM*pRE20#@>+cdjh`_Bf!Q|Eyvgo0;D}Gb@ zd;ffWTLS9oAL1GlbZ!eFyK8MX-3gA=Q;xka&-S(SXo%JgV~I zUob8Pf$=eMDyC=_kx{Ftb<= z;(hAhz0)_y#RE={8-9}XWqPezQa5Tr`Ys-PBx0oR79i%69)#Xm<-{3A&##U4+WZ$na053@!4$y`%vm|=@sF)Ma^CW zeD;!<;wZ`rQN80hq{*IQnm>7Iy;VL~oKvYeKCE0EP^z%XA9Nb1{Zx6d(W_TdZ3tUs zDP3fZpmI`7{lR}pOT$A0#hn|jWVgx@N8$$ux`WMZuflY9UX%}g+5H!)sd>WIJ_Q}w zzoPYpL0x@N5S~bCp8qilBRLV{ zhej@ixI&(Q#yfM9t43#qk40#5Fozlt2@&IRms&8&)RJw+jOn<~X_a>3R{%k86~ee9 z?qH~2BRGow99n5-r03E1nVakZ#dN+x$s^JnBWIO02_^FI1b6U1+V?|XRm7Xh0{Rjx zFmW3SVZZ&EjFcqjEP;_@c~CGHB5naasV3FKjLELzt(g1}3Wj}hei9hDyRqenAw%#x z)GS4kf&)Nt^8^nkRLY9s0YMtAP?NU??NxfV+o`Y&vJT*OVrnvWd@Ry^;Df!Xat^=x>E(geX|Z+Dy~Y|OGI(r+iRjmu z!w^0WpSke)e0$uDf6U5|1kvXO*)>V5StcSqo-;z7hUG=lcGl zyv=KG=hV}xyi;#qiS(vjFvW@IbB|6X!AuV`>A=(_C(x_R*J15R!flE@qH~vrKduIL zej7OL*eTbnD0LXw7<1+e8PLP#Gv}7N1G2EUB5O4_zb%~BHtiQKe_1(cA!*m#P;dOAXA|_1fT8*@jol2oE zdcQ`NmNVZc!6ns1N>atQ0D~!7Ce#72%&Uoc&JMo`oT9%#P{m#*ZO$^cOYK2_BW>)4 z3jT6TcpT^%zH5aO3#nHp*!D$C;L7zk5-n9T(w-Ggw}jYRx)1ydFvnwKam+t6&zOJZ z=#Qa^j>}(?<$yg@BBK4k4Ky+$a26wv<3L~OeJh-52|2080J95yc>pXYwgJoUV<*R% zt->;+-5@kV2GOV97WC`i4%`!vR*ol1keKVNQ=({K&V=VumRHaGZNK=8q2W>8i?RC% zcOLrs{w;pSYsq!e9(SVOkc8V`6jt$uUZ(KU_k#Xms-`(czca)#i2tjg=C=)<5(=0XV-T3Ur%|7At|l%*VVL;RxDFNIO@Nnd2al(rTD z!unSYZw_fo=Q9F~wb6fFJQ!=yH&@~OH$*bkWpnTs?Mb6Kt)GYB;XkWc$w-OT{TfQF z!AF{Z>p$}n8Nm5YC*^&t;u#y}In@LBHg%w5OfShQ! zaATuEl2*GnmlRE#U;#%G{8Qh@=3Nbflia8MpE%c$8v1wTQ5iUjaxw}pZMv@S4zWLq z*v7iZLsyM+GU{F5wjM^VdPqzI$BTrvZ(O&P0SpR|a!*~suiW5zi5OlJ1uT~Pe4_ix z(S(}%f%?mHIGxc?R` z0z3ivJn5~5R=2#ZsuT49m2UR1mD=UE(C8wQS~vF!+)jv z=aRJ2sI8*c8^p(E~ppRj(DZv zfLE`bDdf8>YQ^DqOY+WJ&mZgeH-;+l&P0X?(;;4GBTPBSl_Whd`YhT35m!6dCl8K3 zi|{b}$~%*tAplv^a3~`+F6pzy$k5(3juujB1czxRA4d=tE{CN>1+?kTP^3XnrTP8G z47{$PRJ^mc?e7VRDFoh#P~F2rt|AIOt$ok7_XU)hHO@jUWmNh?{S@U zMLE6aZ1rM&bkstf#K~E>3VAubLo&@`w!I~ZQq4bTVR~Q<|CLP*(t$*U=H_UFMCDud z_?iWn{jrj1=7KP*(%vlBFdN`sZrd89iEQ0sePy(Dov2S?qoM?@avt`2$haW4J>v6LSkG9(9`Qc9DS$4Y zyF;#fgRuWB-YY`1I`K?)hl<_20jI$RXN}`XqpS@kpQV@Kv)tMxz-Q#c!hPKlbqaG> zs(qctt!pI2xrasHuQ0DmPlY|Ce&6)Y( z%GzR}^gpm>LgVKjcEv^s+mulH#E)wyWe>pnqQlf@GHVN55A0uPD(?gl0E!u-B1-A2 zEr|-1u2UI}PPICdgWZo;2CF5j3eEl|;@21$MQv%y5SoAkZ)9&Qg9o7`M$wWJF3RI| zbe9!mA^~cSvEd1CB(*%kETLqVf6FFo8tHau*Ijy4!*s6p`+UD4eZp4W-X%Dm7pI|P z`C4I{8~XFOr{(D%H#TPm14O;2EIFImr2@a4o`orjYQwr}5w8m2b!pa)p$ah$TZ6Po zTpV7lJ*xo}P8Uw8=!Y*AfL{&ZUaX?%Pq8P{k?VeL%zD-RpfSPE|4FHeMKC0FRFuhZ zxJfz?`*Yx{gVWX2#q<%Zj8fB2#xj(oOr6%WgP;4>Uvu4^-Ot`Qb?#r87BMlgaB51W z2Fot#GNo8OQqlxkV5Gnp#B8D=m>aBzYbONMcyon_%}#E}GQbF4&*_Gj5HG;{EWq5s z`?KCm9ZYX=|IO5C{_EzkdsNs{yrt|u0fq|)M#o@OiM;RzF4o=v8`{Pjm@qnd1E>dY zU}NncK)%S->0FrUSW%PvJm7!mB*tWH?|*hX^`dIv^hupw{2-RTV| z!$!OTbJO!etP(Ob{4AXgoyoN~&_tcA)BXS-`6L&l&u|U5QxM3uMBe{MutL#aFIFtH z`f$>2D<0g}dw2*-*L6Rf9J-ER#qTAYOeOEM%jR2b%kJJOI@+9>kO3{=;IDZ zMf~RoTYyREH}V}YmU-1RE$A@@E?a~5G|X}!MIP~51*ah_?k>JQ_9L|Vt_iyYvXIor zOx^!Cu*I#qJBY!@Ax|@dtNLxSX7DP=coj8@C$46WYvl+GMp3rRA3DsK8hum!S&tUU zE~u-poBGp#fI)*_v%E-3$tN78lt*9b=I2+LXdLk8shPa9 zkhQJx@^j0m(Q-LKElQK}V5VWgzVk=rT?UtHJA$QarMOXl-?2=Zv+~(Y2tadFl$*+~ z)H{|}j5=@V9y+=Hyqj5ZLZ^Y{o#rO)NS<$nHrfZvlcgffzO<& z8#;>~rI&d0Y&9b^1>Fh!EG^{f(DDg3yIoiiw7DaeNk%q5Tovo4^M}1-S@RIEj5Lby z&75aY7P1DrNZ`*B?r%m57pXeF0L0f5fu*6t=xxV+*P^o zqJ>{Z#(slGV23Vc_}hz@q;HlFcg7|@MAkl3BUB=G#e=Oju8SXJ?VGHf`%qldh69|N zx|2Rq`Wt-z@{a)fjpB5Sis7Qz#G**C#cv*kuD#@-JdT-MV5B^zox524O*bemh=mu# zi>G>!;ViB37@)d4YiX43PR8@^73>r2Y@Z+>SqEQdc>2StiN4D9W~~`~$f=Sj-Mz)w zB|Q4M#DFMwQmPDzq$aT91#3^!UfVnID(PRdrSMp17=}WI-KMta=gVn~HrwBTsg_3?(+rB16hftr?YVY9;YVH-XqD_N&LOS=uftY{Fgo{gQ* zeu<(D0_NuJgKOCdTk(%f6_s$iNo*rpVz9!NoeUHnByZIHXroN3H zL*JgKHqW*sd>l8Q&bMxrZeP^5oa6UTCgJB#cOe8bC51EZ%0jNZSyn8Sym0kW0AXJP z0~lt3mPRGWM<1!WUEf3le&IyK#}4wCXp*+5JPM7Yu=D4>^Rz|&CIapuf(OSI=*Y)H zY;jMYG)_ZTnjV(-;dngYLtW+Wwi^cISxcbS-J6xN>an)V+e)V6 zJ|?~6h}3am9=<4vk;t(r*YzSN_qr?ASSJFM;@8^u*+ zugW9m$kT|;gLULqFa7Lsu}8qmH4*V7vt4EMx9*V#1|`=i4Mpajo~(47;;sGZ7O&ge z%*Wx!ontBIBghFp@%CHAVV z2c@u~CQYPSCN~PA^s@3BX`UCP3U_Z$4NuqOxm#miS_M|2xcm$SiPu+#7xsu(dmp>b zg-_QI6wh!+AyY1uOB>!jI z2M^Cb{r~O*W#a~|TTnx=fvD8zLD_25ki2Xl4>dZ_g&NJ9I{=OznA3oH0(E)_c95ic z4G38i`CkhGy@ohQnFt4h7gS06pU#JR4Z*hvHt*Xi-*zV`NXjB_?qKO=Mas(!ZZ4n& zL-qHz`Ns-=64(nq<1Da^DP6hn#Q*EWb$spl~r)=*J z)=i>ik;}Y)9X`LLO@hK{t49CZt0Y)1FR0kI$#sn>f;f7=-5l9B;rnuawM~Ksuo%*} z{yqm?SAl+WUvk3XJvFn)%y6UpAXyqv4{WFO-6<#J4Hx?om9L^fIaSh}}D{h%CAIYh|l}U>)7}l)pW=zv?Tl;OqwH>({Oj zb4nO1w0E^5+ltaz=W9z+RI}@+19p(I)wx`vG7*}2pxpI7Y};WY5PZp$L$71l@wJOS zVzrLpUdO$1iFQ9sN~$r@7H4{Y1*(L?c`|ZGd)Pl_ zyS-zYzIX8KQlDS?5t-$5OHF()f*Q>gf2d;+voc6AK&9F6`fh5*>ui9L4jBEEaAZ&* z$eDuvN)wt&n3MV5+$BH}kD5YFUd_R+Tvl3y;=}ZXZnk?t#n^|qto}$lCXEcY1f5@` z79fOgRJe$oL;-%Aiyf3GX+3zu2}4T1A_l2aI^DJ9bqtbj==xoBrYsSM_KD%UGta+1 zx33YVWt%rwJa$YOjy<8=d;(?(U8%mTOJ(~gTS_t{utBm|ZVh94Kv(On$Hc?^v_JEd z)CyyNho4QD{{lXJ&lqU$rK=U7;NNG@TBA@p7y2Y6i^FiPS9oyq$Fp7?B|U~sq{Zb) zD98kt_T79?2}Jc-7c`LDQwb*z(Gf#&m2vS8zl~&#P`yItb3uSahy&Ptqm$N^vijR{ z)@14r*ULNQzKoq7zrE^X zAxNPy5d4)}R5b@ZoA`yaL8{;dY~R=HiuJHT^2Ny^bUD-3@GjbZMV`wkYwr3LZn_1P z@|I6>^HAc4A4ECypn{Ar%!;o9_=LH_Kk|K>3u$5e$SEbmkym51#M^2sFBrv;TE7G7 z2h%cRZg$(VV8_1!VEuHi6ORyg7HUD%D8DBZvHMZDZCu4%%~Y#Kg`j{8buRQhBM^zu z`vZ;MB}K+Y3&*!>YyFyi9cDF^%x;NuNx{R7V}_}}6ftRX!Tjhq2oQY38G~HnLWGM3 z-}$dH?^UHCcuLu_`_}NFhClTydp$DC&4~}-^U82=cDt5d17Gg+>5D!Md=okhv!qN& zeVi!!QRjv)%=*&hTC9{mw}RCmUjDPMOQ=%J$^H^}kK+(A zG-Cw9w>c#iKtU~@v4BV`rm!n^=bztyb#G)Zp^)P-OsUnOHHOG&M68%up?giDtxNRA zQyDJ<0QWHh$oXCon%@G(`{ zHr#HsCC59ZX1z_<5qeg`zK{JiX}@J=h=5SK-y3CefO(^KmjCPMzGcY>3Ze=76-Pt2 zKvqkQ!)}TodsZQa#F3W>L;=;V;?{$4A6X5K7>buhZkBPXI-SU;`B2YCxN;DEE_Ie( z+7G$xgepqycY=%w(GIQl%f{t_MUh$W<|l?Ugpw6P5SAKJdM7|yRAy4t0i|+8cX>x7 zCmIJtKrU&Ce74(paU!s3ozC0=dNQ5vYj3kprfxh#Z#qz~(;_)gjdo*VG#MOE^d%IT z9ZAIs4VJ>>S`J#LoQ3~zsL%tw14ZeAESGja?WT|6NB3|rs-3_XJp!Y0frX7<%y{F4 zS3EO(PjCp~g}I>$ z;=*cksZ}f*G&s`v(@*?UD~qRPx~2BBn91);#y)7Bggd(u(dy_p7o|W+u& zHZ#AJtPRv2nmw#9zF4~Xy`HLG($h96sT9u^9B}-YIeyi+{NTs!B1-M31+I?^;8M{j zJa*Hx>}vPn{!&I*SBx8!>Hc9IZ@91J2f7EDt6g-a|5UuHxKULbMI%+yaTp!e&ts_p zP##%w91I#97jO+qgRxcST)~1myR9X+!}(l&ep=;!y#g{|Jq78jZ4MB3n8Hs07g&x?B9nOvLWbA^8jD@roodgyC3+3f_$JX@n^Z6w- z7gCR08kcuv4oywJhkrfS_{>UDu>esaE#t5|w9*_NfxbgLSD)qw0wbpc8$k!r+8+x- z%e!(*3j8}EL>y4(_I2Io zR_B=~>vT!Ds5|CQ)t-aJe9apxxbH*84ZfurcURw!2I$pFo-UUjoSbeE5CW(%H>tJq z=biA&Vj2t0*2O=n*zQ-L@q?wSFaig3q^o3mjD7}!NQ5Z0`II^y)}n6aF$-nuapFUH z)RLIaO>vwjH!;3_F&EayYaEJqeb*Y1w2TC91e6(J|KPOjaAlL2`sgPdN4?vqL9&K` z&ycF_BRy-;knqgu19HuEMh9jWTxKeougDL0xdCDACNs4y{xrA8=p`+}56jf-mVZny zk87k`#||enY!&kL5YMC%1>~72_U9F_OOi$8sZ0TXRO4uoI%0FirG7?WeuF^!Kxao zp~P~k-x7nQx#V2!l>B5i6ta{?+7crw`W}fyj?+4XEQ#81KA;i914|8uT;h4l*xQ%I zD(;KVP@Q5EW*OSoI!yrgQIq&x^u*-AZ>dmFr2Rn781`I5I&yyNYwS8FGn7Z4UU@{X z^OXO06@9LB52?SgJqqdGuG+_GlTz&Xty+09?=ICYy{B18)4D0OYMoCc9=~koZLi#Q zEwzia0!tpvM>mESy58y>*RdW}P*#gjB=2tZi8{Cp zc*xwY_)!*QpPPO2_f^HY?H(Yj|NK>4j;RCbTih61apXM9&I@BZsFKNU)I~4tAu&U> z8dbSLq0qt`BtZf=)dC-LFd@BUDstc+i_;LSrkXMc1?RCPQ8(#Bvrt(xrgF6mAkSxm zuTe2HM`jhjQ7De@K-_0DZVT>)krzZqJ1YEq$mxbWS|^`(+E7NMz6#Ag_AOFBkM8J& z#wcBgxGGCLhO}^~!(<&?Pn5N$J=Xm2_gztYYX4^;3Dh6}d3+rOnN8Lfxgl=vOjx?M zF67p~Ir>A4h=yleHrdIUaCkhNi_RB0U`MI*9jnRES9~cub$^7X#w0mcA`iX+L#4-) z5o8t{J(jXt^DxVAaO|5+#TDKnaWdcevH2Yg^|maQ+qjf7b|e?iUdl1G6)#ti?qt=Z zA?MZ=Sg4DE0kP=-p&+xxo*o$(B)+|cK-N|MiX?KTp86=B!$L(-2x0?%%AXUM(A}tx zACwgwbVX(5@sl`@L{($8Q{wTqerWh2HM}ogbc?p*A+*;jpZN?q5_}FrlcRv4;iIQ4 zHz={ZBG%dwc-r{uhmqZ+qyc4@eS+6OI(C;LkaiQ@nCk1jg zLI}s=(vKWVTSSZxf20f-TZmNJdKb|X#)EET)G*1W!AZA09~<7465h-fD1HHy3kb`~RuNdAa{vuX1qn zvHq{%TdDl7L`UT1_*XUa{-?nH-^DlE|1Q4i{_oO8 zMRt@0E!Z=&pa-p4(15*Ku*Yus=D}Oifr2dG*wqXykfS9QXy#wM#F8EaMU4SMSHpnh zAJ|3IBGBQ-2_O ztNwk3XDrT4U|KRLX5>W}(LAZr7e z$ZeweC&4C5dImeQ9gT&o_MnV9B_+b|>G5QJ`-EjwC+smad9}eU0aH?O#V0>B`Lv70 zP&}Fa$#wmbG&zXQ7mw~5S9ra-b{*G0%=UrJmoqax`I4TH!RPhx?4qs-$WIPrHn(IO zDO&$ssmNRYbacZvu+TYnzfP4=(g>x-)P_>Tvk|fR3_`ofH#o4&o1{7 zpWos$eS&6=6Fu&F!CD$FAf^(?R7#hiYGn?~h$3QII|IH(iG{Sl_mo-DqFu%ip((KY zvf-6gs$KMn4Wpx%Vcm{&?vA;oNcD;-4fMW*7Kp-{i4j-Yf#2K7Bc=M{7BMt9qSL)R z-QkfByLaE%drb9_vlBKut2MoU$_Xce+NfC0)$pL_KBr_3E1Q3 z&^#dDDGZy`1FQUtPWm%z?}Oz>d|TP$nGzYM-FA||uz*$Ah13v9yk0!mLL2DVAcTpr zGfK+{XXlldeja58w8) zO@88>5Q=jg)viD1*UPCSUA<4)_#7(|M;){o(OizH46EVzTRVoe=qxziXuJcmzQJRU z+PVG9f;=tPA8_xnft`;bCwEm(c|#;)PTBq)uO)6f zx}c!XmRv{ALI=K4M)QfmEsZ9yzxbP3gx%sd0y<>`%PDE!=;Y}-{oLwFs0DoIEALU( z9X7=@13X!@3;Mni8{t!FTxgdex(qhfC2(tB(8VM}gL=cnHBBv#qMfV4q;Z7muu5i1 z?HlDa2^;C`*Ho))S&t69l)@MU@-6q&@=9s)I`azkLjVS@(%(hBb_FY`%-riAqFbCK z6OWG@m3!C zaO%f0_j4d6M0%+!?`M5gz}5#`6osF1yV_^+ru=f-H==bI0mR=#N7nC&+ZIJNqwE6` zsx`J94#@J^0~25ZC`l~-)#oFtrU0*HiihK6vffUxA(_?^UNNp zoTY8=q}(2EIkOvec0v_PGvUObM;ph#+TR&1l9jKy>P(468O=F1A4^ZIjx!1NY1zLq z#eF9Rg#RLu(-#tnLWRPX8yFt8^}5pOFdcQN6Po$9<}=El;!VXP&iw5cIwzGu{6X)H zJO30CXDYd>%U`vY(xok{?45p&X!=cF1eB{t#d3OzTzK8TbNgWj{OXOQ&eT+H?6W>= zPmHdLhPm7_an9mhk#egMiR*P8A7p)rZ`u<40gpuAv=BmLO3)$`c8j=|_cLXWiF8Uu zbC@#hqL#ZJJ-PexGJ&}=iZ}Iak8&m*-Ds#L$WC`9dCw?S32i`aqWM@n$kO5Cqm2r2 z6u;FSCug-gV&bg0$Ol7Jm^|*dkWuZA@6mY9*rQ`2fEtU+Tw#W%jk!;zoVfI7k<2w> zfO~0I1o;+_#DJ$pp+n(rSdG(pq5GMpP(IDw>+c2=JNC;ETE$Xp4dEJ+&W%A&mFB$} zF$|0Dd-_Gl@_{tfii9jv(u5BT6PY~dn@RY`Azyvt8HIxqf1@c>;1&nhjri>6_tKQ# zn+W$z9Fj)2lO{&`U;?>ZVw?z2Xx;C-0cjT?a}qB*^5Rl3?KWj^3+73h>c=4#)=Gga zzO~Fu!b~%SLcAd_J&%$Px2@2FyDDWsWrKerN8b_EWIWMXZ%EXx&b?Gy#>{xK zjj$t+nP-ZSh6KN3;KDQ*=A&8k^xiL*t^{Gt;E5PM$0xi?7w^fK*eOY?sLYr0RggX1 zX))Jn)THh5&mV%hyWcJP-GbH82?ST%4+_)@3wOD*<)6=-d!_x20A)7Qza>p$;*f}AOPDYF#AL~ zeesjc{CdMwk`UMTXK^0WGuUX_#B&GSTx?Xy{mlr+r(hQoouowws_pR!&+Nap+ksq6 zG=q=FCUzMKSpnGpA6e%dT-mp8`=n#rwr!go+qRt!cigdU+qP|W+_7!r<#*1#=ic|; zf3w!Ay>`{ETD8ZRV|+jJxpG1#0t+6`QRsMCGHRmom3onZF)^zW zIltJs@uiCsCAdDe-VZQ^+{XGc90K^0`AgZ14Sy;HCe{$GhNE64-m(u3ecNCM4Q+uK zh%c_=w0Z*!-jea8I!{Jg#=w9DOPb#?Ef4jHfF%)|UYMJ;&7$4hS|kDkxBJ&fh1u;6 z_gsO)x-WmIVaK7fthg}SlAUXNPa$6CZ>->|j}5p9dktSdO;mG&*=bIKc^N>P?ZAW3 zRHy$D952J7w1`Kw4)Sc#Io1)<(Sb43kyf)@kTIEham+H38?46>oS{ABTX%b9>G=>v zSNAx}p;yb?b1wSU>~qMly5H~wTgBUQ9zptR{s}_F#~)?Kn>3qxADELYjN6cVj>0dX zLjAOJwhR=4bx44MmpvpvByssVD#l!igQuMkU>d$rn;oAgKZ_F`koS1r;KKb{Mu?r4$-kU0^dJu{(e4noh5}O zadkJlh0hPoKD_$s(hAVB$s~H|RwcW2P~ACr(F}core*ZK`H%J@M`J>|;9N zb=nJDtm&Q+MgJLF!;(ineN$l!VWzm`xJ+K5BxLL|qHP5Ih#wHYwD}q$Z<>9wzq35v zx#_ZB!fbyos`tItEBaDS!+^As+0jZ{u2A!#zB5}+?@wZ`$;k00zsqFJfF}W;fe42< zPkx-FcS!yV_{wBdHi*kx+ba`GwkfTF(@Bqv&!tv|qFH>>4{UzewVG z8KV}x3pbB$1ejw&R_oF7bSnPnfOm2@2s?ngKwL(!yOJl}FLu;9zs^@5N%=bjwrP{H zrg0Sw^CJ*de0qppk{x1zBVg!!fMFT!g2<;(#(dA7;5bCc7rky71a@4OByeR%43@fM z-7Ge_f6!`WWk-dUWhFDF$Y;z(?dM&+?uS}f)disY9MCZO^sIN4rB3Aj6tYKSUlgk+K4JzxUx8Tqu3C|y+byFL@G35w%O!k`4< zVzTI=;}^XgB4g_qL;L85@cQ%LFBEi>UG-e!qWLq#?dT?R3f*J9!o0!=o?RvK!56dJ z&2*1c!px9-N6&|p>Mf4)0PhRjd^ua$5 zeJa<#ljHv}sGR>}P|5yZgZi(}{om>Ew?`C>AHHg4snszJvf$LfTX#hs)oki`I|ElY8~X->g3Mzr);) z+dlIvm4BZ*fOap!e>~t#lT2}ZE$H0H61ew#{(av&5MU@Y?cAH%()0Zk!+R^?29(2p zKAAF4$}bDvU>Lmm%d={{rjufU6sGpz76fB^1vC?!P`;VU zQP)b2IUnHeTgFw6z{n^=%iBoqRFGERJ?LFrbC&|>9n((+SBQ_dNMFm{F_W_-)rU)lw!^YK!XMXyV# z0l==-1*OYDY?`E)3u8cCkdn4`hga(UnLux9zVc4qSM@A+mW{Dy~2 zi1TO}8o)fsu27{jlIP0(8q|b-)+=J{&VK&oas?1!-=fooVVtNJ`18Xc6H_(9 zl&d)9taegWl$)Wk4%=~dz5AC&VnN)tWF|4&u|ZjBHA+`3maP?8rDWTukVA7?qfi#H z$>>G(Cq(um9@1_ek#6u>5H{Q(fUHq|?(7je-_@LnT%6tK<$H=floYf-Euyno;Gd*b z0^f$;%fnua+%Ui;!3B*^F=!`D`(m1B>Sa{qPW#8y&iOzui=i=_KlOUxp7aF1>gZ3c6FF>!+HL&=$9O7A5>RQ6LAMOauMu; zp*WJ~i2-w-Hpsm)2h=D9XA%F>1@k^s6-*b^`r*Q*R`dGchhHp;js|&ho$bXu73CXD znSp{|_^hUJx$6dS#j+~+_=S1N6q!Qgk;Hg~7XSOr=*EE$(%4_%J+jhRLUJ8Or-6=u5>Sz)K&j*10cu8QixY8?2xV0!zkfcZRch+Mhhhy1eB+ZGA za^v7S00>DspI>`6Yvc=DKjO~%m|urK#<5v6>$zJeT(J|&XfDM{m75X?(P{L0awARD z9dhAe+Nk5@!>mnI7~QnmUS3h_uwx&fLr${*&gJ}9=Y4Y}7BWkXh4J_%h=YNg44Z0Q z+e~T5kDj?zeRl-~Dof4JevF+Qp|8=hYA;y^CBdaGtKL>?t$}R)Rf>ODZZ(;_%zmuV z5Lx;!)j}~>qISJ)rYM5jc(Y9Me6!g-p-o0Hrp-9J*ff)jFnM(|)gCI>op>KW^!HT(N;xn=mCmMS54`$=Tg=mo04g9At$KgbE+uPyqj_o8Xl zGiD)}lNX}Q$b>AaUm%Wz({n&_> z_#f)NmO2xyy5MA`e^DG%VUBWoAbg$jTx5A3OOG2W#r!>#M_>F)7Xd^rC-5R^{^ENV zHi_Phd%l-#7Zc$=7h?klBFoUqzSN@GsYH7cq~Pd5j>JZlh$8EEzN_Z=(=3}7jUHtj z-1wGjpn3%O@WNFO#bv(%5Q*`_u2-tdO#H0Xt~Kk>Oi4dqA44LR*)Fm+J7+W0gEdN8 z2n66*Z$lhU1SPqmb~Q6s4fE&FqP$)@rg%;1UxiOtnSUVR1oj}-r9sV5MN3t!s_Kuw z)|>Lr@32}*yzXlEbu7V)GrVSQPI7eNk0ckWT>%kmgE@QAb=Up@#HK-Yr16L~7z<>$ zGx>Ch=h>Tz3Y0FY<^ILDV2IOVPFixIGjD$T1t+b*%~B{RQiB+-lsm<#;16PYMvNaJ zw2rT{7%+Uj2S3M6JLDnPp@y$ujIX+k{P|wqpIkycL?1qd4|(ZLUa;l=rYQDM=Bf#i zvQ;Ij>hDuA;u+kZxylO$1{>ODpPYQ|;r;lC+39DEG^4fbJXx?J&ZMNdpCCQJ31+ zw#phml<8T!^TSl!*}h9-QGDdbQ&p+pTJPanP|U4n`IF`c81q~2r~4@f+>(d9@N^Bf z$4;IamHrf9h=hmyKDjQ=8{+N{{`DqGj+Xb+4cx{L&pH@*Aer0BF`SNGuYzo8Lv)tg zL~5ole{c8)V&EXSoe}0xJuuEO5g(>b^Xs9G>F>39L9F>t20{va%VccVbl({(CYdiC z-cU-dTJs%p00qzGOpnCt9(uA3MAD&c_QFxzU6y2n-X9Mg33k8SX|~N$si0*MrzkJm z8F0hItf@??# zWG+}}a^2XQSdd>L~NYoJGx z#;J_?etPmY9JNN!^toVIav^mz>}F6LCo3UiO7w~xbc^xbHW5RMS=XC$|GlgHlY&*3 z8~CjNVABmxUH!-VV$0jH%>Y`CDLjyY(F*W;IlL4?Vp@v3OkQxQU*gGuKdfT&`Z!0M ze`f>LUTUe5z>x`D>sefGu_>;f^#`YM#|JlRs(W-AP!C)I%ckW=21r#{7eiX7UgHNa zQz3cy)W9h|3ISKx&(P8a2Q8x+QyO;#s4A&wz=*VyXt&^Kf35`<5?Tu!uyJ3mY~*wj zRLlu>P0(Lv<#Jb`snXjXK8=mgzwNAx22cIa2f4^vb#EFgAN;zkI@k}X2F#wysDtL$ z-hW2|nfcqKkU_P86cZB_wOSJ$0Ev0ASAtrquI663Z77!vsN{I6!Zera8$C!*AL%>Y zQmI>Wm$BWO(Te^ zy06$3fVJEo-J^HPq0t_*`{`$f{e-*_bo7u(o$=$-_f`7InV+ffw$R=_p$nm<2~#0t zQi}73%uGbOtQR%6gEATLEpmLZ8|o*U_|SG!@w{ADZ`N382-JCKX$ZlSykmZ>&*!fi z{zo7sr!|)J;LmubV-y;2U7ES9e=!nxe&YfjX!M=p#;RLF7j%;?3yY8{aY(U-Ol_ZK#?mp^c@cj*t z_g?fHUA0a2)g;81na3aNrPu81s*q^wuf+;9?>pV0oR+|u);EZ1*fin4dhfS(IoYm$ zx(R+=c2O_Pm6;ww=}gz&&or~a!EW5R7$-^={H&7IU=9WoZ|3=+8{6Cbrtkk0%?1Xg zgX{O}!y!;Hk|`usD2{@&<8+K${s_R*#;%?6VN_bNI*+N|BOatSa}3R;j0&)B%PHR4 zOg`JPqnGj_RRFoXbIs&LlhfZs4-e;^8W>#(_x?T`=Po*~QYh~5XH#t++i_ko1*vzp zp-!EVSlP5?b7^BYE2jpsw+v=^GpC8C@lKt;XT_5^EMaNi-_-19^YhR$AR54|JgsJ6 zGtQf>$$FXO(RP0zn?}JLG2F$>kb=@mb@w`%?^rXro?%_Y6KhR|!?Bg<0pV0-GN7u> zT+=hvv^$`29DkA><(=>-M$E^gNYZv1#kI44f*)_A_X}f8vf}E;$e+W#F!=(MA2ltG z-UR#A#usk${`Q3^+(xrl{ks5=LM+csX|CeZ`4OJdbbBh1f-F^^pPK3l)-L5^8A2I{ z(q86|%Nt!~f&BjD_;OpgH~yrq5K@FvjW&yp(!`F)a30D8y5X;eAYt67g#+Tn!TT05 z${0%X!D!^Li43{U_cEA;$+l*TXt2;F*x-3n6_}_9>Sl-}bR0Ju^aI~4)yjy4KyTV+ z1RULy5g?NgvE>)i8zk>@Cp!_GeNoSXGCOlUWWBaywCwB}%;ZP8vhADx3bCzjR+2w+ z+TfG&K`_faU+06Q>S^uGL&TKK*G70o}3(<_C0 z&QkL!>;Q5FV$2&^@lD=+fiKJ5<%z8$r4yQR=vb@|q%}e4uXfFL;DH%?Ax5Tck5J z)w!umR$@sGKEeV$`QE14jZ+f&XPbuczZ?IotnB}3{B!-M@ej@QPu<{up&Pjp90vaj z-T43S()A_yUMjxfUG}V)9E+9b%_9M46J;YTm zzy5IUW`{0wGMy!pwslytpN;w#L#yw&Au=AG*WGr|b+2H4ni#7{=zZiOENOA%d`^UU zWm|Qc1pnDg#b+m$o_d;DhyuUb_bx`Ch(Qt{eq@|$bb(s)ih)(t>>)X1oKXfWTyYga z1wmM9s!0!9XwKMDE|>D5ATlHFP=GmliO^;dCYg%k`D!lZ=#rbvcTuj6&r5G;PNo!P zQjk%-ksydXwSu~f73H2ReSk0(=~G7?lFW+4van z5+6``_zS+=Sm0XdNk`&@xHQB`u3~T?+rwz}N7)amq{1To`Zy!{=)Xv1GVLubAkI!E z$l8BYjY2$q?&)yn@;Lm;6UhkqoXGH)!smp}K;4m5(fMKv7rD?ZO1Nl;A1+c| zfDi&SL3_y$Zb5t5fnt5-9_-N;)qpOrfk61+9&C6DIxv)P^w259IezK@28d>lfLhhA z2D*^Yf$-pev-=ss1=03)i5dOa&dXrYLB|Bo^^}6>CbQ~0)c`6B>$?{d8Q;czA^E~d>_GXyr@%BYp#1W$n1}Ch+tT_UHis`lmq5`Y@iUkOJq#C?G z>gl4bLc(O6A>f+Iq88k*?|Rg%$_6KvEmro%_NES((>C&UGPwO#2O+ZkC2By2klcU- zk!rIAW0?=)UgAeS+gFJc5}*GuXuh`psNP*P)PSqH5QV3sLUO4!FUjI48%HKcJ=pf# z6gy@fAB4k1Z^2AgFmJ$+%@uj@T~H=FAw`bMK!UlH8NeyKDpPilEX|V95kd=#Eabov z;v0p$1l0*gbpU_b+zYo)MtN^QWBKE!0&y`oNyU*;vj^B?En41_SW*(KAN#?*PNaw5 zP^thpV_yrBe~i! zKPkAr6rd*nQb>c=Sz@7k_THCK5jSrI`_WH~!q9P??9l6ghWSVs*CaI7lwfvbcc2k# z!x+p{CE^UUwV5Q$!)!f-pSerw7;e9iZc3>jLW(7{cp&oZ`z0d{N6^e{75KUgmaAFU z2`4{r&&ht_jQ1gZdDubGkb(4C*3G~T0jBfA0?>L0!C;z;>>T4G%JmsoAWBAF4q!O} zfaGWE(>!@_{H8Wd#_g_2KGAq-*Oq`{IfHZ!=#aoiID(d7pse#qZarFelqD!o@`I;P z!n4T2c`(j*DpSNY$cF=KBahQ^_vYFRbe|)8Hh#nMo2hYVrgsQoR-Zl7`Mi`R%->pX z0v5M3*^ebN`9;?C=zDB#oz_vO7ba{6CS(suZqWH~QeurJ8`?c&*2{LJ>r7{?D@8uI z*KlDf{T0U;NATTx7pZs$B3$fFl`>- zqnqw!weM{hO=SK-+OI`@+OkTtAq6gz>;&m~a_4=G9vKJkJbe{qZJPY*G&x4w*npc7 z01TjzfU_e}UZ|IbIxv2o$9tStCdHtPkIv5?t7LK>j)U+wv$ZOYGvm6|E0>}Y(+FMf ze)j(HHs%D{D2}|iZ&zEVrYGS^!2rKP$n|PR0Jx!|a;S?g< zElA^gizOxZ=Eg9 zr!LBmGGvMT*uM3)G9t>B8r=?>BnF&1_L+dM3W5DSdtyRn$l(?vVwp;w41#g{WfF@O zrE;US^r&;_EJ4GcX8tzNnn~dzn--E^>|^BwE2f}mpX>C_0Ytj*B6Rqi7;q42rFGQ* zW}RzFe_dC?-l9-1=Bp!IoRK0_k(txQ+Vy&uGY8S^a*YgeL_1CFYRoms>u3MwCad|T z3wS_)y#X23puU&!;%1g`iw!;%`<3Y6Skysz#J96HkK%nQZajqDL9^m<&&C1k`V}r^ zHK1@U?U6A~Z3SM0s6~ICE~r{Y@jO|}P|PoUxS77BD~-{aS3}Jes7_rffoSsih44%i zi1#l@HzwnMA~|-J|7blX#{V^z`5mVT4WWSjYnn4*nwsFB?&h~fV@cr3q)uqgr2HP< zjQn0fW&N|-`d)ocVgBnra~5@iQTabtgR^M9pYo5UWBV7O`H%L`n7vk{?l<*k1koLB z)LM()OE-v7+cABbdFQpc8+0L=h~OzDES;U2k4f2;;n9jOztnO&qA!8!>jjY zfthcX+gTwwz_^R=>*Ri6=45?qe);}ps|0vbUrwO-3xu36U~Pr!v^0@lTq$yjW^`nR zaNYk537iFMSU_$$W`STUO6qQ!0FW|2XH{>dmrQniHgOs>VB%Tc;dRFwR`zQvm+&Eh5*3-mmj+m&=`>rUh z$RcjKE?F7pG#bR=L)?I{2vv(}dMngi$q^uw}OYxHxEk5)w5NtE2MMVdb=% zyj>kCT5pt%cn}qd(IzMddh>d(TS9jS;rUC}5mEoNYjqo>*WDQ(U}g_)X%|w~!3_Tl zaH@5UNABZpm*gp~(Nn{@;9mKmx08@FM@-VX)Wp0{%g?l>>J0_ZCDZUmC$&1w+#Tpl zO)*H-yjE0y4%X{~NuS^^Inwq&;l5Bi!ad}nikCR+XE%hm(@Vwvr8ebe07_Qvqyz|;Vc!N28t5K5>7ugZHiU1@2L_KKj*@vN5gdsH znGPvjrRrcg_+9$fO(MC z?atkJY1lj6XgO90K^-0;On4#<)hdhV5V7cvyRXwtdi=%=@)t})_*MduV!8{eaidcL z0+#%qTVSMtlOv4bKw}Uxp=7K=QJKh`hU0l>I*v|`3mzQ2T5G<>NN<-Uyka5RG`4u) z3*)d+DW&{D`i)0Aqy8HncMy&mz>fkB%w^m)oQ7Z{Zpjc)H=*UKwwyxBQ+Fj&XBgii z6c%yDq8E=|@)VdrsGyahq&s`xyTiA}XSG-%pm!6|uack%>T=};8yjey7)y7_aY=NAlzJ)6_vnj5~b7)?!FWvCuRbTAXMKElrE$M@wLKXdQw?*>I>nKuM6SWJHt{ z!juD7Me-EGwi@c(jconRj0G!6w;_BzA*{qyiX(B3=?|etcSg&RGqW1KjX~VL^KRh&u9}O{Sgqw79OsrChhd>Dm@sIc}+pTem3FZ zrukq4KZ%=(Lv}@E(f7M8`f8!bNHoH4>;!KfH-G|Jd3RE0xtl}2fq_KdIf!Mrovxg& ziq$h_C@Mb?#7sDEyHT=KjqZ+97@hV=YgUhE*oQ1N#}HOU*qpr+P<_rAL)pKyp>B5a z{{F5*vD^gVh>4ax@8s7k6K@)|^}|+N>Igr|O_-SY#hMyR1%ugHkj(FTa0iv%yfhRa zc4nJ0E$QGz_k%K_GtbDcEI4JKHP!?}X5R|3+#!A&G~aMVbEn^vD)0_uF~y|`;jsn% z(QKTWgnmZ=dL_CYaEEwG3G<{ZyeILuuJjA(71z2+J&H!Sl{)MKUA@4H3rqW6demFo zD4>uy*h^{6VF=sqS+ZT1TeJRr;AJ!{JjbNMVmy_eC87OZ^FuL3anw)(kZrUN$sXNK z;-$*xT4djtV8t<%d=DH2edncUkQYJ0gT%ai;i~4wCe7ghDDZNeQ6{X%nj^zLjNmu0 z#EMWVx;jhpK$NH(7`e5sm_(f?UJH3SdG~a_MR|ERe3!x8)h0hA_x_`bm=UO|LgqX< zYL%uvVTEJn_XAW;S}9f- zU8EGHA-47NpTBg>Y`^N!mu&cXlXB zYOv>L*gbI6qV!?(?QM%2jx+WG>2XQO->mA83Jleb4URu-#6%HYzON0Mbv9wx%IYFQ z3i}5%5I?g_E9Mq%uV~O-#j6d zwMzqRpakZHApSN+BM~Q`lALBsFy9wT(EMZr)RnZi6sUXfhyT(Pr|BX=o;WtU@NjUR zO5-wpgZi*|lT;75>XK|>%Rw;;L?pk(_53Oy@74rFmLV8=>5j%%?%_c|sSB@p#$9ho z8v~a;2{8`UeN$79butfA1)njc{cS4@H`Zw^qRky(Y`v}opZ&zx4hZ{14OGf;1%HtP zM8}N8s?Ehf%w_ALzfeDgwLg$3h_r*B*k5zFT!cEO-LKDfK5}lJB@_ZFpWd+}Pal~w zRh-N8@&!ajt?}^H3vM2QR$e)G{8qa5486H&2~e#4WnIc`?kpu_CrbAT zm1~RFq>P<--%d}9 zPI-+iUYxCb{Z3UobJumO!@u-;It(c8N{Mt`co_Lz87+A!MfBd7*sU}7+8EJG@tjk) z;{{516PcXXB&yriq@A_qOoJax5I>lPxstF3>>(GqT#jx|SRLHbj~rZZd*F)z#^bv; z$|H%|4kngEqsU-gQL<)Z?YY!3JU3FyT_ej6Dpy&~k7fq5$R(~Kx2Zy|luVMmzTrZ2 zt#7c*V_^!J_c)pTauQIFkod&LbZ@NMy4*;K(lqEJ&RQ$0$2^ffxeR!H>v9w- z;I6$!%Np=MY0=1W;h6svmwBxMnra^wUrW)x2+U9psT9Ofn-}}@(7pBHf-a8}z}Trn zQ?lZ{e7G32&>y;-@}vvdK|%jy1zMFG&y}ts3G*lT+~b7X&m8c>h3+{z*xoWAn^Bxa zczugNtdBL@uCw&$w(ASNSs(fpk9ieN59bsDjK+}Jy`|l4-h(Snh5#7A3z#+TreZ0^ zWpO51BN{y$!=2CD5i;Y=7cic6(q=2wD}TKR2D?B&;_Z!~&f1#PYGNxV&deRE`RVK* z-D&Hb7V?+&)N<9m^Wk6A*kf?_cbPvu=O*blino_La{a_VRu`S06}N}mrP`97m_ zqJcIjD?|=n@F5SrzJNVJ)P0KoOa1>h=!BVznf<>DdH-np|3F#(t^SezUB3Gt>?#dw_qA-9!1o#Q1N~ulqBGwxw$G zA9hM?yOLBngaK}D%#4g*2aFLR%s|Edu;vPI{P(F^Nh-u*aVmVCp2N{meVHfK)$!l- zl#$C417&n7Q0-pxM;8BPEnw>5x`&)eG>4399v^vFDGw8f=rp7!@2oNvx+y0b@%wA6uCGTV@g^-l<587xWJ%c0{%-4L9yC5@ zWc_A!G{%LV6*WwwL_`TEEC7;iH;WQ@B3`-oMa_Oe2k7n1Xva5EH<}1B*<<% zkmghz<;wpac>M(^QVeF>XQDJ)pMMQnvu-jo<`^0T?)U?r5Ch^?!L2ue>SI4?0g88Uq_MNi z7}>xB(*7{tD7{}JvDDd>Cb}Vl_kKNBc=KN7TCcd9e!mNtH{HixzTe6Ny*qH7UC#lD z^spZ^?X}#$m8WoN0S{=N?cEutkEo_t?5f;NnY&#%VlD9^aBw`~Ph2k~CSTy++$H;L%88(g zGmmL@DdPhCztm(p-lq{2Gu`k{bYwXM>LBf0hB9F~Bf+c?otA`=H=C_duq4e80%l;8 z(TR04KC`NW-^@cPpJrwn_W5qpfh{~M_WWk<%y)iG=-jgS=zOOM+`5cwp>ho%mkdE( z-y2X*Xdlwy23s5>o2vzr%d4JP^0Gjp8M*{u#$FgF*-5Ph`=V6&>aizX#~K`Ki)McO zNFt^N|ItE7tAKOBLIP>MR+^|=VLkz~bLM>GY@N1(gLErAte}!!ZuYq$vv{s|2-cqu zgBt{>6~0%~oT@B1%o2Dp!;Vu9=BJ@j&L#iqT8!H^FN=%wQb0oqK@SfKHyrS3Am!T1 z4beO_fk9Lt8FEw*Obco(c7&8_NNmiX@!@g7vhmL2@YWC9Za!L;38q zB_HpC&s78ii|wuvhp$oeS$9#Av}f-J)~E#p)b_yv5o@4TQY2j>JqJ{CJ0N#UXP)9D z!E&Q8Y>Bky&AJ%=86k!$MCGHvAKFWC%>ro}>|6q4u+e;q)I5=zW_7e(4%6;{Cz3%z zk@z_Vy#bjj1Yg?2psW*776abpqjz2u8bJ%XYrC7rcmx$GzI-NC6?E~WgWRPF&-<(qzOp5CupSK!AzTOAID>M?hzfFMoGQYD z$3y|bYb92XBz^PY-anY23tD#v=exB(Yb*rPRf;Y}@Gbu#nzIDG!_tM0oO&NwGu{BK zsP+OoD(^4R|5j~+mA#8p#Mwn~SQrDa)0^$g-et@INu6;NIN-l>V^s3)gJZYc+o$q7q!T@}@!^x-nGP9i+f8URRcSQT1N-#*dGz@612xGP0U;YuzQ4%e z?p;wHHOY2E3-Wq)tK;W|jXhgrxuG7NQ6!lnW)Kg20Qbkw!X$JP26lE?f};#%itT)7 zqjICbq`OucL_=Nl!i|`O6_HUcot<#7an7#Zr1hQ;Jobu83>%ATgcfEjkY`TR>dizl zk+3wU1Lt063iaejufkf8&L|t8KJkgUeQfX4{_Bc^og9(DFahokG-8d2IMtN;u4)yZ zXmjpYm~LVq68~=z50aK6Kf$^PXOVi*{$sXJh?(M8*abjEZ-PEW`niTMj3i39McmA; zO6$X;lFbqnwYQ0mq$%)(o@`NaWVTWb?n^pk_T_#bN2l->+^l^$H)81l z!`S_?vgN!A5^~2vp@z5@dq{R50YW1}Va&1dm}cMR8<%Juz_!$hC&^q~r-chNL;4Ep z_=o@n;$w}8@Ds5C&_oBo(q9blqOX>qnJ$Ek|~s|-H&gu+>5wiL1tz~4RP_D zcblelypfU5?;RfXWN|7UGUy$4sSSB`C9+`DCAR?xsyZssYHkStj<(Oj-@EAJeC2jr z)5VwOD5fEtvSw2u7MSzED`^C$A|{~N@8pEFZ7>6_E@Lhe1)#I!TBk-3UWGMPLcXE# zNK*mhQzf#&n!9<)kmA7h!(h%m)-OJu>|VeIQ$wmVl_=mEJfA6a%TY^J^b6dPY8$6n{6Y zG`^H{mzNa9X-J5^tEJuw4YpXL7gH#Zw=D~@^oh7b&(7b0W%dvp5ux6Jw`lVjSidP) z0E9+WQhGh^s2_p49Sga@(xIj+cd<6f^CwkSEEU|FZEQ28Pwdfq{)tpuG@NLu zs9$Mdy_{u3g>^zw^9klA)(N{Ahq{@|+BJpbknWPdCt&Bm>f4FCKthALP0&7qgOD%$ z=Y)SUQ)`LuHpk-8at;#c}pN6pt`6e=H^sPPv9C`W#QPl*yZ{ct0I)%P#yH3ES} zWaZV@P9oOMge7IouX16ZJMnBz_j*{Id7L6f>a%JySNMhSvZQDm-3mQQ93fbSx35wf z^YB6CGl;AdvI&Y78E|=2)V=Y!eHg^@`7kj>-*P2$hUF}hXhX#&{tLro+EUN*U~_ZX29zmY!_dTpD9(anb^DpFf%c;S>eWOC;f*`c_0Pv&?%I zs#C9+e1&f`pQ$FCyPcL!SA{8Y7%{sLSC0etJgaQ>Tki~bW`s7bboJ`*wH(!A9OR=? z!=I#9zXO}nPs`LhMkq3v*T~j_(_+*j^>B9{09WR)OREG=sV~S5*fhzhvLN`CsJSI} z#Azo5yEE}fp-P>%UAoce{Rh6%lkBa`(QF$U^N+6dZH=4o0J%$xwh%R(ro>%E?85Aw zLHQe$B?CXPe))YVR_&G8SYNC@nko4!(;4bB+wD3Xue6u?leyj(y`dTFmfyko5{&XC zfH+?@X+H{SZ}r>Z#nxJ?G$Zt)UkD4W$ZqUOWhkL@+5_aUA-Sl%&SmY*MGJ*G7@7pU zrwB-ik0>aJvc&H}Zzw_(w*KwM`07QB&!yPj=$`YWpO85WzS?JdA%|}vPO(ojiIWdU zV_mx9)XsyQFmVE$fUjXv1cx!Szp`LDy=e_5AU{KUOStQsn0dO_ z!6sGerDTN~L1CZ9It%k$X}frTB!EYY@$f?4Dkc^jNKL+4myZ*hIHwrlnu2F?i_s1^ z-rSc5QvZGB6;(*JcOY*$q%RnW+Rf?eF=Hs8;2e{(3rw@DVUSgx*s0@nVHXJx1QaGF zDXBP2oD7~CdeQW$wWm*oP)WDh=pv_Y3d3JP7r4+le zyPoMNm+vg@vV2&}KYAhcWixc1PCm{@tUtn1%^=dx?{0PE?BQNz^|AKGgyILD;MpRQ zr>r}WyuK9651<557yJ2!)!$?_0*2DEgnF#`J#eFbdQ2DGGY)&}DT44Lcn24!mO=p8 zfp$U;dTt|u&UhohQsSC0QOjPUi%g4yFG^>#y(?2b>ZuCADTmWy?D*mfG0DaH(!>|E zP3zv@t0MTp)Hf6;4O}Ni4^Wl7Vmgf?GT_J#EcscLGkk>v-`2knM1Z@50&0H~NQ!&N z3IK(7LeyYDKzjzW40$8gKVtG?bwLo*M6*&&posEwb^#e_xum-cm-i zy8UVG1GlyrEjPJyDDOVRI)|gFoNj%(WZkAhNPCJ{XRW(6u9t3DcT!lb*+{?R>qz%; zqej-W-1M`8Z#LG3Xjs-j5kNhRf9&$C7WPLx`K8X1d=^;%*ZXAV(aF zL2^l4#_=bOI*h^mH`By?6a29pYs{#4qn_qMW`*d7bux@4R-k4S?sjgY$Sry-MlNLE z$RG}yg%-vLq;DX1xq~aL^xgm?SsC3!ZV3wsDll#x&gOa*n2YQP8o>R4z_ubY z%Zec&A3rM@_c?CRrQ_jvI4vTJO?@49ZyD(b_#`(&7A~j#^B=VTG};ZnG^|%L%vxWI z^5_apwC;SKTPrK<3j*kwsA}t2VU$87mYNfv=Gl~cxGeAw$@Gi)BIBzYFJo+iT9wuj z7a>;MG?}rXW^)-MQpv~Vo6w+wwWAy`-$R9}UiM(itOZLb(e)(oU@8CVkcrAlt*@_| znDlmC^47GRpl2`KV-r>#k+1WvHWig9!l6tsy0k363>TIgq?1Y;Z zM-_P=r~bjpy06G+>$kN9xy6rbLzpATS4}=F)*4-cGr@EHbHPT4(KF33S*dRkLPvz^ zlFGfLSR(1ipGxP2vUa-7yp{Ek?eSE>BKb1wRX>37Dz9Y|$TCag*<9%}%iZDM56E#W zE1}nPk@kv<-KF;XMtrF5yD!!ZT9^RcIkLIDKgX8ZP&0E+%!p)LlAGJc(0MWj zC(R*TwE4WLDG~vL+BkmtT&ag6VWFfFRBfrpg%V@~>F~f3XfTyi{Q9*ZyKHK@8zUg4 z?t#BEXqJ-VApVIO--3M`YMlito!d^VvR?4D`uV5S$=)y$`htN{a_C!W=KE>}IB1G0 zRU>LehN(<{0-iG(i&gF}a)vi&CBM|Nk9dthIB-6^d94+Llewsg272BETo=ZWGZ4z^ zV0q>x259@jBxN-tmL~^YY8QXdt_y&WbzxJW3@hUyTSBsx6e)VAwDI3R&lpHDe5f^MlE` z=*f-e0pylz^2(~>h%;C&bpW8@yfvkJFcQk0hL+oB*$~atZYu7c6aFBvM@q0j67x>5 zeL`>j$?wKm(J_IqttB5-%1T^y=F-4wP&n;fPVA6(A|-z^qe_E5goJAYnHTH^(%dUO zJ$9{yc=9SrJT%3cFIviXDE9kwkGMT0urgDf>LyOA5$x#rmV_puJUT$JTGD2oKsr#F z@1vXGL%hB^ONy3HR|@1TqUuZ<<^Lh;D}W+fmThr&cNm<(-QC?~aCdi^p<#f*wQ+ZM z_rcxW-Q8ud&$;KE_g>r|(GlI%JF6;lW$oD2omne`3ADF8LQEBY6q&4N|ESxYadO~iFEusN zV@|_RsM?daYlot@^c2w`Amd^A{l(%&9YEKc-YM^`hy4b%KK-XDxAH_nWNj1wQgHLT z^)G~3`T;*3+$o^v={*|x_|7Lj+QPY z*hP>))~=|#&UVb5SeB}yCzU3`(jfb^E*b*^co@h>d;vhcB-aLZb0IAq!{t$JlVBK9f2Ty6_%73Cz5z!ZTB z8a{*m<~`;*NrJ#N^cCG@YWJQO(%E5taKqu`Y5t|-gE7i3$!2W8mq zTK!>y&aZl%ml=muP(6AbI7R2*Ou1u){iW)7ChF~|ju*0eYcS+cAgq80N65ye-XEHJ zS_<7HCrnJ#1eGZuREc9bG}{tNYswYv=w=|QPzyxX9YXp`bGM{=ie{CN3IISAOT3nT zA*gUdPklAzpglf1X;vx(GQNQe#;+z5F;wHe03xOa7ga1pZkuH!#-febRv;fg^Gwe0)iKT&Mb)e48 zN6^7iR(c=IpgJfaBSB?=45FSvwDYs*)Vyq+-%u7ZY;nhWr{B!-uVtkGQW~VfB=9`+ z?a+mXX^0Q1ht+G-?qd^$cuQR_;|d!^VTwY`2}!IvnTn@NrZg*}!ZSb)r9A!j9M9cV zbCPGyvm?Io2{y&Z6dEn+3VpG5YK@OOaj7;Q?kHT8mm+CgY7Bzx`m4x}<9Ch>mD#i0 zHIkxN27lO#*&q@d=5fyN?%Bs$aKzCRK0cCUs0jaxX#C5Rmy{s@2F=d=SK9esWCI*5%>Tnc zz|8zta?{@w1kC?Qe*Zto?aWLZ{~HZK|2q!yNs1?IZ|~shLh{-5KiN_L$#MrW58%S7 zt4NbjTe`YBx&VFpIe=pWf050T1GoFd{-Tx#`;`BSZQgD`;;$w+Gc)_&F_OR7`AXC*b)%J;?$~Ik zUz9QKn`KU-sXC~iUZ4fyW^H7=o{xKv$(m04cTHw<6PfC9ng$H7b0UK)dlZJj@vg3g zkLGTCeiWeoHu*}$`bo= zetajrsbo0oU6uo?yCEkWogquKfns!3kpsg(SM&aTl0L6?g+_n6%hc#;@P4p^f5A@x zsBd)XcQH?`f{0+^dVJ{3C!`+-u)e=mRjd}TD%N|WM^6P68LRMH>SfCmy@T@sZbpdT z4l(S>mZx`SUM8DJxT{6UJ&JJ~gynhLc;L$rhUs}Kn;i>mA(cS2;6Ri!{UJawXH1_m zaJ9~$ujW`^^|w5P%Zsve;3GS8A@Ui|z&I(MYuy7zuIX7tYME_#**;PA?mFj`3eXuKroE$9BhyVI3UozH^yroV0ofb#S(I z`T^knc9|n((4xO7UEF?x?-H8?jry`rZ=k#4(^!|hc!y6kjXj_~79w`Gu~APxu9Cxt z072awv4as%7L4Ib2N=`BhQDcU(5Inw=D{(e%B^Nbt&X#%QBcNl6}h+rHY&4-u`aRY ze1WUa6L(lZN8}viVrr1eE?!;coPIS3{=~UJY@x=rtA?$gUeaP#g}1#b9wVTdn-RBZY_yn zoO5w<^(B{5Ko-p|clal;3SZHMVPufGZ`JMgJQB6E7%fD%GR2=>@(pfF`M{2ZaZ9eA!BOr_614+uM%}RL#q}2L%eub0xA&D zl@iOf5{mH==51GJ#-4|XU*R}2nK>IYc?3P`$gnHi7|2xFdtE}IrfE261!F1}?HeNc zj>AwnYb3IN&|`}Zf1Os>tqz$re$Z&pYsJ8OXUhFn2ZhcQGLGZ0v%E!?96UT|3oT_^ zC|0k1?mpNCfMHb?a~L3WG?D9I#3G{gAU=0Dhrw^xi;q4VdtZ{i5DzZJs(kFTr6=x- zagk(~v~(0P;dQ|yRNOf&823r`@|GOD*77>9lWu&m&BZG;4LK_(ZIDumm9o>A&M5D@ zL!!d?VSty@ck7}EWHRyW4|TL? zXO`N;;&Optj{UZ`auq46)9TPkR>ySKhrch?PK8dtg|R32wqj&5SBe(%WN5wx@hok% za9kTDt`&d`A8(*M>xFdIC-`RZ9CXj~)wH!?Hfgs(HIfGER3;aDY~2D18HM2Bk+$4Z z+KPP`AR>2eX3u-CZ2tvWK%TP*P+R``%5r1pBE;-Id2pS09)2FRoYq@-hHTB>U9Z`D zWRP4ixK~)fUys&^tT6jzLP)N+5-|s4UzrlP_J;~~TV~aENgt+Pg3r83ssM7cNe{JBrypHX3gAI5=L(*euWh47S;f+bohoUM^HY7!{k!iC{M4?p8TI z3tTu;?@Mn`N`2Afs2^+@tke(%P0F!Ob9()=K&S$1D`8ieccn$v8Z=3{{_KgKsR>>h zq!ee-{{EYGgV4_6_e{?91NAa5-^zUf2kwN6N%hO9nx9>Ba=s0!E9Oun=LH2m&HAD% zAj_e(YPmLVwVomGd3sb>2c|+M4Ls{YKSD<3YhZXk`baVX(-mmNfs9-*Eq0VSw2}g? zQwMmqgbavVmIIjO=mn3E(vB93s-Up7oaQ}OwJfi3o7x5Ly!C~VkHa2@_{mB8{&G@m z$0iD4lH@OBVb0aZ*iPQ@ns(7rx8F}$fOdFB1t)g;!%FIwVs8Y}BDn@BZenl!md;h3 z{>@%Hkw81{nO`a2xH=m+lXgh%zPAdhG4J!HYn}CEaWpXiH;MPJ%{k6L0D&By?D(tQ zgOT{^WIkEm?f`bSb&2gavMDzVTV3{yS#KB2=sI$%aSo~O=h%wlpw+ClECvQT02Y;< z^E3Ij1Vc@P-S=Z0tgKrf6Q}E{u7O4ghqct#!i?JZ6)#aeywV?LIV&C<^iJ}z zNHMF^x3krtv)Kkoe6~pG8Pg`?Vn&7phXhNI5=SvqyXpL`Q&}5_hZqhL>rwS>B1Mye zPQeUk53Q|MdepkWoG`H&zG6`@9l_Q z5|cO>2GF|?`R_tHRR8}j<^L!1{cGp(bBY|OIE78X@;C1i38R9Urz;6F%iooIJ~ug^ zXYzo0)8xRyDMHl$5mItCbN}4fmPYNW`6qugH2mYSN0EW$S;{SW`Z$zOX7j|f|Bcm&k#$^Thw3bN&VRpFaPAA6U9T2NYSr z`^&kG%Y=;oj{A=jSpV(wkM95C^^fjsK;}hy;NpVB=ek5*#sOpJ2GT5(19cbKKEJ?T zA_PV*!$EQUJ<-K732pofkK~# z?Jwc}rEBaWAt*O6YMBPg%GK74gc_*4O!(g*vHok!-v+b&dlLwgaB=_zSMh;u{jh%% zRWku+mZ`x$J^H##`QNay{_mn-X8YTf0s=|X2A~)~?UfmdfAl3`6qOcJHTzt*Y=6)C zzo}HrpT1RIr2=J7nt%kO2Ij2R0W8ug`2waC<(kd!oT(ibX^pr#QKCi@tCk(tIguoj zx~{DQukze)yi12H7Mc5Z`Z0a|wh^g*a4p zc)$J9Wp5?U@i?5hwOOS9_Of(=PTYG9@*NV6H2wv}7eIB?2@t=4 z;8%Qvs1)LVCKgf5JG_FsBk+dph*0cSpUeX<#(%?uoFc!2S&8V3Y$QV+4~|=%wta$8 zdbcAOam&-b!?{~EIbxdYKv)k%A{9hB5D?+aTWwz4E?tfBmznltgV&3^=t4()f-7p13Brh54#3eP-&ko=E z(K>zU$hf%K)bJb9Th31(?^o*9*!+>jk>(M#!d4cr3{tNcU8U!~g);^_Qsg$fL9si5 zcnbhb+j3!jgcGFT1m(^)xG3m|^bLLg+1rdY*=NNPp)21xSs}{8=Y;VcD-UyO6S-%7 zvtPPB`e+a4k?Vu(9Z;?{2cSFjLoE^76yFpT9E57OLZ}+t>~q-p9UHm_ccXWsd9nJ+ z`g&OqbhWT4WHY6ABzd&C6gjvfd%f?;y%Ev0>vJvo#3T@=7;|mP@J(D`KhcG7P4OA_ zDy6sT&;hj()+2=KkIoD7{fFYmZx^=~yCapZ;EJg~m)V!SX#heY$tj&HFXT5&c&%Qf zr#?r5$zIN`L(1>i@1VYTf&p#YG?Lc_*OE`HuZ-UlNuEN;MRxKr1Ym2UbY(l`iM~i~ zxx{}L5jX_XrAgYuYca2kY{S!T$XJEV>R2;)VgdwC3JWg6KEg-CDZ&e)>0>E`w%*C( z4p(LPv{$uWt^<7Me&>C>zpuZoxBMm{jxfUU&VgVTJ;m;^pon;U^K+WaTdYC)fjuz) z1oj>FZVc0t;-YI&SaBnactFt9?gQ2r)%!U5N!7AWIJbP9Oo}W;$h_we-xT(kgm?Hy zepivq$r9XA3~H%hmApSA(9U8_e%II>rn3?8~1x&+Cp5(;lQhG0tIi}Z-WcjBZ$uSB0DJKV-S!Y}~+@doD=pZ?|0 zY4)0p4;oNGo>$%$s{j6NiT(Ds5Y;pG_PQ^$N2kKvwfvr{vSb@Gsr=QLfiu}N`J>Jq z$0JeV)8N#{%~zx?;2w=VmSMNzGkl;1#vzfg;5N@yq$OiYM2xpaC;F8qlrPp(kFIX; zNAEt0q&WyyTRZ;3bUAUsw-(Rjh@1w>ta^-g+Wu78JlhULr7IEM>wG4+PO)_R`=65ZeKZ0%QnP%}_~UhcRgSC&{{k0W%3MY8 z_>R{ReIcy4yZXmL$VQo2X`<~3%?OU0RBjlNDr1kHC3sEH^?Od!s1wQ$gsiNtZpa?^ zV&Df^YJj%0$H6x(pB(b+AQiL$u5bYIr4P8ai1{IhqwF6|A;C59j+mbttx!*s=ii|L@E_c* z=$7xkTbylv_L-0)IrY&hNt=yEZ>>=cng$K#_oWH6iHtg}dn0nEX2)JG785vG)-79r z*Mr*u_DI6nB_#t+Yt!?PZT8_4BlQH#eY4OR&+`0cYCPEBpP;`dPo3K2ih8Hm)nh4? zx43^QkMH%xKWH`P@{ekgGqgK$@hi{;{tSYIJYUAakjK@g!nd)QHx{)i%s!Z5oR|B? zt_sdxgKHx(-fLqFM6Ws9mJZeWOcb*M&~|yq%hdPRQsMGtbo1icf)GUaLe>m-?*om$ ztr4ZAXVf}*9qv6yJGL>%XCx;#ixKJvw5lNrA!gf>)HK3FGjt)4_z&;4!o(q3s&S+z zvvK3g$mP-xIqw6p873xL>)mehrT8{D+9|`S7-V!)#6w}fS;VOeqc5A(?(~%d`iRhV zgg2qqQBO;c&)e$;#WWkK?)yXg;j!z@TY~KFa4X6AJXAOw->$c|zO1eB*SPs?FT*Ty zb2pPpAoKDz4c4|X*wORh7p*pOG(+pkjuh2x%6O}_t)}~y_v7AV8ekWJ;V}LU{bJ;ixx4E4kG>ullE=}sEtgMT!sSJPCgqggTzl=d5Jl>Bh&IkxsH2RqS+p#B_?SG>x& zt$Xxld@M>9iC@5B=sM=$ID>!vfAd^xm!{3hrCAg`t3dZ~{t6*~SK z(q^XarPd#ta8uPOGjuD+F2OQt09U>-IdW4sasR|NyQ<%`o4bN^U!iNG2gBL0T?-2; zD|!a>(CFU&L<4ZuuJ3r5u$?R}!`TA=Zr+*C-c$Z(=JA>CT2QmIr`*?>AY3N%9YVY1 zl;K9PhY?fKOiMj5z~u}(((!f6IXWn*rHCfjWph1kkjGfcrZ>n~=2BEkMH?(7`YKhu zTS9ls0yr}YW_kRSinbK8@g0c#=iS&AI(8z7l#jB~GjUVyN62{69g;BYjw=!F9inco zja+JoEaPw7QXJegk$<+r7eB1Aj8*2Y-r0y?A?JNS0u~woSdu=if=4=NESzI5aVoi0 z!H&TK;};2c7abNZ_92q+Vd$dgnt^;w9}n}nO02w9i3LAXsJmrv5|Y<$G|NWNRw%<< zgUPh$cxvzFOy>OUHVFxHG1;hO0```dj)kWzB^tr3%=epaxfD2ILb1bXyy9RX3JAUgA^2Mi_pxjb|RvhXP1$|{6wCuiB+IeLC+s#lj~U2c$P?e z-Wp52)wh*{l*H1$f?mL9x@E<=q^JX}m?u>9)_j&*^#iY7Ae^yC=fQ0J5-$>M;Z|5i z2l-rgiktX~Ig>sYd7=p%iEx_39olj@pqwHZwn#i1fKFFXkC7*bv}C%o!@xo|{E&Rk z%cvlykHCI}9B5C5puyMJ9JwH)l$#UsVL-e6Q${VOI?W^Xa9NTIU>fUS;l4c8yAK|$ zdW7s6e}jsZ=I3EVjz3u$VXE0g0bNR$f4K%*&mV>M#N~di_QwXghLyd|Y+A&UbSNzx ziz0gqU`!p-pl@|gf;pF==AvDX!jRXI4p4b0-HXuyQ|#)>-IBO-tJN{@Us*Li?-IQ# zm|Bk2((wCXOH-^E8Wis^R=Z(=#ROQQKT8K+B;~PY96?V&rdSWQ%oZHuubwqSU+ zNxkQwIBUOt-LEIro$SP1W*@GMP!rJV>C~jE7-4CnRVA&hJNt_E0yY&p7T2Z4C2c?i zn9Q8q14F<#=gWB|J=(x9R1efgRAb|0N;Xx~dkfWn@_K0&*o+GUE15HFf#Mt`Hq?Ol<)wtSH0)U6>(XY2(-Az(H(ciq`LIav1&fJMTCdfwg7?WU% z$angBW;eW`W=a2TH1^n&=L?s=l$I8Jg2?Mi+s|R#gX@TA(9#TF=Q*i4e^#}RUTQ6T z0X}E7v?AsUe8peenH@gcV4kW*jgBZ*rVMR1%$huf(i#KmrI9<9Zl&J1O@PQ3GAcW@ z&7BPu?iwl&9!xd_mMWQM;3Y=pzF;C`!eHcU8lSSa^Pox???zYuQ6bgi1yRSayzFCF zP5S1ot3lsGhtHa?_Pf2cF-+z+ec#UVK#{}S`R=ViuUu*xL+Dbl!CPkGdpIzHVP@$& znYiLoDH1-)I7+f_`yo*L3joo9f^;&-cG)4gjvi)zY=%Uu6b_XhW~=b{1TLI(J0G^( zQ+}@bj0J*JbI?+IkQkO3Z91qQXsq%q$oG2_uDlsuew{2J2Ck=nKuA=!J}WWdfSBFg zX8!W$&oBj~0IQEjUDNpx1?!e=?F)C;*5m*eg)8ShA^E!!w%E1GdQjz(7wZWw#EwC{GCjq^p(6e%f(C?NDvhH;4)zjLh}HTFY|0;P^Jyp z^xW3uja}rHois@*a6fd>t#^*A*k;IueqY{#A32~MqgY;0w#=>e6}8K|N{Z0|BVb1=KeL2#|?J7@kTGO4X3>x>vvMFDNznNpw2OHiC9>I^#~? zlZLaZ=N?g>&5`aixG6r#lO^7l9)T{%amWG}hyJSaqZwP_&+swGn5cri146K2e}?!N z$V}tCR?zGd92;uIfIs+KcGv4y^+58?Rg0-*ni4LW0vX#adH}D~kIhrXN1l&&S5HR; z7mzv2(?J*P+d=&~>zvEJoXT@Y*B3_C z7uquA-{+6B(5P#yD}9C}p0B z(b7Vb#sqbcw3)`LM~5Z56=LKG6GLe({2g2-k6R{h8pReG%&NWtPO)C*B_9b=?Zb{B zQcbZO=gO&f9Vurwqi&FP4D!7PR9P;bG%57tG-i~x|Ly%x>ok|X^0!!O%oYcN^5;SS z=s$w}8-jojP~NK+^plHKto7wbjutiIw9X&5tXzbi?f6NCNTDNxPS} zY0asHY0NXLY|2 zkHGKGL7kWUjKHfG&vo{l;NXnPk*0bWdLm*;=T8B9Yz%nLt>W@``D+u&i8Ce>BxV{D zN_H%-3S6FC1T4o$p!}Jx(1w5ELONW5I0!wGHf+DS~0^>hYKME(tXIyyvL6fVC z7GU|sv|Gt)#$^Mfk9sB0LXQy3=dDS}^fO!H~`?j7%G+}wta)zlZ#;^7yY$V zs78y2&P!AW<>mnMLf-}vF7!XnmH&KJ;R|Gv$W>JB3Lx~yV z>>|2@TCno^DPNeRMaVHL;*x?qm&#m(gD#v_8zRt}l@#wq?cLDl#TQ z@sh{)oNED{xbV{)G1#4hwr)OGc_{YBn=wS3H-`hc4M6Bo*H+r?>*Dvs|Ahyr`OeuQ zvpr7mTzZMrjw=YGlfDgNCqL5v)HZ~H)u`^{?BIF|;y2(%TA)0HIa`6=v5o}HIFg@N z8A>o7EDi6Kg68YaRTVGI30HGHVvzGp@F=+hA-@D9+q%qC0)vs$9EdnJrC8iWF+oNg zZ^B^IMM!CW&xV&h8+~nAa%%yc+G9%^DCE;~4eXIRfHRb?P*Yq(v1+R=XHVt5QJ5jN z7t<0k=LW8UMSCi%vIU=U#0KBWD0Amxa*rsrsL@jqA{Hy-QDq$__sL_b&bWG|a!hm2 zyVblnAKE^CwW?_!>yU2OUm+nzLFRdE`Jl*DFJ;rjEM@shs6HX%QQ-lg!`toD*q{^~ z;?EWg%A!=XQ9SXP7P!vdU3^X3(HVh#54;t9w7Q0;C=IRe=UGj)JvG#!T5Y|hW6b4A zZ^v&jm1amv-$(M`7C{PSt=319=}IeMRzt(jC(u*{!CyK>RUcQ+q11DEUOoX^v|cpV zERuCA;R|LWM6xl2YFh#9q&5uCUKI=;IQ{WwImMUu;ua>!C5H_w9Z9V$D;$N4Gh878 zZ870*30IL-FCu$@Pl7mMcU;dW@o%K(HcTHy3|?d+s2x3)E3L(5fbPIw32RSoZK~co zFf$xM3Sgf^{3(}60gHe(eeTLbAa$R~jJRfB&fbVaV0f!a!_EOv?bj^hEQ7$gZjWb~ z4XScqKO<%E&QAfe$6kQbfl%-p@wvvGOev6~ft44`7={qe`{lqj2Ym%Sw9~$j=({z4 z8zuz|w`)=)j$QNJ%{lLi`&|oO4)O3^3JgmgfKm9{YB`RZBkh92*gK*bi#huv zZ{0GV^#Do@veORm)*wI1F@~$Qu)j9VU`1}e@3i%NAC%gj&bvU-UkTT{(bT?` zu{-GOInM&~ajcxXsZen?sQ*vk#~ULOX`nQUqF-1ngyt|{+v<&x;Co?E72u#NFY@rx zr}Otqkj1aJ*s7zK3#UbgA@v`~?+;AhydUgpy)IkG{1`3KET0mxxACb1UDSG44&had zuR&~#?=JKCtYL#h&H{B*ME|H=i*VC74v+R>)~*>wxkTS`LQ$p7uFbvz1Kz7h!Aw@%;yQk9Z$DTMbJ^AwQkCIW?2Z^ed|l5@BTL=3DVXZsKT5t@Nk1q3_)p z(Y3sZCQh$tSkLLh^Qn`<^ONBaPkcti@99EuyW>(A`RB*)tl*f;Qo$4~hzw;oSV>1l z@Vjut<7oPYlmXp|P%kqxpU17ZL{2T$-k_Jh9JYJ_{1T6<$F4!}=Hr2Q{;3;e zC|%kd3Lfaio4rrIr7a2Nri8AVLN=yciBYNMiC;jfml|GjpE>}YtUY-+?_HXfY$6eW zc6rMJh}_sMNR=@GSKp=LcY0y`z>^K{%_$A9^l@p!u2 z?CW3hJa4Lcn`8vM;7>`~gU@+GR*wWxn6qbUo_144qkjh%jLqt0+~>T?-HF5e#>~gi zFO(k9P)rSqte#Ms!ICIzLUi_}fI$b_aXI4>P|G_E$rp_j{Y|iS8w2r2e^(s$FHf<4 z!wtQwtoYGwkPwUAFSXa74~tXtULis%-!L>OQ*hF{FR9y^-qf4BUafK*ta+nj>G~dP zD&e@CCJ~4!RrVfho-krI=7bYs4`i6(a8%a(Ye(y4;tO?dZuInt%jfur9r!Vp0GZrL zfZHlEgVwb3Gbckb({{UqXZUm2AP)nJI*LY|gSk z&XGtclejNz-uQV*u`MUIm+40=wOU%abT^HXKC&Aq?^|9}cb$hnE{pts2X8R)XvEQ8 zC&iQZj=vvV)nBc|Wl+q(sF+7wMUzDi0N7ZTlXp6(y;D*-5^*oXiU0iWDk7wOa6%e) z&6QFE>Pp>k~#Yixc(lbEE4Xwl&6~vl8X8F66RyqM5ft z$lZT~EaYiGN;cZi>?&s^9H%5(?=-!23fD+Go)lLH3lom8CDTif*4{a>R_ImJw?Pfp zr=ZF;7ppDUSnn%l-+)omR-Rr0Y(drEKo{#2`5Cv=$Re%32HR+KI?29#)?oi*EZAwj zi=5TD-8$I#<71*OS}?3-LUs^+5L-3mrD5~+HKECXSF9ogtE-tIdnNWeb6o>C$33JS z2}IWuvn^d2+(1JuNF}x3DEw9}7?(0g5?0qW7?*i($+%VLoDPpdi&lynAdx{&rS)5j zPD{g?^klTP{s9673KEorLYWsUiP#XI$QVyK6!s8&Jmd+OoquPS7EP6!Mk#GW!XKHu zw%oRvZ{L(PzH!*PE`M*3*U_zMwiY!OT~4fZD2YCLROeT^&4^Vjo;-@y6i{v`+DXEE z|Jo(|ORaz-rrMtc-_VU34-mg1)B`nQn;nw+e6dsb>}=Ljd9$q*6ul^c5#%#eS2Cl7 zDEg)(YiWKIHg%gr_GKFPE36)&H)CH?;00{#l%l`WvmXr;vDS!eSsGVRXlS(Y&+1Uv z`_S|2KlsTG5v@ebL^au#Zm2Y2f5zz^KM~#T zZg^x|a^7HB&1z?dTkquMc@LQFX<6SgyuDI6Y zY0gT{uBxey|J+Cez2lglgOVp+@2h zkohc`9l?|c+!CIqIt#$Tl9)94?pi)Ji~&yNgQ|3zEkMm-kl#KgzMEa)c#>Gs#U(P5 z;GWnS>3wJ3vG(@k_aTUuZB)e z^jJGH;T^^5O0?o|QvIsV-OlyJ)8?yg;p$y-x|VexsZ>cEsTKeSDb1LXV1O_b=BqqT zE1z8CR;i3QCh$8%Lb5n20$AqP^@U$0k@Le9I!(D?NP(=Ue!~dU^kg)cM!NaxY8a7Q z{_|%B{37r{y`7|mgimz18akVC5CZC#)};jkrjt0o`>npxewB|DTUKRI<5jR}fZuXo06jyi z-*P>q^YnUD>LyE>kp+{us8{bcFek50F%0jZg_Yi6_>e4CKa`g^AHCUb_@0;ahSNMB z028VZ6HUn(?nK+7U5CRDx+Ro@%5XU0mw*V)$cuo@1bYB@ffNEEQ5XNRF0Tl$kfDk~ z$%0cSr4dpZs)l4mDUOXeWky_4kTR4@D%)R8hIUvU0)gOs!?fyGIkjp-#J?gd$(7>q zo+q^dL!ZN7=#)9sNU(ZiOIuwbSD^b-Bv2YZ>Xfts>e>@W*J)GIN_H`v?zb-gbUnU~O(zGFh0 zZLT$5ayJq%O0~@=Jgpfyu1I(vr$GlK05N){EWj!wNxRf~x;{?Bgyzj0(FS_Eflw=; zH6PktAwsBwo7K>V#19vL1=)G1Wuea;S^6>>_B@eLE^}j-r;0uq0aTPL!wTtVJoK~z zf1D!#gMo`LGl#UztBa^GtJ>>^{9Yajuao4Z$c%mT-S&-U#>E2EWK)d%k+OmCy1pFg zuoNy*mh3T$Ay`I{rECVIjAdi5IFu9DQ2hdbcCQ$}x2vN!$JJB7bWTD#3pZ&F1B5J0 z;sheNe6(*EO#J@Lgr>|%P7la+A=B&#)si>*pKnvWP$%|VqukUZ*?JRly}ARwWlHe>mvgxZFsRGPd5s(vdIk`T)$FA4NQeN zepq9+PPlt+a1gPRARCh7`ao4g-jV0DV7M-lM{HSfNEud{?)W_SB&_6hc;{uCJr`6jpen7x-T=CQ4_oFZ?;ANb8Wazuj1a zi%|lTIfisQ&X3TYV9EvTxjHWuNv*F7Zu*=$f6P)836oJfM)Q_M?su9|Cg|3kw7F*s zH5qj6kSUMl7-SXr0-QVSC7XN(G<_wY(uck3hzP{J3aWGrTGiUFFbteDi<%zX{e+>c zYWKDs!?QT;M!WN6|MmrN{XYCI==)`p}K=<@`$T@h$sf*2m!Jqxe?5beSJ2PX(@hCVbK)F;IKkc3)>-(`*Bv*fxB5 z&CYgpIIQ{YCTr?Jw z9B+Vz7l_2Fkr?{yG$uHzXc^)Vo^^}=fP(Y+T0l9I#m8jER1LP230f$j11n!aHJpzE zDN_q!-Kf0rns{&suT%CN0t0kg4@ibKFhxlO+qc5*_T@Jcir82cZr75JfJ8%)wfJsY zD+`O&85gVV4%{;^)(pUizD;xT({L+ zqjKJ^T_io|KeIf{C@Z0$G5l1%a;$L07_b?lGvragFO;Y}Z)Ci3Y6C#Sl|b_wP%jBM z`)-vpA5}j1t9)ZfWIsGjKu(=L#o(QjY} zp)c@ay?k6zmI|}%*;DNOXFlqJ!MvtRkB1J~14`lhX!kjh;8I9Qq2kmq& z*G59(%BhnNnp{$?ywj0-y9%wE*NCE_dLwnL2iTqnv- z(@UudBtr(7TnUF81kT_gdYJk9d$csqqDy$^^%GQm949wuhw=jbmX4)Mj6Fuu&4`$} z(Z3eV;lO$QU>sb{LIShkCPb3I9EI3K&KOG{17R|`iIXNa{nf111TVT)!qx=|P_Pgf zQExV>oD&URNd`1G=JtStr4OS-QW6BFI$9MEqeif`(4a@Md6g4Js-A_j4Hv{x;=F9E zPg7#iGlp__sZ3KM`Tpu9wtQ%Q^S?ENB#DBS%Hx=XQF4-9mrvEl5G2ma^{gvY2~m=I zgvcI4_hW%W_51aQ6aGh*9ZvmX)K9SZh%-*puOHF^vIjiF@U zH_`G5>4?-2T7%0|vSHP?$M`idZn&Kl4u4uELpj==G@JyX3IIMI zZ~{mR)%cjZf=rby!kocrhiS8NofL_Yv2jaG{K{&FWwfbE^&E|?l+o}J;kpFPTr-pg zm5eZSAs~RLQ`#|hfA!dnrPB?MpO=9Ki~<+@`rqji!=KUlw2(U`eA8id7t;;WwI%)y~x->OvnZmv?GdPn7 zD+s_8qo9#3s{=fBni?+L+ciJ_`+VOiH)EH>c4Pnrrp#=%G~)p8HA8xT5tG4Boh!L9 zQ2I<+Ol1c|b8S|0QV`Z%c-AjrG^d5;cx595j>#ppOY$x3NC|R;=zT$FsoL`6v+^gh z+&|XN@aY}r6odbWV(v>?H7`Yc3PYBzeS#`4hxbtQhcti-|IYd zSt>8-9{aXMf4}uQ0B$9>uHl`DZvfD57UZ0n*Phs=bdJB&F}n6S)-sS*?2BU%13_^N zSmLwln2t%xF}tS0xNa~tkSSvkxA<<>olnE6hSpR8(BuwTPDix0uQK)jbHN|fNEY>dD zH#O4_AA5H(FQmk^N^pMBqTpe<-@4^}okAlqGI=T-I!8sLdBpDxryX@_*}^VXjonUD z;?t#JcyN*Pke$(m9wnXJnmC2FA2qWw5 zr3u@A40L+RCq}eLrDCO)C+MvMIP&VlyOQn@rOO}phMN#g2729fgJEVt@#o6>b2e*% z8WWQ{7WiFZ;(vQ6NPuHQK+a=8moe`>dSQaJ)M{q1Z{ynK=pLX)+erE)(1U4Tnm1jx z*7&|xXdMUCzsQvR{Ohw=JV_@r>Ugl^qi4*frLkvJZJqr4cqhWGsTQaLKIgYNYyewDBLL^=uO|6)rk@MKDY~}m&4rY~|+nUqk!l=cU}?en62qKyM?>EGNs$ppI752)rcQ51bWi9GcjkwkW4-{Nct+OBAH^N9K+Gk z3Z@JVWf~U^92X6!7**IL3`EMr5-$PUgXJnRyMe0@X2zRMcl|v8;H-UU=N1b=f{TT& zbvj*eOpC6erK%;U;J3nBJl?oC`L}q;lq4@^3h14HZ%(wHB4>F{MfI79G^xM4+Y(Y((HAK}) zr3C!mW1YQq3}rTWc7a zlbHfRW5QUwPfJo{j?r_>FyA^98$QU%+bNMpGw4InL%aq@^O2LXSwPAr@2wBlM-jg` z=7LwT!@=Vn;QI+F$Os{}BxBV0G?v(+*dom$HwU+ass|S_Q>N1cmm-&dYbugnbW6H^ z(&(}CNf@ulj?wS0t$Kwy@WPO4osPv^S9Q7$pc9XU-_h<(+}w39NOXWM!$~2!|8HF$NEMV0Eu=qyCX6IjbFG?N?{J{yd-&^ zK4fXJzzaY{u1}>Yg;hhL)#K!DUBj(eB5Xwl1H4*mTyHzg56;d50t&Sg!BH(&E^uNo z?Aef+(OI7mK}slemBx-3PyrFH zMBe9rHroX{tT!lHW*H~jPHMNWtpMH8>+T;B&_tKSGz96-r}EM5-_-Ouc2nPx_)Zph z2dz~$*>&HNWF~&jgXe}{_0lB&RgR!$vaf6PP z4j=|Gh@$GeR*}2VaSK;5`3-#Sg7guEe~N@e$xQ}0s(*;)H4t-;tRyAY{U}|-wseG} z=*jEx0TV>$D1xXAr0Cj%AR-%KDeq$KK!+E4Il;##5^u)N02BFWrM|;X6iJU3DWiis z2bdL89)eH5B~~?1CDk!s>dKf+A^4t@_c+!kP|e6u(bOxc8Gj=)_!E96Ja>svzdP~C z10NJ%AeZBOwzCE+0LLMZiPxLJBA}LsBjYv%qlwH~T9y_u-N_v5G>@%r5g~UkVJ=OY1K&a{iE0nKtUMczkC5gHN8iDw4pgaTjAL%oe zb)#AVqn3uQ` zyV>qN+fD;RP>dJV_3pee1GVeG$h z&>8|CJ9GEg9F^WaxRqJ$yMP0E@#QUbNr%nrGdfk+_)Z)|U9Y1PNH-e|x({LTY5Lp3 z*P}*U9ScuFiRNSFo@J2f3ZT7NOaR4&7 zte9`K{7FgMn6EL%w?M;8TQKNH`LRjP@nJyGDD$He+%wTA8RDijAV-7smXwWEkwi43 zu<)GErC|BiyLqkVtrbuv9Mug~L(rj2Rw4t>(xRgvZ}pSmY@M?}T4Da1p&k%oCgm5= zLl8)Losf2_p`nZ{n=}&RE8pGIodB|*IF=SfcJ$AWV?2~2PbF?C?QOgaAZlVKU&SEW z(LD|mhreF*YZw_cz^&4N%hR0}aEq<_L~qd~B$&7_KsMhaN)7O3_+$>f0+ng`mOgj)Ky<^zFMHL zMY=dY3*&GaASx(Z%4!Vg=5nYCb1$hh(LY&VS67?2ZOQ{yijFJMSnnF+s`n+Vr~xs< zmo#6wy5`nf_w3#4Nmdm<_NaNmk{^&ocVcOE|6OrqI=u+M)U{*EtzDK$oI`khEN*KB@SZEeg0cTsrG>L-5<4ilZ zjbbwFzUiy)Yx_t4tNlCh4KIE_bIGV%`)?NxI^0Y4t$Crpf3NSy9KQ4B1-JMNIuCYE z?n6E#^r8StppoPiItEr^M7NG2#Um&g2Rt4!Z^Q_9yjT>firq%B!ZKK?J7OR6OC+6E z{w&LyIl)vG3b2#TAf5fl2$i#IF$oi*XSp&T(*u0$jy|Rz_y&_C?9E~3g`+QivWXhl z79ZYUY~?!Se;XoN4LQ!d)f8Z5`RCIzjw;Tjw2(fQUg=}XpO3xi;QQGRgJ;qFhQozF zcz9}hqaP3RU*x~2;sgDok%BT3--vF+oB0iLhqg|?#<)Ik2kOLk^4sLC+O7IFJEz88J6sHnmLDP4phNmz9%!RE2%shHPT8`02x}EJGMI-3)8guSge-rhQ~lv zJ=QfWe>Rw|PcxEGM_U^hEqY`GsAGFqM-eR{xoMuiUn3`E9o^UAAM~MLXwg zefqg?-ux678TR1HU2ng)Yv}{SY7XsqF^4ejf4%4Dc<%CBZ@FU8_FK>8rth5j>PX+bjCbBXWb zf6&CpT+agEg3#>9DruFr$g|qFD%2j?M6MM#YS%lriw{coo394nCU1&wYX9pD4>V~A zUVrVBTfo%7dL;!2HB!MyF%_bWR}1E6(WrFZL|1l}45oH}DeHSr+O?i3hB`$}M3+z) zNR^lKRgsPAXlJp7wM^|}D0_xweC z;V5QPINBmzhL>TbVenxqbzUe)oo89Nez(u0k)+BBkIAwFOu#)!oVV)rT^o0An6UEo z$KKdJsZA+KW|pa0`4_Vnl8?(gsa#d8nt$KUIJ;L}rhIbQjXe-+!{ zMZ_t%C1}4xM_G~=C?hw%i6dO=&K(M=29MHUNK(ztMp4BYdfMoZu zEDzMl>vXaW2=ahEmSRrFV>v38Fd=&#J^0Qpia?)G{Gqs$ zHyJ)Knb*ZU-F&9m&i~&&f-M%{bUN)BK1S}{-ENkAmD1{)xYFTG9A8w#f8yG0Aoi)F z&#R}jCVk7SCOuWrq?blvpU=^1`?|?KC>hzO^NPqB1a!{*6#bCSP>(4J_4RekR*k#j zjkuoan4Alf`}=Ms-}}}puXOh};wyg0?K^kz5Bnb@Jo!%FDnxR9U_Z72R!PV~I+;yS zgm8fyL(QEl2ty5)3k5Jge>ewV09izS0BdZ6R2WQFkwrTlg&$07Ap?mkc(72kJ%*Cs zrf=O|8p1|-)ixW20sGNmH^VNGtdjj{>~NY^YEpL|u<{$J0hVeT%&N3}Rkh|wbD6wc zX*YLpJIz;xSHxcPl&Q%=8%~p1=5j4-{!{;_@lS`s>%74`I89Xqf1cM3hb&5x4zMih z5=Lksx`tws4)(PUmNqT((K0T^>t47@DHa5|SmeYWvNok4S^p>nsxUc-HIP0UD6=uN zMB--8;*ap}@!U?T`D2{YX6e1sdpfsM$2vVWozfAB+zfUUk-p`8^N%cm(Gh|le1j*g zgv678HO=9ZEytT_e_(^p1#1tuJ&>R{Fcpt?a6X$5=21n}u zhqS))qrUGx_7?ts9-2}bt*4Gm{9^wkG9TZ6;M%+H01mk=e|LhXz7UYY#LX;>8?c}| z%Y;T@vLLjSWJ^d%30xYT5T&zU#Bm-v73LEECE<*mF2C+#4*k{hN#K*v-`M<_P)w+G)_O+@EzXp1iF1~)RCv4SU;G!QZu%U&NKk|(Y^c7d zLkkX>`w}b=e~`g}xi6*ZVA)X(n_5b1*S2W96%EvwR-gs*xyEPgNRKsWa%AVE4`z5>d-|k3er1Rf7x+1LuSywmzUb`9h@kWPx8R3 z>F_a?(V_98HBUyAh&4~9i6(kbzL)@`6l60PQYI*gm7F(FpcM{(s(W46mCtvi`ak>W zi>pY(yn8qP_=neQ{IPJb?_c-KyyrLT`#Q`3+M!uH z(Jpihok9w7IyE%d#wawMsdQhR;^j;`%N%S{ouf2@ScdiK0zdgb2CAVlEm?vwFgu)b zB&{@+R34vkD&K2(wB?bGK@r2V6hpEL8ESJV6L+*OE6T- z%yIa#YzRPDTeMp_!ET4s>E?s^1y(dSQztcPz{n}c31*9{r5mtYU$=2r|i>zAxi`U^Ibzs~2+SEH=RGl>**>bj+Af)MwhP9No53CBe|--X zx~o9;HJg3MGar~Nes5(n6lhnGZX}DK|52|CN35){yb=}n#a+bHU&MFzM+D=!=f3zS z^~7yQh&#bDs9&XhcL2dr0(xZap z)l_|mbkGY-CVgPGTV+}=S5oz(e;aZN?p3g#?|+|j`^8|iGf)uilv%XXcGG{6pKwdq_z`Qut#4?A)1Giko%MxNf2j&=xMoWj zG-0QeSHi{Q zf#`E>@4l&b<4>k_U$bh~UCkf{KD#gT#3OxIkjJ*)Fz4& z=~v?D`IZhYonnVN$D|b&V4tb%RCXy@rB``RIi*NQDN)ucTa-uhMaPt!qLwJY=p~+T zV9>mf>&@SxI?dgLktm3~DoW)7;veOA@mao?KgNr_{3)IwKE@w~e*FiVzD@HXKw~&Y|L+IhZ}E;WU1|)N2Z?$7GzsTaD&+Py zBt5uH_V{(|@oOSde_c^dL-qb4EW=;GGW-K9BbxS?2LqI6gc+X*Fg_7*)6NMDgq#Dc zn*vO2WQjS9Lj(pmL_nvs8K4}*pdFP9;NAcZ%m}j?B9u{tPld_a@UCz+oD1_*o5-)D zWwQs}Vi72kiamD9z|{Oa^HLehqK{?_e?nmlLSYO-nGqT?UU@FS zg2h8p3$1DTOjm5S%yY&Z!o2A)oCXoCEH+Lwc|C#**%d*QQB+rNwNl|hBB+>i0iW2n zn$!HEYOUIomkZWh>&gl?@gu1ew24PnLTvR}P(E>P90EY|U zq5>;ES^!51;BWz47!@=MU`GM$EP!eIZP+Y;-373#0QMAMav@f`3t(3PY~&|c%l1rb zz>q(srfWt+Ie(l#uKXqNeoXj-a3)3qax9?)BQb^Je-gz}(H8}LlCYQnn^!$rj(3*t zDktTEK-f{f(}i6;TU~(7Z+0>AV5F z`w*#5f7lO6*yNYUCxv>`iE?}tVXCSVy0XYj5K#1XR`!u;&6&1iS=0fYm&yg}VR)H% zwo;F*B}+nO2e#Ie~*!@CMld)3}C^!8%cXoh@IyjU=af* z?3p56DE1$#dvfK*2TE@G&G(<(lUQ)U+HZHaF1}>zIKJXLGp@L@_2BdS`YOryR$nph zJ5TgIKz3hu-K>Z2?R(4C3_k$6_Tvwj_rW7@qK7QkB46*1pRKuY=hVXhv0p&Xic)g=6$hdrvX@(l(^%~O&wE>+_ zV{BC`WiH+1Stm(Q??&G6(nneyMozZZ;%gi zq~7PZanEmKqusce`|d& z!n=47B3p#lQ2>9#iiUd?>Gkj&=m(E_u{Y759Vq7!NAAN`>f)$;>S8il(y$ZZ zP>L<}kTU21dD(zfG2^S04Ovazf9(1!9|`y7hwWpVZ+yT!Q*mqxR>i1CdZFu);PE?&N(-jPBWGq~uE&qtl(f4d)j)DymC z<0T6sW9w#5I&y@2_|A@14O1?2e@~s#e&wC#mQtEN2%GKbFfhxRxhpu)w{!6PYvP7JL z6&HzrAiWh8cu?d)uM?eTe_QBL3J5Y%Wf6-i5)@g$L?~m)S+&V`4x8^BcGcI@b&Oad z`~F6-PD@=)D$P+cTullvqz0L82n_HZ*yH?OrLtEkjyIqx*s!@`N@;lm^1}v>-cH?A zHKGBp(>?9Z9ujx<>ua?8(Xyz5B030%wV7> zvQMza+FE0j>Z*h5isGO2um0Kb@{(ZklLP%%@fCfyF1vc}e@3!{>7!u9a{r<0jB{=) zhmc~T=PNUh7H2x`^5jjPtd_}ZpE~qx3*Z|E= zOtCQbJnmYRxW$-<%`iT-$89Y;*$sQQzzX8lI+V9UiOBN;FOE^B@dTy0ga<*rfR z=Kdy1Pl`Ape^yB4a+5e#X)$IRZG4;9Dzzy$@|%Q*lvl*x^KXjB#gC+ai=WFrx2g&p z#}m59xgx^>3~sr#v^mG~<<@fNDj2Oi&3EDjFehY9Ln_~coq%*a3m%oq^vD-uUPcy- zm%=*@Yz(ezZgXZ$&j+Toax}2uDY4Izfq6cFZ=lfSf0v=5%G{{0D07x9bu*^yE?CJd zq#J*UPhDE5wq-h~24*o{I<2eY44u|>I(c#c{{RC63txf(A{I%yi@zIRv7tDQZ&WqM~NHiuvv$x|sPJyJKwE70(Ac*-8{3Ypu!0Vy<_0 zoF!83fA-VeySq)c1Ul^4k_`18@k{UaKX$Wl@Z5_y z+rP1IF)6vepU&->(0?iSG3bBAsG1C8(xP3{e*|xhR_?t-o9q>pqEJzdR^hElG-;!~ z7i&|zX{l9Pu6?2Y%jX!8sHwalaY5xJl{;&8)kve`qldNBOwp#qCl8w&pF3=Yv?#u4 zSbNQunzt)Y#Q%}_w9*yui@qMx)m;_!NX$!b#?VOSqu;_7^OjH#xiMu5(Wq0MTpHC? zf4{H3yj~qLjaV%($_AEoOO>V7@?h|20GokSpgpi9z}JApC-Z7pd@8`!WD5+e$rfO1 zvIYF?9G#bMIThS_r-HSA(gjE=Q#$HW8HAL{O3^f?P+B=X=halP__$IA=P!ffc)*`E}byf25skNp)wKE$~#z64R&^XfNx;)@gHwk~JIR z4MR`~k6Qn-`OeI}ce4xVf7`d;^M2p=K9AYVow;-8&YU@O=A4;3XU;rw^;J7} zU2*x&rS3&J#U0B^2XDvauiu05mU{-iT=(g(FMooY_~MbnzdZWfQ92H>0>}R=j6+0H zAdf@LAODm%Jwd41f8% zg?lacS&wLrXpiV#uM><3lFQcT28j{pw%-g z4_2#4jXYSbW-)HzK{RNs#A*>s+f{5isqKs`{XzJP?}%qkpJUNsQbC&{ z{o53q<WtX?BoD_vn>TrO$rmxx=&$g_t+6($eM&W$-N;(KxpEv|r89i6-z%whXjm>pLwGqSA}*bWw^7@}p1 z*xKftq_m4eV%J6T4GyjU*Zz)5$>@Pn~NUHBeJW) z8B0X$PA_my(M&N_X{t=M&Sp)s=@Ms$ro*(}*{A6?Xb5=d?r6ZZlb}l4x^?qRp;12`;C`Y7#zFN3JaIsESVixjk}bKj)YlO1$9OL2Fa(plw_! zYRGPIK{u7Hw&lRHiHTO*5LFdWOubpg9}Jsl5$N##05BHPL=kje^r0~2i9)h0LGWIT?syTuR@Fxk{&Bk;W8xXp@ zh*`QQpeGmL{49gnYO?wahJ2^b%lq=ZntXGX+2l&c$S$#&ilhs$e;sI$JWxb+lTQ}W zAF`KKRM79jR3ZO4@i}{$c&wn9Iy(QJtTCsWtIao=`D)t|+eQ!9nA#<-b9AKkn>Rad zGT-L7)$?OB5UJV1>oAlDL*j>r=|Pl-Fg*(1jHd#Nbn>o)ylY!+;)L$1WzAI zKZOtC>69LV#<)iFw!#to-QB9bJ&Z;_QJ1>T6pX9dkFxELFjQ@Od)#=aqRBj@kvQ2D zek-%@bXlt>s7Dy{Sthg9z(%N6t2bXZ@|kZ=ce%VydHj+&{_p(>spD{{AiYY5o|UV_%Nua6ArV_q4BY$8=3$_KRoq$E%3z0hX1}1awupbhO{)v zFWP`f`6(J-I!Ui5Q4zD{$_%w0qeQ0=IxWy-x62k7f_kfssO7vFG}=?hVxNP?(Y%Ks zYjtA`TXD6pY0-H~qx$%?JX+c@Sz~LaW~TO#=CJmVf9|;OyjNFaYBe=m)|)ykSJlFblO;8HU{Q2R<0Kl?dql68A^Jq^&&EE6PV*-Fj;RNyYT5&fY{PB6 zt(160(d^Ob%tRh`5R=2q=+zP54Pq++l+ulM&dfmRG{tbpIDt~bFgp0>bzhc}w48_T z`)KFAS6+WVK9KV1i>E%B|LC(1F8A%)RaxGCf8?5D@2p<`z58!V`Srhjuxsh#Pd|K1 z=t6o@P}g zKBYb_=w7N>s$U{5wyj86mbSvx;$GspJ?&m1ru#U~?lbD0NrOmdLc2KCLFB#`XF$?n zf8Ea#HcjY&&Xiq|AF6vGKUViZexM$Ea7I1$;PaqG{tz{gfitY?sMD$rVXSeJm(~Cs zbjJ5nD7G(CS`@PwvR&A8Z`=arxDCv<_}YBk*_$ldV4l32>bDi|=`!lMboQa1;}Z5w zpv+>P+J0en6-(t)#HJ8vMAVLN!P8#Ae{-MM6We$A*Rg{;e}OZ8_Zs$We*Zhaiv5n9 zz#H%npN;+a4{yYF?EM8^_OsYOV!y^yuxA(>zZZK4>T*?V5m~7@g+wIV>@nn8F%s=M zK@>-D$uQb(5x@`HblWYLB2MHaj^m!R{csB-@6k_yzE88MB&~~Q7+G~#F{*$Af5bMW zbXsW%P?t{Y6fu6|u3yzHd-}S~xff-D@Qy8d8h?r{AHF*J#cx_~yZg{jWB!2wsV(S)NOSZ)y%L(K4p&u{v&9Gx$a`C#cv^&C+!N< zv=z>Q99#7&E@210T8%ttyHBb z{>fgk)sZlm8&)^XDqk88Kg$esVDunJKB{GCM5h^jIJFudJ--KWJe|}U^gbgJ*vTah z(LP1j%v~%QBr`F%&AeW1Ly5$F^~!`&|6iC;>h)hSvoy`T-p#jdCV=!zpV!yG%Sa0O0W$|Ds zTfmnsjWZH6G9?Y8k1gCYEfk}`7U|i5#+aVs*mbs22K&5EkFjM+7pS6+s?8TLRg1V@ zo2{heW>Jt$dw*F3(Ham$g9qy^I$0fxs$L{dJa*f3Bi!VmzRPAcCMvuYC>n2A9v4!* zD4jNI!cBW(YbQ+emrmPLQu+NF{{0tU{OrnmEj8cemw&P2SX~ED;RcYY2Y?6W;71va zyFCt%ldR0emkKG^&Sht#OnVy1fzcnEUO1VCH`b>4EPq_4Ppii;waLj&5}nxzqBA@G z{l(mp4GbN|?zt=3POrD))``_EU$5>_>=U1nWhChx$i=xCYDdaYX(%HuBQuy$;<#C8 z16$)UqzBqBNiqvvr-;Nh#cU=07+DnG;Y_UysJ8!AEto1k%j0!>)4iP56cBTq0e?Wq z;R9JYE)a7@CQ7xYWI|#`ill?P37VIMGyzqA=jmr`8@GUL*c$uIj^D?&?-|AouWiTp zyMd=NSM7`3`0S?4={IBYoohaxMJk@eqi^;`58z9G{|b)oSu=9y z(}7Saw!ye-eyo#wlb;20(u;q_sHDqC3dls)Oj1iWo3s_qiuBs_ZN42o&6JcWo(f-o zRZ5koDW%ENp3?4F=^OC9q?NvG5lPW=AjpT<6loVw=cmpwR|`Q+u%N1oc)|4^)dlL#{x z;z?MyBlfLF?)ZETx9jA|qsL!*;x%!Bei~mPBGe;z@+3>7$>WO5`^Ua(DRLi zxQ+jZlJP9_53zIPqG9Ipuat{##|=NUCCSA7OeUTs4DDyj!kygfU%o>IM;oXdoUv`25EIcdZI1oxpJ)K4Wzt?o-0P{^&>&CWO&(W+Jxe1^*BCi z!%)Ku>LKJ6CsqAra0gDFJju15Jo)9LC+U7Cy30LN^OqPm0WE*!d7sw|>`CXMlNj0x zF8Nd#2r$zOC*urs^q6?8fXpocF5*(Z{kXPC_NVbwWW{$u%IQOvz32M|j(`Z3Xjkk_1Iv6#)gQ~omKbXvVU z+mGZmTkVW2t^D{HjqORIRnyS6OZlK0ph zUuys-&nKC5XJN%Ucu{@NqDm>9;A?60bQRi0u{gBg=GSkeSF zM3Zhjh|BSfh_ZyYslGv?;ySPf%1Y@M5_NqgzAX%3(`$nojUJoy>gI0uN!borW)?6} z-PCC?<0N0~sXW#E{XZ4;@mJ2e%KwvjCsrtyD5$qVIRTdee@Qr1t|H@fCiBEFS)=VE{n}g1x7f6LHdEMRq!Wb^?1mP!>h(!8gk)3NaWk{} zfWaUnB@~i0-{Qxc2mzyNSkkD9C8InTV1f74P1>;_8)X^Wq!cWr1XCJPR;KVNIDq6q zp3G&`A;ce)e<4JzeV_Un;k2k6N}}j5D=mAvpn{IOJbMwwdMnT7(ojd)@K*B2dU-0w zlt^YirR!<0S(o>0>oeCsa}w`x?YwGE^cwDOU#5?oSocSY*M|{e=fW8ATzO^QteghJ zb3VOchv7E{V$cv`6a>vbfv3GKf~3=F>E{x~Zm(hte^AS2w9I@jvk9!lY%#rUz>Lkr zs1DD@wdCaC+5Q%zq;P22jNv~qOcTyE2$-sTzL3mVGB=p%(Z@VB4%l{C!X7Yn%b^^T zXdkewO)=U<&A%Dw!R}`I0TT=K^rS>nd_{2!Xw(=VTpxaxeE#gSqgu_u(MQO#&*zfi z(K;Caf4mjzX>@TDYX3(5$+nQP{{Ns>AwlgR>%D&HG!fofC;t019v6E*AhO`FmJw)Dv4)WMu z7(WkR;iB_IU?TFDLZ*2Vw@>UDMtZD%Gjvi#f4IlC#g?XP{^N58l;4B?#Lwi9A}zW~ zuEADr00gW?%Lznth%AE#99cF*g4%<)0TJ8~bfPEl6B19{0$@6r{T}#7Ue;zY|7IUH1a_4M5EPmLBd9=#YHE9-cOdX@hWy=?CjxQ_%g;3a@|gR zf8TKISnQc$bUqjd$X1^41amqKp)g{^1B{v=rZ8$`7`0DG99OPIZb8yujRNDlZ2)0; z-DwKs`C;Uc76ur=O@o@VVZ?YU{pV+XIhDg-j&U!L>tdl{T!G7nW2>nRsXCC{PLSMG zJLdg_RaUlK8yBV4-I9q2NbLZGXcOn_|ytnb_Vq-kW{)2fpkk6GbiiGjE|Xfq9PNHevS|sh-G(JsKw?K&M3@?o_U6%SIUwy)x*ZwSeg#um>|^{%(5Ugn>|c^ z?&)%vJsKuRJ?9EitfQ9vCeXDhe>yF;D@QKd*a6I`IMHw!_rFPO^652u zMmPQDhPPsG|M1rLcD+7YT7SpF-iIH&@`}g#Cd<0Xb(3d(_=onDv48ybw$s<(T6`6L z?3taF$D5Sp1w#d!)1mVJeSNBYV`Hua@ zK9p9*HqCz;Qp<<~oI7<~m5*vO4;wHtjc}9qcG2AcGTmuqbEI@D9NUnBwOQ1<0oF|` z$#mwChoehl^<2m3Gm*{f@V|eT6SUvmG1Y956idOPEumSbkj!#@#t zJ!eLA%08K3UT-o-IgZfIThCUBIpVeoQT@N8dfhBVa;OXQaxECc&vb%l(dx3M+3lqvZts@Z>Dp=5 z1Kjoh>sJ2rU0d#o*<)XfytWH}fREn~wdh5s`5F8^;5n_V7Jk&NC!Yyiw??PccN=)a zXBvOpT|w#zA?YT{>)E*{XUb2Pi*J{gqoOka))}hPiZn=L&@ponySso`sE$N&2|J@C zhgyuV$=_@Uj$MYgK90A>E<26CyOa7mW8H}ErpG7v2#_a-E?|&Y@l-1F*@-Jm6w}G# zSIB*ykKz;G`3}emC&-Fckoz8(dC2RBH|Kw4OwS;CUY|jhSof#w_a099q{BG9 z-ETF*kd>Xdt<&jUP(!v@T0+m+X4Zezifw9us%@uaO)W`*CQm8hs4H=1arE;?RtmLR zm6Ew;$z#Vaj(voSmfXDr&s_5TCA(gz#i_AhF1foU_S}+wJfk-D^K|_9U3mRncvq~6 zy1Qa`-4$!WkH=a_1zwMm#&>P3m9sIP;3z}j?t#$|v&BQuMvge>k#p+M4#t0V`(b#8 z)sY=LmGbKcb{aOYi!J#M-YF05AS-U{L(O|owiWr&3>=ofFy3juGxZ1VANU^Ob{cn@ z4@`c^{!;2|?$>-Tm%K8~(j!PC^4hS)J@nTsXzY~NrS^|{+W{RyJ{rPR(DgW0e^#A9qZ6!iUKgsRu_M> zlXp?t=@)vomJr`YS(LbcQrRGwU8KPiQ686LC^Ahptu);VBb{xgBc_i{M#el zAj;=BJvJHH(tkyTqG_9L(CZ~ZS>GO+O4fj?WZkq zgJS%T9sRXWg9JgHH7mNS>UoW%a`YF!#aB=APq+YYc{XnJS~*(~Us* zgn*a~mFLZ7DnpqFRajmd$cCb1`Dp|BDIg|3A+C#iA77+-m#anrcz^g$U;Ob+GZ*F0 zOI^_ftUeN3q>FJ-xVL27o_TX1-6A$v z5Z6Qpb_Q$iWMhMo7=LxChsb<12i1~8d*;mG41T&qG6PEmJq4uTAg%+}d}v?M{A{ZZ z>qf}8f>yC%7Rt8oux3vddte%O5I=@I5?tVXzTPS zUFT>!eP)!NCU+Vd@N^qJ>;q`DqV4U|cGZ##U3ByWJrgGu&3~}w7FjLUrY55?bw({G zpw!ekf`5j>Ty4}*4r?zft|%!|RxIR$JOWb{J8GpRoXkHDYmeY8(?dfY!gG)1PkGBt^CoV)}5UX{jGP&17#D2xB2ojrndCn_(W{i z$q!;zzx*=(-hbb*7Oz^mx8&2<zIw64980~v zdHPkC&A&Mmylh?Yq1sE<{{Fh{xMIg8Z9fA#|v(~XMfHOFRZ@h?#gYyqEx?(8^*cp z41sc4rwC~{toe{2?m7u?gXz^e?PZU$Ar}>(iV<(mULK<$k(E7ULZwTC+%1z%PKNyK zfB$>zLzMi-akt=YP>P_rPg4Q~dt{$`5v?Y6K||=yG5W{9i6)I5L6_6Xnx4McTsMa< ze@SCsYJX0}7U2@zEIbs1LG#!c%t8X1Lx>uHa8eF{bY$zrmsh{O!dm`!!6UF@AN+If zMC!jj^x;jPKQ+2WM8YDLGiGnF@sw^>Y$2K>qR*fD{0b2%@#BAsv=Bwg5F(GGN=*y8 zn~$Pe9-&OlaioQC6~x~N{#=Nw&_0gtf%w(net!gM^$>qE_{-t`0m$QV8jp{oZ$bRU z5WXMcvLSpoqrJr_@OBA)6u~#6Welr} z$X-;AP9Pm{EfL!ECP<%VDgvqiQbIGx&nTVHl8IZU8P+}qc1ObmSxB}L<^b=-N|;Yb zqd_jRQ3-1y{T)hJhdRjpN?1UXkwppX(SL26T9(7q_gi( z!W^1le^d$c328LQWPe@>Ya#v1N?3<3wEsZ~3&>@=O9|^yb&6RDn{_0m5pu>nM-7>~ zn^~A*NOZ4cVJ(aAVPS?z_f;$`u<#ZZhMq%w?)#Onj6*pr<4_LEIF!SDLK+!|a(`IH zp&ZtsRo;V2SjM3omT@SD%@#+7mxT=p@Ea*?1(R9Wln`&B;esFwixjqk1uUEbVSB+c z7Iq}0cd~rCSU5EyKApWQ^A4dtrkEP&iML*D(6j|BNf zAkR+J4&inP_rSB>csWuW`pKvSLV@^QDJo(DX@4(;0cWlF-#=aQO+l#)Kp@n^uyD3R$qFz8uH!* z@Ak5oen{29FegF0+8#9k6U9y^d#{_}b|!m2%uFTwM&tvuR^SLQ3hZv=YjL^qs8KNW8B3FjJxR zi^gFnh4Ls3j^|Vd^-auI#bbzJh0a=C@^a?Y-S++v#;p4u6II3Lb7DK+h6oTH2J2$h$ejeGh| z7YpS<`uwCGdH&pG82(kEltfiBe~TzrxmI$Ra@rn+-%@DR)_+v|2i6#M)J#xbTs^Iws+|D<%2 zyl3UHK+QwSh<{V_I*60oNu`=F8x?dZQgNKRzfNMSRQc6Xo3>m-yyrv{K;*Kj>TZhu=urhn;iOl)F!-0&~9qbd#Pn73QOOgI684tAwwj^kw=q-al&9erQJk7dr^!D^sN zyctPcJOnvL7{@vPEJ7atsZwSfKdK}f$5A3rNq#HJc!k_ntCV`5U*?9sqD6b-wU06? z?Pj^ly-1e4i4y%^r2tjF%}3SjX+4??cS}H8Hh;318i=Fg)<$^J0(VsqTLm$>kfKR> zp37Ql36n$fA>Cpo%KWk{PLUW(T+8LApqq6%3Z+%-b}^OdKS zkbid#%a6iO%b&}_3*&IkRUoZoIHEbzJm&yJ3)mfvT?~E$q-$d3SF$?G&@NPd=Xvve5y2|M&ep-|#w2dfy3*a|it4fB0`3#zI{LKNs0U)RO&x5DUOhVMdn^ml) zO$>Y03eIS47qGkWTFEUnht-B+mExcZ!hdz(=EZT>$gVOhjS0CW<8BFiKAuXhU!`)L z!!TFR?&Q`~y#sJ2(YNj$+qP}Jv2EM7?Kj566WbHpwk9@bV%wbL=6}xl?zvyp?p@V; zuU=hU)m`1y`?sDaW9TYNM{m9?Tvm^>(KpB7yY4Z1nL&fH%0(?l^oZ>&wNR@pT5#xUS20U`w2`zBUONaOD#(J{QJb>UG>fx?mMIk^pa}V zIxBuGw@JftZ;k9K-py3yAV?d;*9_<59VApuC!qHTE57W>6=)8rj*WLVDsQ6at!Qjx zUs}d7T-?Sy(`aA~m5`OKPSILj|BGK(dej!?SIiN)Ad}2&9(|T|*bHJSeRhuM5eDQ6 z^IeroS~iON>rEkMs^MgR$kZE)Wc2z z2-glMUI@2XjM*oVng7hot5qyNJ(Kp@rlUlFHzGC_(1b zVF!srt0MGVd?Qv)+_JZltb&Fh0Rnle@)5z^iZrFn-NBqnPShzIsOw9AFoCch6lTm6 z#c<<@mFU4mI~&`p;O3jCzm`jERwBB5f3m_ERQNUh%;AJFhk4Q2?5IRH&NJs!!_y%= zJ^R6ermSp0Qv)Xfx+3kB)4VJ>uD7|m%3fSfvn61ocKkMz=PYGqtyq18GaLP1zal*5O5A&!t*V`bBXg_gl}54nH{3A)#&qhShDzJgt=7}#Fj9pr;%_HtBpwT zI(27dxm>d3m~_E-SRy0ECOa#M;0|_>a>tMwS2xNfTQ(T->?E8uarQ4+ybF$V+nb2} zVLDKRsKx^bX&$>zf~mm%(NmnLzT#w$Zd|tLNKFaO2y_aF8=(yg9RB9y$5^!=cQl=x z5G}fxif0{#VLzT0_KE|9HR!M`N+P+^^YX7#5C{yE>RTYw#=Crp})iew! z4>dUl0vrpQOMdwT{@b&JRproRvUf~9JC;p_*DKw~3fBl%eOv%WjGq0Xs_0dztgzEo zRzq|Fz#lVc>uWSQ=W*cw)zd}}DTneUGvCl>o(D^%eyB-)fNDX*{Tvs>MU{FbaRaWNAMPy=8XL9R1Z>u|TB%2w1?8Lsie%7$}S zbV53o{CZ7=$EpVw(P4u>#3A(ZJR;V_0q&2uLSmC8)MVt-PfV5$5c{=N+#gB^j8^B+&v?r`5lcaM# z{6Py6a5(WiLaaNdvuq=M_4L8-A~al}d-sSj@2iwl0A z!dTjkLf>I>fN9;ZeOx=wQg>43ly${q&X_y@Go8?XI)3hq39EKzrG-}uA~jCDL-%vF zK(}sSS=KOouIfMocRH2rK!)s#x&)=Wq2pdRKx{&{3tI zMl4_H2Rui8!htg%#{(}M`=J&X zpgv@~uQPqW17sOx;*&L z3gaN_heFp5fggJBsPZcZL3exiM?!~q@1W!%mE-ge2*|Wqh+q?O2Sa=A2GbD1>;x0= zC&ocj=;INPW{LqQr>ZRfI#XV{?-+5wwX@HdF|=5rKtgZ(+C>GsCR+NJRYCqPDY#~44MF?Ypas~>Cu+k$hJ>JNZ$j8*32 za{`$hz9H(--PMJt{m;Z|$oD;!7JQG&9YO{drNr7#GbaJJ^SI;fiV=vulP<#+id&?` zfO71n*Rysu^w`S^B&L<9sOWFJR!v6`7wR6;@5)L z(Qvz6CqdX808{_ zMjIm87zIKENPh!{nz&QI83=dcNfViNnY?)S@VlvA`XqIn?+gYDrV|J8mvc)=s|{x@ zA^$?Wt(Ht^K8Hn|@lcUPXjb*Q_ncvjZMGG%B6B(6d>jBN4QmPM439~t6Mvsim((+H zi!DUuegTzVve@wfVdGdCzi`cSzfE9iw(EAYre-`9*x4|sVUlk_pRMPSHz|9VRlmBH ziZPQR;O*tGxKLP;Ae6`2U<=II7pHuClq0VT>?-{|e; z&C%##tKvm)Jlwg&U+si~)|6SedeVFo#ybFl(QCETl?KxAuVrD@;@Qtu{`8#H56l~z zJl)UK(de4LJ3A`vN{1fO5MvKzuWAz2s2RG)`;|hK>S5PiO^bLuQ5|f_8J-5Hd*Dxy zJKgtbu2$96q-C@-bS9#QXH|95?$i@*(mQ5I|7T*#0kU5EVBR{Ti0Nm^ikFvp+Bphz zLy2JGOKK?am`efp%^}1Kb{5=)$iBgJVg;B7TUXtUdrVKs&x&-b`A~>9Gum;|ot!5; zk!yKarG5~H3q_rsm%*ghLC)8`g<36#4k&9hBNuKAL@Ts}V#cH~{;63MxscT@9l*8C znb#Iz^AwNIfke!%zlfqaxD2<#k7R~Rv;yv0S(^(i8LE26tO zFbaR+F9nhcrzZ)5q2qD@NLWamOl{%V*|}L+;4B=?|Fgr&!I9jMiw?%YnSN^ossqRQ ze}mF*jX^PzbvKaG{k}jc)3c31SwXqer;S1JF?ha%R1M$+1^+h-Px^;3Xd5V7dSp5% zaogf|89XQ`_kU#uu(Yw7fqH{s16ckiH-L-l{~icnO;*|9NY_pS#Yso71kIsj`%i8- z5)x)H8F4iWcM?_r`+tr6KPLmQC97;=rtevTqJeUx&p?9FrW09#asn$$T2@7O+} zP&e3MvA{P%YWlouG%H(b65gzDv07*xRGcu`zBb--WT;@aKL35be|`NEv}&By^}B3Y zHMINg{#q$o27w4;TtI*lFkX(75+4!Efn_YmEnVf+44rLYbM;2PG=VJa_7);$8=eUz zL6HD)&(FCK?638FfXCTD@Tdte7UnCjF5hk7KXk4qq4)r-CvwtX;%o7bU;VzCU%z(G zu|5rLq3R0}v^jPzT|g1Kp-=+w&M~v~R}paqA5biRhc$YC&O8D4(ygnlO(qKlwoX=Vyhw45@X0yJ=G<8Y)k0& z!Zm2S9VN2hx`FHx5Y3?`3aFkAxT{FZpD6qw*Qj{@=#&f*nmClV5j7FpKbeDrVIJrQ zOru8g+JyNTfZ^BuG3JrCQUmD)2!RZokY5PfWSUvftSk^;NLDI+qMcE-h>l>`TM$Yp zGUcpWgbYC<+{{~eA4IlfVtnykNRDAnd*yNyF1~Yf@HWb@kM#sAgH+xyfx_2_Q z1USNfl=^M%!0#%Zbzic}ql_@FSn-L4} zT*XT2DJ#apuskOg^#x;(~>^?wmCRhHtYR!U+r8@X>>^g<@+A zTjX2x{FL1^qd%5Si@p22wf!aNQWPg^Cwh{BKp0MFzECdcJ|cgHm&Ki!f#(63`En5| zj3DTM9^A`LSb3E!)p=S&Mn{au5k17x7ekZwq59eSZRdXH6=%an zp!7SYa$ZlO*Y4xQ=R{3%AG{=lSx8d|rHImv7)w7xjsUj3aQ;@Lpbtv%{<||l-th9L z+zY1dGu{W1VI-IGP~V^rni5(x=5|F1h*?#pzFaLi*FHmF%$8KI6jCzm9rr7)PkatV z9|d#b_RqHQ>xr&|0M|4)j?4{fs_C+GptVpB>~`Bi*J;~n&jW63^6{z6o1Je~9_rMf zeWA8?*fm_CY&n)>82F$JtBAa)Q(KhY@cH~ca`e~;^{~+oAWP7IeH6PnE*wH!L>*o{ zzM^xs8AVkJIihTvv{BlVEP5ji^>6q?=83k+=ZP<}FWG10)S{GIb^>91Nm}E(lSDz49Bt9pVcr zX+1=*eA*!Aokz}T**J=%7U&i|0Xmfp;Xt!65k<@n`L55D40B=IQF4blE<>48Odm^5 zyCwE?kr4%Rj8RJLo|-dPfcym(5IYJYpo?(v!C^4B9_26g#~`gfX7;d(eiC04jMhA* zGtzDyYs3^qHkJsb4a!fMsyQjuImMM{$|*D=UP=00nLETzm2pb0MAa7*s@=q0s~ZQg z>=)H~oevddiQkV~xbG@R8`4)Oog+L0fMnuigOF4~(u29GaU*f;NBPf0U~}T@gM$gv z?V`yYEswY>Ye-%py)~vxA+qTO5C%Ai!;1`zaJhBdo!L1b44z1Ra2aRQ2WK{xOg5$z zko|;XHWmB_D;XZb^9;Qw`jW%~V-@bmE5e+Za5{El@Iq?28@i-uSc=3=UW2|(;pAsF z&QOT#h8NzGk-7?hEv9h;WUNox-MJqyqrhC9RGE?ka(=}6?w)5b2ZrDtN=}(E#U6o1 zk!`v_4)469jD8xi2vO`l;IKp@?eX?t-yf<>VKbYoaK~NN4M??n_951(g4HZ|Dc?8U zs5M`s7xkmkj0liJtu9pTNbSL&bV==a2KUb%aNAUP(|@cv%NxQ0-$N}jR-sLhw!kAN z==TI)qEFEK$nv0_m#WX4)tP!KO(*v5BrM>ur}DLU+e+HY>q&&16l(>j`RLs|BW$x% z^U|_@+AGM)M+S` z&2LoHQfV*!<)-CrSIM&1?5nOxh`U}26<>FO;52Q#TFaCY9AM*V@GMP;6aal+)PjR) za>lO4QM{E2RFpjJ)kMT$uVR0fs=XYAmBED--h7I^O~LpU;#V)>UQUXinTi#*u(eFD z;&fmjlH6z|mh~*3VAq3#ADwE*;uw&pa79U#7-A6Cnr89Y}EH_BE(#XEVw%o&qKJ<)g41F9H z^ppJ&XvIc7%JYs5Exm`C^+(sw%+GTFcNL$;v2@$j=4d=FpeA5mJv$z?29%HprD^`V za0180LCcE`>=U=~oGmx+%2(f{+>E65mzs2`0iL89Boo}wQx@$+^#bmQdGtCO^84SQ zAd_!Qw+23=>%7HIOI&i);$41RR=X^EM`tAl7<%g1DHA;M%6=|qxnyOH4InG%HAQl` zX!kXzFqPCJJStC0>XdJBEb$u#pl)>tssXIx2?mNyr^geU_&DCt2a1gp5SRYUhf6*r zkKn9hRF1JtIM9y~9JD@F@g?l^g!<}99di>&*mYKQjW*WT+(-TJ$yB2)W+SUAuLg?) zLST<@Yj6Y4P@(%3|I)dF)ji9j>~K(ulh3h>0J3!OX(Evi`Vp(GM3;04NF7maen@Us zxE!Bt!L?@SOse!ZBX_I#H8iU(&f^mC`HOgBDiXT9J-Fh`GDU-s{cV%+0Qv0zA_+O^ z8WhF6*Ry2bO|2LA?9tAb8S}#34#2AiqF*IoCMe&PQz*?L6Kb+VCBUJO#w4IgYf=mq ztHaj zTKo>551Sf4peWZ_A`kX*SJ(<6k(``tq_!eGIfd1B?-IazJ@dFxH31N9-JzBuqqTH8H{*)67wY6 z$Pa;`DkAABD*ZztMJS=x5wL7m(MPl}tkPT!Z6wxy1?q6$+DB_rCYI=-T7j(j-#U2~G{3*7?~}WJR3pU7Fl59B5%6EJSZ*GxK^q>xYG$Vf1Gr^^0#&uj|jalbPGD_H<%G0fPWBJ>$ssyZLv3 z7K#AU7sHQSm+mrI-FW7gf9;hxryTUJA_u#qK7Wm6E^G$UgaBGckC9ksGP{F#Wx7g3Rh7|1*yJjg=yFpL79ecgDJpF!7zHP%I0-P7O2N8wd95Ig@a$$Yxb~Zy zUIoEZt?ur1GWA4(D`?wC-0bElqF{zr#|Me8Jef_Dw4{PAhBoVigyxW}JyIzXL&?{F z+%~9F9N+gg=2r-fwl>a&_&oV^xO{e(CnhE~YyILlp8o6rAMv(puKsX;^b82WRqhf= zQ_b>zZjfDI{YAM!E>83}c$GY;Dsl!V^6IPhr^LOsmcJ7PszhP+((^O&Q}#0Cpq1+H zQgv^m)1*p(zo$r~#r^~&QQ?`EYOmWX#tPJQ+XQmGR%5(No@F1Tyrfa|lJ-@1qrST~ zzLY$ayh_~Jsrak&V7*iDkp4iX^NT~)A(lr<95c7Ex|mt&8u3fGCLR zR{Lv{W=YKl9I+TxEL>bmC7ITAjjiONZ)G7JqqA7F7s1pJi%1F15|{k3GAvGt>-MWU zDv1PtSei_QG|4y{2OSmNE`A80eVsx6BdG|bf(q_-oH;uPVxHX-)(L0opgJ?-(j}>3 z778V^V3J^dq3Nz%K7aEcBHnN{RK|FrR#r1KsEB4-Hyxb%%H2oR7+l^H^dIF zdZf&h@$m5V!gO%87N>Q`Y)YxIJ|l2oqs9FGXnGhLht}f$cVoDZ>#qXnCYO$`9J&>;72cHq2AtH~24m>pi5K&ESl1kJ!t{qAaAO!043#IC)5CELFNN zEQY%$pUn1mGpyq3@V*MhlU&0f4Wy?-bU0?&fSa}siU3m&ccX{LJCC$;`?kri>cH7{ zt~Zz0jJxi;Mpw4I)N!u^6n5n=F+o+!kGgy10=PF`C?_ z3mLWtZdPd=nxNoDmSPMi-KN{ov{GE1?jupy^kr?%t*4%n2dgi^SJ;l$ zs`D9hSB@3$%k@e9?IqlHAv~MgcfjYS*TPvr_ z8IIJ@r2->=Hxo4hS;HNIHs4><8n9^*++VU(SR(xd1m!>7t;_;bL z(aZFVPtv%J(v-Jowq%^5p%BQ3sU_hTqu@iVWTa5OL$L#g;9}3BNru& zQH>|zx2Bxt5(nb&eQ_@e2a~LWf#|QjAN9ApI3`{Gp*CGQ8GN7+7$-0wCyvHjY0@wj(im~#$cV)u zi_7sI{V@O%m{J;&u;0H%6jV5DL(+JQsvQ_7C|+w*%1g}oGYE0@3W=v?j2Rq9AN{D@ zX{z{#X3b5GS<`;O&J5IMQ2TTqrT@s3$*vIy0Hq&j+COq#6u)VMA*1qU#1lCwFJ@Nv z*&Xz;xA`q9sc-Eet4(?z%~RuK|BrEd>ZNR=%~8HeSKr4eIO{zeIMFtolQc8x=gIIk z6aFRAS5IGBeYXLSOHkn4{cS&#`YOP0xBr`>(M%k$0$)5TFq%BtBuR@ptFR=l0H*P6 zqK#P-5pXB6zqki`8uo#IiXcFqgH_BvA}`k9QrN6)=%7u0$?=!`5BYWK)9u@?S zoNy3Cn6XorT?zqOpueyX3dRrG2$&)UZ~%%7ii@?3szsDlii$}B3JOH<;bh6d>tI;o z<#+LF3WX^%4_I-&{G3y~oI`t7?2SWXH8AG*1MRRHdIVq7c25po=ar01 zT)zFEcL*$-FdDa92sNe-R4){fOE!CF;Q_PKbGMpR`kbPDs3KD3Y!INs29md z;>3j^ROEl~Wa>^&(5|bjH^iu(scDY54?jsfk35Vz7VDWtm^sA-EZj7|R+Jbma-ji} zT{#&6RJ=22Kx`*g*dh^@u?bigRw}s*rI37K1T5AUt@=Hg4&D`eO@%b?FH}3kJ6!l&?R-tG)QK6;1;QWK)#TNVKlr%;LGuU={q54EJ)2F7#{52PM(@_-T;}!g`&W_iL$y_LI2q}3uIH}p{E^UL<5|4D(O&c zg6%0qpaZIHk?vl)UV529Iu&!Yw!J~lM&=x^-EnoKF>nv|g*+$z73Y)ggOQAinT*RM z+rm06gXFt@or5yNP?gLkCzwhCo)to#3J@_oMj}bJcM&m;A-~H?CBHByDY*`69(6%6 zNVMfzE|1n=7$SuZo@p_&fJ9{FBG8ko`19xlSm-;d+M9NHX?6F=5#pGGv`jH=#}Jc2 zpE1i>cj(1u@$-lzLn{j-UBsJebpaIfGTEwTdRxEknWrf4^-)r&A(#2yi{o0i!|X8b z>&&Uz_q>JVbtW+D->Fn@F20f5`*jvSBD+z@4gDK8jxg(%T?TZMG+m??d|#NLtqKAM zP!)^zZ@Sv8LaZpX{xjqgNrcCRwo0)mRQbSt1U9wSPoF#0ZZ0U-i?{?A*khdddg^`> zSHsLJ!B_gefZU2bF-o1?p+Kj@hH!*W$B%!x`G0*sMN1SW2Di+`1B(PM1{~$6vkR$A zzslMI7qD!G8V+!?#-uM;ZFnO31FczexPt74h5C_(uQC7CBkt0)8pORIN*l#_;}5DZ zY`(&<-e8vpfi~xa;Qm-AvMT?v5sas1?|}W+B0T{JN`|oP0^4}v<)I&8_@Nlqq1gDyjzNw$e5j5|#1?D0Z-JkL6vrWax&AC8UHzb_4qvp7H z@DedDw%0XAnid6_P0MzujY*8l@$|bK^`xYvyX%MLq#$!MkqQU`M8+hP)sCI@Ed%8o z=3E{$&UoYL;_64;E?cz{ zP;Bgf1`^_<^EF0pVJ!Uy=m@1Y;Xeox#C zg1=BR@_gP7qcbA3=Z&@4^kVU!AfW?qqJ3tWRhI*1o&H-`HFFS9{Zo%f%c%cG5|58p23zVR zwXUZe|HHM1W)WN<>X}3^%%Qi!Wr_Au&Y0-SDM7gg0j?bh$dtko)=d|GKIoDWOSD#g z&orhhq^fg{wKOkefqowvxykCR6Y%y;F_(|N`P!SPV?Phe;{$jM|EQ)WG(zh6wf9u7 zL^igW7!jA*i_{uk@nD`7zFG_CW_a;;Z<9PBP6>j2;rvKF++0MCI_yrT$F1$kT3BVk8h-|2r(5Sgo434#&{IVn%1ALR zokHgVSm1if>7-Za4p;B(y+izIUEbXlTkxAc{x-KP{FwWsj*|CxsiR~`rw2!GkFLF> z0gM>VUr2W@5$!9w+e8LG^y<3WFGw8+s1ERs9I97+Yo)%GisBbddLmnG{qqYS6xNkl zH1Z9B)cKDyD0R=K`9#&&Cw38slnz@V6)sGz!r2WDTS@}_G5Wu)Hr?d}`1=rUxiK9r?0T< z!t{G5S^2Q{U%NBfFm72n?+C7rn$AS=?&qKmU|KNG*}nbh&8FtHuH9R2lzbRUroU!@ zc^_U^!L}O`Y+0ggOAi&+y;wFI{NY8T)3Zq6->&zBf3!AM#Z-J=dvd4s&zFARMqcu6 z_}i)Mtc-2+oB1f`KZ7|oDQ&y8Yz?u6#=IM4A1f2sxd2M6oE;!qk*)nMcGOGSjo<9u zTm;{lGh%3wJK8S|17=|l6ATsCLwe_dE8`DL<#z@S!U>sMiSgvcNCnAc@P>+pM<$tk@>$ z#$fQdHlJU53aZ(?2&yOeSG^FGO;``vv9EM_$5(-;uIkjFzGkanou7v(3Pzxpwz$o3 zGWkKOW~1_Y*ZGRP~6(DJ3uTBoJa#RoRYw*1@^>StYXeBa8iYvc4ts8ijGKDNS!< zrssGmV6@s{uf?XSIkOVDyTVe(M!ZDU3pUwEyWMhI^-E4KqdBuzZ)mfugX6vO!4C0R zAHL3}NoB~6(7#sOE?6+#VJkjH%3z3mexjqYedJONRduXbYf%s*cLRsfB+Gigp#yQ1 z5@Y!cb0vz3a;aA~|8({(+^6Tqd)Vo+AmHwh0$ZRuEp3WXjqeTk=M3(;z{~qRQLpCq zEuvd+wSJ6GyF?Qaq1+)4@!wEj%k-t!duyj(%+kq;@3U{dU-kyu0nvqVK$iYyk6y$w z=rxp5!p1(~#+6xsI#sWEUe=JXPVmMN9?qH8xk0GF-@G_!gR4to_4Brew{>a4e?)z} zC`@Iu=W0~~=g|B>fm%=vVpN}Q?((x|$J@tt)CWW-x7V$+v;o65B|=M24)=0}Jfi1< z?H=*Ru0NH2i@sh?bzNG7bLF;mo7?;M2&~|*I6YS;=~n$l>WBC3P?bI4J+?#j@R?#n zI#c*d^;iI}@UpREi{e?G%cs}OlE$K+9XSn&tY>Jv&9&vg7CFMF4&Oi7`n_?LZP#~1 z`DCtrD{uOn{Gs?uX}yB#hK%Z)-RALA;TabYsj;}=v zw3q5%X@!NL$avewgak~gUEO+13bmJKSnfkCZrtQmLxwG5$DW8?@JogXKp5&mVGqQo zN-;s?)+Z8R41VEc9a2X?HviBNjpIMhtBXXr$OcfWQEbt-H5f>QJK9WDtMTK@KMU;o z1&zvsy^z&P)*u}AdP2Hbzt%Ykme|+W>r2x|45a<8cltd6tL#$Q8!VnF&Xf)<(#D?; z9sj1BruG-X>#x)r)0~kHe4SHFo~bwm1d-!6&i`-%xpzDsXCCt8+%P3cvi3&`Z#pf! zVaPjHr>D&M|6K(aW)h7o1KJI&tk6Ti=7s*{JrXT-(C}uBNauSQ_fLumdwB$R{ymg3 z=m}MuC&~)j`rO?u92pt88Yptei_0VRsvFZdcyyq#Y%x91FRP2;;qKvQ_>gC%I$D5# zRfWF+Lgp4nyL69l`PX1OnAW;Dc_8oX9bDygEM?MLF+81|Xmaud_PWkFx(DO?Iox#2 zvG+jQ<|AV>Nh&GzO}2qLSPDuS?Z%pVEq4)NUy!){h@s9_&iVIGlO`;#&n3>GdB)D^8^Ze9oZkmv-84~gC`5rQ+Xw}IiW_32oH>Dta#JYVu z4witjzGJ1wT}Gn?GC|BXM)H|M%T%w=YZ24le9}0^2_@CV4YbE_>bHKn-5-IWLXhlu zpc%raA+nKoObd!v&9kFNqyt{Y*V@nBw|WUq4nkVrgDTFBT~D z$=-JFmheDI==w*^YxPaNGu{>T7M|q@&z6=N3lFiHCe0SD7PCKw1+_LO(fR0I!NT#OW5u%BH54>Gi+0z}DspM0(w9yc}se>slLKjm^1k`I^zdX~uRg zz>chVAt56jzB2amj=j~OCikZ8S%a>|pSh*Ed5wY>OT2OF=wn--eZj>3*wL@AeV^ARtM-EwKQ+^UA6)>SP4;I^<*v+&75zb`8dxKi61 zVev?48z84vgC4!CxKv%)IZ=TaF1gI10jQ=?wyV-qXLP$WWTYvLYdYPisqk_|i`NPV z0tgWqr>A1G(vIRetFa1F9SGG7Rymsa;Fl^}BRr21J6o|ZX1AgRblXubRxg%HShT9x zEg^KY12nqabklXMG|+$BTICJ_Gh=Sn_K%3$t&z#pKSjcxw2kRkhJ;S~*p6B3N$0)c zACOw@4;WX6GH1uz`L*%`>04RlZM|pZT2@^QB3>D%O8pFeinS}eG~ARpBh;A{!1;xZ zgUJA9*qD=GU^=wm0`h0&#`7UP(WQ)wswL{31#w-IfRVv^Qah z3%k9V{Tv(7Xos)^R@{I*wTb3J*7;CAr0!0H3%9Di`gwi;C)np4{3eU`SUI<)`<0rH zrfT{CTMx=!&Aie8{(U>2+-Z{&wT4T6v&hLezn3BM!K(K!#(yQdJ@yy=5o*oRawC+c zaqY_b6uiS#!;%dI>a38oNDXi{rMr!vNLNVz76;pQWboiS+whs*KVYtbJb6_A zN-A8tYLCl6S0$<*RS7P-ga+KSFD|?|gx)KEgdpwOKK+6xCA1e!pM%AO;X{@=ZT&eG zmMYv&+8moc>n0C`VT_!DErroTme9e`cPaNKirkkwzO8H$scOADds)VFp52_lQ&VdZ zO!G#RluXa6L<_@oMo&Yohf$7R#bW4cfM|#^Uf8UdbB~&8_4v}Z9`+?yUaH(xR!}%q z7z=~)2ARYMdnZCEPIE5BLt@k}7})E;Yu*HK^Wj6si#`Em8MsV*46hw`{=~VIj%eTx zy4vf;?_Z3bvog$@`G8MCYa^7ey3&j;gp}e>f~zfYc{4}Wf6V2K*moax4oo6TWXXN& zh=>Zn)s7)OmNRvsU8p9Qp8K@DiAlOu97^AC2RLl0XjGCOViNfLQ z)(eHiP^0~g*X9i0QKdC$n}c-6o34ja2B8f%&R4EK9AD^_KiF`V5qLRwhwW1mXz|pZ z@%sfEum1=j5|=>+D@EpQTu`Z6AqIFXEOC~fHB#D-Z2P|)iXn|;_cR?YU|=CXvbgM7G|aG`jPfx&q1CXT8*(E zxp{r@hw-C}elmvfPoq3(>?_b>67(iF1_2V8W61|$_}tqyBLDC*EbMsy{qP}}S`@B; zIM|5d)XOlU@=If!YJ0l!3-T6#-C6gQcO64o}mK6G7R5S!|vP zxLsF;2v9CqjaX|`&Pd$elHgyzg3Ab#V7M$a*8ZA!+(AYn?jkYhHMpD64*rZBiKh(x zF0kGAnii>lNX)X))3U&*1!GRjuD=*1gFB2|LdZtEpC?1ul)x#EVR6ScSrZIe4b_e} zLH)H+UcbZg`;UQ6@IE!Mq=w)Tu##X8?XYE1)`WXq`|BeGnuGew-0sWPbXRH`mkj$p zI^UTm(NVY7{6nnGz%vm=pj63a`!Ua^Qepr@417Bma!=fW(=lUX03&i}A2x)@3RUNb}avB*$2!H<|YGNGM1Da;*x&KbfJZ_$T zDkzY0s49w^A8nk6{duZQlkllX^f*ymbuj;WXWhV`#hh?7Uc7#H!r;SU5U<7XLnm6L zCi^J$%X`7gWj$GtfOA)m00-7%Ki*c;6RrqvCf25v}dx(VwWJ0G1|ceNo;Db>e^y z!OP3~TPL!vq;Y$IA1sUlcsQd@=;^WSPBcQ03p2b88LdZOlbTlAaOlyJ-tKl3E66PqNI(ZYKBFmT8M^E+&H)?Aob4+Ibpb*&*wUwU2jB z3B=MT&O)wV0>@R&j~OTsFZ2_0OI*?*7a4GQCp&4=JaP`>ZPNJTB-V&cQJ=`=KEHy~ zyEaa3>Zsysa?Rk>y_WTh2gL8^REcosC*+=g5rt!iJ(IBY(W4~k5JpW6$Mr2_In|Pk zTu^U}I+2+>V2=qJXJB9^CMt{$QZP)^|IP4KhiFWYV~rAZZT_pSt)V@V#d4&hhVWjf z)idF{|1)-}*gXHQxuh#YydN$4$LFp;kbC#1K5#p|Ed`Vqv?_fy1ymL>uM#FOZ*yqY z4cYkvG@U6GR2qV6<&VsNG37V_j{iZrSy{Qc*!~ylP8SgY!vF&S{=<}0r|+eLQeysp z^f}xAqUQk4Z}uDezwz?3G*FCm`!rA*P_F+l=6IL@?*Cxl|3Ana!2KV{oGSf0HX97U z`XAI>FBugT9h?=wlm5+^Q@0UkfC50_|AVLhhizx$VN0L?{4a=|K0PlJw2G3G^}ks1 z|A#K;{BPd;|Dnq{la)8I(!;X8;d1u>z~wZ+ux?mm42fPLLyZ6t_ADxzm zmt;kWd0%b5R50&>@1M!;T3TL8UdwI0tH*lVcwwlbbhaW0Y_?QbSbkUrn-O!>GS00) zla-pbFf^clAOyh|wy5aP)-N9}g5PfTQqmW3D8R$+^YoeNEazRfu!|ZPg)MgAOzisC z$Npa2&A*nuzREl@W<=IJoc{$y|krVgbOk2)_4@A&d*;u?1^vd5MlPKVgi9f zv6KpX&a?kurdfAB=i=BMGm<%X_9c{oPQvBewZF0*dnr|d1VyZq73;Vda~6k}9)O@{ z5->c02S|nPcALQq>ol@bG%k%+?D*)^rvTlb*EftZ&q-d0^Nhz(6{}=~`o2{r&a` zx|idwLXI^G2CSX;QimbcgRacDoX1K6PCy^Xj?kOX;%LwYOx6;=_h%tP6dg|sJ<%{Vn;eDj-Y(PJ)S?TgWy zXk{n~`ZUBFOHsWerQQfWKQz#_s01anibGEnPMH6lo7Y)-IcsI7MvtM@ksrV0f4N-j6&^hsDBys!{7 zWqn7O;|p;OmTW61n+x1o_L}$02tCH$b@2x6g?0LN#zrrR^H&Nqm3VS|;@_N>m_|Q( z9bOww7*7Z}p=(B{0onL={B0@jIq91pJIOyWI$>D31>q+air>6}{SZi!=Byx9Femr< z!9N>oL1b)bBDYR@;c?M*QMN^QWoQy%Y`EqqXf5c}o6nu-P3%1eHJeaGS8$TskEv9c zJ|B7Wuz%wAKzT^){Yk=)Xaw)nfm=6#ynB3`fAjvrcS70t**HN0WJCDti>?FX-WkI$ zO%&4B2Mv@OFFSC%^SUD+VqKR9{>t>pu`%P#AfH;To{?PdwHIoQ$Q`9JHviFqx+Q*P zbER{oam8UYFng5!;uO{umbwS@z;^!{5kK;8nzo1jiy54p21*$inFrFl4R3bCsr=Aa zI6^&#ixWAQK8O2Ovu4Zn8KHS3G!K?(zKEUi` z-eW#wPBGswT`+1sHj`b%E@K~Icd&ce_qnUM8{qE=?q#l%d!M_&UEqHSALP^dLVf}N zH2eNdK%TzfqnvIr&)_Wk) zme`uOJFzrz6M6@}`wQ-kf5ELF5gqW23iKxYtw+c4yp{_lSNr;j-sb)xUEALrs? zkcTerR&E2gliPpG{egRz?+4UgiM9Yoe+k?yLl0)5_tAIgCoIC)1W<1jhxQJDw%4NT zNgZ<-4aGs!1TvcsdVEN#CpW`W?gHv<1$jOUvT+*p#5L#-=olv02R)hv?-$`Y)j;Rz zaQAi?!MkxgoS6+;y8!(i`fbAl$Sv?b1D>=Iv{omy>Cb=YYoK<*YFBU23&Zdfc-Bv7 zDw+*%=#R$X-7o_CQ8{SVVa$I4y>qaRhTv@cBz&&{B-Dm7P&xNEOi*ugY+?XuWDbLk zNx=D5uwH5C3fv5>utPt(kq6hHQgZ^d;X}-@ZTJJL6_1cPiPg+v^Lq3SdIrYCU~d(M zu?yLI*zEZKEg10o zydJm9>Co*qO;uz`6nKth2u8h!N2(e^ZPA7{HX0c@vJb6CX26LVmz-#53&E+X%kOCm zHCVTWF2B=&JLmq}oksFbjx{(Ahv5sM;r{uY zrrp?gCAJQTZ}`C71c@3nsx259HoPqm8Ae;s#^es4F}rQt_}bya(!$}oKE2!U&{>h0 zZ76>-q|F|)Zb3t>*R=6N+XU-1p+?#pbZ=;P@6L7ib?9hjLrk3=nLXp0+BRlJ9esx* z2Jao#=392s|7#TQLlc&U z_XLB+!Nds^93EOXsWuXBt4xd3%@~%x+l|&uSlS*iLV?S#^y$4@cO(hB+h$K4sM@6m zb1q)9j;!11QT2q2l*P0~kx{@9ZJ}8qXi04Z`ZR#<<_tjVW(|Pb;8Ta;TWzypL>hnF zq@fM#^nvs|{eBylt4BiXenh|lk*@DAKQkkBhR@Z1MD&pI$wgL!>pjP9u~=I{0c8Fl}UnM|*=^jx|4^@e{4aPnS6J$+A`7`^y!*S)Uc^9QzJ@BeXmPV##7 z#7On{X|l#wDt)6sQEqQ&w#cQdfHrLQvCXJ+yNE%~Z1*W{_;?1;DtG2PZ@XuRJ zJ-dwornFArP*t1WFfzHXlf&Wv_?-?xyyQzAiPQ93R`KiCQmt$o7`wba=(2zMWvx@! zG0{=Blpmpo2BB82v4eMrfBwA)hLV9G~L9&DFSl2YXp=Url5{K?h zYpc4i4mvR(4+LfurWWfeYn`y_vpO)c&WSzeFq+jtlIQf~i=x(x4Dd8( zb+E}RM$g?WoD-AhT9S9?r|uTvicYT_LZH);busy&N@M7x+DqBNlCioz7Y+3FsqGL# zj1ByzkqB}5;8i_|ki^3F4}_Es0Hto&0K3`l0(`j&A-xqLCtP}y=(z-;TtIzMplB4Xla|xuPE#YJ91%%VSF!yn9d6Zht7Ya5Zei^Bf1%{CR<1= zysOv<7hwY&nlgB^&V-mEhGm9vItrm8xEx@gy51iftDmeNqkmnG3cKq26}jNaHCJ|uLU|sU&(4t+$cxDm_DN}{yiYnLpOt=*z1!Fg(l+^Z z=`Hyaa*RDH9hXm$Z`iM-?__PUv_!s}+{fN6-6wA#Laj1~EMVtJ^W|H~QdSs7s@Y*u zwLDdvD%Hw@UoN!8$v`$P4U#Ku0z*`mmn7Lkf~-#xcJqIf#f>b&GP1;}f>6xc)MATF zL>wn-afR-zUfL8bE*iGHxI%YuYOA5s14RVLz+xiEAWM}M6_phZr>{I_ed=*xm;O-~ zJ(1Rt7-aN;w}e#f#n(G@|z5KP+ef_kE)%7dF z)orecwFi-yII&v^4H!^|>#a}oLfTOjYpyQ=M#O)VB>`4C9>6bx?oH6?h$U2^cM3cg{#Chz~2*eginC`Oc0Q%-Qka0 zrL2EJiKiJpe;-z~88&C!Rz2O0YwXx=c>HmD2hKOLoqc4+{)24_`T<#w3Kpf~bWdKZ zfbBw-P$V!QsQ0y}-7%3$%9;A+F4tgE^j! zgpfl=;gHYkHt*2ACB^;ON}M&seD{BQ^UvlQybQ;&_Vnyx^RwVnxBmW}H(PJr zNz$%9{ViUPr{Np%!`rTHt6I47JM$OwyYDwrX?zeUmjlumK-ebxEoc(%z%&Mea4SjkIxT4QWhMT1$T# z38fXKwWf8Zv1youP$*Co=nOCcxUD@%*@yO;(W!m{`Nasf;hkE&SNipaQqH;2JpkzhX+i%Vcy0UnAio= zJoo9wx*1z0-*FaM?hE23LjM#z$asI;!KTUM*=aKSEVo^FR(ewT41Xl>_b8k3L(HSx zCgD-(A?0cOBqIf}N65!fp$<m(_T2MHt~huA`S7<-j`wY-wOPkw;iCbzO5 zv44@ZGIoIcAiG6=gMCXr!U{5xctv1DUSS!LLzqY$l0Yy+L~;|T@QM;b99Vxdj^{Xr z5RhaAaUJ-j1H9q##QACoj@!kc#vH;gA(H6a2WLsO0%r|{YFmN=>b0}{u>d8eAGjyh z1&3#oN2svEl0Vp8E`N6TBsJAOIpoZXvsBL}%eN0mj=0<(c8JPh9c8^{7>wp-w6GtD zW6wYS(HscO6a_ds)f@n|E#`lV<{{Ee4x7F3C*1?OZTP&&QQD7Ae9it7q_Q_YVq9_1 z(UGw~|MlK1h@J;T&*P8H;pXJu!Y|Qo$v>_hji`0D^5#eP9PW`pFKYEO7<%ewmG{wa%c9Pk-ujDHNwZ_0xf?hJ10`E#Us^n zwKgn!Xk>vlC$dyqmc3S6m%Uxyp*@}Ll4MEav-wCs4rtzNAv+>#EcQ+D8-Y;#CO^K( zzs*nlhsYe11{zWgmS?5mv_5VIjl@)CjtYk2)CP_NuYLn=1-sgbMf?xe2$t(CX8ROK z{vQ%P>@!@xxUX8siw1xDX63c&Z937b@ehvF(DnHsCH~bDYj-1KKphNQJt%^+bodrh zMbI2OQy)8-EEmR3f+kIBNN{lvqHLf?TE>+?k0Yt_Z+l$j*+3pBp!U`tC#@YZ?9Os6 zLI`4chSMKYd zwmR(*Zll6wIdVa9xtzInT@2*y60ks(x}?OZMmAh6VH^qThDM^rUF=#BO$1U*mF9L?Eq`2%#0u+A&@}cpyHh0Y3 zGoS4K5a)k>d)dh86&2S{ymB9RC_VbBdFsFS-Q7B?x*&^v^+Ku5Ipt3~ckZ9-v{6ZV z1bX^MON%{V1VtV@ow5vCgJF4I0CpB-P7)-ouR)p)j06Fr*b}L?cQFCz+IXUhOyQ*Uks1q4FmU)kXah zaF!Qh>Xx9SvG>Q=<@#&Zfk+QTy+VAAq5F!I;*mm%?-4CI2-04SSYjEnl!~0`&zujT|`0<*$E`Z(&w3k1&r&kIFllL(E%@{0eh~ zxgaxF$U_*iu(>{l!H=l3goRIh)9zF%dCL1PyHd#(XXYQE)th^T`x^fA=v`W!`DtaGE>Ry@=e~{ih3e zlD2;z&EYVTj{&xMihBWE_bZIxI05_va2RtrB(hvkAk3w-=ldP}mG)zGlRE5UDAQ3J zN#7dwJO=MO!M$*P)K63%9)@S111_P7gF+>rN>r3?9hzEq1MPt#Yrf|gy9q`n zz3*l?ib?c1m+5Obz46MvHGN6nL*#yx4y>np{qd&smUPkz4qZC$%%}V)!EHtPMn1{! zAom-ZQ>)Cb$tKzK+u8I?kms_FNsE7D$EcGSEDjuF7Uv%G2Ks&YszpyKOrZ6flS0)M ztFP>?kLix`!usY|7cjBISzb>!M0e%j4&vr|1l%3NQL1xGiYcW9N^drjZK+|GJEfSx zL1ZpD#v^Z-_0@;(pIXqcY=znV$(#2)cI(0EHRBqlj~(9-TwFJG;VpIZ<}iQ0zK=IN zdGzR$bGH@rd+D}!%*NY~Eq()!pLFf?Nj1|Oy05t9uI0DRTYf*~wke5ItPMuT2H@{O zqk4(FM&5yU3Ol78wgb|ek~qat=dBA)$%1k4&JWJZ63a6!}!^lulnEPJ7y*eN;f+Yy&>tOjgsFx!1h34||_ zFiAj4zGk{n@j&1*gF<%bz=YZ0HkbAHWdlQk$4n_w*59E=qonxbCF?gV{`jc*3+zkA zc{AcQCCP&8+`QMEZZ_=Sh)3b=_;>p^emi*54JLeEF$Pb%o=WX2gMohrQINP{Km!?R z=nJD<1+yxt0X3#hRIgLNRQWCq^Q@Q6W%IR>+SS?)?SS^WCSf8XmDdDLRx|;rs-|_| z7mQ#M$Wd6SF&bfIBp6z!b_6Of;(S1a1n=FCSQfqjAf$FLw_e6_2PTG77q$s61Fi_# zE6EB%NWgXoUxh~^3yOa?>wqmlZmEl13BbR*zJhX{EpW$^w=0M{8ui?U*F461X*EjkG4PDub82Zb`MDXgG3v3ob!;TgNgenEw7bt<2(5yJj<_cir`SBC_*A( z&!rkK`%=qCt$u$!_0W0@pQZKco1vt{EMMI>R&N6$VuwXl3$-=ZQ)O7}O-ZQF6=wR9 zNW|gzRU<|=lAHeiam%Z(G%R1xZvO7*!b#W8t@!NY1r;?TbM~I%4%NJU*HfRQ4_NiA z`6aG=wl3Vgl^L5;J7o0Ls^wpA1{z0z#uEN-!zMAj7+`;VBI^_h1GWlLo5yq{!9FdG z0>JT1u^@tbATlB$0wb{iMWDh`oX=96&ldCVahRikE?_9*lm>-qQd$(!s&pzOq!cMc z5v9~aEqiR6I5932TXgFLl}#xpUjp%BG3qk)pggEqHp8MBO41EJfJ>6BcMvh);7hi= zxEM-CMmm2FNHkUgyB<(7)Vix>zfvl;D5X}%t_b#xixXkTF`^L#6*jaa1Wrm;zJCO*2pD;e5H^~zQkrIDlEHQ*@$P@uEUYan53>O|1o)z99 z$H_P3l<+fA@<_fgT38~i5uPJFV5@}-FM8gnCje51toR4D@7S>!he$1UnV)p;2AJE2 z`S6!2=A{e6sQ$gzyq?{}UJ2fBI(pRTJHR#4MVxV_Tq}3Q(}pplv{9~MX+Nh)Q}`)z zopXPRcZ$C*{jBhFnurk}>>#)7;RH7nhF4K^yUiCCgH4$@)6vUjvqyCuci>)z(u7(l zTFIy!Y>g;r*$N$`;pABG0Fw5L>oX><9xa-(yucdoxNov(+!xWXQQ2E{aV z0U$mPI(3Orx)<{$FDy8SiFx5*?fM$?6!w4KKX>L`t7gqx!$!A`n{EEZ>^9Gu$EzlH zf6E+ffA+EV9Zzfn&R>lXQ)c!3X(N9Vhb0@H$j#*zaZI7J);8bP~u>zJqs~{{vJXocM;#gFd=ZI{y7Z zq=Va2rIdrmt8LVu=61+0N-t_1K~aBntKF-z@6M6RqQm(rWwcgfuL=*z7P52l zqGf7nn4Y1cxoQvP+f8sH<$1hD5c0Ahx7~^2r4tKd?wMWfstv77jT>6=xSp zxi6(W#ys}oXm3qFk*gmo*{#9DW{ zyl^MR#u&un>Fj@Lme4ME4S2M-#Am>BeFi+#XOx!3eMYP=>~ed-4xVfGW%;K2Zt}6d z$w4DKCvFeoalu58q&jbfo2(CwKG!$XIP}6~HA#$Ad?WyMFeotqWhvo9jVofW4F&^|@dz8~rS% z#uC@N;!bKUJ#Mg;InmrpY~_S?-CO?$$IZ9C|E+)d(>fg5c;k%^KYZhj8%g$km|thU z@x%X^e_WY(`gc!1-MaPZr>)pN?4ASv5RmjiI_+ch0+BMfaU5yk+PF^c2=@cWWpNGM z3a%ASa0J7xGGU@PDRz2)>!7^1SBp z@&Rui-^)`MSWH%t?fgFebzXfreq1LRImP`Py|o<5%{fMPKI{S+@tblk|+I0lc_3dhiko4 zhQli1j^1=E4Y!inFDmwu^0m4wb(>1ERGd(;YS_GSHCPkJ@dlvr{Y4n#l3wBIKKz9b z*H|LP_yQ# z9tacuN#_K^or^}6fPU6OXMiOK}^;5-WN4{2Hn~!OL zq7x|Df!RGCOdZvB3Bj3+Nea^|AO7}MRE;oPE;BIkm za367>c5}$ByF>0GH|q`rm$debNp)KpcxQvaJ3ENniOvCa6=Uc;I6xNtOn^FcUDn(< z++Hy!5=${+YL5wX9r`?z|HR+2{## z(p|Civ`-64#t-lJFn;gEhfjZ+Yk@v~aNxh@K15#BVHEdgaRD3BLykJO#m|ZC%YNeV zI*8lpb=X{Xq}yB=>BKFGb_GvY5&*#{6=WVe>|UGz152x!Ga^hnFI7gI;zj`_7ZoURG*DTMq@)z>m9eH;&r&2`oW%f{ zc_gDsI>TOWMcK;J45xx*R*@x%5X{4|RIbr)_|x;_ik77nX@XtgC zGv&^53{9|%YmCNPlELLL4!e9*fL&pX515m1-kSq`yiI=_hfSbf_m}&Id;9bundd*f zsO@n8RCtczAp<~>N2&g!$eaZ=KJV5f^N;C9m1DpJrPOy%Rz?Yoh{|=M3|whU-{;>C z%K2^f4gV4Uk-&eEpg1&bX!_8MDS<8Q!~UJ@_H>aChEP6V797bA^$!gU4T?GboIp;H z@kZGx>>B^pw5{n|Gj^u$%n+SaFNZSvW!##vGGjx=(G1a2+FrLOoa#andob7uSD4lY?3IWBOte$m|IOCPS$e z6rUl`tj#Auh(YJlF$O<9mh@LLz}a_{(>Cm}TZLiM%UMw`=R^mTj`GVV!W1bj0JhwP zX_hzD1pp4LkXZHj+QTR{0EWUpH+=wwH=GhTm%$esO!qR8EE2mDrcFuy^(!Y88yBB7) z&m4SaT$GN|6M%c8FiH}dVo2AK+sM6yAuK@E_UTsC=Gp_I#9^dL=tW!$rVo>PL*o#e z#fI26mSqF-A-n^(qMrE7*@~1+KU3djMXdm(@8jTCB;csyQ9t zBDES`o94FJG^gwiSuQR!4sWp3_mq7)G>=g6JOE?NZcozyt4}kuEV)o7G97n$yW0|8 zw>N)ImAT<&+#UE?!|iZn>4iEe)GPHGozdy7I(?VRZnv>^{e*Drhz}b+>u0i`>|){E#JMIpFF}1@gvpjnSK%&-QqL-DgfF^HOlw0iC0kFfZ5ZvpP?< zP1E&#O*n7H0R42~_+Do|hg}pA`&D~f^lJ9F zKzBUdab7q_Xlt{;s&hQgYKo2533BnQi?9rXsSDGfW4#0K0+Vdl3T^o)HZz17M>=FrX}43i$*~&*CsD)aZeh3<;(QVfSS)nT7ykXS!lE z8Ls|hESU=jWpaWUfO9hW%|bH$%V^MzPVgbHv1~u{@3vVrjmuYDH*PL~hS-^Rzcv4k zy-UgO}x z%e}tn#rL97mrAI&SQj}V%nHE_uN5s2!j{j@3cACQmzIB?9?g@1?d^Kh=`g01HaPH2 z4h%-K%CHC1q8aIM?xu9ykdD*g+}>Qk9W*?@2cf)Fyo{Dce`t3e+?r<$hOGj&oV<9R zG4P6bVcvUrU*s|NysW&IJO<^3@`~~jd2C)F|8EsNkSH|`k_2#ZAM+F&ec+i%lP6D_e$BAW-F0O8@A_7ZymzBXNY$2Uy{lF} z(tQD>_}9pWfEFJ_67;^3#x|sJ5^0gzq)zFG^n-uIWl0Uv3aJ%Na11XXj%DoB_8&nf z;G6XXNX~&rCKCbpp(os#dUlmjZr|1@f9%s-U^m~GW ztB)oH`Us3SZx?W^tji$_2;FCdiZYB;?nr-Y1|P~eok246yjFxM1}U;;2JdT6zvGV= zT`en{l-Oh^vu)3ke&(B-ij)&oU%sb4(=0Wog-0$n% zyZ`q2fdePVM<+Hn?THOEZ!{k@A2V;l>+$@b%|s$`;oNyDd zJdp)XN<&_f$vU{8;FdCFhpIYbOpK2x}U;Dm zNNeQP>Tz_O{h0e$Ixc^#euutePjY`JrSIgE>d)wB_AGZ!I4k`upH=&$5Uhy75wfy% z!pZ4e4;&~4ttmW%umAvAqL`M7YASC$32gVis<2$BBQd6(mnA5#GKv|bhTvO_iU?*^ zhLaUR5_wS&IGP^@@Yl*6M{=R9(nf4xVa34`rimUrxdAD#0anAdy&)XXUORt?gGmQE z7#PzX^mlg$1Ks{4#=V#auBZO223fW=nSfxm(t=aXF+}m%Ud7PLp_}LSXywq$bNjV& z#Zc*)(|c5Uh88@{b5v;UL{Dfrm5T)c22)E)jcFKsi8`|lJKi{e?YrN>9`jlAp9l7W z0Ub#?=yv{7@@)5H;EgeWY0`fHRrNy0jpEgww>;#w^n26EcIFvwhkHMBh}-Y})c<)v z^t$nHyub00u&e>L@wvR=EKOJC4xD4CH5xXw^%~JM?4?N=_AFPSi?}EvacxiIE{8cr zv4wN;v^KR9kge*~kFCgBpS3M(SJul}To%BVnjD-HjCqgw7UN?mQ1E}hvxVxrXp&G0 z=F**&Gh}Jzi>QQRRZCgXaLuLQq|AE}oL)&vifOdn$|0gL=P_8<7LK2~c;STp)maOd z)Q%cCS24TOZusNU_m&T2h8*4 zt9$Okfo^Bvuw^sWteiJ{_13HFa2^J^#({^3exYgSRX0BM#bmT0!$ypz2_3<46-}AsYxw z0%G@bseI${wY!Ny1D;k+5bQuwPo^^YEZbI36Me!SFm7L%W7yxAW7${FojZReJa#pV z!b7Q1c#O`zo-_I>8JkQ@(=PNP^h5V*;iN;>ek zE*+B9IB?gVS533~;MKbgXMEJs`ny-oo3n~L)P4FP^OX6sdD{H+>bk9@;HjFXZO`t1 z{IS$Jf}Vd*KU$~xO$9U~7yaJIl~_(@h$QE-&Ru{(81O4NFyewJDoEtS5dR*{w6qpU zXydd7jcL+aG(z)jTeVJ&y_j>`lR=~Y$3+qs({Aa6fdw&`MCYCistY^!1g&DXmC{`Y z!}+VjVr7e_{x;%#G7RUN$GTsFF276$|58Qn>|TEXeOe1#H{I&fzvy#6ZwKUJnVRK+ zK5>E385f@?&EhFJZLf3Jo&B8S zoXa^#EAbA>FX>&a4f9s#59@te?e@v{t5{W3O({^dJe$|&>7%JWFYC|2sTpKkV#P>p z4l8Y;T~U)|enB!N1H4PC>=#d_W=NhiYnp00N98Wdo<|Ft+=q&v;t~7-zMz*94f=nn z*hzsvFu1-S?gxso!;n!)PS_bJx~LP+q_iT%2Pb=ku=`93IP}mKS&g$^zDH8yRzgKG zJ)D|;x(T9Qamfs*)@W~ZH|EakH8<8+Xk`rfI4hQ9g-}a*E3K8nJ6~zo;kJ=T2rR{= zNv=!rU@;?q%8g~YF71xaqswPv{PKUFTCi|s(~IlPe|~x4?uL2yubDsR?y9^2p3Jbf zU*y^?&+S|PF;;N!`G+rzcr3;`4ilbG^?t$W50Rq~$g7Ej)kWtMl`A z(PeYV9BY;O$>E~DM&EIL8~Qf&ZRxwAZ);yM)VHWF>Fdr8p^&QxTn4HD`usoF93=A( zlgkb)b8jgCPof1BfG4Q{plJD30bGL9s@s%_)opewA1O4za+O?R8p=wg57Ws(F1cu- zCp(dGgj1DhWFr~mbmVN<`PM*A3Nll0;)rQOQV25XD`}g z#Oyc=ms5e!hu|TOzu=#-Bye6XhtxXeJ2;Gq%k6Nw7&pOIyexwOr6GU2J+c>6g&Y+n zD^MokgoLI46evUPsMm8bQ0Bojob;aqWfzf^1$u#Zeb%I_*puz%NQMG0L$?n6?3%+f zw$=cy4UNB|>c$fD6zJHmwvB9Bv%dQQ(r?GK(qU^?b$<_?hW@M2{|0LoY_oB1zVIeX zHVX&wpYg}SX^j(wAnSkU^Z7C~Kpctd@a=e!AV+ab=#K{qRd}?pSvkj_6Qo==Dip|Z zcAz|z9V`El6|a&fv32rn_6B(gUM@exZWIp5AG3d!FUT6h3P3e4xS<7d30o;wv66=k z$OGlE@^$hK_5k~qe3q328lrOg>D=3~c8||mBW8G1M;x=Vz*2w20EK9+W`isHd-aJY ztmV5W47)cc&P0D*;g-65TEXs#hQE8z9o-(G?B0T;vzUO1hj)mgJ7*i zp|QQ@=7n_W+robmY~cw^(S(Xum=EBoFTIXOo15`kbH}kyNrW)wpK*>Ub-#~CnFr{o zUT2Oc^8s<|sLHVA+jlTTlmLI~$SEGi*#JZ_>=Aj$kfndATS9CR#ROzyyW^?r==$vX zu5PekT{KxcwHy*hqNG%J^)D+SAg)fIm&|$Pk=9vLR(5}`op(iP#2kML|MOcgTja!H zFjiCl@ud0mmbo-Tl&*bz+43S~Joq3I62}awtUS)=!!DWjnqL~{jeINZ^_Vd(oCnun z*9#S}1zf&ds15)qTd6KU3&q6*F{csnx;B@0_W%hiGz+(B{-DNDjd z;Oi3U5XnI-+#!X)#|t^!aDrC9mP#_- zE5)sJ;<}4kG1JNeU=rpYk(Cc6OrX?--8?&BKx%(ZFKOYzVFvzy9TtqlvFL?WPJjQGXA7LE{b)TR-u*f`!pThLFq$>AGqQc8U2hKFo_^o(=BFhVLRLHqx zZdScGH+!9(ep%0Y3v!j^8%>)*dDavu&9ESgp}c_dkb18*(+O;hNW^qG_C z`-Xo4&lwiajWYasBu}14=EFmXLx969?%ON=i`$}7zH=@ZO8Ks< z`{dC3$P z$Orlnx9}o*55CS~!SB@5LTMz8a!)4Ugv0@2nrB+0OlwTA=Pyg2h%4Orh`uK$=bkn?Y=Mb0Frh|kmmC#BYn^jORKl!AXx z#+m6Hp3{QHsVMWM`OB=`JS|TeT6de?xV7!PdG5Wxm|x<8f9&|I`|&%*kDcE%X?znq zF>}(m*6!QQGavocJPnF`Eq)Nse(}P$Yad>A@A`YF-#r!VfS=nA+_LH*VnI>%vpeFl z5>y7V1LTq16lJIK2jyMmxFUx^lQMq-%2EmyQm9laH43B99xI3F&okiX4iM1Jf~ble zxVF8}0v!#8jnsgC4r`rDNbXgOfxTo(lwCUjq@r9kvvZ>4~i_hMP z&HoX)US}W2+VaGqjzqIXi7(h7HCQA_p(e<(*j^F5-4^gyVlChNWvT zWGNSrkib_nWTXuCPO%mn8ldG_>GI` zcxpS8%q8WXK&jM`IAuswuLXZ#r}oqkt#Q=O)p;}GYzTIOB+0xQL>{RZ%9Vs~N0=&w%9rnR03A(5Rg(3$2 zEHdN}e^@ze6k4-(MTQ!pMu6ae)}!ZXK(Rg*QLOj(sQUlEh~jE)%%!^j@}ei)NKs#E z-38q>TX93Mp)_s?3T1ze`2;Tf9ILniW= zlk;UgGrGUQfznNj3T6!G=Z@H8WzOWJ#fJ0e-`R1k-F}+oa^rU~KT?YVMuIsCzNwDK z>~+4yf{sljjD9-kB@8yh#ScYr}zbXME!w1p|Wxz`?&BT zxdk{*#*++50)~IUh3ey$`)=2DB(G<;fyNF+`+^6CV|yjJM7O6VwCvUzK$-z=*X<#D zk=?Mbu=Dny;l!QJBTnKJ#1hn^ZlKFL=#n9LhM@Xr4afFi{2%hjRS;6glG}Rn$SIbs zk1Ygs2*`Hf*?M~BOhs30p_Tjb<4LL+X^ESA&@E0JF>HU5KaTB4E`m}PL~1c;LS~Y$ z5M?Wz)KDaG+K`oUMOR_dtT=TYDk;VN%Xon+4SO({mar!q-#@dk-#BwEbECOn{i1Z- z{uzF&350@t`-a(ji(swneG7)Z4+B@W^%RPr@|YbP)u<|LS04|cf*m;YZHyuRYsrNY*tx&Ni1H!)q^gUIaetyDWd zb*}pXWUYYtnsujqi6chsAV;-hj0N04V>M3f4CW@i4D}N4;>^X>sQ3dom%fRfTUy0}6L z$A5pF-LA*FJZbpUWsU{goj5Tx&BojAyZ@dR;MnfODKZFrLk0~nG5~yxA^@TZlT7x;eDZ*ZLI+xCDIj>pnCe2ylPj|Kg@&bG3OrJCnTJ=Sq`@>Fe#tIk&!oRYC!-kyF=I;;KURvpNeMx#-z;zTp=WU}-(5$zWD840ZOB6=-MvZ2?-WIkg0>k!zIax*C0rfw_Mf ze0?2u@Kz8h>Ale?(xoJ3MwLA|ecz&WnCV=wr2;eN>3e3+UAuC|jNh8q6Yq$LYqnt> zBb+tu>c@VmV)p)i+Y@cOw!8q6lSlx%ngo)=V_Q4;ULti4oaNRJJWT>;p;J7HkCNl; zagL)uCbfjygg25$*v;HFQDl(97m9!M2df&y#aIX+FW(DA`B7*DKNTeWSDTys83zkf z0UVxbC_EBV29-NRW`MKUNq^!)VetyKh5dp(!Lp!A6+>RZv@l;VCm5Eok~Yc}FtUfR zf(S)ZG-W*?T!N;~)W_=2)YnwK18^Wu_~*SbHnzF3ZQI%;8*iL!Y-eJd8{4*%jcwbu zom_tRuY2#_s(EU@J=4|GHQhZ^t>-iNrh|EIvA7R?vN&aK+Lo!E(t@Zs_X9HTB~uk3 zzQ1Q>8%XubQ~H_fnAPonJ?=_Cu=LJwG4(7^tNx}OSk85f`y{(1i8wOoZ1`LnF~2P_ zop({OQNiyW+PT)mSud??td&<~S0#t~3`fQB_h7;{7_4RSIf?ihBj7aPxd%nx+}8YH zW{O+C!qCcA^$2-e>1zrfTfghk=~4-(%A>7Vn5JYM8J$x0HN8?j!g1s?aA;q4bK}w_ zVp7OG_BxZ*g=+buS5Mv+Evno?n-8YIdNw8zhZbo9aU*$O33?_gc9lMyasir`os(UX zU69@2gNU9^PXwXiRzY2O3LpM6DCl{`Nt z2DfXuSF@RD+z z12Hi?+Q{w{x@A&DGw z41O{aE$xRT9qRTl(aua12>^xhr<05gdB4aVPF#TDI0KH)2pNEhfWh6_UeBth@lowO+BCd z3I&@TX2*MQ#h&3yId|Cx9892pw}Tyab|U3K8-pD!5C4AVGb_b}fofu?tN7J7%(|YwAn^$XBprzkhsgST_hZ z$H|V5$)o!@Oac?nU7t=L4io2{6-k?g6R5}E?GF!)W)4Xw$FrI!O?5R81ct{Bngr_- zk1feO9E3oyTVgiA=>AeF`a^V4D+MSBDci`x+nyIWv2Z~GLZ|lPvtdf?aP;)8uFL3iO3xW{`)M*t}hQJm|gF~ z+eMkJAN56nlc4Aj(Zs_h_S5SCfme@*rt?qW_vCa^zKp)7k1Z)5cuz%W zTvt9dA8B-F3|&=+g>S7-XQUN~7w&4QC#^l6`%UHRlul-o$b7+^?P+bhb4%-6=l{6D`7y&_?Rl$}JR$I6Xxv#lV7E*0a@-3zoOkK9 zKMKdUK5;A-@q691jbt`eQf<7hRK8bx-#HMzU*dLkT(34ZxOy?YryXY%zGv0b_|?8! zU*jG|3Fv(~?I+y-99VSNERhzdW^esC(j0I4*joo|7#7)S?=j0x!{5FKVVdJMz`LE^ zHiYe?tKK76O{T`L4A-mN${PcK@yp8_AH>N^JG>Zf@*ng9bedC#dSjIn)c9mO2~%q~ zdugwcl!k6Ev%uP&{vOgsxB1_;CU529C3XhQK|wSpYeHvl3@g#l1qYf=4eblnXHT_R zbhAKGN5|ff{!35P_-UmMz4}N|Lahx0Z=BO&A;kg?2kxRk>*7-%A#&QY;Va?#sFFNN zsJcbZQ=DMIL|l_uPAc?$nBP8zyUJW`p|#jXYr{zx1jO*BXYTAq>Wzlb{bCi>W7l&Y zu0rR>OC$wwS7`rfF}ddYxaTku77AnB?*LHPw72ao*Diq-Rh@ZLJ#F32QzXH?O;<`5 zx0y4Zk33rQet`A7NiM&>ASV2K{J2TMy-ff61@t+Dek)hL9=pG}hx&XwvB>(&;c%}m zued%^@4T^H9M==7H2;+Apq#Pw0qCsYlll$v7Cy?b)NU=!Pk3o2oZfy_hMgSC>;MYR z(`ioNXR8Z8YMl4(1d2KW)zd7>wGx`+tp#nN45;!XV6b%hCW|Xhl7VaA_oByr>yL(W zdfYO;>)Y`+OuCK8&#Fo9w`&2trtexI0*D2F6&mastXrn3QqOjFqXoSGdC$&Tzd7dT z$*hOuy{3_*f5=_Ct~>@p?T6OmHv>>B#1|m%stHAO0+>MLLwr*8k=U}Ya?nSYpc|}V zv|0a7_>n`-T<$tpih_@|zczjW(j9M|4g3g9g3cbq3Cfi~)D7hkUc&i;fnAKNQfES^ z5?r=XHjwrbWCpHB7zP%2ca69V@VNgH)g5V24v?E_w-TI9WEiMEq^#*TeiEK;z% z{QMS(gv)k0@M!oH;u1UC}dYi zm~Z9N98-rzUMs(n@b1m8FmT%7A-6&eyv<@fhTGPSF`rpha}vV*L4(nri^e6E#cUo< zN!=Q49;Rk84HDlN@|G)LoSK`edsi=|C8|4ZS6G48lv_t0`3pS*FkK1yD9`2idJJs% zhCU9Btd8bgG`UsZW_IKgZap&K&%R?bCH@A#64E84)&JYBQVRE)!;JI;G9#m|`iVu> zH10AHF@@E$%G1yMg&?DS1oJDYB(=^S$FHqI&y`ZWnIjgmhl+)&A9`OoA&Y`5Jhs5T z!7Ct#=ZbPK!~_)K@K@zkax+=-WWmrQ4>2d{}{!>78YuJfg|0vtX4k3A1QeWg%8 zS7kT*-#*P|wyLBJ-I>PCRZFA{<;(v3r9a@}vzTEm8dy6XyJR=t;jlM>7&lLx%x5Z6 z73Wn~@+^%?W!+J^=bTlgJ#>pF=epw&45K6aXiRuoRR-Q_3!V}ad$RsJm>D!e-JT&I z4!Di}{ZT?JRfvElJh37n8c-zJ@qxBiK-DCka=g#poWz^QvfB=~=tU zdGPfRsMI|e8|YH2hnzk|-90)s_;SviHZ==!3`N8P%Y|YO7ITa#k{v7v#e^Y!5-W9T z(T}4D7!Rv;*jB?6NJ9Im3s*t8OAf>=S=?;+FF?DSvyK47q}!?tFNm%ltU@poK+o4WdlkeILpeSha(`@OBDl+PYEcdtFKfV-mQQxkpdf90N7$ zeXfKKPksDH`H~*b)N$Ur@hqIcE>xt%Qx#>TU-U;MvR#}+?5gaRMwB_6a+jopt@nzmaK~FK(My`}ia^@#}aV zJrT^RARmc!3%hGG@VFmSONEsWqTWHSUKBTUvAYg#F;m`UI%&%GKD3Ho7qAp6;<( zF1wv=K@z1JgSs1OUc6-lcS->dKBP=)h9m8lh4H@&THH;Y!)=)jU@W||>KJyaBtOq=2uPqI25 zoXLY@ZZ6f6#J99;4+JaNym)|NCaRm|p6b+EH$1!}0+#9FFJ={9gM-ZdgZDE%efl`$ zo9auD+cBv#Lfwl{67~U{6fOv|tUq}s6F+}gw!g2zau1rUH~el?F9iCAMvKOd%DoQM z2nB=)E<3#k$eIr~*6}#btwWbKBMOVLU(HK5VcJYCC{5MI$D|Veia=dHf-EqnTfQnY z2RzUj2KB_SJM`eFA=c9I-{yyrA2K^JFdbA6q+c^u#ZBkKhdS}`Bzr#=7iL}sC6`c) zpQUGp_Hho{Z4z=uhXS)c7p{Y2Lr==IS2u}{6Mg&Mwr~aM_^}F0(UBROqXa+X+uKaf zcL*fmuA*2TEueQl80<#I`Y7z;#``Fp6jCB#Z*}#@=Ch9<*Gwx9*;#O;-3bq9@ePj$ zsF~$A=1|`e$9?*q7pT{GSI9J{RzhcMgFRNJBpxgHu$Fz*SOE95X%&PhU5EB`(?1kh zA{AS!6Q=3Jc~Fb;^-!+S7RYg{bAO-!3M1qNx)+UL+!^IX!s(ut@}mfP;{; z(|=vqRoZy|YK+%Fy+stncDU0M$+L%od%YfOA8sQ{*B==a$nZAJaLTfzB z^td+tLo-JTFBW363bB^Nu{p~{W? zgH@@@kD&509UX>OaZh`#-?T_vNO!}9f|OX$ZX_T7el<8oMvV}BX2weXdU)#Tobt|U z(ljw`dI2CsI_;Lx?;`J!3ws@ikRpes{}Ft~;K70;20)Wad7k5Fh^uypJh+Pclh)3& zu%ltEgl~9&k{Z^sef_x$JCT83QH3Me2mwZUfbE>X9(H1(_!W5e&mgersTjn0Tcb79 zo8hCsivVw(mPcCf7@hEu`hraqIlJo6YtECqc7PYan)tSxVCsXm{R!|@THjG<)r`MM| zF>I_(FUR-{yxxNt(=~xc31@i3UPTQu4^aOPrsZQo9GD3A9)#ud(114)@94Ap>Nfor zcVIWDHD8Ar4<1tD-PVzl5u+pt%(r;$kc%kKmP@$1z#1E7C?{qT^_nYxkr07o3*i|1 zcI5X^^fYnxlcGlt^U?S7TL}^*4p$$rG-y3=u)l$depux22&G(aQVxDOJ{;U=c9PP# zzwra4D18ifNv~-8YwalSqv0dPI}!|GP605(JSd|;pnxfo>MZ6;Y5}KC{u=JN2aO}_ zDFW1w!q{pD`sY&)+0LrOEb5I&83!XjJIsl=pV0PHfP3#ekC1uKf^g1grq`E|sT zxPKjKSR$+QKcgZTU%@`pK7pg)@%iQD1kzsL>FIApKG=VP@$LfjEq>FcEQd-JV*#O} zelCl1PXncc?;h_$S&Nq?2mudsie-@Lk0}a~<&T z&oqq5AP^fu8xpdHbC8mfVB;5x+XK+%Aq45xv;9pCVLzCI5p6vf`x@(XQy^^UXH1{Q z#)z(ishb6v*53W}4Euq;JU`b(bcCXdbSu}GBk3t>Z=UyFNluzkU_=Kxjw^C(FhUE% z);*%i`K}Lmo-HMB&v%SmsJwdD-Z^s<7~XQ$OF zfCT6(f477tPDZJu09`Ef}7wpPwELcmIGF(K}pVM*r z9%iu+rp*0#u+gqt^Vv2FBlr27I3)Mm&~c&8dbGNRIe_vvvyO&VV;gmuO?mZbt;YM2 zi_A)VtMLmHjf)epwl!jx#(SW_+3GTW>&VXO0e+|wZ^Z^OIu>ic+?WfJT#f5n(YgQ+xhx_bfpJYBSsiTQT-6oM%_Z4?4B04@D zB!zA8-0;9}i^uHwip9WwIX1}mhNj0>Q%iH_Hg2M_MukMW;}Jk&lkt#%zy&;T?n4m+_oHUt-TL( zCeMQTLyy+nt{e`#zitYAX7N2qb+YVqoqLcEK(9m1WY%R}){^{sEopCxvflT|yrQiX z)eCE1t!}^Ffm1(u8;e zJM#VVAMHiUfC@m0-fRU2hOu7TUpqWwq+zrC+fMUiXvTW^?+D+a>t_0;>iAuwN^~ub zGG88j8mn&kp1fD$>Wrj>Bzol*I^Q`v{-(?ZOsx?@%_|M z9r-sKW$6%G34H=X9-f371Q|YL28Mu0iuiQB%1WqfI0DS1h+?Hh&O^K6@BP~yxdih_ zer^UkK7ZgQXW7tKbyCj6DE8$LtKpXiLb0~?Ic2cYM0R^<964w+fy7rTf*JpoU~>LY z)SK=)uV0MJWg-VF{yeuJQO^XVf51sUrG`ltL>sV%S?D*P5LOFu+$4d6^>Og2O9Hdw$L z%;^4FjHG-ERbRJNtcP)f#30>(ommFSh$!6LPxxV3YTOznK6?F)6zs7(*17i87@z64N7ic zyy1mQk*8zUQ2d42oLym{ju#&o4ucdys-w368&Lwnm{quEYy|@<_m+Q#D=FwHGvI~`zcbCN>&X0kk=}cqbLqEJ zEOi(1Qk?_6LUjr&SKL&Z42PUkpL)pUk9LPYx$H~ss8lFXi7)>Bs@Gq4JFIa(?`j+= zbyPsERK4`$=H^tp;AFFS6J3UA#|oIEQ3TXGekA32LpTwi$ovs)k9r|H(@azRG_Cv4 zx+f`UezCewU-EHh&J-c<+fgf>==<8y#Y7*W<`U_x@qlkP|?WhHl zNI@w}o%Gs(Z!)ojzwD0Y6TA?{F7QumQD(?ue2n3BxUxVMfl4&j_tT7m32NX_s-m&J zvGgIIT*f%DJei_kw30svey=&~zKq|`q9ca77OFqgUX~B1X>L$^aU1oyAgs4pp0NRU zkw^tp-NXJ)U6!pFF_az+of#n)Pnav>#!bKb9wY%ui9h^?>moE;RB50l4cr$R6d9ak zD1-<}h{#V692?o_TnSD13=-3T1F6k-X*^_I@C@=ljV<(W@g2eLBF;$Od$G?!t1B*dayXLa>mS#QS_gK#;*m27v!UL7yL29IPCu9G2^_NqzOmlblAv zkeiT4D-xS8ymz>Jz+}@o?xs7gCNJNaM0do)yt|M7PbVaKF*h|V*(K-2x!qII#hCI? zlxrQGib4NCK93)DakKa8yZC)Yvp2|mNpmO0e8=_8pPy|z`!+|Fmd0&Ubl~SKr@Bvo zoRfm~CP&zF9WVi~zPSP>N|?ahEcZrYoYU$c$!s8#vl z)a(pjj{K}pAiZ35kDDkb++LtwP+wksJ{7<*j<2KAYqQI#nrY&n z?D*5!PO;`0jwxiKPXGuF>0_>U^A1e_DE~7ObRnVtsHBFx*hN${H#-6GqTitw{j;Me z_};@YdLwUBE9|5BWAH_cSp|;r{p8reoddkFJA9_Ov%xV;&T<;@NWMdRlafK%vma8# z+G}1c%%_;8o(E}DwLm3dclER=;dw%JK~E!E!$kwPBCVWkVSk}u!QfO_D{~yM9<4E6 zX0~G2Y8^LFvFd4z>0~H~^B#5A=l(49Y7MN!C_JauQQb|xQSC1b^ANT4{o-mpvQwYe z$cW^6DGux@Pk|JnKFWz8}tOv#qXs>W=69$^L97D?VubTCs#;N$_pe zV=TyW0wj&H2-dPuoH!KzRf@DmKMJms`CoTa1of4%NjUQX&FGj3f4DHOuKhI*CsQ(9 zOFvv2mB>~`5qQp@)7V|o+r26}4-za3C}Z*PQtmJN zE8)a2Raic5O+n8S0D82VI~Gy+wB|{s>RsG}S7og2t@+R8uJh}Qz=LX06<6m<%Zkp9 zIl*7crM1h{f>SeP_0I#Y04s9+_x!SMw!!M~AY94haK{!&b^khFrC`-sUGBjGT0oTWTl+K!Yr@#u5#u)Lym44Ei)8 z$bKrr0s)<1t={t5B|+sN27mol1}7d+6h~6=uv{-%F&uRlSXU@Pad1D#tYdt?vdyu5 zO}i#MhsJ!9*+<*v0!SR*Mf0W^b#fE+iB}BE+*b`xk7?E_)QVTud3`!hM6(L*HkTvd z9_Q}J;M95mXfolGkkX&j$U>&%x=tGNp?5H4(hTS%@nZc>zR7legu>mYoKC#Dy$o6N z*Tee-cQ0hj8g&!J*Y=4guG6*LFV2761xx7J{v5thBMnMNtKyesQDzjU78g}f^0}a7 zyhw3N@3K*_A(y~WfPyeH`~03wCKKMQyC&-|cl8Sq7#Z;$sg8T|n^+c*COI1Z7bI7r zk3lFU8!}DE9{8Ia?tB`Y_K%+{;|P&R$h5Ssi8qN7ir94@{rl&)(ZkiX|6#1Kv-}^N z2^$+H>;K1CVUMrQKm%cq-yWby#{2}~ME^f178cI`8;9-x09Le;$9{w0qOo)Q$3OZ1 zK~>n3Uw?lwTCzVu5E8jTgF)FD|Kq9nv>l6Yu|x&q&0<%$%GJ0!o$K4+5(AmF=1gR1o%LMne!PKqh>Z z5l#H@8C_EzQ^Zm#mVyy{BtaO%YpP!0A0n5bndL5L_}l9WHz9U0akSw&%_ixv%d7L7 z{aM?xB_J>26;@~7)|s;7)GX)3s>%ic`CzY-6(T&?47Z@ztrS!C(72O z6gyxE&;gaVjvNYEX*pq8vZ$-T{YP|3sLEp2_-t0w?C!-edlaxs_E0xG)3L;H?DG0h zf!CFsY}RO=d1zP%?sWU^CiNNe!1?Y&hy*y-VO z;Jb|G{KDn_9kfmIX$++v<)|g3MzOCp3Byf{zjLTF52YZ3A_&z@ATJ`I8gcCAL{iia zIrA$ZVKL4WbsyV796H#q9WPiomJ|GB%#N~{c96Ilg$p4k$QGI_Ktzb{IkV0fVQ zpgoi36V&tOOtnuCs*#(3e1M+f4{vOTR=854H{POu+nB6{?<$!SL=N1~RES-|0a@t7 z(TOfk8qO=*rW!E8{-#d&2t0flUp;;FNdj~dymV-&$&@Qj&de>l>hgxcoNVT*M@cvL zXx5-!c?C&?%AW4st&&*>$WgC9(C)W{UR!xK)o>~H4I6vh_-onZRFayjqbuZu9c7(z zvonp#$+GW%&VT9<5}rHb4Y1L8eSlc8t04aG#(>HG9~J07whtTI|K$j=bA45F*5p}m z&5Zg`w`ENXgm zJaA=0lLkbXA@0Oi|V;?p)QjIM7^zZ71>Ou$=~oUq7lu!lmY`T!5x4u#R{tG+DrO;@8Gfg#}E z(e6osXQmLvGze<|LhmDG9AmWR^tV=!&dD5s-1j40M;87d_i1ZKfqx3Src#(I(8>#6{&CX zG=I5$^MYCr_Kvb4A)ZmVIMkgf&uVr6Rr5`8qy$UMVf=lcn{gz+wJTCSX!peRhH$ud ziSz0DMu_?dcIco;KrIe3hq%TYtt(`c<1)2*?GCJox93Nl?y$Wrga(8f3OX>42$gMX zg)ZcoI8wEO{&)~^OjsMl#{#_HY@q!S>?pq%(+kS@ent|N%^q9S8Vz| zt7fpv9)+#lC#pB@4+wJ-A$ta{aku8k>W6pU9hv6_PlnHMJJwFuw|`I5*Nfz1sQ#!7 z@^9t_aQVlODMkLGb&6oH-6M;+Y18=CJ3!tMbXboI_&dfs&kI9LIp^ZJ(S8D&a86 ztrYsQ^h2Eg9+Q1KFW4r>P*)tsu+1>f9>X4CdSYF#3wv^R#g3W-raiJXCdWUW34jmw z#xu|(?NI-d)|32lw`!YkEBdPHmhkFx3X64fdad-i^8kOGM>9XM9@A{`&uYWwEP}-* z3a5U?6uCCNHnBDtZ;1$Z^aT?u^nx84XT}3DX0FE+!*%rZ<+c8VJVC$3u>TXgfZ7G!It{r?=C?M>RVBm0y>+Z+)aN5$SK**)|qz@TB(drQWh9Acj z;;mtG^e4n*Y~2y#GmDOd#UWw9yfaZi)9(H^E3wt-=n(u4;fr9MBXI#Cftj#9qO(^y zO8;`;;&IYg4qX4Zah_Tcc z=$;s~m)KLuuxs*3TXbe{9pRV#mvQ&SaoUj=yG?&S&_5A8>=Fy{?+~wT9QL(wLrE3# zZUrQ!n5qM2&EOwVT9GzE7l2e(C9cqHLi@>`PvOC_)kIgCHgbgb#N25;WQl>-d{Al*b#wkp=G)J92qD9Pc8IBk~6p?ZKZI zW&R0KRU`Hl@h}Jt3kk`;{sbx6No%18JDXRCz5v#C+jH5o0`g7OFF@~*LDO4kyS6|E z1Cw@SbX=pE$iq8nlE=pSAU)!ab@J@zaz-344b}9%l~lJ?qG{5~Mow-^t(Ts6Ule^aJp08lLtkhXVqLh=Cvl_b*vy%!pl|ge;6Q$D)@{?X-RvzNKzVzWyk8nKLGHaf!Dr5yDa92r{o+0?#y_vZ#u={EyL4FvraNQ0E7)s}*6f6|(7 zFwhm8J9_?%r+3?X&mvlKo;=DjX#}6guxh=7$t6;ak^&(7Kd|ub-Sch_lsb^4f0OzS z?3Z^^jy4(o-ScSufenj9sJZ&B7bn@oe@sQ?xN?K>OhPLgWxcLasASYkW`^j-)B`s! zKjk4k?7pw6h|j}-FTOX8FS~OPY5aC4uQg(rFgyVX(@YPiA7*n3U-^aR4Z(t|5sjuWsKiJ-+1+#@dAxX%XtFsA%w2ak_CK#!D|&92%GfU8VuKv|K-H5V&H|LH3<4HX)-O_6a$ zS|5CWQ|>#j6~ts<)%pupoUT{YJU$_ic}dt?l1DShtzeL__aH~EsQz53nnl$T|4y>r z6ddrSktkPrR#aC~US!lE{2R+=A}2P;e;?K(NkDu7&fxe2Rus2k8H8gQq$*ad$G ziWGq??LaJ?q+#oYNepx2wyGJhbAw#_30;pd0Sdzy?7*+Bg=ByK4VU=_M1{DJ10Sm?;W03{;(I=^3dJ{s~@v2eF1hFWFG2IlCPsJUxbH^3; ztP<0cJ?{FKSH7_~wN|eunaDn%O~pT&2(!yDaQ1thYGxuoUwEwqCVdEvBs#lk%oyOv z>xqXzjdi2gr{2>t;5Y8wCRaP6#yq&wRN2s|0U6L#p?P}MM+LYo)bdvRB|<5mCy5AE zLqe*W{sW;jcYKdGFl5HA1qVqI=3dvI%Mz|Rrse4O&rY6z)&nsXDBPK{h`s5@@-JB;v6sM3nk%^l)u%&!Sgay+% zvVQQZR-IYzq5a~yBksb@Ax9bXj`y3%H*lD};IwwR_1_y5G1fb?-SuKg+o1|s(&>ps zvpm>mn7jCHej5ivG*`6>c~HCB5`JKZ24^YpOi&I_4cA>A^-L6~hi}%N5bQvwe7gxNoSAA!sokjR7J}tUU8|~c-CY?P+=+~C(mbWB2l`XPG_e$I9Y5Ba?7rep; zo+s>IYk5%<vwr)yjXi}76?|>LT3lMQc{nbzxh_~ztrBvnc$(1l zNyjhY+Py{@yZh0M4NDv1D4lF0ImMq*-EtsBUxd74TIw5&o?r~e&))zpo5vxCTgSm@ z{vn9{1ULxFSfJx>yWG_^`~JW_?DKOA7Yu@Pbkp~A3VJ|EH^`LzXdAA1?{RQeBsf*G zGE$FY&i=e1DlKXgr772TT|_Q3YPU(M-z^bQkC$i66z{kaQEzU=-fgnyqK5ls_vNm= zhc1`^3zxKLblvTPP6D{VU-T!@<-O+DgY!5RuA44FrF7b{>Y~)RU1MHD3Cdac-FOhX zGPcaYX=8gWzc?aFlD%7rscq|igY8UyA-9LzFD4ZlQm0==hFu_qGy~%YwyycjReO|z z?4=ya%4IcVd|=c%Z_D-;a+R^AKg%fD2|LqhdB6iZ8vt)9I*ehl*R5w zBT?cN?dvKLE^Z}Ln^ruDWpTnEUeQP<^N&;aLHYdh7&6DbMr72(#MFp(q^^KUSayD3 z71iG1#;%lFz}kw{@U7R>rM>!|Afoee_mdU4W&*rqW^1llm4hFxPI*Fm8_PcH-ynQ6%a}_0?nKqfelM`c%b{GknJHvPOcI00228D~KvuS7U9aO~Q=l`NoIbCvNawhE@ zASL|CKq%mLvtCqcjjhBx#pH$HL(m=RN`Uvsxyck2Fr^)i2b8xHAiE${W`T2G;UYIRGK zoo1VA6av7;UGa9D53{x1-9E5bQ047xx_;42n@(?c*H_Y%FP3_l7Qsw(s`%=~yzb9) zVeW6c(8ZZO=++yJCyhrd0(!9g9xy{ozWjGzTRbpe`b1_NpYvta71*&>hkUG)?~zZX z)@K*gofx#nwf+3BG^052#(<{+GMzCXL_BZs2e3?Lb`)DZ{G-36+r2or5#{PGMLc*o z;0{ZQTncYM0UihU2jyEK32Kt;eBpRuNGJ!N96i`7k*m?vv`_GLh=6r!mn})~u#iq* zvUpD6NS&c+&QVa0j1I@`Zs10)@Lt>mcewcD9|HssqWSMr64Bl3 z6SCPNa|}V7f@$>jT_o2QPXPJxO05aPo0@L4 zXP1`Azj=rYm9OV9VcM$U@K`wcu+xM^w5I(%uk+CM<@2Scn%}GEnnPvGqBc_G@&l+T zrfk|XO5f8X?gufoHM%U+HD8_xnPsH)xF2S^t|XrM-Z2|Y3$!1^y}hpbJ9^c1Lz}Qm zxkppO>kh};`q(&XPi_n;tU1_S<`4IbejM10m91;MzsseugZOt4J%Y!0pm1+3GHmxe zR7f>hxKW@Vy4%Lc+;RLG4wTYARtD?@TSbbdS5VI!`cc*daU3;eQd<^$W-+o&9Fb=5 z`c~H?cm1$)8DWxV86E%rFSnb76i{;}7?E@umW&CY_eFI#H4P}dhD7#Z zg2Y{)gXdL}Af!O26c_&6#sFlG(NaK!wfvPjRcQk3Py8>V0N2-oDoY-3#@c#Q8KMm@ zhr<`J_3*2T=B@c)e)s#5YfOUHCkl?u2miAJ8MQ`BZdbE)ovO0V;8pN9jVZ2AG7^^z z*Rl(f*u_*7*`dJR9oIPRx_x|s&3d!N?UpKW{Hy;o|9xhpaZE=}X z775R!2Y!E{3}9#R9RR`KOsv2Bc3XJL4uaX9=gaCaZM@Bg#rbzDWSqy`%pSU;qN5g7 z+`5ipD3{y62v@P0omnbfn_8qxqxKAppiJpb9OuYRi6gBAxuql=dpQZ#SZ6^Altmm zE3JM5T9BStk$`}%TCXy<$5qaLB&~W!_Mq=RySJ`G#RT;GhNaHWc*t6`BQ=A|=@a7# zEIsF~u10>hhrml7-S&C>>(YMrI0#HeXY#+h;bnp38WY@1?qQ6JP$Hk-PHI`1*PT_L zHF$1%O04-CI4+cETn-*@2lNpqLoCDbmm%AGO#FTxf<^XFJgJ)o`-vxoQ_B%DpdZI- zcEZ9d5(oVI7Z(Ffi#_A{`*)mc{7`7`USUptzlf|t`{6_;Sg_;I_ zTP^7Uh`+~;casURcs3G=CLupCqPjv?r_F!lQilM4SZ$E&Ns4ya@Md&r;o{36#rojm zdEVI-AHK~vNJxH*s!4n~zf@M9oThb&Ai#$ez%KxqXauMFdEob(7>s6!A;eWrCd*4@ zs+DE;Zi?^g+o%$(zs|Q6@8SdBI@R2@S>O=5$-+y;TLLhA;1>~{h+gCDI!#={Y|aXd z@RbAe!8UIX4m3&C{-WDE8*gqQZhF9}<<6oQS85a2uDm~vUH+-=q%`3l_S z(?>BAi9{!OE3)BfSlaR(S;7-5jy;DCMPC;&XEpN&A3NNbU==>Qy)ciWh@9LoNfwatg|`}pIj{WX%^S; zq^y7{IhB=2>33+$jT>Y3<){=yuE+qJveYG%);B|1 zG-VBKQOI9>GT9Ov6!i31%_F}m0$~mq8K1=~b=NEio+p6rYvD|O9r6D|HNmw(=)Tiq zVI5n=-^t;odYsff)jpmYTQjS*usK!t)L4T%JP$Qbmnzj5?~g`DhAe+uKs&$u^SgNh?sJpt3+N)QJj@$Ih|(?TcOcwlmRBHl|a`BAq}>f*6Iga6vq? zvt0*Wj>md6t^N9(A}pnE|Ge=ac$y^Dz&wLp)N@}J94#G9DyJFi2e7(5HabrHCO_^L z1){q=cG<@|+Fj&aZ=FOPKBEDI@(tJir8Fc(a(*nt+cKiE>fE1qDS-1ook=~TWvs68 zTn(JAA^a^Gy8Y`^NAJ#lT)lsonyfQlqYocWG0Nk)ZmM|>m;|=E(KZr*!hCBw_CUWY z`UZ6aPebcZ%Q_VLWubC4)#V?<>E(rl2u;hs$3;z)hz@blIu`RCUz1Zkjmp#?Yywk1N(trk)G$gVSQ)S*_fhZoO?2Y$WoXK4+Wp9m zY*#FIuJ*2js?pNJ!F>Juto|HeL^}{Va;$6Kz9(~Ec6NOjxh^V|l@;w&s;IL=IR~gk z1hY+gbNsq)TSSNkT$_Ot3PXyPn~dA=#hr15^NxnM1HEsA&qQZN7#)M}r)g}>nspMl zK|!Zp%T7g*e4o@#?_o8U(3`;qriASmLa3b!y3RbJMQ! zqeWrnMT6`t>Re>A&(*NqIicJE@FZC)Wcy-&Hmp@nBK zP2J*+coW~dffWOe1sqM=svW?84hQOakErd*-|2h?7No0vt zOCXOCr0u}Y)!`obDKf&8PLdwg$VvL}fKqItH|PZf6KlWnpGLd!k)xtYqx8NHTo{rqnc_FA;8FI?Y?psCKVit zfZaeYRD~QWU&Ztn+=w+bVu&?@;tdAR=8%&Bht>TZn%OT2n=9T93->LTJpNEl7t*rD>9pfINqLKdcpdE}PD}S0+8=+lQBl zEptk!UWQjKHXXCc*hO_Rc7j$-RYfgw(_!Rw|Hh1@9HxWCsM|o0ia1tv@V{0au3bi{ zS+m-v>*YKj{wcL!G=xoI%dNaY7g3wsL;^|z@W$HDAQE)G?~4##yl9v$)?6rZV{c<$(~&QvHYSq>jb9jmOeM;km5AOimw5=w5MB zXDWB0L?}IcWUsgiL4wT_>i;V2yW_F?-~V${GNQ=LD%s)QLsn)aL@0Y@gi=;kr_Aii zxXDg-_RdbWviB@INdtxOJ9qWzUHAQcJbvdN&UIg}`?{Xb*Y&!t*Wp~}n2B^fHq$k( z;lAj4TiKt6!?keGSpOUIT5wqnK*o|-*FdIiH% z?6eiZ#S19`vIqKX41=uXYqa6mgz)0l3`hugwRg>9PCEQ_$3_o*&75=IH67c|C)?@Thm-`j6qM zo_Eb5pWlIRV1Ce_!f;kzzJn!`sW7lJmRx08Dd8)AjX#8CLZMAywm{0Q^=Zu0jG}27 z&s-yC9FW7dWxfIQM|iu1p8a%{&3)@8_FD#Jo!|mj^_QZ>7xgw24hQMGqHXR5G4yo* zVDY3#2wG&1Ic)vz%?L_8!K}05mL!F(bW%yP!09VwO6+NkZEOl9sFRJp$Qd)#pp|`$ zqP>8$*qcMvcIxp5E=lEgzu8bJTq~Cz>GPvF@BUr-!P@$*(||XZd)LXj+Xu=mE7otF zX~vy>4c-`bLn7WAy(t%XxA9WAYb)GB*wiERII^!)8|wLhM!6mGjN9^(#%NWAI$Eu ztyLCY8;ZMXnQsTA+gVSzOmH>1wB)|acloGNWAp>F0Mdw$77vBCX=!pR4CfR z9_m^Oq-HW$<2ZuK53L-QzEAtiWhshF{=5WCfgNfYnJ3RI%O3g3>25D1C{kp(g}kf8 zP4Dj5Za#9;w!d;kdoeaw_^7b=@Kqu2ZR?|_6K3C{0ZEvVrnFpxc#fGLO{FWNb8{ zI^3FueLj2Uag<2xs*fo;Zo}tQoHLJit?|HnU_F7ux(IpRidM$T+xLNTo2Vd{lkz#u zzR?etuqi^~_EB!NJXD)80d+5W)!wt(J^H@r;@?G=ckoQ<@#BTc`lg}CX!IH5%g}Lr z#ro2WekRlY=4jDHgSu%gI#9zisdRs7}9oWku1OgF|ec&O4#*uFb;Rr@`|= zN6)$G)xGvIHDi>PaWI3JM z(r?~o9Zi=O@osvSDgNZpA%E#jO^WS^hMc>;IC^iJorh9AGK<#=;<^*l3-H~Ed5^P} zQ=WG8-pJvx4jIq_N>~`mI)*5eE9cJS|)r-;zXV2~t*>fd#4m-aaZrBY>VT8cbs&}tR3cjXno!XaE5=8OltN_gbhivmd3? zXAaLi!aYMs+gEK1XAbiv%Bv5iC8b5oQY#k?p3^azSEbT3-t=*!+MwySyQktxDN~J4 zx+QruSkh29P&;n2dp>w-=X`+>%ul0&ECR`ZESsuTmE#p5C!2t)6t+j|d+Hv3dc*f_J5;kJN%4vEnvde?$rvsZ zKk7-z1X(Y-K;yLzxuQT)-b%ZCSbV%iS0Gn&`{cX4%Oi`1Q;Q@GzoTJIgw zPfkq*@=o$5y``SZ*j%&QcPL5!#F%)YHKw*;Q9!_UtNIbSi1hyCi0&@iTc;8GW1mJp ztc#uDE|BBfRtDwPD39&oLoaDNtnJ8x&X6tc93JaR3xcikX8EltvNV+)%h%#c6|VFk zyK#_1zn-NYcp!EUR_iG0w<$$iz%tqOP2KB&_tpWo#zBgW&eRVFYB-^5N`mo7@u%{V ze5=h=oKbO4wu4N_-<(qVY`+c@R!HPgfn1Lddw)OQcT7(fLg8UoC8Kq$S$N4J#aIv;nZ07d{$w5 zms59EvEqoO6iUcCY_*h{@mblfoIegZyqQ7$wT+c!oaIOZKhuz0%dD0enP_Ny+DnPK z(z>jdr>I|{vIbKZ(_YHP(`(mA1^KnVYA|Mm-T1b5-B_d97H!}Z&%O` zY0MhQgt?KIj@NSMKqD-Nq*tls6FV%=NlWYR?{e|{AY1K_v_p|Z&q7Y7vQkTfgycMgj_L^oA8Z^HB zBa-+mXyT&Bp>B)SZ`n`>nU0w==xz~VwZ$!zzf1pIK|DV&iL7(({|;Ag0`H5$sE@N) z*qd-2O2(737aqm4i$S=7tsd=Ai5ZbJcAIhP*&R*sT>lhWvQ4_m3b-1hm)oK9ED*WV(2pYL3MsLiG>aAdB6b*X^E@_;PxKMpI0dEwT(UXMvl#UU)}&g@CWD+c#{=~}`hEuPUM=50AB=! zZ~}4qs}^S&Egf@nC`~rk7Y*e2L+(U%r$5ZKE=k(54|C{B4jjoplp3aLBVzh$yLb?X zNoJ8+$Qe32FX|z{$DFnR2uSZoe%4HKnc}cBcWP^o9P@&e3hf;4e{!^wS7HDwkv=(n zeAYsLYvWeZ$B8kO&gDdAhp1iMQl4k|WzqPHFbE%zEp!eUy_m4py+^ret|fP_#Zw^+ z2ix!M(BD3X4h~aOJQt@}MyF#9w?N+AojS$dYGo-=IPR3$o)xVa7tVHfp;Pj1lUEn` zaKlR0O+8P{wEL7O|ISxs?QEevXNhUpi;UtPq1?D&g(9hS7{G0oTY7Owz8~qgEqX1` z!>k<0KAfvr>)*?u#jCSFXRQ8>uY=1f_v}>X^Jf;117eN32hsuKoPOS#m-FlA5!#uV zr;8%nZ|wk1+!np}tlATEEamzIZLYb!ScozdI}{pYTa>nT;z!ZDEdw^nq6Zbu0W9<{ zLys-os@tAOodb#l5q!y4PRBp)bxwvmT^9e!q}gHYaA|Aree8)JPdHcO=IZdawgT}+ zMW^__s7EaA8y$JjT%jY_;E{WYZ2&D>`rJ%(zDMcn5c^VgpuSLPj9e#2Lzd3YVqxM~ z!@C~g27_*V0~{g<+=r*U>pjNTQ_S`LxN&<;O0h9hsFwPr`Ri(z1@nAe-5)o_U5+kc zvWU`=%R97SlD^5@G%;^4ttw+wAKIuB=^T?C#f)I8d5)5xXfo&|0c}HO+&aufxOnW@bM_|MjgC_6l))L-od! z%i^8>sD$;^(HquS#`RvpJ|zD)1No->-@WL zx+?yfvf2W@e5#EA4_80^#ZLbIoSvAZ9`&jhA_G^If!60#bPC%$bKz}6jv*5a%j`?3 z7M2pbK8847=7Z8z{3E@CVg=N$;>_!SMdW5z$Cb`IER( z?+aOFr~yFOO)&4aT~T(o9q)Alj*o#fWbQ9YG`i=EI9L<9GmA0{xf%f;u&!k8Re%qihkRSJz8lO8qKHTYD z{79vuRG<=73KUG52Hdsjbr<} z1Qp@=slELCaOi^+(Y{fP$&JwU&T;$=6nK>&H8D)t@4#kyDuSw)W3dAuSJh8%|*}ELj;K!4^`6&k4BROsPRHBc0dFcU^NmFq(vVy|%{?PfwXKz~3 zCrfGz3hZoMU9+6_-h3)|)XPF~BycZ}KDlT&davqgS$I`pt(vHZkeTz70`y`5yvZbs z)lRTQJUdoA{D8(~WGZJW9rP0a$GAfpinyk^iy0zi&Q2b2g9oO0e2#{WjWJTRmu}CM zb3sjdl43SZyLD&cI#?|ao~3S@Z)3(!p&=&($IX;|f~^m`-Lr%*haxJY?R1jAc26WcPpjN=G2(FSf7i_FhRgBmTgK)vDw$) z)LFTHIm+)c*WsaD*DZ~-pFDNlD5t!iE1Jp{b0VR|hTf>If<7w9!vqSxXQp1|z`&I5 z!MU;b#V?LDqsXdibd3$PjZBQ$1%c?r88!L60{G}*onBn0X`i{f!>x-(?(N&CX4IFl4HRIPYe!z_ zC8TDcW2~b~o=YK2uH*96OvfgYd_(h*ogP!c)utF>WBP$%=(cLkFnTDez)(k^Ajepn z{ay{#__rq#*yP zebi;W!9m$UO2eUni*%Ek#wR}E=dh3yfQ9k_|Dj>9tI|g3$nk>vRo9nimfOCb?0v6i z0{L)nwr#z22jN*>GUw)ej>Ju3E`uH)a{L;<}O z1wnP*7oF=c`I<{iqu;q`uEgJGw9|f*T~uW)-5EE9*sv6!n3~T`-s(wW%_HyH_hMpErBDA%e_bKe zD`>l&OZt)c+g&eMeQbAJI4Z679blJ?c)ip}!#`i_ATboWStjV?d&X{6G9o`tD)CH= zUoSTslJlmO#({;Y*Iqkn9T^oOfC20lT|RDopM2{}=E#HhZ9Kd0=r!TDBhyX?Q>TW~ z*+x#%B=JY|)a&um9}#QcppOg;c=nw`pN_h8`_seT7$?P|XbvUi840T4Ku6%S4cSEQ z+c8=e$|idHDTUAU^f#=UHNpSPNR=9D$2Gm*(^1XF2&GqE7Gjyxs@VyIRNL(Cr6#1S zdQZuvC&kcpUYNU^@>$-sqCx6J{;A6Rdm(|%Yuv#ax&cA15+CYPUp?dKRJqMFM}d%Bw2?3=x-xcRs1 zt`UW2n_AO8?J|1F+*{-R%)N`AYibMQcq3K9GZML_c0LYiPO`OiL$L(ppAG=EeZtbS*AifpS(ZAS#%f&y! zy|`Ds^L*##$IQv(O1)~6q;FX}6sD87B`#O`ulHQO)tG(BntoT;Xhi-h(PiznTjkkn zLxYc~{ikPc+&_0>(ZLzh*5n*548-LX_Z{h%T&9z?Uam0f^pWtJGwJjc@Uj}JVzxK zPmuuspeHu>D^3YNpB!*JKD&mLvy1w0q9rTejJ4XPzf5Jl{uGw1`u&T|mecy`hMpD{ zys9IY=FSw0R)`6S>;@Z@cq9XxYpq!8JtsJm9i@7M7>1VWBMn8?klY4O9@jG+>)Y$) z!D9hSyzODV9Mo#3F}eyj^P!eJcP#l_q|TMx%8bhMHOni$Fo8*OiQM4|puC?*Z=kp%S%a z&qt^6wvve>lA{UM8(p(MAW-^p<%nN){&NRxj=Tu_1+mK=6xnvTakogjEpip!C6)=w zwi$=e_fjHH4|P*tzC35S4`hT4u@1^b9$;xUj(J}rY3rx_{bYcHpH<{ov`&JKTD7dF zEk16qfbBZ|>z8ZUD!kuMmR<0%5_t{_dsA0;_dAa@kDrN_pYUyacQG=TR6r{9uBGWRKk$15b*Gb%D2d-mC~j9!nu`WD&4o~6}; zTBfPX3?Ejmxv8Gv?GD*v(K?EckcKd`!hbii!H`HC>QBce21e+NgkTW(&n943=9V;s zkLgeU=YJh<807yNJNfW8Wgu8G6#lg-8T(-jn$Z1bM2!!ZhcM$0nvro5K0b;+A`5wd z55SZ0;LBtop=79EMlg7o1(`s_-E)vfWK4w4P(ouJ;;$Z4B>uEKL_iBh@KuWk`?HY_ z?ECzAh9mTwY7yE)fBz)7NjU%M0uK!KOAY($V_d9eQuiEosLV3=@( z!Gx|o4Hsv7+Z)%McwlfS{)hrZC~{Ye8ZWB=VG{eU+fScU+I?>rAT^96Wd?&GbafJr|A1fs94TTn6iGxE6pDqCBF4f<5u;&Xln@!gBK}7F z^Ft^W`3o?J|Bp9tG#m;>JK^{vgJMynh_M(_#ArAcj8vkrSW?6|knF$oe)10o??E7; z1_vWWjD!Clh>>6;Hj!Z*k`yrxMLNZ36hMLmibInk#$o>tJshFs_8-J>G#W-6m@p{p zAH;+KFen`Pi!IO%!NGp{e+?r+fFvxy5Wf-s2N(us27q{df`k9{5d3Z!3{8R<1|ww) z358*Z6B3x9Nxu&cMf|o4{E2iB2!=TNkx+0=5TS#?5hRFVaIm@&=^+s)9I*@r2j}S@ zJ&=Dm6b&P00hA&BnJ171I21<`>2MSV_xExlOavT88Xq7wDDjklc@PN&{_%z|7)L0s zgyRo0pb;c73!sefz=nVH5Kt71#2GXiM*-`{#Q+klv&4f@2$K8_@S2hT0V_F%m@QBSV2J0AQ1Zb?%>2UwK}MXA zVBn4rMKqtF3@rM@W0BxPX-H+ic2^+&|IrWbE1<;tKNz?vAx_Jn42>mr5KOmlA{IbB zEb%IVAzi3xfkAb8d z3M}VXlpIPCu7t!X;S>=HVBUkn6c88`28M=7^Zfr+j0l&L+O{6ocwks4HF$~Y~r_w2Q_L` z&8pdJb@Qxh#ylv6e=CKr#Q;ZSXW?Q4{jhMe@-z^Fv!I}{GqP|ovaxBfvGK8R^Re;( z4N#ZdLucf|2CH(KX|_AP3Zi3_zcZj$|&h+_iu zp7CQ*|Gf!jPuJeVO~vrJiPJ8g4F?y5xbKlQLhK<)RiG;TvJeSQ4nKy zDy__)MDU8bjeBw~bOZs(M@}yCa)>&ur?vGpkM4E+S%SsQ0NcX}YpmhJY|;+DBjFA( zjo6`WlO=HFe&D6Kz@oQf#Vc0sqBme;t8G5>b}aF2{i2w>z9GS0ix!DU=T)`uknD#3L*8aHckeLMiN^pektSZ2PAAoQqY9z~4>D3D@ibLw zV~r6@%H6DFw}_OarFuQ7u4LDB4twj=F$QPK2~P|FeztZF9HbFZFR{C%sK}Q`2}NQo?F& zH8YLifD>7;(s-piTRW4D#bp4+h1i$Pi74nADk=+gnp&IPKl~x%jjg+x>Qzi0>6vtFOea$y}J77WM?eR<7RGPWXA@;l6*4qaj`WH z%~5QOhJv8vuj8^Fi-4E*4-V3k7}ZPm$!~kZ!tS|g_kmw$pf#^?znuYMt*tHion5|l z&fuZCZq8`TmYg}ZSG!#9bVA4oKo9AX>+#SKMeXE{4}Z^i7+a==YxY;qA;82B%n8rp z`L5G~tGdoW^{LO8Es-S>{upn&+Ncgbt5fbXb$`W?;$UHwsqP0&18zn$9w)`Kotqpk zd65eS=3XkXsQ>J<8lfeC(J52fuGdd$vXHnCnV2mP=1BB~m)lKIj~ai5-6!=^oOJsy zo_kfRr%qdjxDknc%du-Qr@U3kx9g?EyCo~GamJWi+`HeZw%(UCTS=QjQUuJFmqR~C zW0i-Psh`Y|J9UU8q9cC#YPcCRdGdVv{(YV!n?nOR{ib01=-}r9pr#Z!Nhz6 zxvL?svi|T7h~kqGH)ww_27^WNRPC${B0s;IvDLi2Gmi!j)(+$ttJSeMiFr?A6D469 z1^(%^M-^q1s~?rJb0oQ_H#9`LXbGxIdETPl&4lyE@*%X>2Q&~IKoZ3$X8bzT)}C;P0&fk_)6ZQcUWgm|A)6u-xkx_9ZItLP zn1o;0FwiM=NyiUt7-)VNx0_vxZoh==VA{O7cYzwa_^`^vpY}bE+T*32 zW@-9lzPXO4LCZCbrE{TjUos1I?brZpg>5+Z%99lBxYWYB4RG=b7V$`Qpo;XSV9RXq z63R`EmE#0Be&$0rsP;jsr84DrmUnfe=)2l0XD~pJX+I}M-^>n}#O7w%jU+K6;!>b` z#tJ?sU*2D&z?UEIsB%$-Ca4TUjoy@V@8JnW`87wHPLX*wLY6JlVd_^E9z#~+<7yKw zXGm>FTdFIY0@$~-X}wb8l@K5K2_cd)XvK{QPRd4u^?et|7vZgC3Syi>?U#urMMQDy zcI2c)VU(JZfA>Jf+y!4K=~F&~G*v3Zq4j%LMEY*(plK@dsIx}O8Hf7!=&qT_SBT1ABSe+mSUH~227%8rW@NmiJ)1S-+g3cd8 z?Lsgm^9_?`T4t`0?eyjX?r?>vF4q>n!bm=uZ|1%1X{0VON?>yijOA~A`Of*LQOV-Q zhsQ)Ebkv$&)whuCvxa2iu%dK>b_OTPqKtG8&hDUjnz6ICuQMxk-U)?XYFJ3z-6H|6 zayYHKZ4-c?LNPdpWADhjY($3${0hs7ux>V5PaI6aBztg%KuV&76+`sUjcZcN-Ji>B zP?R#tHAe=qj5ZDuI)0+S{JPonGe_FDlK z)dj0$t$JF+E-z<*%qWZsF5|2K`ascVlP*CGa7G%SsP0^y@~a6Zk1KBFtdh;R1w`_v zU!Be)%d|%v=w~Hlu+3kFcyNQ^{^24w(NPlebW7|4qaAMfQt>+U z>plx0vS*1ZB_af9hwh* z!t7aKFxPt{bPaLzdhElB34h=OR>9@RAw!A*wSZ!joZqre;3l|Z>x9_KHfyQ_tX!(ZfNYKy=_!b0-bvhpBu^ZtkA1q#RFg0ldxREsEBK?!OIBqYq@PLA#t zj_z(G-2X5_LjTETWdpL3vjfNR1;E*X1?1T2+hP!(fWJQz!tk&&aj@_LH3@|N6#=H> za{;#q_b%v$B9#2t+9Y8A$km8^pLkJ7OMSGYLNkD*=T``GHm> z%D@p)0g%N7B9L+bRmlW^zNAXPRWd=4#RU?QaRM#K`G9d`D!>bJevrijvXFBEy(##C z`Q*w#2ucBv#RZB}Z~|i~`GG=|xWF+AZXgzw00>J1o#^3!`Tt;CV6oLFAPX58kd~Sc zf`c1$fpCB}RR7&+9kt+p*jp-2pa2a&@PZl_=uiFMjD8v+5TyuIrsW5E(fs!cEYb>r zTwEYNEho?v^tUjERvCCoCjhdzKt?)d&=w^A!7o{V+mix6=>KjR2PjO>4UA?G{0EQH za{)gw@&ox9lz};n0w9YE++g4YQZexZl^B(QwM+saiwnGBWCkh|zykT01^@AHv;S4I zkNICUA(>hJbLACSg#Ia=z|4it&dtQh#!bS-!o)?_XaP5l0TSn zu{i(zCKM1LVgC0MAvYJWE{6)xX)u;>I+(ov_n8ohdJ|Oy&AFz?&e$^odc612Hm(jQ z1-$0<9=qPUDgt7Jr&c=8RfqbowA0#dpk(TCQ8L|m)H3`@oDneCmM!Yw6hAmDMj*85vql(kI7Pj>f}>ejjm+$p z3cP6zDV-oz{=##Zf3-){o#!^SyMBpL7V6MM!zl{Y6HuV>D) z7+YzdrKIbYb?bg}{qkL&z{<5uF(e&|CE$VW$b1114Ng90H8D|Bb6~?x6w0i!fFVOD zCVd&_)0ib{%tESqu;Yu!Q=kSiSv-Y><6~5)SmWeox#L>&*@@w7>3RmbTTQ7xYqr=T zX$T&qz{gw{^-mt^4Uf43lS&4|3|-P|^9sDc0WXO=jdH|{frp^>Oj@g$$8)plWfh$I zD8L0SONq)P=GD4RcFxZvNq?tVw-J?GLI2c3k-B~%|q@UMinyL@L1*I-SPM5LDyduY(T7SwTObzOux_Qck8 z>9;Dq*H(KBl<41IA}o0T=FfB}Ug_Q~7+h zty|lrb^D>n<_n33WHFJnwS=2gIZMw}^*a9^QAoNM%K3s|UBKUy{yL&nZhvTeGt}qO4-TxGIXSNSf62y<^Z)zsXLUZm1SX&%Lb{6%T zCr{sR1$P_(m?sM2^+2y{B69p`4Wg|)4vJg{T5J6_;B0NrZO@%_G{h!!4V)yV;7A@b zzKWHHxZi*Gm3~%-I;D=&!%&L;kUeL9@}LAu%dOZu9SHcv#OX?}lHZO&v0=^>2zy1u zi%u-#=d!tbPBocBaxO0cs7%%igV?}YTv(;Z#`r)F*~hQDR7z5U);&0-)Ro6gjp`N5 zfw*9ECFf0Y9z|FhI#SFQ2Kn{mP0q?z7#|I|lzs8o!Ct&08ehrbOZx#7G6L|M&>P8P ztqi%a@R`vPWDuvI>;(B9oeU?!8S*YyNYx;NE>R|Jzbm7pd;k*W`A8}+H@WyuD@Asi z>>{Zo2GT=-#eyOh*r3b%a3lSu=r2jQ3enjHOM|_Pd)5)mFUd{YG+L1>YYy z0aCX9(#~Cn=@UBj@o%k{wr=4Lq^GoE7%iGcN0(X^#>nE*+&*D+T^WZ}10Jhibs6j{ z8J8y|o9TYEm;w;+mY|xk%rymgr!z=c^0#5w4kO7zb|JIMv#80lNSagFh?lEcb3N6- zW0w%v=%UAKbX)|@h3ZGp==q^YKH2YdJI$-HZBoCJ7pDx*#=g)r*9R+t=_Ox`!*J<1 zIBg6kdDsUs!b2so5)4C!)IhL4)?5#`` zw2|TF`HFO~wgvh6yWpFX3^IVJpyQ1NN%HW1ad-UqmqheI{ZjQSgiaSvjN2^)FUea! zbCNi=%rLo3*v(HcQU}Zr)$Br?Ven(>z~IjVAJ72YXm3MpXLHvq>8>P064;`=M`+j4 z5PlrzqW+uSA4vmuSf6PN^vT$}#U}B}sRP3q3OcH`hRNP*wq)S_7p z(7o4y$-?hjK+7z|t$jkK5GEK^tu;XG+*l94zPn+Z*ZM5OPFmKK7CDu$tGRLzTMbwj zcCxv3{G+Ky`8*#!3R^K?Qg9o5q^-IUw@02aj;%&6o@}l4YD61IaRn%TB%QADEmCg7 zgsd2VWz9wk_9%W#9{5Pxi3}6b$&8lGuN(kZcHKd+f(y1NepKB#(2gO-fRIm4!W)@a zI&rpdLCt~bF2v*y zIS(e5uF=H6!#!@o?k?+BlK zrwb5g#d$!7^>~jkWj@#ACI>j{pLMo6%^(fY+&jk7#Gdd-)g@+?dq$XHzH~^YN_u>D zYeKbTZyR@xGi81+WT@E19WZ=_b@&i8D3gR*?fGa;C#`=X3pS zA!NVxMM>s!Ou!(UiP()h9xiEK-Y#QZ_Pd1 z)kZ2Wmfsa1w@~dg*)8*+jOm{E*PM-+p*IET4{%MG+Ks#q?E2UR#eZ!DRzw^iY!JOykdnKMtxjtZtjrD>)H7EEn!vIi+(@ zc=4K0iosOoj%TWlCF`6#_}FcHRZmq*-5LNTI0Hl;e0$&L*s35zR7>fBAfhAfc)SRd zD4oGHo@t2bmwK%0L=!2jTHH55x!r81$;W=|16p1z-;j$l(B(+)+}A?3UUdknEcvg{ zCz&T8DAW-G6#nC*`n$9>ak%=S19W5FiCSeF8P5sWLG)>5K0p}oPaNwu&q|OvCSYlW zqWEu~?C^zhVZ)yJqAKh#*82@!j8+>!eCF{0>#(U;`NeYy8`W68}l2H#KNrEY4WueCn z9l;EMZ<$s~-VICs{w?+)dP;YBLHSo{wNR|%^tZbY@s{&d!k?YO!s$uKgpJMkiGqJb zub`S>!MF4d5%=DlX8%a8)SbQgzEzQ)DCsSMSD1zZE-HEZ@gh(s-p49CwWf5w@O;m1 zsCSm&wX)cba-AIQMc>a(3nw4trAL%bb<@HIB+wjIF?_Z#2@rQwIo%~}6E*mfaK-g< z8T8xS;<#A>p^-z4WMtU5(LrtaS^<`M%=bPB7Rl*?fLIAF=zgEA%W-VZ9eftac@yYw z0A2DP%rTUvG^1)hiM_Y_m9bJS!mmN)6Lvjw(H~KpUm3}xKqg%8d*NvT*zq)n8L3+J@AsP=V~x@=Qr#i+Oj}UnnpLY z@k&|XksF+Of<%uhm@s2`PX0-K6@XhId5lMe5l60qhA)4Lv@CwYcOKkLb_e0A`otK= zwxW#hTX-hjV<22O#RB-W+Mwf(?N$7lHa1;_@ry;*cZB*)!(XJ@iX?iYzZK16V9mQ* zRaDb57Gb--KkLvyD{WI3%rOF9-a8&tPV9_S=id&$oE5=-;W%RZR`2!|_7;GJGfr6zOYK{ARZC8GKH^Hc&%Qz;G?Eg}nk-aB39~D-Ka^|DOhczj>A{zo>H?|HdX(@#c|Aa{kHte`A{ek@G(> zi(p&EZAoTN>Z39cEocUqyp8y4e-ph}??%=%>1E_wVzg*W=?7Y6BHiG0fSDg(qqfAU zcefW{4JrBd-sdFGs8;ZR+~>(fSUbz8JCiBb@&0^bhMxOzz0s=^!LEd*tT&97}f7^ zd!?t4M-_etA@x)FmAzfA_d7!0p_aDdI>#XZFscw}sr9J&mFF3VqlT|u)xh*y=~wA* zU9RPb(Ru+p~$yj82#5rIsTbD&y;MJ|e#pTQI+) z$_ft>{p2bHQK(kh1Qw{8xlG5>%i>>4<)2yaNooik9M-_MPwjS5g7}oR??6UK8IXQg zh!Qk9VePPs0%zq!-~~qE`?H?dOJw$_oKaeW5UeOij>58Pf$;q|z$= zq41Q{ll}Is6*BXSvK|T$R;0R={?!F`SQ{4uFbBT$VQUTYg22`!-$5znQ-+6!C}8ld zW3Q^PB6pTYe`9cK;qR>g$V|s6!#|)PUaR~YDEMq?{pJGO_~yqmOSRfSoeWy-IK^Zs zLYO29ckT-Sy@3AnHE_kBR>~AEupDQ(2ET*&z|5};o`wj)be(bDc2TUa1^u|9`SXt- zPQSykSplB~SY%4Iqx1(|)MAWUIeIR2Fm5gC4ChokHzx0U(L`5*pqUQ=8G+D2k@`iglJ7y++oTSto{emgP<{v#FBt_0wS6HF9rvk zFp7`SKv9|NmwJ+3Y?YiWOGc~=oQ6gd6?Z!V7)cjlrG~E+CkmqQ-{!no?N*^FTbd12 z!~#$#VbjdZY$q`l9FkXU5dgIB#cv_?daKMdLrrfX`7L$zMrcsnTK9+{dxN0zluTzh zP!sWKZy_W<|AsWUu|c7e!QMd8ytHf^QfrsU6g|glYNaTsR)Xj!r!U7y`iF`4A&{^r z8P#3XE_U*8Z*CvHYn+?feT~bP2>m^=S@-yc6QZ$MP56e%7=T;YiK^L4TNI_W#%Yj| zTWg#GnJZC!0k+@x&O0UMjsry5t+ZKs113UKM{6;>jj*Nej#v>-9K~+*_gnpn$7^&* zH#F?Ees(%=XiEQhfpUjhr=%iLtMa`{u;9J4IeK-GVB3vTD&Zy@3NJ`le*aE%^N<68 zGAqX!)cINv`t&nq+BDB*v;V2z*2eU;B4A~lmWK#B6|Xj=3rS^2}{e|g4n}6OX(nB1+DcX>jU_yAMQy&v)DV)%q#c-NV%8@;IJ4TDu zH9h*&alnT8V0*ln{b0xMqVfAUx-C-9yt@@f4lAk^LXP;5A_5?C!j^25N2v06a*r1_ zF>wy`ixC6bUh5M&6@xkFfR7P&eP$GE#FQ;re^_``Nh@j>PtH8%gI6v)yw^Yg1;SC4 z<%WVHqRzxmN$0`is*+WO&A9mRA@pbnzDnBn(sG1UghX;S=+gxZh(yKg6Or;uo``ZZ z5a}fal{JqE_z<-(Y{=Z{&axE+g;vUOK_TRCyY5=*udr^}leR0!cEo&@c+EjM;m1{$ zD|AtyP=g~l|LSjooB7V@Ac^*!fXow7&@-{1_uo|=LtVY@TC+_1)m!0(AvI|nA$Xs zZXFbFPEEN#vk~4S=FVR8gV7tEK^^ZX9f zIxE)<+hcw?B<<{N&?CAY9!I%Kmln;~9z{7u4IWsim=zS@u!|V^BkZV*ZW^O~j0!+E zO=4&xxuEYXMZ!3%XA~x0(Ep87$ro5mLGsVv7yWNWmZvc;Po=wN4a$1 z*P7(YeW`PWje0R7XH1}=Jx|POY=wMnqofB_e=y%iNxER+n%()51|tBtfIcr*m>TM; zS_8jY`*zo|-EIL)0lN}^GiIg?(Bw^Kgd}n&juWNl&~s2`h}c9zXQ>5ID&o$sCXmi zM;$=6thkfMwlc?(S=KVkt3dO=P0EZ>kT>Q zC|<wVxLn`dBCUW{&mKR>W?g3)jcWeH*`8h9?KsEFRC7KPW_`cQ^LXZ6q~RkS8D$ z`7~Y;@4f{1!B(4UVz=MRnxsoG{$RQgk&V~wkvP7^lR)-zX8dt&`*ETr_;6Q}B46gP z^Nfc=SjZO3sYAtof)6k)O$9QJ+Q6AOM^j#PmpAFSYDVuc`0Cb@!g_&?X60y4Hq#8v zMDOdH!b2+wWF@$deD6J8E%}@+NSB?XJLyR?w9pE`Uk)^$;~~HcJ6B1t_LwTV7Nwtg zo@BD(Pc6K-b!DmvW3$?FbLvXteNaR45~*~{)QU9$#g=Ig>_gcqHmdRaxM(65l(1wH z#VS2A2eT~*QXz+}gWy5YC~#!zTI5l1_r_r%**Z-Duc96<8d$U$gsd2;32Z$C=m19* zfVLet^rs-`X2rH<-sXBHP3z`!=U@l*A2gXzTnYzTk884x_F1l??0IJD(|AqF?X%X) zT>Bxtvc_)H#hSuiWQ?mN`mW*#?&2Z*_UW#o>lC$Z)|k$Iu;i;n{uEMyi{|N} zgZ=pAtVae+kfJ~Gesd@)xw&TuIQ&TX4$z8Y+YV^yV<3?wW+Lv=M~$W;60`Wa+ci`_ zhfk7RR2{;@XL2nc0FEJ{wE~gS>R3v4q3u}M>R5t*q3zZ^_le|}SurI^I%DCd8JGC7 zrCYF0kMKfwZidMRNSWVXY+z}2Ui`C8>DyHO^PGSIoDcM!U>J;Ay5JS9LYq{2CIBwe zhUjCV<(N(*JLn|QjyVM5{*NcEWrT)lN6(+y&8FvzeBk!Ff1r{svrGIe=sTpY0=q!; z#Ueg9g&{L1L~H#F+}4>cdC)kSd_0&?H3vk#+&b2`)>yn+$zzR0gF2jtLw*bTdy*Ul zk991+j1`bqNg>a^Nvl@}yKfHosop$V&vBDe|M$C#E|Amk|8PVqkCm3`re?;yMzu}{ zKA!&NwEWOGO(xDdPp!UYnD8&PA21Zzat9fK4fsP)!;Cq;Wm-K_vCJ&wDNHv2bxsXv zl0AF1$Suyzz=}J+sW?}zvsBqwlic8E+f;+j6sSm#GHtc{oj0@^ieDy#D`}~MYIL55s41YNeSqAkq1Lr{Yl=jq zMYtRa)+ni-Y;PZ%20g7`l!_Lgm>H)q2MD_mTc^bTRt&EHjgJ2e6t7JQi?le>RJ$h$ za&MEvApTmQCA%E^AzqczA8BFzFTNba9~5ZFF2m}C3@tNJ(+Q1>m!{O$EG3#WQx?ad z-l&upor(g$M;hXlH2h`mvqF6vek-k(af=tE)Wg0MB5I@zvoO2Qaa~V{$)7QCEzi@^ zf^Jciy4t<0*0#T%gLV?Chi*+Rfj%F=9ToPZV_YNS z$STW@SN?Uj3jqHT#xb<`(2p2K8n?CKNXBt{rwzPn8Hbj|D?g4CF1SK0n_C#duqfD_ zuwy`sVrZPpl3)_sV9EU9;Pe57Nq^*&9JRZ^9Z61LqjYmOw{E`k>9i+SZh-d&*o(lF z8UKQ@4#zR16UtY~N*jM(f*B&FMh`vP(j*_VDy@^JGkf7bb)}9xg5E2R`~tt_*PeWC zp0AkV+-{v7B;@f{LtbEsPa5Lu4s(b%&wT)^mtFH0m*qG@gAsT`>oSLg#AN6-hrK>h zoid~tP1`ruOi-V#M2-DDh3=!Yul9c_>sg0S_zFC3+jYBdEx)yP|NQmN`qJn46IssU z-tJFc=w*e7+s8W^hY?OATN+G8IPTPMW$;#7_3#}tGq$3-+OycjdS-9E;EL>)p5}9a zj$7GrbN35G+nMxte!C4(*j7W=)Jv;$e}7M68+-o*j;`z}DL>2JzRf)Egh6lbHP?5} zkTzGTFs_B`-x+^)tyOw|zJJd5SD#nhJ@jjn6rbt8)0&h@A4k2i(Hh5ex2;LnvK$_3 z-fcHHbhjlN%ZPE+Vs>(spCGf&0R zl`?`dA0=tSHtOAyY3(PfZ6_DscxrVJ7U!JLbCBrt0ZTL@7xcdsDcoHDRs-;`vXHX;M`XhNS9S7NsG^6!%Jz={g_Yy4 z0hXwwfpY-`R0Sb8d4Yba%n)3>z$#UIM1%jXc;{IDiqFeU+ zs5BD+;4qLH%oaV|zaSq;jwtl5O{9X{r!TVPq44xw%TG?U2!3|y*Q8A2__@}U*c6l0!SYWn@}GIk>fw^0P3lNKmxv~b1~D{W zZM(tz8vG;beah=^*n5a!A&8~RQLv$fi*THyXq*mw7~tKpNw02!ozVCqzhhz=!I%%V z7`y!ojx%u)8$cM0VQ+)1k{y~hVr_FdJ+F(n!~;g(%zo)m&^f-y3t8!rX2^F@$;qA@ ztb_YCmsturqB!q*j6dJ$NYlFN6^0I7?YJ2~%S zm4tvo2xzEcaIN1v1Zc-ln4q71au&^P;em-DKV+<<1R%SgIJBLZrG8Pngf}VaFS8QC z%gYD8NM7O2hUKi&lhscJNhAXhMY?&cbQvx`ecSFVt_LEi#a3sihLenmCIuf;EO~Va zW)wj*@PuZ3e{x~D!j*y6Kb$XmqHIY7PoL=(GP{g_==TdJ_ZJa%d7VB5;@S2hwh3oE zBYx`+0d!qvQvQ&z3WREfb)jnXCp6pHN9rg)Nx)##dy+ph)~~y8x9^z`M#4ZgN;LbB zrK-3dV|j{d)P#k@&gZsfl--%RA7@UJn+Xfw7&%huEw{?L$delbQ$)<%0n>v$#r1KrK&JjZL z_gpr)`PtqpI6Kain+zg$D*EdXdQRN}br}&*nomM-^ zGk_$ErZkwE97(qd`=KaBB!osb8WtX#UGu9#(Wo6YRik{ELM9>TN%e1(;GgnlMJ~&A z*`#Gn@g-hiHP1T(p2r)@n%Hb1gj!_J{FI^0B-rWQ6P{n9wdr0-#oz)2hFXVeX&4n%L^u&uBrZoyq1K zsKBGANL>%^1-H1L!XxkpmNCQ#;)Q(qd=pM6CF=4syi~MdBcVBZ8pV&0G4lo;O>F^8 zck2Wms-0xD2)6$!T9$%N70MP}GRipNvz3C~uU0qz#c$r9wK-g5p`Q_aJI83wV;cd> z?)~&4g^tjl!cTmquwqniC819w4}~k7}t=p^q2norCirIVFdX%d|P+lnCHkD8w!%7Q87=kz2bR zgdz&HMB>2_6)!%EWH3RbwA+%H*g^wLRWH13qn4MMbJBYj5oabFTb|pt2X3%gKlVJL zPE~7JuvIADOg}cC;*?6DhxrPnl431`d3}$UC6D?1c9@Vn)f)II_*8<#$S&lc?B z^XqUgPglj)I`wFol$;x`8kt$fXgRp71$1S$D3nj2e?5qkFns+cHHsBFg25bxqGzfb zw-iQd0w`zBl$(`(iMOQ_g?8OJ~8`6B6tZ3Ye3l)w}X+z7#*=L~i zHoNEdB*DY4P_{~KX2pR#&QWI>IO>LyXI8pYSiOv*%|dJMzy1`7MGZ)%mnbr(nttu4 z4=N3xS)ORA=0DX`33rtjo9Ra*64MSnxjzvXA4RWuVra%Nm>!yTd&|}lk@1F7 z-4#Srl8Yy)-_TURWl~4nN%jYMbSS-J!eS;Ws z4q}&-CPz7i`caCAEd(v6HbJPZF*`YJKwQO*rHX<29K|QBn8Er?F@WiYswz(A(c*FM zZ~K_FSTFJgqC5P)l;UbLIdzfsHrJk3?g1YcYBv=$?aHclb7i>fIU8pmnm49qT&^NiPTF($^EA{MsH9$pncCLP z$FL_9(bl!IhUI!d765DOQx~7a7*nh3%3MSKhmN~sqew!QwV9>Jf=ev@;5*fMSE{8} zh2;^dTwW%L#h5LcPwQlW&PA4zUBb%`)ileHY!q(}x?5X(gag5-3zBdLJyAK zE|&>UI4VKJ9*<|t3s&*1<_Eo1jTp?#Kxk#|dNgUrs`WB5X|_COT^#)J=ei5U92P%@ zCk%2}J!i53S_1%Q8%;VR@?s`V&B45IY8j$QiTe?CH0?(ew7qi6K?2}uNZ8~n6@Ln~=10*)dh)wc&wuUCa^?WK(hWKABo&*0B zhEcRW22|zsT&%)O&cM_cSv?-Kz9>`vvPa%r*1HqjkOg@0e8zLcA7ww!_@0K|0Xf!a zv8W|%MGny=>#a;uTN(pw`c@PUH!b2lChV&)v&LY^f061wfL*81iUTa+He*E;=8GlB z%R_5ryg43Sl6*BNu(;nz*D~FXfQ9f}O4wjvS07TgnmICPL4e{A2dRlIKOL`d;LPSZ zy1CMFDghw$jHWUd(ha{5438fI7kG0Z=&HtAE`|g8NN3K(+*tY9wha;YGd7ZHY^!1R zO~ZsM)@(fSWC+@i%sX#w=!l-(2K_z-Itq?`SuM8e7~Ur9sU3(gseJa`qDW;+bEmp|7(h#mjW|FIuHWhVVx|Q{VU)+t}rFmqs}%-$-kI7M7r*&AZu9C zFO|S5L2S?|Wd0C%_D09-qpsBz+tEMuGhuHhXJA|W4}qoxmc~fQ58jHP-eM#+7gKCE zL;~bwUL1eBh+82D*_dJfJ?k07(0wYSk)ViAURbkeWC1TnCjNm_^4KkY-}DX*Ah4rS zTCf3VFIu@^C^Nw6BCCi`@r;M6#)y0UiV?FLfMI98bnsQPABz%)Ji6VIWj`_}+Q}_O zcS;mH+p0V#j>++m*BNFhgZAru+H7%YGs7s-A6(AGUp!6H{hb~Q9JlhseW@pEwNQJw4$OKLk{Im4fbbWmAvc-(y%6%6{9AVS zt~)Im|Jk#gHFa7}GjSZe(#)Y&*xGG{mFmex2KS1rT36=VF!YigO305s3&+s z;~4a~uXnK;pIm!d7+(;TvlN?dW@;Z8K-}9KQao%1U)+<5ua%i{b+y+|*w89^D37kA z=QpO8vr$Y6tgEi;nD>EluZXEcIV5Mo@3ME(OAp5M6TWk~lZPF|;vjefIbU!K3YLDpXQm)`r3YAVppi z@)|AW90vzy+hbI8Q7i0tBe)5Z7H5~su$meM{OR^~h7^3hL{?<)k_x5uOrV+6wt@U=LfU;rc3If%u4-+m@A9kC!iE-lsXX@o*s$2+Sq?2Y3 z_%CZ(%6ZDUvmU)f`uoYb>_xTa(2cb5L6>6~?M9kn{x!WDcAqv4M+3Sp2DyW;TPMaB z2L?O6V6hAP?n0_t0%IW6y!}uc8N++Zru^;(N(3e?$e?h?v#8iIG0us80qS-USet_* zr<^@9`>2>Ya!0YyD{p*QGH+me)DfKvuuETP5`6Ov`wLQI`75^7m=ts`b`6o*d9oyA za^21zT!xcO`Oi94TxWz3^pi8pIzh*3{8y^YUiXBWwDOMx7&`J;Ex-E_edVBJju^*# zJ9CQASN!wv>xKwvp!)+HN@;1L>wizXm}^ZaWj z|35?b|2RTI`~M%h|5^TjpDE#C|7VzQRA&bb^MA+eRtp*sVz;CNB3e>|P&fz~{DT%P zX@Ng1|3+!8=z!o>f1`dNr2Y?@u%h{Up5m7}6^JIXrUU;EcDAMl=K-B$-~f(W^MhE> zK^361H8OA=9TtL@^WBC~0WnLTVk#Uir2CXR?C``e7%#36uZt@#Y%TNC z+hy`aa$@Y)3Pad3M>x&zG$&^Svh&1Vwkg{mu#aD=G5(yC8UearAXn!(`lRQ{s23Zp z>9MW0#E*IP<@#iw;gBz{`0s$TEw7v#SI9qhTRs#%T3;@5FjoNMmd}6Ir@F)JK9E4e z_s6E)*A3`AKYdZli$#*j#T*CkY-FLLdKGh>g0!sp#hFI1z8_v<2$R;TA@ zg2RNA-r)hii@DpohvP%yz9hESHfMoh_xdnY@?sPRJ^{6_ z0d=8Bn2JZBQiH#+p-E5542y`s2l(@xC)YX)qA3T$51H}g1}%tx4@PMENfs^RR0R>4 z)KQ%c6d(+_dlqA-_!`B6G*^pFQ*F7eq0+1Ki<31pAIbo*f0|Vn?oV zD)>}Tku76Tw!#*SxArjuI08?ITUuF)Y7TxLdT>LT;{zN^d6SsA<}uEE7FvgunQ+0+ zNags7nq?2`^e9{s=(8t&C@}mXb)E!82Nsyy*xe+6tn0BZ1tuFSfZF@K4mF`KFRunN zm{{F71rnn}dJ>u`B>6nr-H&b@^py?%c8L2SkEDK*5IR-pqr?g~1Wjm}*P1;m)UcT~ z=i+oQ+4SJF*{~#LZKx2U>jVXY^CZn{+&8ob_ieQzD%bEboT-3h%wycR-&UfkRGoyd zU*)3!u|1KveI8oS=vqcxw_K0Dg_gB4f3`ejQBHEh3yM6srnRO;O^$qvJOh!hn2p;e zvus-i;L;?NzatOt)FxAAEP*@+Sc&0vt1}h2C_syHZgdy@k@Bi2F-1^s zxLo4%&&1|`^UlBFA75{Ql?2=AEGi&E7Zhz=vQ+P8lsdP0$o*}p*v0&aVa66XY|e@5 z>=lc8Qf=8h?<(dMxZf`BWaCa?w6B`~^)A;mWyVG>$mQT)ktdQ<{O~r-Ys*LYJn5J2QRyv~H8Qwx@NPuV(~itJz`r zO8Rm$8C^f0k;r7xwYLK!iEDZ6A%nyYfQSorARfj%y~p?n!ob$*7yGt*@-QLZx+s`0 z9YkB$f%NS;qz>l;xY}1O%?KOSNed`iddQT3Z;Y8KW*%ap9MJ@gpM>av(Qz!$-0@fI zWH*j1(pBcX3+1@^SZ(R<#-U)0iG-4MR)=hGUzw6k54sJNvV;_G1P})6k$G%l07e4% zRtFghzFc?q;|qqGB^zue0ydwRElyTo<=PW6kLU4b95ajQhk>^@>Onrws#>Z}n1V#> zy@U#LGMLdOMY5V5zYmu5(2Pw|t`AhbJ@%>bG*CC6b0X(w%j7ODk&E4N&V+Fpq4Laz#~ zauho22}p4yRpBZym}Qa8UbqPHp)(cGXnFU`Hw+zJn^oKn@T|gJ48vf&Elat>lhwim zO+Lqodk~J!M(60jJvEXy*3_pmeGAP$9zLxWClqwypGB{e1Vq4urwK0w^aH|KA^RETLSA^cqBfq+^jm;cYK9@;#%ICI$9yu!-wItnhpDX zocs4$U2}FmqV2uvKt0w|6%iJrA2!`8fuTA@F&V9snG_AR7KI>l&!8YH9|GhPg^oWA zy=-2lF{>85Q_jN-bB^-H^?-kj1&2s~4@qjAFD780Q6&D95BrTW?(4Dj+2l~oEW_mqcREa%XV-eUPhvpuBfC@*d6BLh+P;;Q4;vf)6SR+#xi?x8)9r#E| z`^z2y%S}$N{>0uL*0q1JD9%wxw`2gvU`DO_RnUq_WJ;Ku7jRY$hmVb^_+siK{0r(N zOmp4w`GJ;QK}r{orRm1pJs(Ylp~waBZuiVMs!gW#V0=Wdh&SO0*L#c!C_Sla`(;Yk zjK>@>m&U>Pqbf>ff3CX9rA*&7bDvDBJga#A*NL2Ps3ziptCfw%G0x)Gdi4U;#oPan zt#gcytY5c%Y}>Z2j_q`8c5FMT*tTukwr!goC!M6Dn|GhP_qpSo`)#eUYOJbJpPo7A zGk^b>n)QaJCW3NpW^4dsC%ic({SrtSfuQzZG4u8F;<*)4m&lI+CZ}777^37m+dd^& zNR7X1x6zzblqpSH2t?(xN~d7Ss}tZh($P;J9Mrgw$B=&DF*Z3C^#kRkG*BOSH4Ztd zq!K`A%)yL4vt=Xb{qj1XQhZ|+M3(KM8Tyed@zl^s#_!q*V@rTzT#-sEcSR!?g6UP* zI79SW&Ib8})q@11{wuu`BP}+;*rS>dS~q`T92L(psz?-Asx|2dC7c@Nq>E3dh=q*E zI0SlzitFKpxlLHxo|nE%%li`+<^X$rz)qM!=*2^P(ov85We*RZ-U7nT;M72i@3rZq zUCTRIJ=F|9aW=q#zbMmjlXI1l{K1shZsb9_oGs~eBFV3$!7NKcXVH-PG=gu?FnKGD zf2XC+Y3zp`#vfBdef1Rd`W>O! zK1_D+vQ%<158JtOZZ_}ghQX^dMou4!N3wlwc?c<8(pUe+WX0+QiHxKDp~*+H{kqGC zoS14!G3En^JAqY7YfQ>0!Ab1S<-x4glMMTU;Tg>K>Wht&QP4BzW=GBOcXVwFO?W<# zYp1);m-xcWt~sK63c>2*`%ZuEHY^qEVsTlJW1y0buI_x!x*yC2Tb>tIDXCUy{L~S_`tG)zOc5ZaL!NqrOU$DBi<1#vQRttLt ztD57q1!$RA96{>$) zTVrpz|2PjxOHseNy7zf|@=bO4*y9T<(Dc;stM!JjYSP7&vnx9)#T-u3jkY3?)Fi82d!e;X^^HSc`XiDE|}sz;dYUMT(5iNXl9R6ZaAwC;k%?9Q*qYtZ^ge{=M#q$^Ji%;@~5RC=e_X_q8(5w_l3oF^K* zw&S;~aTj`)3G=HH)hBbGiN!@a&$BkH#1jO-0=E7P57@Uh7W#9^-~5i{3*}mjhgh+ldf0VNNBcYW-eSMa?`u5$p`(K%KVB=*?kCUIri6UaL6QkCuRL^U78s!) zmF|4XqP2q}*@-z(U?Uk}{ZK%XQSzn)sXbL_j2NwNLZH)Ub17??Wmv^AW+GEn+Uwzs)w-QKasE|I2Y zsxc{h5E)TuUJrn+lAxKpHM?3(voWBu{ewEXYKym#?S$icL!gwkSi)48m14Ij^>7%>S`O2rL!;R2skyT%lWrm;DWbbfU+fd`2Q_extabg zSJ}A!lO1#aBS8NvJLdX_cc29GADzne@35Jd7bcN+2;sk=2med4{_73@L$PxIOR-*< zv4C*1Br3Yod?OD2iHW~&3f-x`!32znf89m?FZaOH{XbzZ_rHqmiE$o?01hIoyxj$0 zM^;`zgb)S!-*~1VYpL%a_OuOcVM?_LdR&3xtsFf{z6dU=c7m*F}1T2HdF9|=ER^7N_*><-Se1^ z_;z}OMzIq1@oe5;R3wLY-6M6Z}Q9S;uF`S=8`T zr$}$^ABY9ahA-(BlVFBBg#Dxx)cnPyb7)VA6e5lJ^voNkEZO0)+2Oz==4-CXRR&@z ze%X|QiCrvY2`YXAN1|xu8gpl?Dp5Hs!DX^nH*flLW~Uvbt5#xsdAkAk8?t4{vJy5JnU67c?vPj7CThowV&ZKk@*HGxQGZadr)F+F z=igszPg?zA2+@cySikZ#epE~oXv{Tu@l_ZY%K-&b2AJ_&t2G1133`B&?-Ml!&F!Ng z`=XgWiZBkeXLu_f+9n?+9ApGg6FL3&7*l z2S-zNQp%^~9SX_Z5MRY|#IZ%#hh-EzQ$Qj+BwNdqkif0GfQkWnJ|f~7{f4lbN}9U} zhw5rh9zbaSTVri?VJgblDm3PH6D}RbMYhv5ogGol3hJp5|5TP|Jzc>}E+PQORyLad z%i3c85L0fPhQ7R{C?i4PmvoS>BqOzzZdC%zQsZEr%5e^X_ z+DxDVc-JBP)(R1UDUaW18we1m2nMkcuExnP>VO|gS7W91q*0922UXQrBbL+^#qMpS z5i?Ld@U)kzp=cUAnlkn+sH8XymO;rMjjCPA4@YbEG_w?%N=Nv=GEjze=03%va*G@;1pPf5%d~+u&N13HK<`4&3|t5 zxB!T8?oa5$QP0(@{TX0UNT{10DpVYE)4Qk(D?HKJf^E5)-~G#?2q5oUtCAONn~|5A z%#+p1m78Uj8TfV?$YHpwP^pU9W%Z&55~Bw8PU&V&$-GTRb>2k+IU)dUZDU*Smw$i0 z@%WUL=_!7kmtFHVi@|3|cyg=&d5Ha`e1Xk>NezjC51M#lR8GwI#WK4*5+=oUJhK`q zxS9m$ecGhlL5m?p;hw}7rXxwJ~BVI&d(@BwuPIxKhz zKbBebOHZ_qYieeQd0v~iF%Q5|9XQP89CEByr1ZsaRV(V0!D=i0X1id*5+!B)_~n-? zefjdEyAg6S;ZR^{FY#8$+gm}Rnnn>!APk99&?e}Q# z>L3ni7|ijNc-5HYC1!rXc+@z~iH@ah7sj5leDe}76$W>I6Etcr1YZrUDBcy2V5Yjj|?2u{zqH_m zW-={LQv4(G>ll>fcH>RN(8bqD78VG{Db|?@XZ`Z)DdS2TD^UbNHWm^6CDw^Yc!Old z2bP&E@(HYVXwJ^&jDRSa?e$C++dT=Pwx#nX>cf&E{0prXZgsQ{vhBuUtEILb=X2Sr_Rh7_TRXmPgzFsS1Z6Rw-d{-HS zH+DYi@w(Y8PVQamu(Y8tC+6D?O>}5r1-BzY7}CVa8$FEn3#!Ft>n zF|>nH6lp<0Qv&p&=qnjaGB#Ubw@&7PHG6^7(CoiIxXmgs6xC3V5}^B}G*dCv!g32B zC0{VUFl_r$@y!)=XGbR?mTb@Rar$W1*YbI`d7o+JPbvhDp|Tpkhlvgb%*l&Jp&OZd z?J&MgeRyo(MsqMeiHDLF%k3@i?-MQI*kyRT3sPHHKLhkU@DD|PX+Lk!6DqeC+12*C z>n`p*o&;}n(F|qm_`a~sjp2?I^AR7jMVL95hK7r)vt1vfM7{!HjA|89v49}sLMWnL z7m_=;%R5@DWavH>S21dzkmAcHx)w3kpz}^G{NAEk&U`IdU0h07yTBJTt~9W%9mZ_= zX4x73Z~~N?yZ$zP;3%nGp?a*2I)hB!fN9zUy&_kVQL>0$b@c2+T+IV3W)*>9dxXiS z0@sQKMd$k2!Z1*e)pXS_MsE(sd5u&xXq0u@WHkzEIaPR}^`5J*x^}Npye3w^4%(kR zYy7BfFHlj&-^_5ici)*@Ml+jh1+qZQ+)U8DRtdmjGaYRX$5?k@ZQ;U1$}R2o$A`v^ zIqC`%Ioo#jh%|c$zhugf4{u><(N^8EOLDflpn^4}VUh`SsSNPoLEa^pR09?=LwApd zb{)RJk;`JTfHrMO7;9eQNuEykY(52ME*R)PWi>1K?ZZ=1rsmWFa4IPf)Ge)K1x^HR z4F>3@WbxXHke6KU!;aWQFyXS_fDe-JkiDe0Msva4@WNj|hm)b_%eX>V`(axJ0}rO~ zm^(z!Gw4-{t>{S1@fb_Z?i3u3fFK19K`<~!8Lj)lKgJQj@wZ%@Set}yDG8o0elikK z;#s8Ncw~6aS~AOi>F`2PY1Ns1QY7L(p8|GCKOA6XS^}jXIA+fu#$M%%G^;Q0YDjSf zf1cu)Er|!Mia1C6*rZIp0afqmDF?u?H042i2j=P4Ne7mA{gM=!%f*7@*ctg_6^$H~ zn$&-fPB|!OFowgsg~;2E>>Svk68-AX=Ql$i|Fk;_&5dn#vb8&ndl>|s)trqI8V_(7 z4en2S*z$r^n-$nYmM62vLX+(vS|q0Y>mvX4x>D4IC-9@9Y=!;&bG3*ezd>TBM+fNY zVwtFcer>ImxqvkU5U+NmWOA0BevD47=O6PKYe_06LV|i29?ii+$(aegBL~%E__9gG z*ks)CVn6okrTU>@-k}2WM`GO@Uj?93`MS~jdT8tedOKjPZyLdA50#{%qYC2rQW zc)lD6bA72j|&!> zXCa}o#pN9d5R!?SNrsNS!>JA0{#BxK36$|IhrH_AkULc^%0{k((I)!Zu z*>rH8B$=?gsbLOS-FvPNgs^jU62Sa11kuP%k}w#^w{pex$J~gjD>@qa=C+`pF<}y8 zX!6mYX|H#~-fXAs^`~yk-2jsMcFUPpLMQTRy+3Q6RiLZW^qIdPrgGu!c9Gw>`Haa8 zmUFNAPvqBne{MN_KVAb!{_bTjy{K{WO)@&tWA!w9$2=q^HBb$0fz0yS2k4J{1xlL_ ze~Ik(22ANpoL#)H&&GtlpO@8Yv)B{qa$0^%1;S(%A- z*{*Bvhh#GmTIKoTw$#se2w-fd6L>Xm-mI|G5x;+VI%4n??}Xpdi}jm^|0!tcMXdz` z#0$RhxP-Z3*i?l=-|Q&)N&CKE6UJo;oMm;l zr;bA#;jUW z7=Hd9X&$Tz5abw>6qn??!N91MgalwCs5J@(`P&#C&68_1?;VDn@JwZCFjfX>8U=D11VF7 z2|vPV@-Ef7MF4M_O(#6Ib`!i(KkwO|C%SZKSLM=EIWez!c3qq)@uoOdHxmLQ@(RQB zTbdV3rV_!DhwRj+CSBmxlRSJ%*i1_)IJFyksUJpUZC~}$Q!Bl;zrTut*{O7D5+lxL zoL6j4(R5-RCQ2@Slr?gsn}sp8r;GYQ)O5a0{p8pY1i)#cm#fKko$TS|RQ+wsRvRCl zWU|}{)+AfES<0L|wYID2V8QApT(6IDTIi82pyIRRsCP4u=4M?J&z}UlAxAg;Ww6(= z;m>(t;~~EF#2tMWy5?E2rNn;`=gB95$fls<70k##z2cH*u6W!>pgGlEn4>aN-Bnsa zU&6_*06dt^mGmrZrN6Sf+1=;KA&9dHh<@S*vQ%| zhGtr!mIc5o<^H^@^@2u%>6;yjYmY+>rcRFdRVF9U)E#s3%w3OEn{xHtBvZZ9F#1)Z zRlavOP${4m{WiT#+`X(M2j%X=Y?cDjIZO)mh_Nf z&z+6rZGH4^Hb-ZCJ?||V&Z`oPE#4ku1PC~tDXl;c{~J?_T2S1!mTUpE&WwP%9A$0Ne1;lJ2ANc>VeEx0Wd;5+lqepF{9k?#`TyKd;QrsW0Pb(lq&p|=zx*R2Mh#6ZA`Wf_HfAm&W;SL9w(tE1 zTNi6<-T$XHz@0dcjQ;)pZ;WALf7CG`_t)PP*^6HvhQa{7$I!n{i9Zz5Kf@GyUG%^H zJpN_RkBlf!MWyE&AD%;usIbsDC8Mz-z$e@p7_Z_U91pOHBKx*Qo&zpR@hIl!>p2nS zp%CH7GBib5LnUV=rv;5Bs6N`=M=~xmJdPSayDnxk_g#`LOref{1p_4#@PerUs6DE( z0(q+wo<4<@P1Nix%HRf7^01OW1fPhKmUJ$Qk@uq`#`r*Kw#NLF=1KKMVWLSmt3%KC|u=i(Of`2xck?s~a z|599(IXg??SHPuZzpjAm`N19n$YG=&vy3^--BZbn!QI%61<+Mov6tw!eW%gMa~>9?E4>$Il2MWlmGJdf7%2@J@)M zWfU8!`C~g`kc-(Jz0}(efD>B0ER~kx?Vs1%8?UaX9Ms0gqIG;mSGfFW@4xA;x%;C||Z z>9psXb#fGwTKF7|HfHya9PX9I(9pC45g;K^QHn!I7)0o)gdENF_B^(CI^nZ8na8!r znZkM}(8Uo=prnPEvlg`Ja^($3!OsRLKe>rxXg?buqK~YLU7E6Lpbd5)2v3}gbysmW z#*%|>I{7Hul(rBNK;A5{ef3VL7bzVO4<3RI&>#+!rk_{j5LllGr&${v6P(~v0)n^9 zQowROkP>R(d1OdZKlil&kR%`ALVW(i8bIH#nuy{#po(ih%rEf_YNeCXNwOmbWjnO& zn`mbjXocp@A8deH7!)diSx1)-8z-z$wgsZ7UB4zk`KvvLebn*gW{fZNGta zoDS=9+)jYy@_-xKE-2e4PNdXj$5`Wqa25+DYW1m*Na6`H4=vgX65oA-j~a4W6CnS1 zkVmJu>MmXuZ)M8`X$;#}m}$c#?1iwG;xCcw1?vG8xxOO@a|+FEBPh>*9zr>rFa8}A%r%BYJjtIII~;1k+BORFScb6*ZEqui@-Wo`?_=zlIEOnXrJYeMj+tnRBo~Uk z3N1z}iALDK^_|jg!)EKXwxh{S-0<@~IFP+b-d_$<)}&asXv^k0)*KNxaj#Ycy2@6FES2_Gyv8#n?er zW1_4GOB_Ae50=KrQ;5Q5QlkBkL33kR8`NZsu9J;|G{l}~6&fvc>hHM42DBq$drwu_ zHn6z`GU=?|Y5%=frYt^Q?E;kdbKA_tbNPg{bnE!8A04+)XO*UIhNNarNgU97axf4N zrs zq~qrL*WigbWnV@f-V>)(;BKGKggK60GstG*=A0UUrO>Wn+1&6UKSsor`wmB4`-8$T zwjjJnk0Xaf4V@9^7vh9b!-my zz=Wu-e%X!l3t7ixO0%^i*ro$Yec+6vphyh@yJ}r)%W9*FAfH4+z{WG{?~<8cRGAG; zK5H^)Hy`P}pQKAd;Upw7tV6i8SQ#ffE%kL;sxYKb3ou%q{?3PeZx%}24aPr3hL7Z#P7B;~qoTtEYj6eo$C-BAMDsc5rU zq#C6hX^Ln~YGre_&oRgc8mQ%}rgs?wC3ILk(V?xrPF(e-Bs%3h%DceZ*KCkCI)+5I zjN{+QIthVa4e08bEL-h06|kEi7ukvrg8WxbKSm# zq<8n_%4jT$*5k5m2B8uV>GP84wI(*RCj4$q2Z_aBluH+Ld&6xVl8lPrrS zv6%)n(cTmll$nV+(e3+QK8x!6$;9^+bJRaq_}Mgx9t{8e8T)KnV3t&1ArMp$Cf4sM zj|%X;J=%uceX4#2N#}$r1{?^&QrQ6a!eI~04|l>Aay8iG&;9x=7jh6Uq$Fc1=eBtr zl9wO~@oRVN{i*;G{p*W(J7Dn_)a31C>ZIMf9-?xGs@>9FCg(_WW9xazG@3y59-8f) zScgwP7yEn0R}pq^$<6z!KxnVtr;B1?eIfw=)A{xM^ySL#&g%W;Mh5bPF_rM}3n39h z$mTbe^H1qqQtFWs^rJ(wIGes^sK9i%L&DU}(c{>gks*)4(cNO_CYClf$k z$StdBCf0Xe=JqrT?HB6#{T*wTbsxFBs}5_$L58cVGl$Z7AnqeC#XxdHx<3tzjMzz8 zI5swR*+Lq*p1P^^UxM_~odcKHRj-35ip2!z=9YrKq8n?F(ll>>kM?-=m+myk1pL_t6?=< z7+%j_G%Gbv)v2gD-N!Rs(KUw5m+!$M)_z93uJFCO)_#&LW1auNd2)`7{O%DueYMXs z)~h0L7cVntHr6FB8t=;)PcmU%G2m}B`G%!XlU!vfml<^CgXZS%DThI)s#}2A;DVPq zMfYM={$2Ml*JsW_w96A`z$A{<4WkH_FBPM*eSx40y5+~rb2w&WRZ17tiB^T}m%oCqcx_$yd}~8Wy@`)e z5A6%eXU6FS(D?MzsyfWpugv*JE)#t|7!(Sbip7NiG%Z4~3t7p}4tns` z0rX%Nh->o9yQY}r865GzSEdo`GAf1RjD3#`CVhn;&QP3HKnegHn6soyWA&YO(rO>d z4jQ{%LsglC$BsJe_9(u2By6Ibc|TAF$qQgYA<|C9(w>~763-8{w*z$#Xz!7~^LRF^wgX+>mWj%l^(<+r4lxmEU0IcKB)yn24q+r9P^oMH1yo(LL8W zROUbXRI1o_GH`&hqsO$cv%KFSf%l3Z0dnmA+cn18yVl}`307)`UMzQPjOQIJJVQ8S z({2xcyyZvk$*Vi1L@M>*A753IN7gdaoK8J-wBvFr(L+Lhdb2_VB$L-~V1w#f@4kK1 zuiNNwYvgz7vwU@Mh@xpS)u$m!fl)ZYe`1UAwtoIhP*eq=-PA~yM5-xbaR?Rr_K)Cb ziq+DRn`(k5{t}UXAx*uyGD*`_;>!eKg*=rW*M`!%HDoy4bf(6gCoypJ3}p-53m;12 zVohz1J+b&>a%44QKcdkp)?A$Ic~jq}=WO36ONEw&aW`CHLLe~~aI6Enp*`4E3--Vo z$8Tg!Nxpv%t9&Am8kaHT{jd{@>&m`jmrsJhe6lVdzzDx8;>6eRfNu@b;Dynk1~M!ZERbp=3i0<1#YER}z;ENClvV zh4`8UTRE6rKwmg@Y(Ttu!F#cqBqOKZ-hf+sZF>NqT#|y{sPof3x9iKkkpJRYJr&WY z2iYg1ZqnC4s5-GMogK%$Mh$^UX~IY-ZP*Xv*uKbi$nm5%{$6|?3vb9Zt}L5KqhL+! zc+~t*T-6)%l>p=z86$E$c943h(z~?VH6>cA52ri=C&N5=DHA>9j6ut}l;m z_eBG)hvQ2Hjadt1mZmX%1Ot=glW1)88D|5z8u0m3^tDaI2{GqaW))6XGZS;iYB^6S z2atAAnBDd(Z3EWOssbj`e+jEiZfU7)GJ&EI+2gR>n1biO*1kP7QWrcAL@Nits}q2| zn+&VUnc#CV(dUxSAaO0qb5|&tOu}YT>yQGF^zyDo9z+AfS1_|i=+@%o$`;aqwHm)OQpSy8QJ#@$_*Rw|$O zMXz%HR;_c^-+Y6#$Eqo(u1%32f-VJESa?Fy0LQA9pm3m5bk%q$-*>aeNa&Sjcf>O_yEq8eQuhJhMMj1ImyYw%F0f#RO4 zte?!QU1@>qEv4PdU3u4_>B!q~M(HsYE}kEyrgKtKB9tC#)p3>%q zDD@$PeZLV~uX%_!n_Mq=l{NsD`c8eEAC2JesK z?L?3(4@z0e{nGf|X`lk&D`Ujw@|5TVlFCeqV^EvhShLA|A1>y;6{gT}&DT>?>WMhB zo*5$~gS84j?gWvXKSXr*LJhIJ7lUnFfSS+6ZDSAf1D|`1)IBVUof1=9mj^k?@$SyA zexfhhNo#H0{6~~c-YkCqn>?iogiD{%Y8s*p9XJIZJj-%Lxz{$Jx$bGnvljh}(Dd+h zURnI8b!i|U!&@JoY#ZLwJ|`OHz@+p@Pd`L#vY8Gkol-pzt(n9+0 z#@(OZUJR`kf@iUTR*AmxOg}XB@jl`NO&_gVpXS)Jo%T1q@_sRhil(v;bA-OP6|e^p zw5C9w-n{2zrvGICjNGonHzlye#N4}Cs!-@Qhn~C(&E@V3&YF);5F zTG1KuKh+tPy(B~Nea7U51L-hUDj&ZRKox&$0tHD=3>XvY|9Q3%Gt)n{Wf!0>$uM2+W)^MML z0VW0huLfJ$)RhyscWJ^S{oVnelRvPZjl6dz0X%8h*Jr`+u>xaK(Q4- zMtyPkt1Ha(^ZmZ`vG)x6CeOt;j05La><_8yX}dfC|AOWU-VGB}W6RycWGbQF=jn9& z8+_=ib+E&p>Oxci>~ED(O1di!*CT~>O7TmqaOrz;9_2D6ew_yZjN&G*N8~T%I zKDD#vV7L>r^(1tMd!_&g=gn%5lrjC-DUaPW(Jc}D_uHML&-Ze-2C0LL$Au;IBS(PD z=grT6<3B6sPqV;(yH*c3_O~8->hs}L#I$kSVjV>s_{XR7V*jc&k0j*2Rp|1o(C4nq zM$W=?i=UoM@Huq%{AFDds z95$prd|<`Vt%BfK%^zuwe(W-t-LwGQ?ifF0FQ+Ieh+MJ%@h!K*%Dnw~=7etj_sX07 z14gW70A*lN33_d(PKCal_Xmmwa{148q`d^%H2$>CM3B zN_~=Bf+msUvlKQ=0)?=4v8uSDm-Y_Mo1#H2v)y+Mi)7l8u zSLcR4ftZw&iDrOD=WJKg^q~54h$egOS`!#>720S6p|fmgJ{$FcRR}s)nsS{| z3kYzM__eiDOm8Ep7m@^|+eZSNAim9f2p<`!R zfKX*NH2;1whz;JIWQ4Q?m%U?%y=#x%maQuM0hb+(@hmcQlKTv3q>Jpk|nmn=}XH?*}o2m zI;!eI1lY}BmyBb_`Fe$6kV_t#RyUIRrkdCQj-2Xi1E@sMk97=WVy?e&?GHBZul(xw zSE~0&qdNQ zfaX`9gkNOsLWU@M4j$^ zSXbBxz@=eg{KfR*e_$q@u6o##BQ!AgH71Y*A4ukTHu)Jv+8S*g5njn`93nWTBKrvK z&$oQba|;%B2Lek0G~7>H%q;IYq^oUbc~7L|&aVPskIx_+?| z;V>6GgMh+aE$d1PIl+5zanjl>$zoHrfL2u&{P}^5iUWm3DM#7Y*5;Z>Jy^bL1XL_X zy)Y!nZK^3rvlULEv`ML304C&fZ9C`WB2qNl&9UmIHN;yBNI|E;I#}(w+c(;@t5P7+ z8=&}c0*%ouBFglpGP>CyDBLvz7OtBVh|C|V>p?p0B$&50+)kWMV)%&j73iic8M5EY zzDJ#lD))zO9=r%z4DGm+3;nvg8!huG0EQ47Q%SS0L4C1|ys&hMR+uy2N<9jVtywhQ zAS@|nwfu1g;QZQ8zmaYJ+Xg{5>R#Fz{pX^RN!);w8W({OI+R;UM>F^}lbd%8^GnCG zMI@Z6WGI~RvY{`OI2KJK zjHNGx_-AF%6NK66mtScvh$)KEny0`Jq%KP`j)6H(%OnPRh{Sn-?27b!wDLfbMYzLn zM^vQP#S3l_oa3V{Z**qvhmUEkM0C_kez@KC250GZ#SSFf#Gwyo3RNXZLmN)-IF!th zA=Oi3z%Q9IHK=aVjx{O^9&DGM>X8JQj-I4#O91Wfz?Bos<1{b~_M;eg$=(nx6o!zt z^!Y4SK-45k^>U|?w)jIiG-t|309^ybSyG#YV0Q~+sXa4@!Mt0XUqS-;qd*m|hGAD4 zalkD^0dv@{gN9aoF~Xa4Rjrs==l-~DVw!fXbWm?dTSvKMfkQBr0`8J7)Q!L$9g7h^vf9WIULt6ejQ>W>|I@k%OHCLh#U zz$lljM|(etL^mv9@F}Gv3gVrpij)e913?0G@c~gOzlLTr4t$A5AC^!ch=n}Zl2`n; znytS*>)Z(f5@LfrZ}HA6FwnYG52$)W+*#_0L&vEB&96)C()%E6ixqYp>?skgvL2Ad z9W#BG+GkLDNS4xLZsExt;1s)#-uUoTK!j70pi|pS$dM$^bah35V&qM&T?C8tZ$vpZ za24LWJseY|Po9K*pA_az3Xb22ICm_te!fbP<3522#zZ$%D-^?=)16$kjN=IzL|epH z46LF83G3+R_=0px#Fb}^WJv|co=eafj}a;HZS-i6u<|4=JFuBlg^DTn(F@ep0An@& zBC1h$h-pzmN01eSklH?Fg9cy-D4d+yYUy|}Mo{Qzb6VP*Gkeg?>2A*pvp3WDFoWhT zR;sR0??`1#2_10bc(J@C1V@^s(U2=*pCL4*kR5RJsDSI!;-21*{#k6Zfy-x3T)W-$ z9ad9L;zZta#eLm4?o)^3^4-EJK)<+uEx$-$wSY6Fig+4MBdN1>DK?B8ElcEu6_3{6 zE8y<3`(uBdQc#7ldHfUKa_B{FMjn#Vsqy=55!sBz2^dF}>dp?}p{6O!{8CaAPMq8P zQaXh0Wzd{hO%lb%URP50;-3;EYci45HACQZW+G1tgIS?cROxeOL-=x>fMTjZrLN8K zedm%vz73%#Tqs6+0>xYq7I#V>nMDniQ_%W!RBnpm2=s{p>JVA|=Qz(zve_y;9!?SQ zJatSwT0Ok-0mQIjSq0 z{lB`OSsqLV3;iFu!*f?{0f?|-u?9Kvq=QvSKnh7OHAmkI=lcc55t>N{e6oj@YwM~d z@&_V8uW=_2=JdN}^+Q1HXF{zv#~m1zADo@LSYt1+Z*q=q0h|Hmcx$iRHb< zxkQRPd|v&JmX;V?j9s^+Kpg>PmepO#XK(EEq8>5~8_#Eu%h1ybKn(H&gXrhp`;SE4 zK5~5*PDTchEN&T&x*#ZTOOX~e(@{5dVAkZ{O3Fy|z=J+4jOXU~GK@bA7W(SXU=Rbm z(T>eMP#QloDjJ~Hw~Dc{r~H&l!%Vmsa;h)#q+9tBkS>` zW*w2W_D?y_m6lW<18y}4)Hp;Ma*L9Zm6py9&xbDd@6`gTojE$;m6IITImp?%gK->9 znaK(HU;J=_Nkeuy|F%;znB?9h*;{CtF1F0L6ywO#^Dp+=j{7o_tUUy)XRqsdI&9|< zA9KfGTHM`i&pO0B&luqBNr=W4uJha*WO-|bWX>@|4&Qhsxccrwm*0CQu zPZCGx+mcRy7lksPJVfB!^4_9n7!?ozwISbiV*jM| zP;N zgTDk(S@eG81kfd|PJay>QGw15zHEqsk(J|BL00BD?l zWG^eP%m!lX@)Mh@jU;P(ULR1KY(5~Z9C!WU1J7sZZEBjG$tfC~&b^K}FW_V;Wm|31 ztUXrpuQLw})75^e{#}e+FkR|1ZFG)ujk&r&XeX!S1*mkjXokcf+r&Bit3{6>SUibn zy>0JORrhyz`U49z&`wrkSuYem{ZN=nLkz6Js7ROWv4lgK{QUT8F9Of!#`{|IGE8Tv zf!1n_p>wAdqkX6Znu%t#AAW*|%nX{m-I@jgIFir6 z(ZpZZ+o{Dr19={4zuM)zu*r2ib_mzO9Exj*%@LWdcud$}@_-GIndK64wUo%vY?%iP zZ+}5H2NRk>=|b|T*5C497zm!9P!L;6Z}iMC;DTPeocd_v+V1mh^N0vrGQ&|O>`!2sh-E zzG7_r(&}aasK&wgVR69yTvt0A;{&1`a32~MH@sk6q0<~eNRSnFjO0!8h>e7)!{D`( z!G7ZWb@KNH$G5D|PnKlxBw67c3w=P3dw__{-qt_n2XP0;@N7%I%$~!m0zSrl7u`Wu zu*Y7OB<4y;`9vaBc}o8NBgo#^I3rG3;d*DN3L9CPMtL;_+<2)dUzq`_|33j zhvs51b2Tvt!7J6QBt;}^TH&pj*M`2ZIiWNf-66^i^%`eYJl3V_$%k`M_E6p}41i)z zH=Sf6;vsr|FUsN3YH(#ytkph}m$67!!e&^Ike|MRmF^@OS;fmbgM`e#EfVIzR`WQ; zywcigQrwpcrz!lIb$NnB%*+$6)}l|c5$?~7MmW=+iFK^d`3;o%=qp#k)=3RZdVrO= zJFK_JlR|&GIIM^!dG6~<81E@D#{uF{U$SZk=H)%LyZRznGxn`8nR+%PPr@P=#ICq` zldr}pFYxei$Yb#vQD~v)dNwt#uW~~1Zp3U#G%eUirJJshr;}kDlS#*;WKE7F^qx@X z<0Sg)fPDd^-f#AG{#_tXZ5ddF_V@~%DQw)z932N)yAh*T@C$j@8^ZTd49KZLWhbT^m>R#?lCnrrfpMoCmn-Mk5JfQ13ANDm%sPe14&r-p7QtOPx%7at#zH{NGFQ+R>;pF z8{6gbxL-Tr6i078SVagKt*pj5&G%I76CVf3jy&0k$Y9CDy3Hs!TgjI}#KgZ7%m-JH zuzCWjluP+)MX&*Ax;THrluymz%99gAm$kUdW-7>2t%ezWx(Ky-Oe{fH83#h(xM#Qo z1{K@Q{YbXNxXP+c^okSvA1`6(6_;S0!O@fHit;C_N?MY}FQwg;Sy7nKUN{WB2?J%P zsVo5QQ>9tZZBQ+~hMuBWZj?92{O3Uq6|@GkQ;_RNR;K{hT(yp~f}mAb#FIOND*yk( z)>}s9u{3L-xJz(%cXtROxVt;S3GO-&EV#V5OK=M=!GgQHy9al@>~HUV&bi;cYt4`8 zS>4suRXuCfOh5J1btbLQS{32;uMuLlUT8@+z!=sMB%NcmWuzTG8snq5m#8c%^# z0!$swiqdP8mq{q88)qAu8I8+h>phjSDtjsjPO({M>LHO(je8>URMqw41#YYL@(2PH zW~g94HpP`ce*VC+mv0rEdGss>HYFKxHI$-Nzx}dMm-|~irxG@8Rk8jku&Ui50WB&z zU2~DOgx~^4Z4ayU%~Z>_eY5Q6mBBLbCf6QqmU6`-!y-FFuyA7QQFc^nN82ORUh?vQ zeQ7e_*iwM&z?8o-V)Uiy<>}21pb_x(tZ}MoQh%otOv-Ri_$5b-+x(fWX}n+^E-?C! zof^dsSSNA}#0ayU22J2GaC<>CRkwN;52?>8B&vv@5S7~ z?;0K_oLH+L)41+%)>uZBqhTA~oNP{2M9||PTeYWy4EkJbX~sXS;5yFv0HU`)Jzp9tLAn%Y0(Jmr3W`}OK^)d765^u*gi-rOJ_C7Y0+Glp z?;{zddTMgcr`cPDeAauu#xv^ruoal3ean%bwCw6R0p>SI0hG*FwO949H~638LBa=% zBwujfzse8SftUIYBfG1eP~2pn0$)2j)wcj-M_fepruQl~z?YU5g`fb#!@%cbO|P=% zm#G)+tY@lq&42z&!&_eWCzAj&^RG#jg%NL_%IQzeN5WK`6nDmfx;3Qo&g+ZLzWTq* z23R7hl$dMn0Hq9!~MGvRM2wW)i? z^u*RvGvsPV`)j3lcUFLY6yM%t}OeYZ;C)t;dCt z_2sGI_C6O{?6=M4?>{pIFZ-mdJ{mCu(;x5IdIH93y*m%iWJnE+M`_r*%{hvZq|^`R z26jA8RAZrVvUa0g1;9sk&2m0I&CsDMJffkuH(>-(?!5m$fYe{e^}o(SxY@b>hsckO z^)D8Kzn;F+>a+d@q<`l|0L5iuf}|o)|Hj74{|{{HAIki{$Nay^5Z=#6{xkOfkmj@f zi^mcaBxO-oktU_Jba8P23H5TnW2x9ESU~x`Vt*0gL$a~4zw_j~{BzIoH=>H|FG_qG zKu0!aha07RzUrJrOES*@`va%aOEH)?Vncus6dzUkPAojD^I}H_rMOJZSIt?MsalW~ zF0(AAxUY{)S`3W<-t!Y|8@Bzv+2FJyi2_N%szQK488%06F7$IoUVd`0zkYD$Bo6n) zao|+y;oT!h@>${K^0@JEy+~NW90q3vxL!RBC8<8MIO!dG%i{j}>iS6h#vLCCq2q96 zg7f9XmP|k=E%(hQ;wR)UWg}=+k7qA0A0c07@J`3+W0p3z^3+UezJ1H0dDLmtb#mFj zH}a1$g+7!%d|$wp!HR|Y{Nzyojp z*DG{;ip9yPsi*NqQof%Qlsv@&+PUU!>22NWeZ7j@qje5n^q?n5wP1d;iTI0wAy1n6 zj6pEoPfH9EC&TsEGN#GQa?nd8i{E`(IK;h(f+bgM~cQ;DKdm-I5 zz4+)y?3ENGjo!@QQX+k5qCLr6(NuhV&XKuHkD3+(x+OnFfmDo#MOKf1abMm0pH|0e&oW0Pl4r$8bzzdSc0U+9S#e2}RpVQQb79%!n@?g_Arw0v?r0F{BCRg1p0!7C!U-=YPkMLKq zL6O=Y*tDI>Q@&5XGhv9bkFsXUKDJKg*+(yjBIKd(WSn2f!&>*uVU@7OFOkLT5KO`@ z_+Fi=SjJmakCIL*fhs6%?Zyj;eDWbH2yHpg@~(Wl8lz}3B0{He_x}8PxP3$Z% z$wF?%-O8JTLY<^c=4H^0ezv#WfHiQgx@#Zb{egBqBs<1mMEoH;--8LyqEFZHN`MBfK!rKcC0=Gk(sAx7bd!}Y z9=&0f7Om_96WC4raMtABaW=g?$hO12S464M-!ZBZ@-MRF>-<$?->z*!ky92KrO?Sw z0x)hSr^=_zh-82EeV}3Nh~K>;TnPgn$FCy}T-63n=f(`8=f}7T@yl7l4A}0n@dT9| zGK5E(wg4+_b1lNY8H2qBRgzteOWdT@(Zg&;2H}oKpJl=iXG?->HNN$$Y~Hd9GcO_) zG(or4xG#vc*C{LI4gDHjx4*4;UU_4rlX)m^Orv<}6rFO&jP+kuiD1e0Ckze}NT!JA zKO)?0YK&u^OjB zz!H*Eo7cdVD9rp@#(BW&%-xAd8664JtrkSAh0o1; zj@GhJcy}1{)5Ux`+MK=h{c)z?oN*Fsy$V#l7Ih>h|7c1}a@I`HlM{lOR4vr`k%1~y zrMGTdv30h|&9>Q)VY!{9?a^ExD(m>cYa0HdEmwwieY3{|mP2hs7LqCfvZbg**#FQS9(fBA^n*#3@J-lK}Y zqnZDKeY{5xSrp7X zTu9m2{=)?I-V`Ba;{g2`rvzC}5Rv{*CnYB{xA#u}WEB20hMg6}H$j;EBnE*58l9kl zVB-aaP7ope6Knk?>Al3z1SLpxl7ZpBB(eV$SpDzp?0>KGui4qzK|kNev`sSoCGU2E ziblf9$@yJF_P#fD1MR=WI9D6{;#aR7smc~KuF5+ zub6+=@Q;{(&G3&H_WziGofm{ULk&8eA^`O1i=(KG=_ zaS9vMGff804w9OEhcErBj|eFT4+wIW62vh>_&>V*ZNLAIF8><+A6@=!{-X=qe{|tw z1r1D5gIZ>Up#L)kC~5`=#4?EqqM0T6%R~;7gdl=x#J>omX{RYciGM#mnIuH!_+L+O zv4Rq2C_#{O1PcG<36B4_CpiAK-aqEz_c z0is^`Oz>YF{*MrDkmI}gix%+E{(G%#pp7|P2p(3@;~XW_dvH$*LR}>K%ZQ|NMDN7f z8w+%xQB^z$9$rxFA}uI#9uqXOh{^KbQvTgRf4wSyyX^mbGH|jclf8lCfT)*x{x>66 zR9Z~c?0pY&{%zg=+no)Bx=aI-1E&KSF4qFpK@(JVpRHM=(4 zdUsLXlT;3^_+)}sH?tAgc>~$W6g#g_(JXqk=PmVHYP+66Sw-V9`t=T@@tgS{{hh~2 z#4USx<_+5XL@V)EVw&R%}6oM!%l{u5VsC=EFohG^ShgpDK}4LCqj9@^EhK{ zGRN%KG>a-RgtJ@ndEpZEM0wDMAtE|WJBP-RWH}P8+>q4~{0US)zUH`QaKWTGA0M3k#ykU0xB0k2Fc2Y; z>p8Jhv@wKw-Z3bD-kj44vq+Z{jBg4z$Mfeyo ziEwZRyLo!HMY$&F;uPea@rDKf8jMH6NzR?$)pE}A&T_NAK(29y%x~Lo@m#DAh&e$& zFh4Nd*}mev-c5b>IJVE>I$*x0xVAs(`*Klm@6<|Y-?x0xc2DMmOVUd-;K80;PM+;F z+>CNs^bB=BvN?a%2)7(0(1Y<(@e=y@R`j;s+_J*$LbuVaVfo@dakn`NpyW^-Fx|I? zzJ^1W8^ijT@J8=%5^OkQv?IQO2}foBUOi99zpuKdc*c9h`6&CrM+}|JQ3W9zN?Wp$ zYMnYJmg1aG<|A3QGk9f!tW%U8$9$hYa>dfvpP+GrQx=~T;jaCh?Bk%PV9DU3U=ny1 z#3C{M$HK^+`7xn2&DA?QK=^2V5&+&#Ur$#IV^RB9k=m5LrRClrZq}mrJ^6Ju?N1(S zg|;JZTl;{wLwW5Xw4%Fj9Ouy3_o8l-)HJ+7b-=Z~m$s*?TO?JU+e7;iCr8S$d4*gP zvX?n#b!ld#ju$JSmC?h@knIZlzl+> zIHw2UA87+P6Mx9}a)7bsqZrz{U4tdd+x65>wdZl&!9{`hBheDa?3 zQ#%ihanx-dTrqIrDcKj@ao=(GAJ@$3U2%a(k;&>47>H_0Pk^5f+mE|CM{)_T@^AMG z7L*x_y=pr2z|RTfG}7*En340Axw5$ARtfdYm!NB7j1CB^xxxN+1Tdo0ay zKJiaXUg_Sbvd>=*08cE~bLmS=9>kv;MbFTm8N!`oa*FgMS|jB=fDn4AU5ukY(ydXQ%6jEnr!gV02t4jChDiRCD)Kv^#+0 z>$q)*z{jXH$-A7Q3)>f-ocdy1+I@YWFxFtg_Z*-QkisQ)s^}>RfBVGpF7Nk`A>)c0 z8xWh&GlOqvqasYr?*vX@CzTK=Paj&)^&_LZbzdQYEC;grh@&Tq*vb`5JEqn%?}Moo z)n@eayxrzmOKLB)7bWzG&vfu#GI~2g@7lnb$ZDMlny6kFa!5`C45UQt^&y}4-P!{? zg5L;N;W+`}^K|`BJmV0%N=uR@!}iNR{q*~n%Bpl~y=I57hLf4}oy-bvYOY(GIV>U- z>-8J}zbnt}w7zJouf*`!CRVC-c zWb4B6w32|dg-EXay-lv_!7#1c^B-d6u;U$d0M^DYYN{oZMG#Wf*hVH2H&}H4=C_5N zjZ5ijM!OjKF@?pZR%fRt$|n8fLIpWFy*!jE5&cq_92h0;Sgqw?NE{=W*v=hCeW*bg zPI>|bxwH&qD(XpW)8@-TL>vnX{Uv@+6-r`z0s~Ml1`bu_NM27+ImcjWPS^>Xwxfv# zU=kBnkz*ft`rUQ*+HGy|OCG~A=y9uOD;QCycBPB^5lIt0OMs4`>D9yG{Da+T){YoBA<<53CiW3Pcs8Q+-k1&#P8KeqCbKOVmfAs39+t`+CONKk4MaMsJ^kx&yqj0y{KxHd$?>n%<4AgI zSzvOf5^7YpX1n15Rnynr)GY4@*1+pq%(QIz)$|@^p+0{H7k6{5(0b$a9tvO0SPT(w za%fFfScP8D4jKC9g7&8&XvoAvk+Q7a;Y|ki9|kKFgZ_!-Q2m9bqqm3^w(0mWZzL>7 zgPD1n)vFt359a3mRH4M#JUbpzSJw(C_()r>ODhkVnMbkC{?>DlEJJ5t+rZ??oX1xa z>`}-e#!!33N_WPWdhr^%gPO29h>dLZ1YtrIw)oKHjTn>t^EA571Ac)bN)uK7WdWSq zod&(s@2O#9kUM6VPWwxPf4H}Q1{IoXksq!3hqW0q%NBiCqGFBlbdI=89kYSLRls zKw*C{mRg23^o|2?zawbKSkf{5fMxUYFMNL+SH;Y4C}36KY>h2EH9DhlZj5?{On)Ke ziwpJ8b5y{p|Aa00RINADt!ts;W=lL|TJ|+U{TIEVZU_gpkn@Lt9ueT=$IU!6th27_ zQOZoyjAsd=6k-5zuK2Iq9nu*g)O;bF4Q#^0Z{B0(y+R(c^PVLG;BG~W4{5qN)J)6l zN-#`Cvj}!jFX`~5Kwm(y2We(ugVIDQM5nE`ci1K43oajO7GY4`3GG8 z;OEZ6&WN5wtmTbsBXj+MYDguj?bEf_D+$QHgBI{)LCQmax)$F-P zNx&aJkQP*gx-lPQpx)z-V^6~FFN23hTN3<&tlJTpLmvs6CZ7P{lT>uVm8oIBvO7BB zq{f+gkKAVB&``64;9tXjZbXA&Al6-xu%V)zRO)%FN^}sRqL*426&Srbp~w)f8EEAA z_4Q!$GN7C88kS$?0WLzm^A~G;l!c~5P1$lhq&oJL`zh2Cu_U|?;V%#Rm-@hk)U?$a zOEONhol)@!bR`FX4YNn5s?IAG;YgvBk4XnEdD37^n9f_>X@Dt!#>hz7f#Q*Wk*U_? zk8QQv2HCyJ!HE;W%n9mAoyGR-Uj#~Bsnv9B z+%t$24p5m6XhPP31lm}MPC96n7VF_BX{MSI^dyYN>t!Gfv((i@I@yU@B=Ax zX(PsrA1pC|#khqNI83-(mXaTui#@_m`p;D`^l9lh1amhyld=@I{@TeR(&sIuOI~lC4s($_iXS(yi8ab}df0P>Fj+g_y znF4Jz^}kJ|iNaL`-&Rg<-uVX2i3)H(BjwtxNgOPZkk%by1=;aNPwahxTjeFlO*e!} zLO62;hSTTH8qnZu1HG1W#@sw!Q9MG4w4qAbKfC{~JqvkSLq2o4%SKy*A8*0Yx@^oD zT@QF#N40H!Lk=STcIS0KPt6z$M$$tDFMmLN-$Z*88>&J~dEU?WL+05r@^cL>A4jxitwuh5Ec1rtI}z~=!3?-iQZXrg8x_^?mFTg z34VHWPX42fA1h&dGtMl%_<139mnp7);?g-9M*OoP1L zW7c*G3%ob9#?CxjSWKSQgzSWw03I$jsBuOXVMC42xmOQIMh;zq$_bc1A=Lx6A5wC> zpuwYeQYgKsq}9|ZKM-R`gW}4a!~%(r0kUnCu{ek|D$`(t&6G|=oZ&h-0=mtVI`JVH zLZq<KG2*87y-wHiv{q6z$nkUQ!j?*2acVxSzx<<`U z={*d7%|!+Kwser`*xw?D?rIu82*9nVV0xm2Kn$}Y2-14fEEXmzfXG!6%!g=pA^gw9%_iW=Y zIZ)!hro_o;>_dXK@QpG}I@)=I?O7`qc1wSl!BlGL)G!N{W$tvlaMn1C0lx%qJxev0 zR&Os4pKF!7Zxbg6pYPJnyAE8pT-!Nze=&%Lm_=nb4n@X9q+*f`Z0FvJ3Tnu5e}vL=@KLYRxG4Mb%oniet}BMQCGR=KTt0jGC3H24xp8|ZI{&CYWbw*@aZ zg&C4M(-JzW+_+O-Q|74&^ksImaXLRz{kOf^3xDWh#aq!8TA5~H{%B$lw+f_eihyIa z4c{qZ0)6g9{zALYYa8QE&DVzN)}LEWkt#SXGF+(ID#1ka1O^`62xJ5WjB(z%a(=&S* zC;_(6h7Uuw5dT%WCB5=qqLBNrv})7^?Bk|CEwxPCu-J|3z;5D}AK<%cNmx}}E|n0z z!hdn5{z3rQy zJOmD3xHrh_y;AO0U%bjT1Ls(@a(>bm_Awj-k3R+e%wGAA!#s0u>>zJjd_7-no}T;e zT10fK-3@ac@OTTx_qFpU_`>mR#U(FvFslZvr7@0ipH$qDE8v8WgUq*@NA0CzXCWth z$Yv4C&R|i@jps*0C`gEs>JsWttk?p{${8m#M(d^`V@P6KdRdq`UZyuB#TUk*!BzA7 z2Z6NaiXX*6RE^{wx`^R>Gr|i!4|>xpr*-%ot8E4wlnVh zY1g7Bh4DXYu)u6lJ!5RxAUY)Bh7(>T#AL`&xG}Saf(*w_$v*X zeI(>oC>yE`r4TX|O0K@c8TsVp3UcP18;_yYQXG_wmg$0In^MhbwV36K<1{II|2*@A z8HxAukCv!bi^oC4(w^P}D+zO33eAI9r^zcltAR8p&cK!mCtu!_YWcVdo9~a0s{7`L ze3_kKato^OBgmOn;}-S`ep9*_8^W@lJDgMc(**7vZj*f|C!*;VxuOgN6IX2DRDD%= zM?5;a3@xFx4!Xgz`aMk4l|wdpImDKA_+PKU|JYU7sLrWVmOv(a1iOR~C7%BA?b-Eu zN8#j#3uu;Mf>}ud-^!Q6u~>>1eN|$g!rJm`dIu%)@3@rGAIlE~AM3`e&1tG!;))74 zt!<-55^tCE=ZRSzn4fuajpD%QVSStH*xPlFFQ6LiaJ{P{Hsp44Zpj4}In33yO%rRs zR~>mDq*bKz#H(8XFV8^@d}aw<+=?t3 z1!%RW>lK`vNBhjW!>&b=1Tl>{_%~4Rnmp^9A|Ni83N`bzxPpD!=8v1A-3dRO3tO`b z9aOk3w5HpUss1wCYy1N;%V@HMe0D;dp5FzBx^?K6nip97ov>U(vo&b2J9Lx>HQk;P z?^iAYm>I99co02VT*CTc@9Z;cqTWf%3gFrpk+*xj`Fr3b+ zMNmHdAmgZI!MEAD!8@@|%V2Mf(tyP_7WF%9=F`d>`Z&FMS{Z^mUSc%8MZvE*0RTJ7 z(U8F&M6yFXk=>;TDypZsX|v4sn7FWcmA_!xg#x-Ba-Zt%gVATjmP|5jXi>`&O+g9eVhz-{1sq40cC7BFzFhIXd`i8E`q7#}`awA!N?hGceqMcg z7eboVHpYLYI%`F|q@Z*f?I~Cm;s(FTP9^r!auOly*ltL-4KB*!?t8Y=B4Tpj8nUgp zf!wODmeUnGt6Avpw0#&6>fv}On6Lvkej=0~UgIe+c06`m(w7&k*#nJsbpn2_k*L2LYQ`!y-c&9K5J#jC#-mRc@;&8%qKFds;BV%^) zl^XxKf~KqX@aJNCbXOs+5Bf~z*2Ppp=3DBKn}NN&W448$ZO7W*-2Xrj2pY9IwR#== z4c!G{){yFG@mw2d6T}4!nK(yzM*)uKIwz`Pzne1B8AjAu<~5yVgQ$+I^^wIq2eD>3 zSq{zvy?!ObGYX;ZyWS_H-X@8wBn*qI$OXgP2<_&1CG|ua4UQyd9hpCJLZ`6HgBNk2 zvQnkvp_%O>8%2^2CYaKx^kAjLY~B4tpLC$Sr@H%dam~Z#0u_8 z!!x5ztz%!OXl)Tpyo8)sL25j&%f>`cf24UP&9&Dw?Sy_cA?kekC)vTf%@Uw1F{FkH`Hng)7kn$Ml>4*>;$3mxN1=>$e-fE#e*^GiS4dWXUUHjTUwH^hfi*!Le`L_ z>V&B#_kor_usG$G&Cdilaw!gLJgvPxy!N2k zL=1z8_p&nao92MChmMEs;263U6di}eeSe(97XUx?tK5YFW}BQm!EoeVFY1fo#xx4X z6K|+FzcOX1H1m(gybNr@swFWvC_E_Ms&43GHZNl(Z_!<5nu!Y|bqjDJfj?d)HFdQs zoG~pw4`rH{^mX-o;PdyH$`}PaLTAL??E^y%Vwb%8N7jS z0MNf&pQb92?FgJ#xc8cfJTIM+r3-D-(vz(G3?8Wtzh7r1fIODHSeRL7Bk*D-@oXmX z4Bwppqs>8ac7`<%P2y#v*ZX<&;Ju_04ROq4l1z@STt&w8 zRrTM+tKu<~Imj1P&g@LyYZ^e&R}@=*1souC9w28bR6kX(EKr5oy>@dktTz?ByeBB_6xSdwj=0zUY6|rUWb;ei1IYV5RLS3l>SJyrz|dk zr$2t<{s3Y0jLV&*4!y0T4W^0NxeM)}4W2>kFN?V00Zv%EIkU%Uc*I0hq*pFS56C7n z)2)`TRIKRS{@9n~VsQ>Zhl7QrD4K1>`#^3=OlD4`5s0`0Jp|zz(D2F7s60WFo?$j| zNyfKeQh!qau)LhMjFEu5@$r&8kLhp_>g8H?+FhV0@c z)r(<-_utK=qNOSXQVX9_k$>`IA_FqEq{fhD+)84jKJJdwKFu|Hnhy@;UHvu`;ax&I zi)vQIA-R6VRGb_x;s*~Caj^$Ti9wYpZ8%%9pYMXC4vIRPd^#~xP#Jd%X5}-wdU_<; zL>7929(!&*UXVw4B=lo&W3-g&TE4%dE729xMOYvAg*fdKKD{Szr!16L87S7M(*O0m(!mh$&E4zzYN^SZrxt>}g>UbkP_c z90p#bHYJ1DtQdVLCnzrh=Ab5KZc5HnIj+ED0WHi~Lkt3j&ojmLljgx6t{CD!1r!-0 z0&mKc_CZNXI6rw6O?9JfJsYqVGzwLiMaa5-Aosgqc70HvJk*%I(kZ99HZA>=pj7<1 z(@V~khj&q8;yo$X)lw>nZNdF~>)NiAiDMQ=3coo{dWsIR8g@64?5P26+MQ3|I}L#m zpRwA2nge%8O6LGmdNuO9>TUe@5&N0O!;X;38I~y)C#UXvr~JOjA^kCX&PwO6Jf=}_ zP9U}=HjvylwKSb)3)}D9k5a^2r^%>Vm#oguE38^od;CxvAa?tFiLY40v4*3 zlBU|qT*xCvq0;>&t8KmVE3kvpIZlyFO#Z(^B>&9*t{anOQm?nvkuIxF@T(1}4?JH& zDHqY7f)t>U$XUz4`ziFHGZOg^EZ>fvjY)0jkGuXLw_y}&#WTmud2G25f3l1*PFRtl zK+7UxXc}K|(irJ?i^=g zz{Ze)lOK*N73Uz`i6Ve9xi=80FhKFT@{=I}_# z(W;ja2dQn!PQ?UkIY^#v+#V%Rh%!XEH+d|Tx4n}6$FN-p~7zMcLQ6u95Ab|`#z zzq)Y$J>AppPS(512haPEmKF{k=QpiKlLkM+HkTAO1FB)F~VB?4Mjd zNV^ut9SrEm=XTZr()u*>4?QP@fi@TQ_$3>m(&{?(`CUS3Bs4M82Sh9h`yqby(3$GBHnq1?j!?#7zfL6;{mz}FLky+@i#7hF$U^z52$U%BCxoG8W zBB>b!J2Nq94NSSKWxi2PA%#$5qiIe(yBNtpV~UWzLt^#rJZZu3BjBPZ76P)=p@-Fk ze}C3hK^-%iqUQ^4OZ1Ko$t^U*W=fWcaXG_n|4gQJ)li90lf@ak%C*>lehmU!Uoi4poA9QnSDD8RWMgD2TNh|9Q=XGE~;0)987kqhQIMm zxQK&fmwts!^C(x;Ru0h9Ro(;#A2ai!{kM34HZD&df zBhvTm)Dj~iDOoICu*4DrR=9f;mD79Wwez6{;zK{=59M?VsN$xAQ)+pZ@a!06L|t5GZJb@bsrDe12%S+u2Bvsm)9It0sjpnm8+ab^@f zLMsrs4tSF?yq$R(an_6#Sn#%Gl6Fte7$^S^ACb+6{M5&vh@Q$cypA@d(hsqR2!*6|dldmY; zJEXoT$oOb?i=3q6Lx9V3Gq~$ZtAyh!))LqKo`!AH2W~nXHc4!E?=OkcNsid$b~|WAk>?BWySkoGQTD@~92K zbb&>(;0=vyr?`BWL)fqcCwxFCg9Q!1IAlF&7T^Gm5%)JMFj*|`CCbzCFq$KN$c9rV z%h9K+@P*odurc*DTz~b)ff5oLw74ua?jqcDH zz;WuncE&Jv^|X0`;QoWWTn(uk3l16a*F%dkmL+`bPc|Rbom;rDgr}&@-o)J|y>rBw zl7Vx1TH;DfoF~4*UsP6=fo5?QmsY3&g-f70ns|HzgFRMGGGZqB=z;hFXR)4LvjQb* zgxxHW@RCOvV%EwlH7tfM2~&KQ+aO}I zbl>KZoU_0G{kkbTi7t88#y1Vm?Cl7x1@QHD%H$S zrJuXI8Yv28#R+^2k$eo010_MS;V>A(GlC@CS10pv++XhBQg9yZ1z0YH0XGBF3r|EC z3PL>WRm(9FbH=2lmHCyfDMK1NnW#PTc*db`Bg3t@2qA%DGiv%=Y6{m6ZGJJ?7!-P> z(W@v6P`k8R(WMO0Dd&|T9Tm9OR;D$%670+-qN6AB3l3iQh9<3wO`7~ z6ni>igx@Bg)0eo*vmIaBfKdD6vo86&SBIIML)7xD3%xg+E)l~?D$QFGzH2q$jRWyG zi$KWwQc}_H{Ori2)JAyujkz6~NP=Ex39WIzcaDrFt%(u}r)QXY;dys6Np0d4xe0kP=Ifi<$+KKhdnhEQP5CBXmYci&!ZF~lg0S}(Vg)T%QEI`Z7ail6F+?hOtb7D(~!Y#UR`4ZR=}QAy=52(^sbwVYkrTMWj+XH zyiI52Ash?N@LYL&0Uuq_z;>e}@^Sk2ED`f;@{SXZ3DYwgO9V1damRVRGiV4&_~k<@ z`}Gv6hId`~TziSgE`Azftujwf1m{4|8}h0V-fLGjg6(^&exyHx1jl4yGA}6>DViq3 zK_vriL9o*`44T@vG<98kw|oi{C#Vn~Vimpa6;GNVGdD4_0frkA9L)+umSYLw)S<2# zS}BO0-wLoI0;a%n?{6qUIVC-T^wNc%E#h2w65P4lzXeydT09LooNsS`i>NXU2f%UK zx<^VO@T7&Ngrz>COO8w!RnQk@$iL@;3=55^xL@Hxo)vcGQsW%#+LfjUR@x?cZE8Yv z1bp3S7_g(l1P(TjRF;mE;EZVwdYckF@h^Y$Ir)R+J->YsRLxV+QT#?A;y;7VOl$6v z{9RarAGOWx#oi#>fa@%N{xkDJ&Uo6j5ATKOdVSK>hd_27x7!tBX@)) zM~iu;)vn(xg-U#Gj;By0(CLK;QJXS&B&Eto1^lK#WubVpv+{kG>nQ_GM-inQ5`|s` z)p;$xrbX4Z3*E10A=zv`>J0={@j3`(2ts&XK!dg6L2#*PE`@r>U7ISE;^nppc4NV4 z5nXPMC0p2>RG2$;unYv%Z&P_!QH*spXEeZAUdK+BIZ>06=V!qie;j{;XUxXIGvt0bLr z(kMlaVggvGI-D_K()$^D9lI8Qgy&wgn)XL1F|Z|jWLv9s7DA!Oe^W69pgI03%ganX zXdoRQ*5g>yg^?%4J`SFiP4vmO@{^jg+rt_s4u<&e+ZP1LcEjJ{#R07KJ{_N_3pZ1^ zWo_o?taUj>Kv=pe4A#WQ2`PzFUp|4}?9tQTs?c={KCXic4ztQZc&`3dDogc5OG~AR z%~epHcFSs>I`I_JJ6-Tw1!hV6jRLiz*NWrR3ZPS0n2HKHMR0CU)2Aqlju?ObKLA`n zqra|60_jXc5Bov@)>$AeO)xN}C7L9M7k(ake0rY;z#0H0>_eaZZvaE0f!$wbB-8r^F*EChd~4Qm=GW5+&-Pq9`C)9x4WW#u%Ms=Q=Zh zrRGqRhq5-B;71)^0Ezhssg=loC>2$CYTTca3q?`w~{v zfSBP+ny*}4bL*{p_U`p0tBN0c)VyHH56GfBv9!AXt~>j_HN7T`ths=Hd^OOyfSzE| zTE~RviUlOcc+B^Zr}j#Ovo&ANF`#AHnlJZ%wi3^Lg@DAbvl5vD8jM3Qfw~Z9+jI;a zlX+z$?z{hG@_SG?{`p+qa_0Ns4Gie|5!QUhC9YLoGR>Ukz1+Oq%WHZu%}WjhnT;U3 zhnNTM0-oa@oXF*_kY50Q0c;6cM_N|t>&Rw4aDF<))DAfu3uE|(ga0+k1lJn>SK~m> zvzmc6DQ^`ptD1V>BV7(1`i}}F3|$y3G>fT#vn^wqL_y+l zrk&eHF&TE>^wsyZ{iFZY{vG&+7r&pmWYn$ww+jay?j`%yywKl&*Y{%%-+A+bTYLtc z2RkSCAs-TYQ2-^-Nb(9D11mA2TSt-N5tNJr9uJu}VuU+hEDBY{ZlhRX8LZSDv5)yB zl1?jsmSxSHU@8j**hy!Q&VFQs%GtG;gbC5JT$zvQ0X}v|AJY$fgGmzh<}mZZ(HB42 zL=9|<5AQFwavk!24H2z|9B1BY3b3;L^Jy7J73We~NFPhD^fBen$KG`C{p^RqvuJ+9 z;ldw0JT<-1kB9j$@?TW(f&S4*K^ci}L^tBi{06y0Tc=-RTpzdtb>chuZSq#_R(+ds zSKu|*D;~Fwii1dpC+!-63p#U%Q*WrjJK)qSUjLGUmGN$WvJ52)Y9|Y7CkOofu5@y} zGZh2H&WVxJbP{I|zPGzBsDH%lzk+8%)=y8A+(4tqqJ8Ju(8+vAwG!5z$@6k{;cL7-t%qDA=w+(9WpL!^{~7 zN*J?aVT%%_2ucc*mN~C~@Bpt|yZVEddOu#ZX8T?JXWn|N|IEEtZddvN8hx8K{f^nqbDhjzS}Lm2me-t%)jcloWiT(M~Tt><#ncg}or z%Qv5Wn)0@JKv^!JEE5&s{z1A*so`Q?@D>|JK(T!o3bU9PEx8c0RFL0dD?_q|4(Btt z?JS`oce0{13Qay@v_P|$m=OlIv~uB?evKF(9FmA+-7__V7q^og+79Qd4ndK$AerpB z#CLIjXkuipXMt})Xm(_kv`Sm#S?yaDYL9Fp*NPjp>z&)h2c`SXSA%bpH^n!#|8<53 znluEjzjn$kU}|8!k^+PpsbHj-3Q@+Z1@p6LR61{>D?3XDQ@g*E^}Q$UTF(?iouVe9 zODGJa%FFqx$VPRvv)IB~ruH$EJ;O3`3lrpjX@*7~PrJof$czF9*-c{F&vM6*AAWB5 zIpN1}6tgKDZILd+%dpZg_^_2aFBGKCvn*V{+h@{9Qe}n5WZ3~G;GQJTTlM;`jk`BY zSo!*6Z*02vz%!dSKl98@n=j6g*D;UJ|M3-j`g3ph_xJzexd->-@AW_M=_$M%ul&b< zif!CEO*(w|UNuiHvlSlLS@c zBt$3V{)Xed9LIA8BD%p#+zaFdBqM@3%^_Q$-~WY{FVTH{=65 zCB&NAt@hwCDMLz?CK{wI@kVKtdd6KGSUH|8F0`77K7Xo%ReLBlqrZH%q=sY4uH9>F_3wFDhbxaqTt` z`_$3r)l*uNzGYUEo~mflOQW#Q=V-Nk-DDq>jO^2SMdS}BDp@WAKL({B;+8S z%qA#8xWJ8}=FSy_p$5x^0+=6voC7d`EFwREHMT)23?{3{qMeSy52m${fy5O&SSZ>a zL&7OSKJVRa(BPT63hi zOkS?En>)Cj=BvUhVy}71)MTLzr^zgHxt2BmssGdXr$ga&-ryaarYZt|&+CRm79~js zSQd2&BQy|QLorDQ`&tJ}o0j=#85iSqFI=S*3xZrMa$*lzn^KUhf0P1Mm>k3!NFNQ9 z*%(?PakFRfNBH-6ZYS0JF-~c-^j_&bo!hBnogSM`>4-#b20MyK-*UeBM;5^72*D4& z!IM@(;z_`o=J3guWlXQ;sh#=cDoTkRGdFYVi(4p;uwFlfDNKhP@ipM)S zSvr_IMcrSPBwyE&`5N>c_=|MOVh=YerLUI3lI6jY)$?hGmTwwxIDzRTwm9dBb2M{< zBlZ77THpCm-*+E-3;#b4O(~7mQ%5F#v40YokMBQl?Ok^OhuoHbJHb<52uNY#W){W` zSkRqiLZdKQ5L!yIC8VSTE{#rz(%CQKI1il)bBX_wa7NA;ty(5JdXG*xlIZIe7yj}D!{tHt#eGXnEC_)o9 zRA1Dg1&7Ri2^I){$l$=-m(p~w?5KuKEv2<$5ug z?Ugs|^bWlsy~8~uz2iS$PVWe^^bW6;-cdYt=%N+{=^d

^PetGw9#TOKtcLPL#22*iX?gV#| zzW{~FLQ5Wh2kezcsI;?LkqtNpmI<)|Iz)x5M>IHYc48-0i0I-R9OB(kO$tVN4Lf|2 z%)A}CHSLJ`Ijjke%maG(jaQgLV;;)X(I3_ErYbrviB9xP49xM&3AB6K1K%az%a;ToMz0De;6tL#(v>bhUwrvHt9aE{U|oL4(|8X9b46q?Rdy01?0a;BYS4mPRIQ5r!k!}@fApZp&K)liw1EWsF< z9ZortR+>sGkIy)j?=?Ky@<_*^h~Zg^A=!ltwZaUwE{ghWt;1)dwlD9mx5Mdl^TGTAE1H|BlbSSO+_FAR;~WU>uFNy=8Tc^o|w;UAJt!Nm&`fa1!Kp};EwWt zzK06kRUrGC&A#KA4@?%nx3U=uw5v!rl10$}sMm!fR#sSEiHiH;F5>Ag;ye2zg7MsQ zU;LAL;3WS8#pasn9X zQ9<%*sy;+I=mjQ|J}}#@GOd>@sru1>4LJq(D%j6*`TQxCDpJL^Udj^`8cJd-ODK$~ zD`8qsq1jbza-_myUkX(=n2?}pChYjkKBn!=V2R&>hS3dK|0zFN>)++i`g4BXPrNn} zd2J%{+SY;hzt6e-VldhnD2R5-EZS+i>A%QNxFu}-h&AEXH#EX&Pq?Md`ogJyR0TF% zvn33gu-lqB(h3W(&s26QyOgZbtGuV2QY565C~K81%A@(BV@gg@OB7)A z5>GfVXkN(m=I>CQ=5E4B6hvMXrE&rBkMg_tEZ@r?ii7(%Lup5il+lwSsCG$AB%dX{<|`}pH~HuuHnaW zfEYS?8tZ)=pwy1;?r#3?M~@ct@BnH3rOs#5{hQgmWqt za(f$+9$Y4S{5tmdH4&+Qt|+IWdjAlX;V)nr{sEQ|P5aA(0m?JNj86m@p9r{V=L7~q z&H>g<0j4&x#2m&U0s|Z(pi|lmP!3|yj>-jaZvY2ogxL%c$|%C8!eniDS2!Eag?Xw? z7V0p)dxaFb1K_2n`vp zJQrZW;vuPp)--*lD>hr^Ib#lC-gFpFgNRlZ8z-8)9zlleiXh4;s;jqJsqi2XRLr@6 zPwZRGX?{_)R&C151#7N#Wd)n~5nz7B(G=!dHvi!pkIyu?q&q!Y-bzF2LqDyBK+})djryMU+mY0+f@u2wP)-E!p8>xfm`x_%pcD zKo8FB3EGPNpxZrfa2e@f`OXNAuqQVVEm<%0Dv zyi7b>sYlk5C84tN9(>)NIHkelj4$QnTMJ_p6tciTfyC}(vsS=bV@qwefSGsFr1Rt` zb=tyP_j)S4x+{X+hR+t>_Ns;dmHnnvQw^(hxed*K$4FL_6izG#uwdPdq&+Fb&hrnj zhyfG!Opz`W`;XNByRW-$*2DMqy=7~L9{^qZ@dwQN;1M{{L!LH!%)fCTdQNd?JR(n7d@11V zCKEq@XdVq73+94+O!hjwem7`_So9mJ;m{pJc)g>5@*M@d-cc50Tt3J&Lyhrzjp>Bi zfKI3}wyKq~8Uq|(V;mojKhHZ3)VxRGj1@7}nA)jf_-ZqPl!J#U+Yg=!lC{BI!ECTM z$Ok!6@AKQZ=eM!ZZ|jPFrXrr{cDeEa=C4(M3)L^Fg{}g%kk9AB^`_ikRx@V=%ppAA zQWQ@&e^nS*^YfYR-^`|m*t()$bSbK=N-79~3YX}JV5jQNqaDpv?f|{9Ba%`K)ep$U z32uf`f<19$0G;yrKLxn7{Rh{))Be~jQ|+!^b>aG__=*RfpS*T@-HmgGasCoAX!$us`id@q^P??coXJS%a1il=Fxq_hBn_aa2BaF&Qms z*okl`#g=+V8FYZWY{06R@m0!(tR`=Nc72wQgnRSD_OZ=3K46|HI*|L>2tFPRy@(H@ zI68w>1Z<-q+lww^1jZQ*3=`rflP5D&^J=C^1t&qJXJh0wU7{k1vPeWzaYqoa-w39% zdh1r4?8u;Xk-bRud#L#~yn8h#s!o>a3WhbapX=+aQA#w{H#UqJTy)3hqt5Yv-H$%% z3E#5uk_C~mb+acOIl?`BXUD3BDVMpwr%q|V^3HQhDNP@Q&31Gc80Z)_Ng(w` zMl6wiex+qyFc2lF&Es zJDfb6M77K(P5o(OR7sY9%N5nDC@N5pz0#hbg78sfnJ%m+sS1a%P^)9TR8FN*VDb>9 z2S@g%ge?LQ07@w_lES6h$G@itbCNEX*Ov(gPaeIpq|k>LOax7=FVoa6a&Yq@h3j8>lJJMjXT6SAfumG8k$KsufUk4j~F0erF}CZ9=L4N=B?^$W*5qR` z*SkB;5-E3o`|0l8-6mTC9d_(UXS*)VUde)DKYf(@9UjYGKRnIrZDt!fS7djPUi?W{ z#Hvo0zRTpHyo$gQDxE5)h&>e^f+B%{W#q>=hH_z=)y?|`#FR>QGrFFpvU!6 zHt868UG#aXm|NddFzJ}C_i@EHGJs$KI_Hh$i&u(?Qev&*7HF(@SxY7r<}odOUaOmb zxZ%bfK?mNL{ohkp{m)%5UjO8h|K0Vok01Jf+xr^usEVuMnYnv+@BZK2>?WJt&F&3J zHVKIY6Cea4*+2rs5E7CQ2ohmQ76^oF%qAfIM(e*+XscGO6{=S8hZ+)o0@#8=rDADo zQQKE*)mDAgx2Ww$t$*2kXXf6!*#-1}?c48pzwdjW$86@#+_`gS&YU@O&di-NXCArw zs-3&8xP0eQ_oAHQj%B5Tx8w5H@4Jp*5^`}EhBKfz6W@yOv{9)0d89fw$fCoI1dU*%rbzi56kgT8Y&l zK8K#u6EP=lXMf$fXHp{6&2WG|Ln0y6K$1FRP zD;#%^#g$RUj4c>5%1jf|$~bWJ9(bzAwg5QG@Py1Veb5^}J))IObP81a<({C4p^pw~ zpmCGc%P}rVqXUXkf65QJTx`WR#O{6Tp-JAtoZ;WazJqUn{nZ(<_ema(eKv3M?2<2H zrqN&Fi(6xDRQr@_FuyNbu|yN`ALZ|#8ek&%>_e?)ZdOay>a3{#2EDe^-Ou;vqsDLX z-!f`*Q}vuHccL#fgKmm`FF_mSy>r#ag02?5KE>{vI59sTf604t{XU-!39ckIZ65EB;=bD&sS{837EVz zUlY?$HnGjUG%u685MWd|m``PVzPL`Z@1IuNK35sJ;5=&EZ2z{bh@5D>F22u~>LrV# zu$-pyPV&B6TT{r#W+PT+15PJ=8xHK?l*^K79w#0Vvm;WgNNq^F4 z&tBV!57;zu4GJ|tM{bJU21|mOd27PdF3}*WY3wB^MqOJ`!oiZ3d=#+d+XJpLG|g6K zpXRDT^K3Qtd9I~siEXKUiA%gkxW`ItE_y7F$gT=!ED^Cgy}&tzpJJ-wt4y`dX1>{U ziL-<6Fs*m?@qMN%omSpSZNk|FBx6{KK$Y&#vRm#6`Zx`)9JFC^m?Du4ne!kWVK47 z%^`|5yWS+YoV?X0nh*hyc$X_H0Um$i@uGbawc(YXK7w!GEpN?jqxN##uF+B8ud=b6 z^O_@9mUmP|r~lj@IkTU0ObsPoaP6SAsdmsdt`s$7H@Kji%2wNQ;Mv4Pt8Iv?3Mi)D zEaMM`O+h|LHB2$H%+uS3&hz2Z6EvIb0#BO^6Q`i)O;Lkw2{S(M?DE7EHW7&%D-}N8a+#g5XvA_JU+xKuk`FyUX zZHpA1_r*idvs%X9)ui%APyzlVLA%+Q?qUN%mlrWh7X|d>0-T>^Fk4MlpTUst^m#SD zd@rAG&N7=^=@{81HdB#w0k(ew4Uz|nsBZGfBKkx2vWg1&U6?B5KPNtCFB6Xy6jMj% z-;;TBs=3;HlUY-3TVmVj;TluB#C492)PD13$4%zj9JhLYYz87VTQoWh<-w5n;bD3Z zT?9mlcL?V9@Gkq=%=#pO2 zCA_3dcu5xxFrDWBrn@ysfbP0vmKnEQ;2Ocxhtf~sgLpcnhoCX8(Y&p21b=t8s&5aY z(NEN+t}_MWs`jI7`y&ih+uj~G9;#?E5AhNwo5F8p_MI+k^#t_@V@;OHY&EbEs@3Yv zmyLYpo6}t`uT$Rt$R~do`7A0ZDK0zP&L`DkE*&3{QacAF37j6mh_z*p|E~4dKK0<$ zB?}yOV|3)Eb)8!rdon-x$>k^3ukN^hTkO48o*Bd6a@~9L;PqGi$ngNV{Ob1WZ@59) zdwk7M$BO$W`F?uGk=WngrQ_6c7&GXA?tCPd(Vg;T$0nLxDJg$i*&u?=#sVJ(^>o5v z_BnVTTe_hMK=i{?pVtCUd~Epd8zF~+CSpiSll-C$n3SKQ@uidWdJ+{eTdvGd>oH1n z3Zc^iO?JC%fgz~3+K5`tn?a*Jg)H_tXdKOZ2(nf;#;_Gv3!4_5r!=aMPs^jF9g{V- zX7V$&hxo(VL%M(C!t-8Tjj7etY*}yWuv}rkBIQ>5)Ao1V?|43Tn+_ZIrx2e(6tvnC zUbn;RbqiiMhl$|!a%P`M3BTTkZ6nyVm%@oCV8fW03`s<5NSX(#L~Cv_MAJ?I@+sls zL*zPOxFVh&G}-o6kQF3Ct|c0BkYpo2-l`BOBhhm4%=mv$;xwbmG?^@^!2^q;QyM4H zpxz^T#SGCWYJWENF?5_AmI91agSY#V+>usgPD~e{1PG=_au!EQ!W=5}$_-+te z380j2v~y+#N~bA?L&gb|8ivuqzee|EDM`zD=)RA3-h1Ws_u~U8pT2nNllhN6``~il zu3eSo?MHvEIrh%#_20Yywv=E0+XuUrKK}H>w}dXFCpC`!JO4WW60#rLA2QkJEyaJ9IX zcy3R-mx$>;j|Z?J&+%$ z#~z$fk3IN2Xpuie4P@X9t2*kmszVrS+~lP-KnI=i{S=Dr%aj(yEQV|sHr*SyfH`gh zvn{?hUw8H>sgT z<0;rPjE&!my#sZ*Dz=EM)A zCv896!pM8{6QJ+YY${3X;u%I(9afAg-~fNIO(~sLS_0Ii(>g_r-?-~nb<3WCZQyVHp=@s^GKdZ^ac@xq@KcL*v?___n__E zr51HtokcY>Y>`jdV}ZW}tuWVJY_<3;#PXzFftt3$Ign$kUWGg*3$U9TfL{q+z7l`Q z=yfpGm~c_<71uqztnSybMfgqp*3$>>zHQlWzZiY>!`Rjxko!+H2Z^dV$o(gpgZo{V< zI`jG@D1UTiMw-!snpYBbnbXZ3Ljxdi+ghngQT&s=Vyh!zFgL7jnpM6u9)6Y?=)mYf zkbG3j(1=bm`fzG_A3eVZaT+?QHRydtB(Rf99HM=Su9>@7GDv1(aGN!HwIxCl_th&C zO8tLfLaEn(#mv$)^OEz~z+onE&%AxkY?8`RUSKmvp2tY9&Kx!8%pB#3qcc-+4{EZ% ze1I$X@@4J@{+E6-0XlyTpTp18l<+t4e44=XI*o>C_!NZAM#4Ev8XIraC1|!~G0irk zR_C=@w>hu_CN#iVa}0)UM(j6M80(E3wJaViWefPSrEx}LMy8};^s$9|riEe@*djd} z&=}KG9J|g|%3z=O=`prU=>k>MQMLI3rfLz_YqOP<+$;*RX)k|^AX)>0Xz*aYMJKC6 zQPqp&iN|i6ZiJg0)OXpe#zcj;0!8Bu%i}_-7p2o?O}J@KZ0&?;{?ciCN-Do!qj~?u z7eBl5UQ5k)HOs%)ajdQbsBit847DR=s5F!jmysFFC~@2@w1KVh7}5jnmn4~mu2V!}n_{+-evB-N z?{KEp1ytMrsuoO@Cd=b>d(*v~))WwPoB@A8$k7C{a$FGRj7*ejP057Bjuc4;cN6%R zMKl3bf7j5@*fwqf*|0VCn;pN8ZQnDD8(!Ov@pl7HWv<#6x$)UenbU8^hr#+?Jv(h)1)2`g7|0>F;{JBv!03JKSEQ&Z2dAHAZAfvy`Bu z#S)aXZDD+|1knR*tXQ-Ltt+hqR*jD>miXA**vb}5tntMXD_bnFrYh%7#AHnhYbx#5 ze^&Jv43?ZRTWjBW&O1_eGNiTGvd=lA=B)h-!Mqk-Hrpq{mP2%GIYgH#j|1hiWixzd zFN7%TAPI{g>JkVwigC7;RoTcY);QQ~Qe(7e%|ybM?pTq4sdGhG-mtHwe zM@aX*uZX9+|5*|Kma_xhLbkipRgDhFrm8eI)N;{YJwCQX2yZ!V8&B@K_XLOMOs zmTS0jtkoDuc@aHVjMnQ%f?~<=veUE)#nb9>eAI>^uMyNk$SY2&`pe)BoIH7wYdv}L z%STVr{Z4e3dnW&v*ERtyf7NJwUNf*Kor_LlXe+qnQ(+*$Of#H}Gtkjv;;{lcbDm5pgK4jT@zHdPO9l zP$8!rRM^T?NLtm8i6(@+<`j#?Y}PpCFGEhJ)vICqk-TQBosp$gGd@ORdy=SCtfI3% z3&B)71!$F%500ZFe}KT^pE~dDx_-~DElalKJ$48Ax6%FeH+<&^7W%$@<`<)QK)mht zV-MapR9}%w{`zEWr>yefxoSw%ff=?Kj=9_`Ge-To49KES3DJn+^A}{Om z7KH_T9872WZHjdT*6ZCSK4`YeQw(Y_V=|8=KFAY3-FOg};~NoW32#$eE?EWoJJw+vc>Da$>A-al;)0xZ@!(@%NkMwJA zG2dd->e)H`LYkd#nJ(tL{_Zz2SYs$of^Dwd4$ zV1NbQQ#WbHf^3v!Y?D&3loCv7NLiVpNx=am5AtL#qYfedpnnV@YVG^f&j_bQ6%VrG!iD8;> zu0g<5<@1GP#*(?gOpiX+P~(7YmnG~0Q@0$-F^Tp8%i0v9UDW)WfgbE`rXMh|Ku=Ff zG{sjGw}3{C@xk@sXUXT!K0B)A4~{-UmVG{#43E~q_ zkJ2@BDw)&}-$g}r%m@&oqchsJ+|*2`U-MY3^Lo0E7mfWpDT5g_C)>8B)(ZbPHJ?lcR=|)=ueuNnxjaIu99o8l^Xy7%WFA-@Q27Uc)*cmLnNp@ zh#L^W4M8V*0zV;Xh+EKpm@S- zwOo*}k!o?#Nuc+WWo*2PoftcNxEH>RafDpA6Mx?~96J_!W*D6h#sRWbLo@_)I-XD% zG135xd=OI@c^O9S6B5UjYmr-!c+4v>zS{;6me-x8K%O5)4ryV40o*jmpA93%Q|Z5E z=9g1B{N)(;0=X_08paj4d^on6+K{RP$?XKmO|@g*PgrI3Td^Onz#R7E!ITo4%?{q@ z;eSC=nGHUWrefT{n&?XtnLZxtV`Fwi3-S{tHb6tYA1jS%L~@0<0@UyS(RQT zEweXE>$whHhp^7xA@vFU-W!FRysro^rP_4tK=@qs9QZ8Sdp$Ii$sSO!8q5R$^Wak} zWZ4HI5IZimr+-l$>|X`-5Wus1Oe6c*;KdNgCwclJu?&|PYVce# zSE$j~i_38{X%?308^kW$PTGZa`YUmtaHalse4}uy{xf`rc+!Oc&KC;wWx|hz-(elq zPunj#r;tKBJzD6cV3xfMlNovg5e$YLOdQbrF*U10LVN+B%Mb#hVau_U(SMr@ECw=y zt$PGP$7>IfOAvyFIum3&+jJAmJ77X-30hWK1}q<2cxDnhn?ADiA;UEo13v0e1dRc6 zbTPS+ZWa47siGeJDk3XOgCu~!+XZaTia1J7zbF^qfl2*4OjAnH))y_ulmi}><2C0j zU%EFR2Lw7Yk+COGT*BS6`+q4GDJtd9b(gi`Hl_qE028-TBq-i{`#oiPA=Psc)pZ|A zD`T5x4XI_s0nVK|uF6NXnTHJ+nMSzDd%Ngv0GaNzvN=+^6^?C4!P+cp-2m&Rm1H{e z$iva4v3jm!^qI)!b@)HN%L&@=ZW_JxO8pOjNNQ&h|A&QQKj zXGB!~&~GGkKR=tp!c5h(M{nZTr^=x&tdVQM7=ES`M2l9JHO+1>4RL$7#7@^vvmW5C z|6jLiKHs(Fu9!Xc#ec|ayYL72`2A3eUUXVBL$eQfPAjX0A9d@=X9CyF>$LiAgU0X~ zkGm^KJs~9BM0q_s_vB3Z>2mSy@^Vyk2EaN)by^XRc!Q3ai`d--#6oo>ic8oTB{|e$ zgiZctLvZXey!COsHFnu){N0_@-x=#hbT>Udp@{%_a_9mEiGLMOr81wLxWYs+oh*KZ z+}CKL_{4X<1G2&ivZ58_z6WL=^7`S;c^T6)h+d=5AWN+KQ}%n0ryTcwnxVzSiS!!I zf%LrAhV+6?M0%r6^cYM!(Pg&k#59XtYfH1FaE>%fDsiS+(uvb$b`z(^;N=`1Lk8z? znSEND%k0aoG&Eh0E4j2fDx*VH!1n&z@r=JrH20ik)Txn^@ z;B+`_;w%evT8_*@t~f7+3KZ3G};vvTUN43wxmBgu77E}%(FMuo#LepSrjzVVVvIX zw;Ex{%1+$Y>GUqBAzLghq33Kf>uSX|H9*z2Q?jO(q(GCWlyKCQII}qV`6DZZTCGaS zT(jh{;}^$1!bMB&UV>*X`Tmk!FVy1H*e{pd-4c6lNk5)Z8~b@We*7-H{w};L)NR8&+9Q#hBP7tuIfm6XUPeoAC3KM5KT zbcH2tf`*rCL;+2I3xDcp?5>|5joownI9_}7=Xm|ms~?KJ`0zuqmmhr;7d-SZE_!HG z%)a`rw@=(~wEfx*85h?-eDA$KT&td9IhR_bgrN}~LGXpD$rJUEF%1M1C0HS8&iHx4 zLQ0}S%XxO2B`oSDY?0KTe~YBSVK)Cv$@g6~5@)Nssnmdf!L5#UXfj0s7-y@CzuC#V zDDCtMJzGnNZ=)&(@kErz)Vdi?5#KpKt-*^4#b&v;4s?emBr_`Q@5?rW=9q2>~$~ zD$kqERE9DUs<6B^kPSu2^3w+LQ$S37LR=U3KE8;5mup4=cz-mXzWC#tW-iK~m%5?} zSbZe6NcS@TGMa~$;HeDqC7Mi8O3lp7nQAVvR9k9XRhe_M=hn#<9ti5Csd#Go(j{Az(bnlvy3Wyd z`phUjP3|-_;ORDc*ay&PMcdn_?W!dgy6ET$dL~XRntx%Wo@WK&h#7 z1pf?$x!S0s9M)b|Tv1Y_tXRkgc?6~^cGOBsIGKNzPGbx*0Ep=z-Lh4dG z)lQ>&Qfy%(H^9~|*(Qdp2^ONcwem-cT6cCn^taw650p(9-sa2CnA*~N;}fx6CqIZ? z{qoEBdw+k&TD)rM-jYvakN@?Lv0G!G&S~zr0zZR;pW@qlL%-bj`|8CGb1e1x=IK{m zHvi^O@UnHmhiWfb`}^y*(iV<(mUJXV;A}f2ygi4nNxmzZkoDBKd|Ni&b zhbZ}v<8Hy*pcH|>k1v6OJ+jZeh*lH3z!SQ2jQ(lfM3csjpv&oGO;2BJuA4)bzofA* z`F~TfMYu#a3l9Zh&^$HPg{yBFd^k` z2xaoekru*L5Pu{1b0Mxm`#8D>;#Y(F5r3rBL;TI)FNgaFAdkmsJU))T1@RX{_eQ6?0|iFg$+{A0K-V;y1wa`H=rkh(Dl|{RZ`E#UZa!fPe8} zO?2!nT7S)xsE4^Is)J{F;D_M87oL9!VJA()zk@hhZ;E|@w@dJ&2)-FDV_028_M&oh z0@{fn5!&=7NT0_41*if@3C$osqjW+`CT^K#So;{*9Ssv?A=yfp1H2b2VNF6B9=XUy zC9H+?cPL>U>LB+kVF67>7A34lw|{YJS!RodyG?C>Y_{DIrxD)%10_t5&b~tlb7+G7 zQ6;QNNW&wO{dpyX){&G($Qf%mYRKH(%)%5y zqI)F^Ygv2`3o}f*uVP_=g}1OU^c>=I->-ya9LixChjLiPp&ZsEq>*tbhks=p%3&Q^ zRImHP_A0e0?2(cnq_|&2S;1*>JxKp3vA3!$fi&<$NMaO(j-JKRj(`^`&>KA@5D_ZZC`J zhg2O5a}va>?NI|TQS5ZG_qrKwXR`OhEJYY?fHEnjJJ?lHAX3vxEItY`6n{PO796h! zeclI9bi$iaz(OTUNq=iZ+j0%S)x}^un>J=7q?FD|E1^6{-^ogi#Os<5GZk9DXdH%8 zD37+=cusXt-^6@XJcbxnC=GV7I@40tGyJapm+1H0l;gBm#nNtqwB1lAO0BEm*QvDJ z1+2CazYHAu6VSR|w7=%iJ3Z{a z1Kw$e`$DBRP=Agims=Pw=jh($9r!W&#b+n#gtOW96y6r&;!PmMWH$sk)H0Oo)X$6goJUZ=c;0@|gUh^_+o< z;1_1Rh?cRQapy2=)eyu{46T6_>a!vx&lP9Mf_$a-j&qA>9Ahdp{}pNbPf9n*dsZF` z)I6k&IDa*-gE+aJRH_NHQ9+j?700Rj>m;^Hm0vBjY0EXldrmY#zR2w@Q$biMYYn62 zZl&D|S)F?oX)5y`${9io|8hI4bS%?VkHW#_5~v)IrDu1XQbK5a)N(dI`)_EAIEF&3 z?h!@isQjaY#q@ASG_l?)T;pTP@m@17iPSbMWc)tDN?9Gh4<}Fv z59Xk_UmL?`scBlDBU{_k^I9}F4iuMHA(f2j{Smyj4tOlyYn~}uD zLy%*Hah&tdBINO(DrLs;qe`-I93}FUzexy1K7w{d3Og{+#>LtCr&k z@=xZMDXYkg-Yb#t6kQMNZvpY!Zz?zCPMyxh8QI3a!2@wh|K|5tn;;h#=+qvvS{tm@ ztlEiOaszbW)g*1GI&0ZdYtSH;6YBw?>!0}4rJ!CyYBaRy{u+4yu zkX@Wxen8>DdVDo5Dw-aG8}gzUfC;IfF}Tw_ddI7+E>du~Rco(fCE*UtI$RGsjF6AnWD^-I;%$*HJ_mPXobthmW{`dQ zs%n}ln0G7?o~~}lZO2(WCCC+mJj%iq4J&I->sb1gb_mRM6B;u`S6(I`J-drr%1m1G z6{u<&3s#VI&0S2X>t|i&G0FgGQ0ByQm1%HKuWgZnTH5V@lycEOy1NH^7YXXbq?=ia zn0vSK;bzlF3>eXL8mYGykZq8-j@7A3aj$KyuZq!){yl+y4&m*{PoVYY6Vs2{<@nUk zGr+)O(<&?+l=XDYy6i&HvGS8^<&t%#&SLjul~Y@$FM&W7Q#G_NCF=x|EOZ!zSAJPN z43puYR(OeJ@OCUqKUQdh70yAZnwYAaw=~u^_}UiIp)(}niu!hCU1pJ#(F~s9B{9-x z7~n(6gg-q$xVVZcXiBxeQ_57u`B{otG%O&wUKOkq&8ixTTU{fBzV(NwN|`r8F&j{a zwDT2aZz+1LA!i@Z+z5oWiwLk9h`EWS+7ofl;olK-H1AE zt2Bb%11jNM;kUP-C+D}FW~}fBuA(%)y9kY*UVM2A(WAvz)&F8>lHquh;HV4RDQ7{W zLo9!F6B4gSGgnb6G;nS#CPb>7$ZlpN9W;s%i}g;gO{r&r+>|V)a@GiSQ1@rNWC6IPmho9)96zb)<1o~JQNMfqM zVox4@bUpcWUA6#a!y(v*Xu^+NQA*Jy6g*5?;k-!2+?{%gOXbg2&78q(GpWxRmFc+UTu@B7l+3AhuT z+Gvz$jo{T8IB(K;vFGF82@KhvSz$}H%4^7{CwdB>%wp0?LTc3Q$*x+XUg|=ZohrCx z$}kJH(6odIU@gD#Ep+L$c$rF_LPJRp6$^f*1L`boO$U}2;@pD1w(LMCCs~D)wmUY#C>_3uGrX7@7WN;wkn5@yO z^~V6Jw_)eno8C;8*<09Frg8OXryKUR9i4lEKRW(8w)vE{CTIPkHTz>W!B32X4mxtw+9D84U#fN6l z%&KC>%;tC62ybdz=d_u^Sp(&-?D@VUN`ejF4ZEw74I>Ar)}W1qfA_J)@Dsibf{#M> zzGpr5wkH`FY4#eX-P&=lz#dYv`y+deUti|ce@CmHC;v`x(Xnk;PWUviI&*S;o4HbQ zc)CgsO>xn&Z&%LvG>G1Rufi(yw?rW)pqzc`I;6a8l4U-)2KjDFdm)lxL@7Dv6>G35 zIj6X50=$3-I(@Ii2Epe|JCMBs5Le8t+y3*QiGojRpjdzwf{ly^n3O)xQ1TwFwDF>W z4mFCkzeRa5y-@-CAXDtr90-d+x&S-6*2|qndV;7w{vDYAmPFJ*CBkTX(m?^@y*6_> zfoHI+K4B+zcX`L@#@AV>{&bEx=BFN&Y4bbtq8~`(^ia53pQB6t{*<`~IK!J5lA`_5 zrdCS6*mM;@M>!IUVR9hF$4!L`F2!Aiigs`@uo|#;8OnpukH5>@SOdI(4h@}X-jfBx zAtNH;yGuYCvwVf&5wNMlu&?P%{ebyzP2ABRFn;!NEi+BQxY%gBIsiMq)`1;Agex)! zpw1oT$Cm##(HK!&QfG8{ue$%g1^w472wFl`GoVf`KbE6!*$RqJ0`#wtHVCrng4Cq* z#1O5C*90{F5G(pM1f`XmD-HAFoG6?w1+(%aLTc1LRB#+B99CEkOoCvKGFQ7aIDjxvVgWFpLz6_=am#0bm0E-LKg0O+; z5VYg}cZW7GVHC!p2mBc}9i9=o8jvaM#|AzW8Ec3HVfIeF%c45NXD=4!!Z6h z^&9k+=ROB&8|n+@ZXTc78)G1{UbEaf7&&(9 zyFqJFN-x272yz#=-3lm)7mS`GLGTI1OcRRe1L}zu)V~^fUBJJ5flCaUk?bJgbG7Yj z?(I>lyfI$EzvzD_pn|j*=Pc2iyqM8@-^}12|GM`;h*z{vFsR$!d5>HaB>pg{evcho zeg^_V>JhM%y#P@Dogpwme&j*0&>I)wArQnXnRW)a_M2W9{#rOB@mpaKq=TIR8-C*5 zxgF>+{Bjy21CIOObe1Jx-}&0TzLn%?%;_-fIWYXY%z8ispk3r91W6pbh+^hd!B_C^ zAB?-tLYyI#6G5rnp@JEBsad=o_8DQRfXHZ3N+}XBIz77HDiC+@4XK@B=%$8y$Xa>$ z>)8s7YKa}%{~8!tV|p!dxQPPDsPp|_(7_@>^$S4^kQBwD`|UwLSY^!qMc@mE*z>Wg zz|!??-Qofskrh#MU}!aOQm#nWdTt9%njDFvmSHiJw7fV|=L?`5NO%0Sq=c&c6|!n@{P>!m#5yl>*>nU<$lq5JMj;5MHq z@k{n|?6><|7$nlG;qO$cr&q(ftutV^g}8re2^f$JyE6z?&a0Piv*#RK&WnJ*)qWI+ z@c8sv^E7o|^IQ*Q^x9XX(h8^Z85QvB>owLe$vsI3JB0uFm98`8ZTwK}$0^_YM*<)i zl!qI|fAYCrw800tVMNIFc5e=)lT)j-L$^guvnHdMZVBUz)zwe`_f&y1w3`SzeV`08 zbRaMngB=tlb|;t95B9{3IyCt*VczOQeW0H-Pm}bzluV2G#F#y`e=&Zoc(v8-Mw+i& zp8~;eE|DV;AtD*!PP^eYw+nNTbDA*IK$$Ne&l;bep_E{OL$BV2YuLR}^n$qU7kI%@ z5t&D%91URS;h|SJ1L5tP%akU_wS+XU`)4LZe(R}?dLe0$ zJQ%S_FEj2DPf9UXbxYn-AJ5I7Jxo`^(o&xA-g8v{OU=_R1#xu zrhX|oUXhVhFKPcU0bZQ5fw99JHdkXzC3u4+am$1sqr+$$(WZ_{}d=A8&7Qt@6 z5FZX)68W)oA;QM}b`tm(j`o!7MCTz6BERY{*)j(kn*h|U;$a;0Y;)ymX>N_sT8!Re zmiiqK{foG~CWln78&kaJ3@KY32~tdbRxsEKii&d)QG;Hm*`-Ahs*cVw3DUgOQPSjb zbOnXS2~~V5Hx3_5NqYaUWhT&|hMd3U^Bp56jU6`^Y6jf56-h$3O~lZa4G=xk34hk^ zvpN^VT$^7{x(168xd)1`ey`k{tN^JTv!w0n`zb5kCy}!^Zr@L*f}JkN&Y?dcy?r4SEyN$8;1P_~f5MR^->Ee8z=a{=v&@n`AiI)CBTr ze{@A64ExK@)Y--9myzv%C3|BlSQd6RVrJt1N^ET0%sl^7&6)xb0Yw94XHSU}1f@z@ zHUzMuv;SY||L9VPzX1X%IzIs{0M3-ip8z~`u74_3eON)k{}FMebo>OgBmqH*TjGrX z(tv-`|9S_oCy206wP2b6R6*g{x&Ke^99&!}wLbyqDYV}J#FXS;fLRLW|GI=FCT0?o z5&!Yag_wnd<^QYsf5zZoPC#16Kx1X+V&VKxDgVpP+{NObk~KjAK$Eg*2H*s~Nn6+0 zHfdZ{d7-Sa!eD}}1y*TxYS39Vbyvq=)|5(EMtD`kzAkSa%d?8?JY7F;e}7*;^Cb8# zty$M}J?Z?H2|BZafrI4#q=MeDuw-KV3e+3=_e_TMq=R224C>&})ctSzYY+bjbY5R)DMPOw*X}c6uA^QYhfa zknP|R3Cg_h8e~nW;fW;2oBDVistSa_jNLeNm$~rn4x})gCl(gj2S}wx$pyJ>WSaoi z=YG-wLaspfc5dbIXXOuuASLFC(%deM2ebjDXDwJZNmneij6L_rA$R0kZpg*TciC_S`W#-rJlgDmC){93`uRluOfolxB!?;AMKLCLkz zXN02TYN?!3Y9q$Gi{P5RNe2*J6KQ%G;)*eW2c0+iPi3E(8>buXgLEWal@h!imKV(z zkS}?1RdLzT%Qj9P^1P?Z@UOr1J?;2>dQye@t*mNX%?J_budsFI*M12tmfpX7tm$ zW43#r?Tu0`5@Ns6!Z9~LR81dW=_6@<+y$`^c}%R*s5yJkR58Ya+;<%0iP4)kiHa7D z3v@;ZUr0wVRWR0|R-KLw`ZWqtc#UkxoXjeR=l20oAaJs8YUi=f9*PL&i}gkHMvPgM z-6|FaVn@W#Ub3TFOz8{VFW{PrCE74RbJx`assK?MU4{Z~)M-vpBMz`f))Kcq=05s7 z+7rJ$1C1+(W&vkggm)74AoEtK%t((yIjC2s<`SL~fj4AyD=9|Pt%+^Na=~)Jalw|! znVCdC2Q+|4ESWyc@;0dlI-MW%K#>Kq^lA6S=Tg0}VE@FN%7)|2Rl1VQ*$ADzHQ|Ph z*|!2B`bDgDA$}v;_hyvt8t%~lq53lzeyyhJU$-bnRXGrrE5$OTmwX)-*;eps;<3rwh@3;@=^Vp#C6-4B=84e2i|1 zZZIJ-@Z{d*G1V2x?kKiTaGd@VTK|qK|oL+*eI;{@(-IwvTU zUDkH+DPkWOlTrD4OBA(uNRqThSYL98OH*INLHgZ1lSWiRs_i_y5IrXZnvfVHR77k) zh;6RMKTMDrLpc!Yg?f`&by$bFQ3sfLx|wKtY_Bw{6k4YyqKI9QAKES|(+5g2aKJRB zZImNaAp**r1Tbp&OlPe;2fAB2c45*vsx=#gKN@TnLu?1OXgqDSS7?18oL_iw}# z0eri}p6VN5J;=U$T!RfD(dp_0(SM6l)akYLx=U?#17GiDkCV}{Ffb2}C&!wOP0fEz zO)1+p%+0I*h_90Q19R(`tkpn6$xF-HUpg8ug05TvYHp_Af;)PO7{-(K_!v@|eJUDA z7dbxT+sUn!U8*yv<3U=Kq zx1BBV=^5J6DJ^Wvg3A@Gy#j`0rbf))ax$`xh2GhutlcV{i?ziN)>@{?>T|-ffjoO7 zgGS5(Qh8sqaI-|d`7t2%re-<7#LU&~do*d2n}mi8V6mRfk{!Rt9UK~tUcx&!o0f)B zDnEJQv{)Q9G$6hH1U81q;b|sk)R)Smaet&0DI=AiKY;SAhBv~^H-Nidydje+nTCK3 z322g%P~c^ojg`3AGXN(Oh=@fn)Cc#5@N&fE2bd^4hk3FTGyuNR6r3LY+3+?A164U9`}6p(VpVnj+k&G5`msBN1(!7su9b||kdE7Lo#J+PbK@65 z@Qa;HWM!w~FL~h6Ah-GV9*9h*c!RFBz0I_Zo~LKY8UE>#@A?W$L2(mPy45^OK1c2V zm@%hUISCqB_2r$SWUr8zn||^9ynYNFyes_Rq6=(UquhW+d9Q@giUA8nnmTVmDm{zt zp3ycQGP4a&K&dOh*}co{GJJHj)glK*8|IuHg@4k^kr}wDz(rHb4K(u|i+qE>%$EdT z(45GNB1nme6rZrD7{KRXRZS^digJl*r3~a-HZMP#h!gWrtHi-^atk#Bl6t&klm)cw zw$Ft5)nk)oh(2mjwpkvg25l_(c^;E=x+~kCo#2lYSSYx=E^A>nyArChFgeryQj;{r zNK(&@+X3cdm%tt?2^@f|jOj`!?mTA0fMym4|2u)DER|%l20Oe7z;6wBln>L1ARA;L zRhYHlXHt{X4V_nVHaYAl?3}a8FO7;Z=Sp+!;gpPwOka!BMj5pxL0=en@VJx%%#jb|^p) zN_`Md5|rUU(kpf=s$jz1R8%a&|5@7Gf2V9%gt|?2C1|Y|$1kxKn+swk>OJc)z` zJw#)Q0nN6|b-Ylb()e9QqUivBe1yBscraucM}3YBa`RlpA>OINo%`Qsgq0T7LrWRm77`eXQX?fizW`MOzB| z_YdM{QfO3^n2iiVC-Hb`qdxs2VK_U&IF)q#2)xn)4!-?yY?iaZj# zA90K^40x=$@C7rNI>W}@q;B+YmyId5;);8f2=4LXV@iCpKbFyNm&cTfW_W-L)dxBk zW8?G)V^x;Ed+K9c6gi3!?0m8bbd%8=FR~5#u?aKgP_eza1>k>V+)PYek`G4d(wKOX z8DjCLAl{42DcTj=_1BmnC{V~73T3P2EXRlyVkM>W(3gslVQJ4Z5Zf&F4#3X6RcU;{R5z}%Kcy!}Y_t1`$k{LEcG?3?^ z#MAh>U;DDCd__;2YpMxsH*XiKssuYtS`u6njtw$0GdoYqmt{=0Ilh*wZ#GZ52||15 zUaE6(uYyhQ8uytP`Y-!4K);O8Mefe)Q`ri)Kkuhi&di2Wla95qe^O-xTeZUHr|%^jmSjaP9Ees z)J)_uF_gh1N+IOx5jH>_3QZ!bi%Bra_sDVTxdoj2jsfn%=r1ET)4W1GN}Ng@Tc}-i zYFO=Bt`gn;@=eZNn3e!rCst@&a63>7S7oDBILwDIDG#)YY|S(Ot;rDxMq zsmV>I5;0g%O@pvy$Z5$@ZO}|ejg2QATOrR0oW)sG(McD@NeEwmp~Vt=4KwZ{1f^wi zqBRIGvOb-HkfOPyk?DBp5GKheQv%XPV`k`xG0@Lku*t^epN)Y%o?~cQa$ys=3h?BF z3h2bMnWdyol(VrOshj|_x;pd9wKYM69@Dx*7yPc53}{m4#mkaHG76qTJ<2#&6qf{0;3!cg^2fyRAT)`B%%55b9g_sK zJsZp>ITgu?4jUmZ!bs1xm-JhtaC{mmt(lMo`c#Q~`UYUt(vcdOhSal!A%o$sw!dx- zA2DY!kv_vY|>IN#&Qk}T3*&lItX&;)0)3-S#S#=agBU`3<);4%H-}oe@;g4am zcA7BRxI#|k06VU#;AYf(R8exdb^%3B!K&Jj^eny{5h>BT%GWAbOBH7O3=DOi>P!uI z*o;|E%rPJ#M%e|kV3u9iqU?OtpiaePdTgt6bGj>7_ylOeYGJx!hWI=mj8(B{U)%1Ag>0tGbov1H9o86|Ityrj!%!ILj&GMbZ?i zLOa;&Ji(8Ny2DZZ$bj7n;$$b=Bd!4*!F3-C=Nq8V2-)8#o8T}f39wGH1uMxa%mHf? zmP2IOV|wp+cmYLtR7lvLx%3m%Lm5jpoprw~B{6HbHAS0i+6V+TUS5$5()k-c{Z!v7 zzEeLU^;Q>Mtyy{Stvm0mx5s+k?To$d7rVZ1OElkV!Xj4MABXXn10`>Jof}dIrb#ZN zU$TIbpa_90#8NW?g@C7vVYC5oOUD&)%a}Zo4U>47Q8Vo%xr2f`M;_{Nr~0{VlgW{U zv9(FMsZ72A^$XDWmbaYr7)AE4xbk?CP+ zOXQcAeWk({Y0oABV*Gi19brptsh|$joGl|IL7ppsksdVabs>Q zb7rJc@`HnCNtoV+@Y;p(9xxmzczGg$p}%G4MD0ev22e;*elQHCnk-lm{8s)e{eDQA$|qczQa@*?eg$s|r%` zA9p|Q&+okNyv=UOGugZBF|%2TBbgzu zNE#HEl1Ph0iIWAbqm7HRN~9r#8MIPQAQ9xjAuY(S979Rw2m76D8XjosY^sYc2WvTw z87UbTlOL#}7Ujhmo}M3GKwALLaRzLs#^n%^BT!jtPBxAA(UnzGWhIdK&YEuAB%#elZC1=>SL^Uj;9M8aA*56y1TTVFUQo}QqMi|`vttSWic;o zxSb-1@N>8_JkfM3N>n#!GdqnNqtr{YZDj=po7?K8iMvIX4tp6bw61$>c&t%V?6v(# zFO|s+FU79RU#ykdviDKtrzA)KQf1|r1Sc4~6sKBJb227k{;fc;F3cL{E8;tRFM2;r z5N#C207Q!VLk1T-lsaS*VgUv7iC|!d(8a)2k^^wV$iuiB$;(&=+5VQ+>Ow;Y_TK$c zz4I{=9d#QF^N>ZYOTiDARiZp`A1UJ0Q`mM*HI+I9-H6ateqTpVn?|y$^0A*BS$u^T85v9guwv+m$= zcX4uDQ{Vc}5ZVE4sx_SU=#FA|d`}Zwb8y?7c~D|dQgz5G#MGMcKxeVh>s)#5 zs&U^EC03G@IFOohC{w=b=pgZ;^kPMV=8>ktnAi9{|J~Tjq-BiI_Qpa5vY^h*rUn9thp-#18Hr`aBzF4wSZ!%LipiV1t>^IOtPFNS@^{Aj%!@n8w z=D;|$n}#IfW(XgVo{A#rT6H33KYj+O$zzA=^~8k%kD=%n+_)Af<2(|5Vc3dY?Godt z_Esl52ji_QCxN*cM0VHi)T;4Ro4uVPUAdy+Tw`Ntj?dF;MFG;5r|qgQ_Cl?|OLZO` z**du8P3L~cY8jA0R6$Md!|D94v10Ck0wtm<;s+m^j`?NgBglmUKjd})SgO)4Q@5qL^L5kHJ$q1uQn)@!P2BJBfjOinsw7bf3_PH56kj$mt= zNFe-sRFU*zm?88pIm$VO2Rlow_7VN%jw9~!Jl`p~Wi%nMyoCPHdUeZ>)=-J;WcXBB zVXkwyKCCV9)#hHuujL1&C1Rjwk&Giwu(=Zhf%2@N=i1uGrt7ni*uoDc+XuAuRaT(X zeRUF_hQa&v*Km1@AyPciMbT;waBscs*0trkc`SkXo&vh3C?*hqKA`{GlR2W$$)oKC z|3M|fI5!ShFMZpc8E$Wc&bw1yQwzmXX)+Ryi@_?4kj>G_+!jBPkh0gs5=-{a>_U!v z#34)o02z1x7sP%!%y~JDR!}1zL+~O-gHU^PM{YUt`t@VD;h5A`7W0Ub><;bH2+2*C zcNLxk#apQ7wxL-XFPdU{hF{V+MrND^{7E!o7h8{mWxN265s@>0%xy~HIrm)({f-AoLrbCD$m|v>(?AmQ zH=WU!X8(n1|3x_Le$2lFgw04Po$2Hc<0()4MiRv_CYV983#RLZ3)1?{q6zOPi?HMq z)=&X=N;stw%%Gks28rBEVhi>}1SwS0hSDDAO=sZEW6s`vUe`=mX1DS?5tGpuc?2P9 zK6tLB;DUi#N}|mm@ic&N&>NYkpL2VG`z-N^f;r~9MXFQ-5<(9Oh$V|HYJf4~y2~vu ziDa|jnPO5!R95KJi^YxF&J zp3NBaqn^AnoXqARU=vaS=7o^R$4Ln7)EIraWHf-WA;8%pemW*@$Vgxz;z5N3>)o>z zbBkvEcxP+i;!){T?e~6zXJ)b%H-%#{A_1Eug6H~>?+9sAiudnVH?o|N?jE|oJ)=AX zbxoP>cz1}#Hnpi&11(ZH+oFV_2AOzBEtP3456-%JrF0SVKn=m`lW5!iWHd(sAVHKb+6LdfP^X&GLfwOeAk9NkRH zZ^bs$spU#Fzyu~Q^RQ*_IwhnfxCf4byLe8!z6I`M-Q39)&Z`o_YzfAL2HW-`!fZoG z4@#I{o%g=eZ}1NESg*$uS}j<~)u%VlZ=C#e!F%%~_rla$qAY$SaH0i3l^O~omR|Z< z8=Ry45RI4^^Y}}Czs{2)k!%5dtR}Sxf#t~3x%ym9V2_oCCHMG}0Fy5TR_c%F#v_u8%`QJxlHPQh#8u4(5}>nukn74E#cFD3k# zb4NgPpwbuKvoOacKaK(kj@6qQyWt;p+iX#l{Uh^GfbVr?^p)J@d0uJf%hr@xwX4

lXTuF6gOPlYW{- z%^nKDzwP}>?fb*kT`Rb@vuHAws7T?uHht;5jnU4xWQ~<0Ww%W{W5C#V_|qo|Uj7sr zsI$ywa%%r<^@3QVjnh3p5qbJAa?ifs#)JEmi3QhBs(q17wku`?uh*m~p34-vxB@Dh zYwT`u!6jB8Qwwq9K0|igkUsV7INManHAmyUp+!pClad8h#*>PNV%qBXv~vij=DBMJ zQ|ECzBWpo7&G{$4EL59|ErunZ{Y&&0@L5vW0$2e>Wp5k6TUph&Ss(QSH+Fcc%66q5 z*Sbl%N+O(qXYJ9AFQ<&dhp1etTiqvS-n4PI3Flm&e`GP>?|J1?*vBMw#G|Vy716+- zxiubhyp%4`G6m=?UZQiAphR^o^B2}^h^E{0x)itLh1}~u>BR)nnkDp^W$Iu=K$gN) z*vh8753jB!*k4=@p7KCWKZ22rl84H9j;cLD$NQR&86375 zZ3wO_)vJ34xV3Q+F1j3oM>&gLa2aI9MDK)sjq2(fP>*^UmfwrSHWHI*AHP=C`rN}X zFSN%QY>&5`&jd}DIB(Y))z{<~fezNGnrTQ@|D5lCttMWty{OL@G03mUZ&&SJ&g-T7 zs=qb;_O1?DZd@(hZ9){(tZWj_8RxVcc`c~kjXOEeQ{UKoDuXWDpRKSY3Rkp^OlDSS zyj|J&eU2J#^@wUMo`7m)STy~B_1WKPFy!0+V3mXWY8)Rys3s?Olw3ye3AA$!ai8Jq z^c}5Q^6(Wi$gy78C!klYfP`G&oc{e~)U$T<)ZweU*(G7+;?m{aHQl9Pi{pgkRx7Ab zeYwRjaOUqGR3deGi)i~?CrFEC$f`7Hlv^om>x=;ZSn*ihM`%ASS;+YOgjD{h>-A|< z80{BhNC2HOfBaasnEeQr4J2IlFOLc1H^5hW^lo|a+JJuh-O}b`=Q{qgX1xTFjSrW1 zfl?{Pd*<38|83K5vCFcnqh)!s0?|UPY5DT{*7J92fKQ~sbL}|W4paG^rykhiR)9g1 z(Mre!NeulFf|XW8dPo2K{(b%Iai!b)hrFt`iVTc7y=i27Fk%&*74T9u)VJE;nKH$` z;Ow%ab)+P0qozr4nR9vvvZ<&BDM=GYR3Nk`|zchlQhKop-H8hIxVc=;pa2hNmxHxONpb&+_THF`^<95}eSF z$Ukj2qTMr~K0t$G3uAmHW-h~1iHub14c$$!R_?YAb4J&rzc>*ByzNN}(K$8vjd=Py z!v=Uhj1Thm0c*ztArZ6l^VfH_!Wn5XvzpumEBcSsBM^L}nR|O?g5=9{b^37iCx^scHrvV($Q|9&l%^mgAiG0h;9I( zo&3Ah{6%HAVeJ|TsL z5ex2>y9uZij7_yGlfJULZQp+ETK=rSWYWsg^`;99-YV5BH!Xua(gs~x~*bUp1!TEwf3g+d8PWL`sKfebE!2h@0qTK zYw75$#^T0oQS(BX`lP;j9Nay39!e32xdswPUNw(#uD61qGkda`jSQyycqW zB4ATRm65h@sLuAB+PS!K6Be5hL2*%A0YU|Y#V_3vwPg;3%PG*N@~XTnp2F%Xh#@w2;}}dv@OKVA z4w{L@vq&5fObx@utIVaMCGExF`Zeui2jCGY|5NKL6ysVDbP^CbPXKcz{P70qjcJkx zURRoZ3$!bg0nc-M(cuh^m2qmZWINmzJ^^>@Ui8vcFU80Y&Ux1W>j2pf)sK;%5x?&o zyR_eK7iD*(AFzwO)cc>a9_)(LIU?GyGabWO4a+%BkNB9iuf6+Rn>QvJRr0!mx9 zy^e>fezOtDS~ff%29+@p$@{+k{MY>B*!-S}NO97K8{=*&1*x>#NBHp&to(bLP+7s3 zcYjtWtL&9YXrzsqijS&3voR^B|MzQ_+)D{vBrfBn{jTBgw1OY>_sGKJ=zccI>7JhJ z4dD`JKNa=0z-4wurTE_)&zwg+U?d&+D$-hshynDbZm3IVEFsph!K7}#!11sR4 z{$r;r-8cQYYL1S6cukVICDHVYj)A!|_M4gw-}K6E{TJrfP1w!Z7f@Ho(t`~ea^v2u zet1ExDu%)!C~mf>xE$OFLND}?j2={NuL)xOQY%nPocZ)p&4O3hY`q=G$Zp()LT$C^ zkXu~*&`u@_wh?it5a5dxrXts>iU5U4KlAfe4`J;RH!~{=Op3%CNRfPA%U|Knb#pb` zI=5F2Z^XmNFyd%8a*jejZS09QE~N!uy6i+fJQrAmH{SJQfytgjy9eIqpf3QYFe*|f%6&P%RXs*sFzlj$<$v}>-5)izGY)GA({cT%lM zqf`<~y&$N3_OF#-(&EXjl~(94q2z*pJ4Q}DywiaQ2Z3e)wAI2cLY0F{Ua$MNQ_z?Y zPy0y@-N@6tUGB&c;AZV0)^M$r5Tgws1Owg3gBHOp8pf7J>_$A@6(LqSzpq1H41}?A zQ*sEWQ>QmS&ynxcpkG^%@5segG^4nR)Er(6{(>$Ece6%AlU>a0Y7n@c*GdQNd)^;A zGAn@<;igtl2cROLTN5jRIkU%B1i&MZTN0~)!Qh513ui*oCqk0qaL1O=r7*+- zs8R%C0Vu%sP*&_g?NObd8NL4^xYHnfWI$%Sh?8z4svCL7ERJd7a|ZL(+6>J_6+z1p zV)7-!50j}yZ*xNR&4F1J+v+E&w8D34RS!7vVX9CI<(jS7q{d(xtuXcr>j&p`Ko2|_ zbY6`(fk!YeN`^o{sa#zqVQ~B1iKrd^sQwG6CRqiR1T5le6$PkCvGq^acE%if(y!OT z8*2v@!N?&bkJr-os!I0WVe%-HhWOHXBti%K$0_T9%E+X)G1P_cqoj{^8_U=eJ`d)7 z zQA5X`*7MD6rp@_H-qr2uE>j2(T*TL+y|RIIpP;KF^{xILcQfnKUw&Jp>n(T8*x|8} zkG3nW)?0$>$l?9Fot#qwsVpK_{Ywf@d^T5|=eC<}l|+qIcp6wPfCR5rjg zPsMc`Bv`MNX4*AuTY9`K;m$Pj+3xEQ*-o@!N0- z7CIK$MASU$@WL=yb>Tlb(A|gBKeyMTQYPS~Njt*vxqj>jJx=so$^Q{G;?V+i6eY8@ zsX?UwYo8e#WE)WbG3-D0mNdm8YIhDa{)g}oTIkh43R+HQ5~I*DAz`yt$n?%ZM-eP8 zfpl303QJK*@|@p9sXg(fhWf`I*l(nl4^&sDPYt9Npar~i5Ib7`bE>XqZ|dMCE8va7z8a9!YJar4Wh~3(z z-<5xl+%zX{eo2x(D6Dx*atqSLyN<2Tue`pv81`f0)xo`il*p9D--iXZG!kh6JLG=W z7dI!0lBHV@GIrWaXoWjx(o5(V4Q3zjzI1AhMg5RJ4TNxNe=L|eTg;Teltfb_dAKQ~ z(nQZx|Hy6_WAr%i!p)SX-Wd}Z59!7@syN3z9%z{ipY>}KeVlj7X`EdydzkbBp$^(R zj^g{fcU=GSp;0qocxj3SqP)YZdgf=orv*(+*hlZ;c*F=AsChWp9s$7&5SytKgUL*>Fmof^03)wI;LhSHgj zw12?A=V^A0d0oawE)1B)Y?(?r(MNlepnZJp`T)6i1NDIGDej2?BEWb`N+LiO;i??k zFMEA*+WFb(!yx4<5g-ljUb`WioCZM0X8k`zHwz0l7t8;p!8us|H~h_!5-SKwlTwfb zAV>fIA#_&e|B5Yj(~TR9B8qDzg3mgvK!0_u@8XIl z+CbU23jD3jwT-U$_0@*r@L>r32f;bxNZ-A-w+(@$j7zk?=)PK?w`KYfxE&f>fRXp7 z<9Ira<=r010BqU(GT<6M6^Tl(Wz3)y2=&@e2EHIW|B*s`Pj zWSy7YYBwBDY1!&PA?gg@D&+GSd46OH|8YZE3gjYQus5Ga;Mz*07bPNVlrdk%UiEUvs%LcB2DDqYDkM3+rPl28*8~~u3Sj|x{#dCk8vbHEO7f^ zyDMPh4tvj23Ckx6VC7Tc@z@K0cmsxIpUMn1`$I;KDsCNjlGPZKRYkzB0$pSQX~?oxom*vCW{oyaU!8guFmL z5x3L%!R#M%`F1>$PFP*E?+y=m)Pk?_@Lme;3|xr30B~d4W0HwcPP4qIN`x|g(Z;2S zpO^x0w&DAVyr>d094Cy8I8bfNJ0~I!KycPN$+Nb+54oFS6${vQLI%n68N>%nx82(h zl`qq~C(;{~cAQ>-Wd5I~Z^x*R@5IJgs^4clJ z!MhcSrdSEAtALuw!UfF>^%Bg$7pg15)58yjFa~P}?}qY&TJRqv?|vXfJIi;fy*4>A zVRE#3uyTMz?Js)b)CgY%vi5}uY$^~q>6w)}Av(!9VPCox<|h*Ry?(>;K@cayh$EFg zDtSll8I3o-InpIReuC_U;2Y}qw`T7e(>&~`&GzW?$oa^7>~rkd-~Hm|1G^kDd<#+U zmfQ=*7ewhB-1m3)&kwL^qR5inid_b-J&qT^2mTkB9}*C*OMJX7%o83 zJ@$PJ?B>aR_nP@N(MR%2(r?FuF$J%e!#T#$Ru9TO^*#KI{eJ;RK)Am~`_g`N9Gym! zFf#r55&T5{DgGJ$75=19Da;m{gs;Ur#Ff&2br*I2*+k}iv(0P=-j#rpmH{PxgB}OI z-veXt7EtuRp~c70DHw$ij^F}lcLjg0#x-~ho{F!+bMPH_HU2f;j32>|%@BC0)>RKNscVTt99UH;ucJyB_**6L%Z85-58g zw}X3^`+z&aea&^jsQGC&T|}4Bhv;^?hrY*O&R++AkMl3_o&0ity zRdFVai=ADEws}dAy4g1cgMyAEH{lmh8U6!WArKCougKtF7;4VA}FURG01^STq zxQTcrs!TpkD3k4AvRd{Q5%|^>X>)n@Z zOKwTtnOvH@0lf|1{TcVhKjT)Ahz|HhC3*w?)}v4G-5`e}{_lSNr;j-sb)s)^0O#ZW zAP-&q&HM&_2fv5^9shrh&=07+5x^!U6s0MqWG)m6=yS8$Q4sZNu+dt$2vcNv`G=o7bYZ(bF&{2EADv zMiCn;4hPXC6I(x=dwQbytI`$4{ z9Ns&u&A;q;;Fl^q+%>dz^@Ue6xOKw=jbT<@w{CT~t#d-{g;yhNUsngufbWw0>V|dI z@alVjh&2<#@HTQ+U2Pk_3tky!Jz>2{^>0peI6HsSuprzfM~6h`uUpUnLlauphOSr| z*&PZQ2a?B7Xn1(tq}phtttum0H)B}lE)QCF#nSem5e{B_rBCl&x-&)CU3N$MK(k$V zFz5U=>&UvD9o1ZMp0b#=C^`xlqAfft3@xdRLZ1e*-JF4F-K>Fd8+__8e5-9Xj7Vdf zJhXpdoj!=2XWwt*^Yv(W-46&jAlmia#b;)u&j|VY4~QKyJ~_{7aJ}caEgo+xDq<`m z4uvs=HePDg%lh=bxq}RdHtAs~fP82?&~`@Mpkg3@B*F&x?hXUZgi2e>gxXX+jAmx+ zMn-YGu8lOXE1f-8yp!3LmYyr;zupi9PTqfmn5XY;lVa!p9lFmweEy&|?E611&q-ac znHa5^Fs(K`d|gADwl$M3s->J zMqBkgb)gAzU#jws2 zc9xxFl`yNI8Vr2Cn@HCE83zC`wOD^wsdd7t&+5R)Iw$pFppw zoE`k8kqGgH;8i_=kW69wJ3?v)fKm@^fZgnO0KQy>klu=r3$A+zY$ovP7YKj(;ogh{ zLYaB6Z9pjdHwfkY4rmEmt_!yD2t`i=^jrcP;Kcj|2*o7WP9juzJwm-+L#PORxj5j{ zKJfg$(2l-uAyoW6;7xdT|A7dV!nsm-UIN;icq_G)!F$SK%m!8>G$?@3;1+}`VY_q+ zY{y{KrojgHT?ROM$Qp!(RwI8j9Lnkjghs$~M?#-RLf=Lg!3N)}fj(aTB0^)~`{TYw zX#BGXP51|3>TMQ%5f6XhwTWoR9)e9l>>yQ!8}ZcSkRnnOqo5@4CgEPhF(d<637|k+ zKV8{fIaWVaIi|Z3Rl%`-4tD)YBF>02A9fhV_gt9kJZJFeEDF<3a2k4sX`EFjvA+ZWynlFe-t|LHgvif%sVcc>Ngtt9n%2Ro}0~4Ntz26ao|o znkU%P?t@Vc0_xUCz))2#CdE{E229f`rO;aB7R8vjbHE$Urd>6_BN>%F3!rr^{avw?6f_xJ&=Ai=D{m zNDemoz+1vplI8v!^>7>|s-pDg2pkf445v{-G)0kRQOdDndk40)GiU1{8Ho5~XZw}) z3BDuQY4|5jO7MUEMMGR6Vd>Bc7==S>Sk*`e8ECkG#|*d;8E_NopQB-oJ;v5=5wOvz z=B{{Lul&1S84Bv%&E3tFp@7~UkHZQ5cr!E}N@zc{b~WEOzIyqqtNR965wGi4f@|8` z6KfA3DS2#{8Xh>X4%b_s=7p@IDBfIO3XF&uO9HHP-iLo*0N+={FPdHEXXancKl2CA z1-P$&uBLaKy^U?aQ!|n$_^bI30J9%4#;%grde-{3qRqnV@`v1q>JOZpFBfWswqBlI zzD4{Zc@-~-Zqe^|`~AI05tq-4h5SSOCizY74=P`U#{qv|p(A_@+-HJ-OzqAZnSV0Z;AMX}fo)IC?r;7v^yJOIefy2pn|F|m zD^Grd*W+pUdi>zltJ|sg+5GmqjZ7Nv2g>DvGzQT+W1uLBvZ(tdpFBbuA&XPx zDf&izlXJ885#M%wzwcx3m%?d5wP_kgM9g=~ni{sf%h-$DZR8pm<1-pExTcJj3=+;L z$!LGg=**xQn1oR{SQ6|EazVJQJ;d0D^_yv+F**$T>H3s1>H?0}K^5WpW_QHt@%vck z29yC8=ynp#jl~LLW%uJk)xG}K+gd`nu;lim&%gi4ZJsRPhp!F|oOa#3jn8xObEbLb z(~WgA9+`aGX=J%Ch@S}kQ}JNqF(=JXCeVLr3Vnv(CO#uSq5ctnC<=F}oACqO!~7=k zVfg{|Df|Q{hp<;H#4)iBPZ96p*756PIe`a@B&dXGu`-Nau3V|Cr1vQI(XC1={gD1y zv6a(-%Kh{a<#qa|a+rz=kp)%cBtfN|#3M{(9?2k>VIq47R0LHGBOa_7FYr7^2uOdj ziuexv;(oz!dlN#949D$K$i^MSFCvoc+zV$(jS6QCm1$dog4)%y0`VXtW&pS+-UWxJ zQ%9({(vm;eT`YeN_@p$|UM1`*NKmF{Q{`LxWoJSe06WCwu#T}_GYm#^Gg{aW#Iffe z|6mRRW{LqEooWt*+9T!*=0Va;4w-+w@Wb@5NcGvNlFE zSCq9fJ14KkDcfyN<+^1>wh6gHG^hk^zFaXks@N#@PYD>oaN>plz9FzR zKmrHJ9FzeXQVUh&WZ;ZG9uAGfOl6J=g%ivMjt8%P18xPo+KDCncWQ(xbc$)8B02DV z(vSUy+n?~)hy}4w-<*P0y-j~7dJX>GnI5`6@2ADTW@7CwWDKl>VXFs4aGDL@LZ%3s zF4bIsrlmOe@Y5S7?)TPascaSp3R+d`^bR1gheKOeufOk-%M%Cw-mrSb_fKOF_KW6G z_wwbpk1Fmx5VyU%=$<5c$^6zlfnI1UFiH#gLS=-14xOX%Mg9u^NMD_AzK^f)56D=Z z@esdJ<#U|*pt#(we1|Rt3wDZFWJ+CD6HFr;?v@A+M@k|j;&g$|(o0~(n8phCn|QIt zIvod{Z8=X>mhY4zNTfe#ESJ|K3hXZmhO4xH`K1IX1muZ6K(c@KH{9OQ(5HOvm^)`a z(ft7~{Os29k<%+Hubp`5UjATa>=pCGfA77sbyiJL4t?cZncX$z4?A}3o9nVONqPu+ z`g==@-DiX(0lQp^0$PKkf*=ArOA0SbvLbch{YG3AJfbLYl!kf5!}H(-aGaoUGAF5U zH%}?R38bo$DA9ioGJ7{aQi8&8iJ`Eq#71mH4dWD(vd(M$X8qFnATv~fl%YDWUxKcR zV$9qUlrr{#I9;y4Y8^=IK+-FvS2?z?Oe-EatXhuHTf3zKrfV2a4XrhTF`+=-KsO7m zw2gLBai#DS{hFTUspSinU%`CAP9qNv@`X#3o48fnL)?Eu^25pw?jZLjr@YJ^=FTbH zCCU(vENrfiWAG#DEMXCnU$?u|DuMC7+o4v`5}OZpnx~3}RaX_qgvb$0m3DusggdMf zZnDbseY@@MDwL8GoRSyJoE2wEHHyxMa3Pt3@9jQHs?FQY>rV0qyBCqWyZ>wbw58ZUx>01jh5k0i>6M8aK2d!gTfUuZv8H<`mehA|zpk?gGz z@1yX(WBl`HNBzj;;X!!z8Q>BJ%0P>ae1SjUIS}AR@OU17geNX%zRhk&8G1gv(}5)4 zze#{EC#xi@A*(5?C5z9}9T&DG^I{=5F9S>hfB}DgkppNKI4Df=nG{5W#4_ScO++8W zf5i4HZrd?))7S-XzWn&kn}=RKvaFRq=!<-|b9G0f)7$+qeZ_3(JM*&f^KA;iiHTr8 z{Cp=0pgdH9ZF@_kteixwBYDQS77ku_zV7~*|5&7p^qV~^buNaAv;k>&3V zHkYj`cU&&XW#7(aXF>v>b5vd&KgyiMQ2&46QEqYmQD3m%2d`N4WWoemzd0pTUGe&= z?)tdytSGK;j&}hQJ6#p^Y(s2U0q!7fu1CP#aU5ehx3oW_w8-d9qq&wEc6-u_85~6J zf@3`Lx>;X-@ZO094a-)T-5(Rxp;|Y_lo<3>Z^oH(BZn|Un&GVMu%eZYy@&vWR=-2`L-EY(^ zQPwEi@eXl^yxqQEenXa~IO}|Mp(!~q4!-%Jc{x%AsSpOp18k$nC}FrVYuWUPjk^AYgxBn`Fyz6g!B6k<+ma@mWX5fsGC2dXB2W$axZ` z2*}9S%r+(-2wY}R$W9%YFc;kB@&W!_U`X(o8AZwmIQ3YJ^#5qd`VEUeI%56|`_l2g zti-s|RKa&{-eXQT8}@C)qwqHTn|&Lk@Z3%C#vA;Ek0Axhy3077c_@aq+dg4%mMJnj;oxhg7MZp}o!E)VZQW0iTff`4&pc`F#096h-=4erNAnYs4Gy6S zlmT$TzX-a>iz4WZaYuz?lw+#6KwY3Q{%DQvvmcceK@t=I8_EECwY`7ZAxgUJ^w>QP zk3PUYz%jzH$i7tnKv9>-OM*9Nt&!IRS7iyZ&m(IN`$YR9`%3!*_TSohd)TIVY&OlI zd2N1QzFYU;22ZPpcswW^VX6+4o)<}W<~SE1n+_)K!;FGfp-t!%4hvLR-4w;)Xi1br zBi;)&UhajKk6HbC=AnP}7(Pqu)i*=Qh*`0^Z@k_PM8r;usupT%u4l@yzb`GJes_fH zOQKPy^B0X6-AHcu+ea<0yxg#SLA&{zBMT>8J-70YA1$aHH!^R}3I5=?x9)iIs+^J<5TzEZRN>&-ypD9~8O|83Z1PLP6}U!s35iEv=65VLt)M+)q- z(kKEP&-E82a1SI-B1Gh53Qz=AZ54G&Eed5G~+~1;GC#Y;jIpqR~7mqWSsR!jj&9WI5%}|PN@Bv(sV!Z>10|#HW z7bK)`Dl*c!UuJ)?64>>ClA+dJE&J3msYNZbI(A8@Z$g>~JD&4#{W*i9)!bdu2B}rr zEgk2CSGjkkPbDtQ6-xnC(PQ z8JyW<$dSGYG6{Cp-PPG)sKHJmq8K2YU+hf^#KELg97}%;@hUP!1dNvRvhs9^a zx5+2uYjQ&TiKqpnP#i5T5!Z;%5&^K)!iDEOZ`2b2sY6!$gV}fN+>FDd7Q4-lyLSQ1 z?ZbWWb2azkxnWHI-fdn>H_=PM`^`iT8+`}5N4kkC!ByBQ+=+}~+$h^9_ppqgGUO@3 z6s68J#W#N?P?vdH{3%1iNCRb6I{C}`PA9i-v-SnvQ+_P>ka_LRoO;KbSH3Uif4*IeJ+Kx3v*4}Eb*yZ{aQ zv(N>A_yXwE1xD#^ER;UK-~cA(xdXN9$C)Rv@7{m8Gw)b6Yu*|f+cJK(`De4+JZ*kb zJ-PcE?m+u9kG5}rd@FGNYJ|9QtM5-4g`0RR+wnwxF29K9ie0t#`SvCkRb+>jqmlJm zQX^H`IE`o>WUQJ0Qln6vs%NDDwb zf`fm~sslI^rHB9=-K8^+j46fSnByqGc)F?!#;>A(iWJSLrp-UGrmbwkwAx)t|A9b< z2=mt%CHx}mx!}aD&|QA$HNzU}rjEE|@D;^0w&|K-Wk2-2Y=`+jK=sR#U(*8UqX%W; z-yT3ZxINWs1$ex+jrvpkcI5^61zSf*l01KSBpD%8E90`Cvh5T0gKbovuoHX0#c=MvU`7I$ zx~#BQRyY;@%I%dZ<9$+PAk!)hhux8AWw90=nmyg+0_D^C?s1Q&ZF1dKvYRlvx0z%E0FU8b|j5|OHI z%d>YuBj64fYYg0Em2e*`clX?S3Op(HvA%%df~$fxdbghyZSAshsa3r!QWa+^rtWy0 zxmwoi?FLZE&R%L~ueTdOtdtr8hc|y72WbUOR%%78z&>JL5Tar5!E^-d&P6Ow!=1vz ze)2O84EScJ`M0|ovFC#>>=L>S?v5ElrWJ5Yrd(B7iSZT14?ni|zR$3Po4Rdo~q3SR{*{Y7cR}U^{QV0>~8$PX;i%$NoArG-OI zF~!-1((X%{fU$smIF>sgQXQFu>-@8EqyIEku%FOSB$pJrZ5k;IO$UEZvbsfSJ9w_cpW~nI zzrj!alS4*sUcwQ=<3q_1Nq61~H(4JReXeh2ap;AqYKj=?_)r>wz^A_65~;l8n}!VV z*ii^Opu>0jY!#YEt6+z_H5PIDc9&X#(vZ0hz)LE2R1PMfAXa~nSCGar7ln>kq6j$d zu@W3|T|eu(@_dhXl=hymp?kT>yz$UU;*eq?*I^>VYANfDYA89A}6T;UveY^BF`7h!3(rX&O zNLnkblsG4|rHblj+Rj5oPlXt2$Y{zS8TJSYhH5W64^mPl6AUJ}ht2h%G{9cUjrv@$ zmW=_5nX$z6?u3h3ORop4WnL`*0$X{-y6!FigA?YP-~E5u{Ba!)Z@m8c2Oqrt`i&&_ z9xSXgU;qAp%-^p}KJ}ZYo@(9l)KgY$A9l}ze+WqW0GswPdVxq8{CJ+U@NIl2f0+NC z=X3Z5eg)qOCwPM4R)ugeoRYgp9>^W7s!ZwU^pv4x?VIZt##sd7ejMZv{#*^T9R;-Q z3$%@*{C2UJt#I zp$US`>l1>$0-=|;F1VPiBHM($!fS%|QsNVxWaahm=j?6EW89o)%edF0oBxP1H#HIwkw1HR2GuN`*z z@KRkRU-W(Wfx5N(&5nESx;(QyC{l|Xxivpa_i~KIP2m4*bg9Dr_Dxwx(ip_!i zt^PJY*8oK)P_hHl-CoQb)pq1%bKEx>c1@Lwm12a7@pSOb;0~iOz{UKo$=)i@R?mM< z57*#n@oexM_MG(a$fJA0o)QoB1Vc+&d&Z=utsK0w!Qh=8K%Qjhz`DvYY#tmSi+(D| z9J(%RZX9ks4zzZbvS|}$=P`g^kEOl*LV8Nd87(U<%XgAxooYd5!RWxuTQ6T$p~|=4 zjzctd%$#&bJTv3dqS6V&`#p%?J@$XW6Xsf=PXHYFulNs;4|N#*2T)u@!+O|RM_U5C zL|+OJug^(5E}zrxb|BsE#z-d~S#qd&x|#$C#;BkO*y-@$Bp6s$&1T8-C*je8+oQ;( zRRD9w1IjDZi=ES*#L0+cv%6!&Gaa@1I(@{)d^}l8_=5f=2S{VewT(BY=x~3o9!L>P zIkpVs!p3YuMgKG%7|*#&t(bq>o%MQITp;3%2DVf@v}nnVv7whP2NW~e3moE$zCq%Xr*iK?Qz;#F4p`=W}!=XWmhba~~ zRgx4<^#BbS+Ulg?120uUyy`(gH6InJ2{cGujpURR?NP8zv!12O z{shGUnFXYvDmKGjVMW<0G7OiB6sjt+Ob8a>Sgx?KZv-+66RIu8R$?=3)aLhxbfroe zr*KLKDKS(^DpXoU$5D!%GH&B9Afs$B;P%H9qg=6!VYiMpa|L1f|t?PgX`LjEKf}qAXlx zOy3*W2g>;^`g-7S;BbHNa7Y@OF*I{%)|B8Q^ufRmx-C-@LSa-Wl!r#rp@E^np&=jqN0#JbdO4icFYD&4m026Ij$}!e()M}0i7cXPjx3fu zLRc#e7C!(5?D7GuAdj^ZtT{UH6eF6W6$5-@F+gpbo0pIJe0YBxG&z*xII1ru!R($u zU@DYa$?zEh&Dwk%gcx)#8)NX(<0*e73!Hst1#81@hgBGMy@E=51ur?FbXHtE5vIx+ zL9pd+%(A?hE&y;~g~Vzm)E+_^$zv!Jwyfl_fh>`snN8I@BLiHPinY|Pm_Hyd9i|ni zAX4pIf!_Mv@8W-h=G4{Bm&~t&SbFV~Gb6^7nx{wjFmIlH0Ly>eRW)_;)pHiylKJ&p z-#$O9edcAS#>dzwJr1}x2BRdSDTaIvxrN+KI6?ucwokXBHdpVLWF8|;Mlax6FnyTR z8#W%%92%x=l+vJb5O2q=s3$&ix-xCkPt|u>Q7b^Hf>?hxAg{cXi3D~P$gMC`*?Uu|KpkZHenj9U_g@=`GiFZZ{W!}aUJ34g|9$w@RN$@v zzYXMm)d57oSMKLf2wnyb0g2mLQtqAmChPc<2*q`2eS`Os``-P z^?-kI01FZGdJzk7*nLJgFR?RaMnYEL-3QoUL!dQ4ngS;S!XbiSgh^Qw{YB{^mzet(Yxfo05@cfe5!HpessPUQ|m*Zg06;&e_ z7UAI5$uqCsv5~Zzfn#$9k6Uyccc!$P-JE|wuYi8Kabl0FkjHL@xB{9ZA^B{MgvfRR z+wnd)M_6lfz-j;_r#96t=mfb1>L!%qFf)-205?1EPEh#{Td}J8aojwE zqvqP7`9r6!7(Zcba7fwAtAk)x?BstR=g5KjnU~}`|75$dj!}P1@&wHQz0(VQV)S3_ zebY;B$-Fz0Y~!Bhw|n++2l;)TPXnI?C7%cX%J(Zji6}MzsD8IEl4H{~r32>~+Bh3F zZ0l{rW&@7}6T^|?E_M?)6K?mm3?BTrDSLI$Q^c~<{oyp-R$yz>IzjhqKK*~_ik$U1 zTXS~iyp+S|921X@%for0xbLWcF+PfdMgKmZR^P>@(b9&1?W|d~E~W!gG*4@D%b#Hm z&H0oDfT88S^XmIj0!|L-Z)=u~p|UYpw=JA7b@9S02Gry%Tv9t~DE3l}&#OZuNf2HBKE}JG-IxU0bhQdF`5)Z_Ie{u9wY|U#4;) zX&s;@AKGdJM7Q5PO`0#!4vG^}LLVj#b9|%o0u$dXr)akcnyO;3>p12^mfQkEFgy64 z8cFw@@D|eeYG`3~W(D^HGVH`9W^i&n}G>HCUOsI9#x$1oL z9gVI=-}1oH;3l$3drf~W@Uea*@Qv_|^o{!)?-{{8&^^#Q+BMo&9jMb9HF1!u+*cmp z7W0c8tNB%qwZW%c+kJlrT>E^o-NJ1d30CfPc@p+g=DD>8vlCVcw#If4Q=r^UhRdlU z18zkI+=ogx0D3zJDuKeKuwTUNERLXJ8#}P2f|eO##B&jlXFjB5ZV>Pef(-Iu;N+1y( zMutnn1H+cGRo5*Lb+_Qm@Z@3B6cc zs0!*W)+@Sk83Y>GI8kt(qkm!U+c0-`=iH4Y)sJ7b=<&iV^F&s`gu(Os znkTZW23$73k9mT|?t6ODOKc!b`K2P z9xL{8gB5>!Y44w~Qf}L$`Ki>~Dvv*bcovOm;g6-lFirePp0@C8c9}yG;XVO(s|_7P zTqny!W%lJT)F`^1_S@P+lnbLWDH<`y{vKSIj?4TK0}|2V14w3XW>Fw{yi8i;Hn~$i zEPpTaIdX%%LT-fIn=z559k^LPX%pp4bo#O8*ucs@P$xDZtYBYk3;75w1Vvpog9_q?J~KHQNU(=I47l$RU*Ii!W*Tbzc#-`6-^QAJr2BvIs9 z1{(l$E4v*j#r7&Yv4f8$T_$6eiXwlI>yQc?ph;}s6UIT?s|Ro>g?K{2G2Nj+cXue* z9Y~?@^O@>;`p;^R<&C9MB&=3ifUh}@7=qlR8nz1P=9%5L3h3pTeYOhK(Ab%iyES%( z6#~n|R9Wp&!One0@K5?0Oq}&1cMi?B4?}*hqiU!M3xX zl4rUn18)q$&yxGNvw)wnUPLm!4!9NJ{(FU>w&0qySpw7rt@NbBexTyWea|hs<+ zS@s4&cK9tE2%ZWJ0XoGp%|U;;=M$dsQ=75jgQf72P}*~@lK+i#vVU)g;J4tBeW zhb^12X63xutG8TPhYK+99}Yf1^m9!+F2DZCC-y(aW{P`*hjjq@E24jeMzmNip(Xry zxk+x3H^`!Zd6G{#A|gpZEW5yUVqK`U4$2vnV%eLQI*Eo1|sBq()1o6g*w zP`is5EOcmP*T4=q>UcUQ&eDDLEM+F*1?Bg-Ifnkq97|t0bLQ-&@YvNb3J;`5;ZZh^ zdB*6cR+MLq$RI8@U!Q*(j~_*$j1IO9c9&%gr!}@3_wbAdV2BjW4kiL!IEU|6RR@ek zdJbRjMYx!QjfsZ;bp}5*7XLPXZ%s(Rh^TD-J~bXZn~<=q32>7uJ$>(WUpOSIaqy1a zub5`{fh%_zuEeON^>?nEH)j=pu>0f#<_YsB^Q8Idm33Q4(UX7UnzlZ(@3BYI8Tmb* zeyq+ig^E~iEBdXGFH>IOh^*vO*G@oN9M~Nm%%LbrDw239EWB$$ezx4eHs01?2^h&QP*8A9&nOP(KMUO3GP&@;j`O3cDv1`c*531AU7Uwu!7tdfTR5uHp&36 zo=h`rIZClY6b7S|Z5}Jc>G364$lLI6o(}ws;c+^1^kRRV6zf&`IGxkktvY*`+u^WN zhYn!supb+K><{GtUWgbj+hY9UVP^lgqMdA6SM~=7@CbC?FrGTjd|-CK=%`zv6*v(; zkF@HWovFmK3v!}T&RFxsFsr}~bQDt)UMy2D?@AodZXQ#+Z0U@p4aYZ-6WxFBef7*2 zFl}6Kz72m^XKB{-8`f`Fz51F6Icxs(Q?YsSlfC!8@+nY!Ey($Fi;MorM)I?EK*g33 zS|03^5ILQ53kC9AVW;wv@`n7j@~NUs$f4+{^A4@*i8bqR4A=Zl0Qu}CVm)zE($UOAtCt;n1}Rh|lLX&%e22CqX= zX$QH^2=T>IMGo-o9AM8FZb3K)u}D?bEoq8cxzzw#0{~vF09p_Dver+rEb5-zY1Xwj zc7sJlDVxMRl7;mtpRXqec`Xj&QFxm9AUGlKnLpggA3S#&-)!F6eKr1Ut!dc+a{_I! z=IVcn@mOQ#;;hwK#HHDq`mM6H^rK-MB~h*fmy%M>z(dJU?n+0Ur!Id=uPO1m;%gjd zoM+sw!M0N0;KI`0HMU{Cn!;hdPiozMQ7<(H z=ob{791Mm+>-*t;ASoS&f=cruu3*V|D|9MthZu4=-lO)qPo*J64{edvIP2xRWi4SP zgQQa1nNz?vQPL|fn7y?%IvPEV`SW_sjW-rs*-n1mir!h_-!j2U$z{OLUlwtC>?D60 z2HSjLg6>j$nUqyH<@)k`x9zshBgw>VkpZ z?1-;l^y){R-MjuHtm4pf51t$G;=u)#2ky0#JD+~^vEMw|`Y6aM)21^)4&2}h^+rdH zs>MZkzI{p2SM)Tca>OeOg}o#BK39K^cbu1$cz1e<*XxPq=DXZd*u$1bWE3aE*D;k&?bf-|>AL`Zo1#>ARtCYhNkcx1=xW>&XqHu)D-f+)U;6`Cr#d zq;e-yOD3#9jHPnD$rez#-jvFPqU9HrdjW#0X;UZGv^lI?s_;O|iE>9+_#uCt`phOa z`P71op3F+l8A(@S(T!yE^UtiFcEj{l8|ojsd9?YZX~TuDJXdu2)SA(~-`jy*t??lf zjiqn#2eYnvX!^Wo;{`9Sn0=_(CK39Y`5Z4#9WiXO%y%C!m&jWE*dbRHF{|}!7P$dB z`_OJ9?!Y;?f(eX11P^ik8UKHTWs&#sd8F1k-^pW4+#aXP&3Op6VzF5qC=JEqReYc- zl$a!2!5kSUWi0=vU=H%ceBSd>94}^}%KsdMJ&(sMI1Ie&w&T`x>$~qG{kBgl8@6^;_jk}~=)Zpk{co^l@HQKF z7m9CCvROQU|AaphPuh4%4AFp4D3qgt(nwr~Z^es5C5GeT06a*n#-qi}>KWmTDCg6d zSfnKAAY~{WtNflymn)NKoidwVr!2wCl?UiX@u2b%{gZM|v2j!cs`60!=udo zY*eo?Cy@Do#dTC|*b5!oIU>n`Om*av4&hvYs2KK$JYXpDKeb1~w1hb%WMjMY$!pl! z^7^iBuwY#*(L8^>loLl|q)c}YC@&=-t}efi%z62t)>%_lcCMXwNmnFupFo!!xo+0PR zxCGDzkq?qQMBxrO45%WgUOlU4`ZWly6C3>0q(G0YAJgTu*3rb{|FU9J1h;ebtLX&k zJ#xZIg|2@)uNAYc90o3F?v|)>Flhp%&g~NDz=7%c$drYPL^${ZcHlVvCG&SJ-TRiB zuaUvHqUf#H@EEfle1vr*+ z+53h9&lwiajWPlSq(GTR<|&)VcJh=RAis{_-=#C|Lx6lO?%N~%lYc~GeCJv)l<{3x z_wkF_&V4CYmLOcIwIGjh!zT_KlsR|pOPjV2sd?6%u={ssK40{A{4_59*vvlj-uLDy z^DKYkxvAi_7xA6Q51!E>WAFlXk+fRc6x_~lm!7uoa38Sma~^VcI^T8My!-&?FnyVC zFL_@->=9o;@50w976UFlBb-4p824m@o1L-EVatgWM@WP@-H~loGB)JHa#H4ip~kiE z#27P&J2!_GgCrT2U+?AdQM5Sw=s2*xq5OZqQCBeUUsk%DN=;&)Q{8TBPI87v^45hj~(&G_>v(y>Uz1S@X=he>T6sMStJ^$L_~&n=p2M)1(Pa zbYk|T@vYsrnx{Vei+K_h`C5EGp8dkPZ`MAz?C$kG`bUvPir z@VJEE$rGNJIm*^xC~`jFH&LkJ$VdfjhH5P~G(b~Q`Qqh<7x)fVIxwK?%2Sp9i|fE! zXpIM-0bB?EBGYCN+Qb-t_w4Z}{9Wkt|J z$Se0k`Lg(pazgu2{z>^!<6r0BR9@FUMIXwaC`Yt!(U-FP4E-(tjPj)R0&VACQ1)tX zP`NM7<%^ZD_6WV7e?)mulm0c*W=}`jWIKzr!4A%DX;1ym#1_NLesy9ttMz}x--vAe zvcxa(w|@)4m83&({f((P}gTvwlt*VPAl)aCy#UbmVb zbD{pcxabMyG31n9cfoeeR#blu>=28}fl$sdAIHU?VGTE6{1;qgZZTgo|73m!YSPJl ze-8BC&((C~*$zaKkDC+lHY>jFMRyxxd}2%t`v-{oB)-LuIm!dDwdtBJ|7$#*dWGpa z*6)=a*b^f<*oe@2mOqI7wo;wV(D{7+gX9_%Aq#ealV{N*IOcdDwd#K${fi0pJpp$s zN$sMjJBzt{ot{)mL3z)N`>$}YY}2Bm83X%yqKJU z)5@S|xg+4;>3GZ@*P9gdR5EGw)13(g!%oDKLVm<&6jH8^P)2CAxRx~HMzX>seNGQ+ z-_v6nRf_3j;tS*^;5dH;Pcmc~7y=h-k6A9TL)VeAo^A!L7moD>F9yf=$V#d1NKY3z ztTliv1KgoI!j2M$;aK4i93jJnJ6(re#3f3ls72ermUXZtL+}hy^RpU`@5cB)%&E$*_(ChH@xyVJH^NHX+=G7*aq_TXmjemxKuQUe ziYPIy%MvnEe1#ZW;gp6V$&-enR;aoLo6U*>*P+rfJfK_<`Lc)?gL;m5bMd`1i~Ef? z*K*gJ3)U~n#O;5?Z#IEYkZ)c$dp{ytD|_FBA^8GEgU#afH8Qw?SP%wMMcxVGDa3G? zF9Bt{Q+nqa%L{*Fsf(4T)3X*mlP_Yz91_hBW0m&furMG*cHl8S!(S93w`SRYSjN=p?j9_rsH7c zAfTiyfzE%gkiv;y=8o&Ju1p#}b(wR)*5}S*`JK;kwt3|HXFnuYFTY~!Jh0F=;ECB2 z$G4ul1*=Cs$4+y*xyZcU+_Im`T(j|(d+xof1vs`ld4dcE|B6EcjVy5BM-c#`gi9rR zP?A}7j1 zj~eB!N|LZ8Je8TF$uQe6&*)64DFOqazks|wOUU{j^ zu)mRu1B1VeoK=PPe(a$7C$b@^3x8kDy@eiu7uOc-oS0crz(X~l)1Go^*4A#nYp3+tr;>iv{!WApZGowQc0 z*kN)+px`xIo#f$x-gP8>+bB*t-!12F$`0NQKY!_4&UOV24JCzQcw z{V%(yj+PddkF(djj#bXK7V6I=H$Pnq?kpX1fGdtIl$-|sg2feTPTH~Q?<(8Z2gkH7 z4Edg2>w$s%M6p`Lb>o7F)ww}>U0j@uEiv-5X{3eVO6>USq4oHY2M}=56O*LpvQoWz zWuE(_1*LhVWu-->P14`6vgk=Acp$5A&CU?y*rP*6SG_;Z_?9}^^{zNo z0Up?s>3odujT-PvM{n=>N!?p_)=FU%P=`p;d>00f2}%d!`F9`H)~$A>KexJnmb`R$ z@)1v~{K4JQ(6At^Eah%?zcT#1tyE#D^4qOJioSTurlQ^a9&$Bs_mg=sW8zS(`yYmc z<=(v|)qKh|W2DgCVgKZF9QU~g7r$Nu9hfprecLyX3X%RNPKK*MiL0uL?^#f-T#()Y zYqmQ{jRURp=TTwa4o3b3d(Dud6rMV@K2cq6XGX+8mKIS`d|TBS+!~h(Qh=5hMx`gH zq|IwN?%-cE0_{w8O;$vSqYL-@uGecU?>^lmDcJ#vE8E)tNiRTkj6ZO+)!bVi39u=H z#2U{n@jJStv)<}kJPGMft$O=bf@vtB{Vi`m+iW}TuLnQg7BTw{hA3RJH23#ZWQ0FR z9wuvc=4qpLOmjiubw-`sk?aw0BThG(y9T#`)aEGq=VE`2Flc0Ipa_|&$rwhx9^iRs zcxS^hVGuG9qEmQTGD!PTYGTMX5&+9?=Nw0|+R+Q4yM@-TrOg%)Dc3B^Y;SwH4OA}@ z;bAaGq;CSkRnWU620?8UO|kSOH1QxbQA1W`x~0FB-CqNsyurxPlzO5G@Q2vkiU)`P z$V-PQ%;}(^T&MT%^~;PJb8h17%@>N=j*puyF`B!XXX=;>zkPglejtC-j0dV#Hp)aD zE?=g2zMi@#fyvg5mIc~8P3ob#smuP6ZfSg%{rfc^PS!f@WbFCA1DYO5n~)wJD{G1;=PBs?3OMRac#84lS)FyE zi9+(Q%ZH}$B=7ptgG)Y5iO>)=`7SXcIRH!mWXSo zuQ6Y(thuf#m{zuLcAC~SXf9ryOvD?f)to5&-v0IV-fRBciL1_T^IQct_&ueyJM6B76&HHPp85 zeo_4xkGsPdH8*zMD$i&|8#K{arD`ICR9%Jb}sd`cW7_-S!_#SU^xEn zb$B)RX}+p1veKpfzFK!S#p$EZV=It#^RVt-F^g0kX~W&D2;A9mH@#$GtPYxX8M%tZ z+4l~U+9ovrvlR)@sLRhU->kmS_m<^La`W0eIVY-=-BPY~uWKU#jAx2XbtEhY%3twq zUm9Qc=P}l2(sZ*kHFDfcY+VQp$|`-zu1jqVII!_?glbf*@Ug4&b|QE+LHNGpJf%Xu zJ9oC}7}#t10Y)!VDb{0K+FtkHe18rtJ}lKF(jCmnuC!ngPPCbiC7eZN{Kg~NF!#xh z4y$^v`-r*RqoxXbuHg9TBa{2xe8jL(`FE(-!7c`-m+?$}vz_VZtLy0~WF%Vmi+D*V z$3cR}X?I68|Mg;wz2HBh4p+|WjqWITjga@9c}cdW2B4@&OP3?$dS@<|Ll-INad^5t z77%JsD%k3>jr15Cw4oqoso4$Og%hD34vltk`ISC*e_>5+`aJFb)9V7+JySFoQ=8OV z4#!i~ou92lo}{6ptGF?~2Xrwm8?PAq>5n-Ja-;~*&r7q5M%wf&Ru@9yC>&eK#gh%Y zdP6Y>KzeC*EB&VjQs<6DPZxm#s*{$ObHw5)Y8VrX;FZE@pn_@`@sFXrn(GP@S?Wll2Ug`QCJtT`hqpGL{& z{bmU1aN{A5<_&e9m@n`Y3B0!Zt?h$+kko07=#kve|3-=ZQFb;6WnEDO#J`yR& zy;R)t67#;MRI&$`3v}w9NnbOmn=GD$4-<0Nh&82XAOxn)bt9eP`Gq_2iXh%&@+L)A zKwn|z`KktXk)ON8Q|}dwKq$m~RoRU?kOrbfU!OzIK{}xiuzx$hVeQgcn2S6KHjWGd z-;OC~W&E^=&@^1<#$_Ur>88KLuD>8xV!H5hp9(M1(?~jV;pmqJ9H+%Bm(2YpP|lSB z(&mVo2$xI+&wNQ@jYYQy?_m%x_jb)U`$RO8G#!(D=qU-xmN1PF1%1oe^yT7Jg;i3_ z@-=y01@v;HR2Saksfx!X$DvWEy3btvZ&A zNWD=noot-yJxxM$SbqLnTCs&GCIP!;BsKM`YB5duiNsWDqr^T|){j+LM>>Gld^Svd z2C~@pc#76*u8<+W_E^8T?(e**#Fxpe!p88Vo<2aCw_Es-Jn^(Y|EMDBh5rhxmnOl0JR@`|;Z z!)h5B`)JRs-}5fSZn+DDo_MEz<;df{t6R!52>}l$-5Cg1wCQ}$P;@W284np*Q@Wi%5M}Z zgFjJumm*sR+kK?{3`|x`e~`9Me@@!GTT57f>oi~q$Yx9yMC^1FAc*xtt|yBnBUwU9 z6J&w+!gc{M?hPgc(rUXjDk0UAEf(PT*0z5IgLqKfx8;SJz(@L0UUgdh&QFmfd&gqk z6;#3ZIUiakxWL)blAZ~BM3yXFnutriC?FZK_oCI7gDB`bXKIop_6A!feiH9F*cq1j z#G@GWY3!wph>mgG!(U^FdSVULJP%cjXbi2HZKR&0YZVFx2qO%MS%PGQEcnL(2}^jN zd-vaA3H(+NL)e4%6A=?M{H(nc5|G@!l_NRV_85~`w={{_G z%~zYYW~>z8W8=+KJ@P^kvlymeL{|U!7&fym`9sd%d^U9srI~A-hquR*oCg+~$3Dsv zk;;i{Qo+~HKqT>}NkYoS9kXH2xFg??d*%bgvK2U=jqFXjE!P-gE%aM2#vzL+LLI?P zW+%tjU&_B)2)%1wlBj~qXcs1*TZCC4Edo98k<7-x?%VIYrR-_v3h2Qo1&7REp8s}m z%+QKU1UI<`Jwn5-Oj-uBnT_Su{egwb2ksV^zF%8h&1Dq=**PKxTQ@$DN6&hM{Y_hT zOUa4gjne=C`~yaGGK@b}+u$Yl3A=-RH1%i_E*wY5#p?fmYnJWQt>r=Z3+k}H2t zndu<{jo$NYW=nyck8gcLuMO5 z(~oA&qWF2TWp)}zgh^OkeFo8_&D;!>P9%knM9_IUTi5%mQA z@Lgw%qmRug9Z6JMd)Z&pU8dv8-)7^fDt|s8ukCUul}j5jsy?9_BU+E+Al=a7$Sorq zE;Trxw8U7Q!q|JHdCiVbo|uqRa!0=bz?5fH4wTCfpPl4jhEYIhO(7dj3Um)krjuK+ z&`%*wH$NnAWT6|*snvmv*yAGt^UEdV!4JdtM43Sb+7h?<@D*My$&o?vScOPpEM#2kJ28)Y9%auL>+v9?_MZzfHT(knG zbK;l=TB?S}V3MsVV=7PM`eL7LGIl1&nraoiW2oudh^j_%05WT&heGL zdiUHG@TReSGI2C7w|s?rw@pX{-z;IAny}Szwwc;Sqz~4e9h-_(E#vii0*eP>zY3ny z;B(GosmFv*%wj$?x8~GjULHw+Jk5l&Hy_bX_@nE#;Vd21P;OF{%wP?SD;GW0%Non-5S2Jq?8Lbxlhl;yU{uG& zw#F=-adG-GUIgtxF3vq-;zjuK!}UUK5tYR1`SQi#4SC8=&Rhql<9-|P$8=m0t>x9D z+G5^r(iG{4D2c|03OMh3hnl?|tv{y4PQm^Cburm?n698LB99wvm5) zevJMlRzHMy3x(t%u)qrNwJ-3Y-zyGR`@46u*#7?TZy!rWcEqK3qMnsTOg`yP3{_y)%)QMhwGE9gX&sWU2>*rG{J4fIU zQW5KZ3`A&WspMa@%Un&#L4nO&_yi(b-}*kTa{?sKmf_eXy3RKsw1Y5aiEJp!;N<4l zub?gvo?%}8&Du3C%yKs<-^)75uT(0+h>3NwGC7U&YXsPUf)?Er=w#^UY=hQB2U5gJ~ijKI01R}vX9T9K6~|ZT>Pg*&Y|b^ zYXpd|zkKah&dl5aF{g>*->xw2Ce*0kqF%O-!vKCg2IzN`)-7LU$!(|9K)DUp^OQc3 z8ou);xfmvN;hu|Gfy=mnT%>}&A2<1Kp(Z_e>sN50BzDKd(42%_%uvj5z1%FKxaVrl z&uE7bfqqIR+%_qRoIR^BXqq5Hl#)Bz5vFL$r+7q!ksj2*(C*^f&=BG%>=vytuIe($ zPC_MzS=KJj{V!@e^qWGkKq?d4oo{N-xfJIcN^@xUA{9eIEP{vb-eyu$w!yF~Q10)_ zA=c#h!i!!V`;<3PUPE9FWD!a1e$yr;Fag5-=JQ8?f6ci zKPmwJxRo0~rW6|?6gd)_oD?Bk1TIpSSd()cMhK|k%hFcFyOT0T~s+`R05UDagij8x#wz!9z9TuY7`Dumv zz`;#egpRObvG(<=r)SC1M<-!06mE3f14y_TF%+E+l2i5u2*Smln^>$24)STe43+db zHRE1DtfE(`XPb;6NZJ8^&_mmQD25=_*0YBXKblI5H74$zkcg>cLNcN~ z^c}i`1{&f~NQc!1iJ@MYRdqaXx)jdoD;1>wqHcxU zqg&Jch1=sF6WyBg%ahfG#dE9VgGqzNu?r`_UDwchX;Kg-n$X;Q%m3UeZgLWIWpi=G zCZ+oGl2FsW=KM6ymamO(RqxpP%;t1?Wqq+#pA&3pCB(TDfeuCVt&N<9U81SgOJ*5S zQ%RLBeR8lly15Iq-Ny3lj6972`8083GP|p}IE!GCaHX@Mq`hJZ@?ipbZDny~7Mua7 zCa9k5va*oKak&r`du-ZO> zR*Y!AhS+Z7cIjZ}&QcEd-79sX(ayahvORYy{7b>tRzGWBQdQI35GmcwV`VPI|I+Tv zb)~4Gq$&k$c6JW(23?W3P3xOO7NZyd8=^Crj#8`aZC*oXDc3Nz0;=5!p=eq?$Pk^f zrN=@@S=bdWa$XOJPBft=aG=yDgw`yozv+Kp^>Z9@X zwE=CArgtfL|N8VaeYSNOH@5*T;7)YE?mK<=^(hil$F=3Ve0O#CGls?6o#+`@_uY1W z3Ygk}7HqjZbSHWp_d7<5ncRRDawmFU_r1RRS_#VO=OgRl>oPZrn)W5W;DLT-q>F0G z8TEcUcI!HVnb?hZY6>&V?^N=E_%zJ7e|)297}`yZW#06@nWACgX^SjVBP=LM(uID` z%3RrNkZSYfg1eoxI0vB39j5?}Y+RZz0^{SB<7C@phGfbys4>aNzFX*Ix3OZiOP-;( zL!TPjl{H0yQQYaWy^&3~%~0^L(l5+t@orYbcdRN1D#cNc{F=TFIL9s4ts8^AC-&e} z8mz1JS8IX$y>V3ERqfZ3YODd#C4*T5bX}Eh?j(asB1bdpEmU)k*HfUAUyovxJc1H` zwWYXn#WmV;{spcz8bfVSKeZL!=uYRd=GAH=PHq+F-yUn^C0kwAysz2ljNZ`0Dubkc z!>_Z{3G8OZ%DF5F|ApLA(xt*S<*q0vumkl(7i<^FcYISQi8)1UDq{v-@(vJ@*2$1n zIMIM4rmz-eGxpcxj(*^Qel*l922w*nC@D_jG{<}%^};jP$#FuAC(sG=fFY56XXpW9 z6g~p#lzdPTR-La*0BdaSE;DVlVV60g+*e_r_CB~wb;Eo=zB zjMpgfCuE7EM1%NLnaf(j2&O^8i04)drXn;Zcabukw)KswK`%39rBEfAU|v-D|IZd2y>lK)6v&?PFG=a&(uvsPU1 ze9Fkj)@Y0U+bYHwjL`gGsAQCL5ym`CgTzWjt^b+1v=7LSQlLO26?NJY`VxKRgPOqhweu0H=V&@DzItY=?eoEqaEQ^9mU)-aG&_`dE-$166KnB>$*N)n<4tV`6%4{idP%c!U~nEpOIYIcqMy)g_QBt{m@OfdthiR`>fOMwp;{^=h;#g=mztZ0nrDJ zqwh(d+kGFWQ~fZ?v`l}?92Zg6d4$XCW6kr(EnJmtVE}R@y9D!eDu8Auy+*DdhAYYGn3YyX zz^m!Gj;`_-bi=3h18UKqSKEh<M}Rn3jf<&TM!a;8a@DJ6w$O1}7b!!Q_Un!e~uUL@)!ND<%%f4u0;%3^r! zd#G;ZFr*x^OstTXKvcn&I{J@mQmvGUF!iZvP4Go|qMRTOoc{)%1yLjAy~B)}w^Bu+ zilp_}p@NWth=YWIkbwz9_;VFJgX6MmK!bx);GRL5fLs4za8jf&e#w2%IB0P~WY4JK zy_fJ@w)gWidBO+LH;NHIgBCv zFCK6a81Ge%;|x_sEWj5bIXC^m1n!NqJQmeCeu5!FVuKz=jjTeP9~B0M0!a!h4kV)d zYYB_vu)y?_BPR&Uvl^j>$q-Wpq?+`TQ`td;Aa{Fz|D04U@KZc#*{M{Ip|1ENNWXdX z8vAGT!F+(H`b#`>_m$+vvziF~K~E`+wVEX6tajQ~R=#G+74rw&v1lvNK#UVuh+Vva zL>=n`=IE4)+5AH^MzWrD%0uu2Q2C&4y{di2QIVdpndC?MVe?vXVrf2CQ&chADn4=O zi>@2_%xZ|V_euhbh@yx0?;$OTT=AYaoZZ-GwN0{ofxqln9aJrhR#YT4R3tIgXk_A? zx()p0%R+ykh1Yx~&^BGWub_S~Jy@${6Y%@|81SmJ2@3NpobLT)`1 zy%Kp7X%oMrXT50zb#K-EMfZd$!Z+oKAjinh1>uT$xwDOivubv-I(_&@H)O-1s{y$* zs_ejAaH;HoTWB#p5Nl%-<6#;-sh0M{VRMIiSV{dP{AI{qZa;7zS*kd6?^=2pnWR~; zibe;hx|`AQh1ii;3}{M#sXuIna}gT#{y)HpTR<@-=YN zWsP1j)#!{~DR-O0ozguh^wsJ-EveP4Rhf_b;AFcc9LMK`rgP@Epx?X6YNcb`4;mpC zbc^n#K3N~+)TaVh%7!x2`eW{PGPR}3{IrGCz2v{-hQG^BolPAB3$J_XbDSiP33)y3bKf9D<(4Q;$)k%dY`+((lCJ+t1?ULmtMU!zR`j)l^wbsjrUC7NOUP-2e*$6t)5IiO(jy zM0M~FEP7Cmr3JB%WZ^rIU*`9Z4~3T~`Qm5qaiN1_$b!i7t7!zqQ7#6IS1ZIEI7%z(r^pxJWhOfJ)wMXm7g(S~mbKyQY>q?(j0i5CgZH}+?n_bw>G+vhJLns`R zY0E6E=$+|l(-RzhyZm(KoRJ2uqt9qu4Je&F97b*f1_L{KIAxFFbd#|Nb#4hFl@%jB zlfZ$Q%J@MGPFMtWo{CKNU{^^op5sZ5P*@~e?XG>kQA=lPq>Vc{Tr{9s0$J7D<)dV^ zV9Z-+L#Yha_0Lgu1Mjv&jES?0lj%>}{}*V&$@0IH6Alh;uK#v3{||8mjFUAbP7sVLW#JQ) z3*&#`ENtBW9mn<`9*Pqv`~Q$uFgQ8>m$dTV5gaM)KR_u{^uIt6Q=Yy+L%}$>|3OzG z*;$yGnTa|6v0468I9dMhn46o2n3ap`e+t*X`d>*A0{w?;(E$acPWc52CjW0)P!TXR zFiy_@U|RtFMBSJSW@O2&C(UQaw^}e8a6C(lGFf#Rq|Q=H00b+6l*9j<=WsXEv(JRA z#Ohct+atab>&VXY3HN=ZOxKjLGi>C^YhG5LYsZ8Fvn%&gpQ@R{8doIsryb+MePZQE z$(t)o%HH(vL6n6}N(tPAcdf5h#=8xEz-EZohZ(RvZhqQ2vA4BIdo*&FY2-H4z;&yBF{Wg^nQ>fx-XUr zelBynM@?{kA>V|iI<;QP>UlM6S?ZrLxV3AJHqaG-p(%L(K&Z{>*oVrlGj#TvY4C_#eb5-$Uae3k~c20O>+e~nKS_Zm$Ha=Ndj)eQdgZvOv2ga06c{sa5r z;P@|3h?DETu%B26uqsMU?*9w+^MCL^{~y>7CwBtU8b*o-Bp50v^FQDZO-dsq7#lEC zsF2QH<(ck|FM`G{!(ltiui&)y)*|DLH|IUE$5|w!n$Rrc4_x?8UNgtke0_Aief_(Q zJ4_mQUo|}$SYJG>9{H0-A+zS|hlzcjuAXN)AJ>z8o7e)anqXLa-9Jd`LIdfJh%K(F zvc6lK;yd(|^MpDeY707ZO4PFy8&X-w;SS#mvNL2;0vNJ(1n_R+d8;Frt}}+v z24lb`M*jKV2HR8UM5fOa9mB>h$IPHOF}2vAx7Kx&!1MO!(dV{-FhG4S1yw2*Y#GtH z?YQv6)$}xRM_v6jL=K=Q)r@}|-r`0`f!Xr8G`Pfm^1g(B!t#Uc4g!>{v8V8BuH~luJ%w2M{5qfUks!3>Ozd) z2BV`oIqe9E@PVK2N_z#w3S7hd1mx)<|Ne9|x-bcZTlykmfZRT6*e$eU_v5W;AaGy& zS#~*^fE!_IP@5a?Xm7y-!61ffPmtfg=I>VD+s(zZBL0Aw@PyLM-E+$`_&dud1Ua}7 zC6p0~9c#j~G-jGy`LJ1i#yM3?yz#!}bC<|xQiqJ6)FuT$^0i%=NzldC3U3G565k#h z>A?2~^wQ}PK#4t~Tj%3PdNbc)^CSWg03je1$oh)?3LVUS$v(uKK;pQv+FT&5D(n<) z*IBFn2yoMSOYVWO4(8l(+JW7n-GL#P^>m5J7paXV>p4k8YDM1o?H2+>Yz~HU^Lawl zP$F&X)}=Y;Gpxs4cU#a-KNQA_J5tDOe@3+I*ir-8-rsV>j;7IqsqBrIzCgO*1Q3!N zV{AzHJm3t5g!*H41wT{xr6%*m_NQD3s!2juH_=S_eMuV6Hrsd%`a0ZmGq5StpLRla&3Etf0rhmZX`%k^ zz9eT`@@W2$$uE3Ksa{mY0`>LCV^JSAtuM=6i39RLzj1+}FEoOIl-rC}w_GRaotuRp za54ELc98bMW78^^)UPytv2PrY`F-z*>*0Vs4pJ0no>Eij^H3{Ux{u%B^O*71ud5;Z zs2pNI1agnb*?Nd`kIxfp`Jm`WrvNbtxEI9W77XDY@+@c~;^VWx^peN5Evc3#jz_in zG3h&u*7yQ(kxyoL`LKLr2s&K-VstDsoB+QfLo3?EVD|wL#FsMna5RVbb^7l&*g)1XZexnjb8 zERmJF(DP`t59cwOeqbT#oH%t|sTC)2mQBnKQNqqHWokj25sj9Vfs@WpFo%?Cd-U@a zL;eebGI?KAE%hJ)oRG(>ZJrEi?*XvJ1?j4rpQDzN!qyx4AsD1CuPmbwg5uP(Vn8e9 z*nl6FY-_!RXTccq5{-RNksTi^I2Iaxi~xcQ62Z%_FI8(yV+P^~fk{LnZ4OqRAD%rc zJbyq_L@wTXFI>yf=A|H(%TB}5#4?68K*5l$@K61W+2nx(OZ#(7yoo|3fB_&2rQth< zdI`Il-}LQ6U7bJYX!g>uqI^+QPLBKi1gh zer3nwwUqv=th4NXbNQQdk5;_FVn+*n@}^YL@i>$y^-Cf~NLehEux>h2azVa%T8Zz~ zz(g%cxOYLR8L=xf%M9T2P5>lg$387`cziFAkjWnWaS}!5*4Se9WB4FNf6lw!M~b|H zbb`z*5*AxQHDFqtC`^jpn83DO9y<`MRqWUWKD_T1X_PI9 zaJ8P=A*$v5r| zdSUzJ&7lcy%KGg8 z2ui!a3!VHS%G0k*F-NG}WQ{_xo*P(Yw!)#5%_yW#?8UqlTb*GYrxW$}b0{Bhb7|=BzXKolsvB(fcZIYn1_kl#?{VPtcWMSGbkXw(H-0rz-j?PzuTSto`i>?x%L0kev&hW48XNQ_~IR}9qHpFw$ zc-HG|jff#}nh+!n{AyU}h_MM>CCwX!4-9E&DxUo3=iy_=~o%jn7kFA*6n1TGFz2tK)nz_*gygyZu=BAi|v@N_N2K!SAML5 zja@&RD^X4k&z)q!KStg}^NZL!?_+qZl*|M?`2F6JTSOmc83E08V?Tssg|FOhw7;8%eXvGAs>p$2d|>8U<ORH3nT9PNmEZyAlL9d@OS%cWZsS z0u{Pg`O2(4#qcq4uPx@!qAin_ux6nj(?pSY0PHE?Y-3(h19+ z@0_%v3l?P52Ax=P(#h*?G}V4(a~T&5{dI7Ol`s*bDt~CU)sA`Svm_)oMW3ewFNth` z0<))e%9>2dmEw(%7Ms~C;-stZ`TQ`yM98(N^ z3_r205X`KzOD8ftgYKZPu3Q+D$ia9Iy*43BsB(UK?_P=%s^}#qhH>LH>%^<1Ly%+< zb5B=6`42Vue9xb1+j2|!y=>EQ&IyaiWnYVsknthork%1Qlg!{3ni)zTUo_?f4F1(k zE;gkv`t_lm(Bc%l`a~V(UTD$ZOx+&-8Z}dV*|2P-+xRVNkAi98GusZi9E-29!`w`A zFpyt2owQ%gwnKn~i;AeE{B}=6ifvHP%WUl~<7)62TId7mF6AF!qlKM-K#t7SV=B2T zf!n72RY`a2&!c0<`*w~&Rk>q3;CH>3<#7bRmksV7(6JcQaVuibFb#HJ2~7N=&#YGB z@`yU=8t|Z4G&{pQSuCmDC!WEY?Uum_)+&}?pKupP=|^$&aVS#j)jWU*k_H!_SY;$HGX%5Qh>{Gb=5l(XW8?WEdWs zVj5PW13{U{XGJwrA#h=)fOz5I$8pScH_H!W%}HSN>NrR)u&#zP@L#Hq%F$(?b|!up z2>}E?ee8p*N1}qM7_dx$O6#`~^|8Ob525Kb+Nw9pmxE{4wvx`bqc0McJb9ESR7eJD zi%ree=T|A3M|z2&TMg3rE8a8t>KUAZ@*lo5&*u`v#?Y_B-Mk7~#K=f8a|a2m`U2YK zHe}#!1dE|nRIW5tkD$)- z2v=o$=FD*kDA8t($fVo-85`cnsK=uBzNs$jzT6?8_u)`1@8^Nj&H1UDnBJk#)OBU$Law%QhT0D%aw+USz=Eqx(vbK zKG}$|BFug#dQ<_&atw-c;Ed&vKlh`FJbfSH#VL|IA^+HeIQ^=!Y`9YnOcdS(eE{6- zJ{lqp+`Z|hIDTi_g4LQs^sfq_I)j=Q%`|90TQuYkm$rwm2(}MlETfgie^*e(66h7wrSC;36e(#cOtVJd zwk5QZd?T3<>6oxZ*4w5~35q)U87Ra_H7q&^F9*&lXJ%9_ZJ?DGCUX-*mg|OgYncz0 z{|bRBqOgsIfv2gLPYSb;*g9`qWVrsYZ2xHF^F_vlxVstIyxH_qN~~|=`ScG~w(n8V z&<$|fH$}+)%j+?^6IjR=SPJ;EhGew%mt%{ciQXHAU0(>SCs)Bpr`SDA(`O6UNI}Dw1_q3dfB&AF8kyE8=($$%Pb~boEY+g_I+|?! zMi%MK3Awug&AW7YX5eq%3t1!hd{dzmE%3EHAjIcz7E_!eAvZ#d^a@N$Ch_Sw{&@^LOf8Nc1@EO!ivB`MY z9tQ~Ua4Je}0~?@)Kwg>%Kb8%DW(SU`eHFfuTVIH@y#QYyD7hP&bK5+8m1%aQ+&W$_1*F=L=T7#V=gmD!U- zf38x({7R$l$st!j`GpmLaSs<;s3EusNv4$c5-s0hWGM?Z@BN8z0*O)sl(d z899aRTmMpZnK6Q4_>Ou12F7hzWT`lPhcNkgMzrnM+d1VsdP9owRDK@fxv3k1f>wx`K>X$Uq<*%l!{3fTa{tklQ$2I za($q?7j4tNcK_ysciG3_H?_-AMPW?mhQTt|j|1$hnoQmPU*Qrs5*>ggZv}$733b)s zu9`)Y`QM2{mA3dJnu92tBA3$A{H%P+DWfJ4&GLMvI;6P_%BxA)a2fznZN4&j(^zLO zq=&)+7H;Rccamsh(@rmzZu^fOB6NpTr*V-zk#`0WkMCidtX$%$OMpZmq$i|fcHsISFhy$4V7+U+^iN)Y?n+Iy(%!SP)3Sb%Q1BUIprhp30>m*0E4qR4#sF2~?m zrVz4UE)eW3T>)eiF*(?wHJ`jieJEBTnaf319%u+|9t7Xh^qMO9+nm6D>xW@X7qoP7 z+u)bZanUN6A<3c>ndfOt!{lQ5lj)^kWELn_5{Hif!_HFAjTfP(<)q%u*+$B^$sx~( zCktjT?Ocu{>6s1Ho#!caSNCgd5k26d9UnK8Ql%UDuK++U7b~m+t;ypQ{j1)NS_|qR zNl~Q#h7O% z$&Z#9TT*~jaVcq!b%WQg<&vYKR(rW@&C+-H6`j05XR{|GeB%cf+T;#-|4CE`1Oj1gyHmS(B}*G)oM2@Lu1lq`C$Gj|q(bR)|YOH8q99X$F% zyLfVsB4xh3UBp?gNWl?ZGdyU(gG+_L?2;t3)d6rwgQzZ0HQQ%}1hK1^Ip%vI(u975 ze}*LBx5L3Trb)Dx)sgQq_rqdRaw2?YE@N?Xy5V|p25)5HZT2?VmeA{`4axHw_}je>>9g=Ac8a;KEIQ>kSBOFo z*8cU(K%b0skG>~ilc@==MWN@=a=5GZ_sh4hJ%>d|zP}GC2AtFNyY&**u+BF`ire~E z`mVi4!0TDg&}O?M+@Zm0CvC?KZ+`0))f=Eu-EDNQ@QwOkx@X7>My$G)3hnE<5ZiY3 z5mS9-%$Cs%eYD{=;&oE;t%m}y(9-U2rfoO|TuYC4<1bw4GPCK9@|jj}B<`A_mb~r~ zEB#6MJ<$#@`{dOu9evtnZJ3mEVvUM&n|KpNjX9*yHJe&9(gtd({QlqJu+Xj2BT8<7jjd z#-f%%FRBZ-EvKW$u7{Ww?BqZ|kH{`3hjZjmE7G5gf11jj$Sn5_O@OsyFKl5!)$yo| zE(3f`bZqc%x_K8-<@1Mi=%664+5^xqlc4wV*g8;gGf3sW_1$kfrAJEe8(*5l70cJE z8AA2q`D{ntj>~e@$Rhqc{$l2Qlr_X($MV`z1}EA0LB}i>w1&KdV^?uE5i7~qEfA_J zw`^0>2Ry3ptYKpUvRaaUB?=RR#Pnpi$=VbA1t3<)(I^N*^dfQ$%Cg`3s4;}3eLNCtg-S~%i2QAEBG$$ zTbTlIYo^@aBGlTqksoOVo_lYu)w{v{!K5ZDL1>(>*&coXdL588;(Z=fTj`d1mT?cS z;~t*BexKWBUnBqE;$T0 zJ3XEA#` zro;pUi&y zetS$k=9;B?3dh~w{kmf*$2Ko|Qg)-@Hn9`2dRKoJGv2AF&gYv2T^X0FCBxj8L?VX5K1|TpTjsX$`b$rqdNI-fsr2r2$J?-Y*|Wl}eid1>)N;ZR z=@{L`+y%xoR}+;YLJEe5GlN>UZo0$J$9)m5CbMWVj5FlO`+buksy4-y6pR$$j&qug zA#A%S3L?6AmarI_saqn%&NyoKIH0In(x21mpy=3*?q&OWWz+LnlBwUnV||x5Sz6Uc z0{mW{Ft@I)(>dn0c8`NAQBn7(EEd1<>@f>+^)nUA?D1Hs+#St8IA%OGUfo;r8VUSA zg|t*udzN*qh(l$Ub&8Oz$~eewm?4{NN+CNddy^abr{5Z+U!WOSA>;%0jAquWKzvf>JV z%xAU=x>EWZB3yk=ryAcC2wBlID>G0!8u6NUnBhin-tRYGepR>Xu5W^cOh1UNNs!1^ z4H2UYZ&cCajJ~#Rxm^ zwJT>*+STyq5~n{71_cB)QixfVH{5zxcczdrl)I`Xm-4*WDY_sNrK?3)MeZZ^@I0lW zbE86MI5brUpG8q6ggTs>wvd#yO%_v~R=TqDhY%omMdk^ZIrl(TB^S_P_k*8NavP-kbfE>^pMB1DG9-AvAY zySW|S0Z$VyM|%a(b15ILCeI!86TD2M)Z$6(Af-v6-VSf7xw0cba1D zxS(pKbv%R_EUiM#X5S(dC*2hI%;u=Zg_sOMe>q>p(mLZM^Qav(DE(Y*i-UV8S5pB5+ZpFsd^u1jZ4eWD>AJCKEyX z+!aLu#B2Oc~zP)7l&efi{FR;1H9O09~ zz8zPEd^hb*@+RJpmyMTGjnkiq!@bBCh`VgCv5X@;JL0&M`2KNxkw%?CCWH28r$9K^^^&8NI_iYwT ztBrKFcX0Y1jLY8`VU6kH^y2Iaf5pQYEAY9~{$TZsGFhS%){amr020S<-^ahq+%jQt zB11f0AKW?bTaw)0AG(7^o6euzLHq7?t~V+(x9V~GFX#FM|EO!=_wgHQAZy~3)?lRZ z1qIga^J-X5+*rWO+-^yhe?9zInmjc_g5w+g9aX+N3srCLt;3o}*TizmZ(w|(8 z0z=N(IbY}PjfaKYP*aHQWihq=kjk(Ng;^r5#`A z3NqNEaOK>2zj?|#72n=!4oi`|j1^CjG~v_fkyno48PS(-XUTejw?*+f5Oj(Lq$jf9 z#2 z15ZcBEwVy$e{!1JtaweR-%(AY-WLwVjoU)CS5MaQxL&mLdGE4epqYPJA~-QyRa%v+ znK{BDCm_3~C59odYKeU1{32^|&ylDldOr`8^we!`raR^O^cm1MDIb}u4?buSB02X@ zvQBuizF2fgSg4@nVl&Yat23*8^JBG{yT}w=8c|E3IRVoV)0DwSK%?7S_6u}pJ86rK zceDA`_{vNqg*KI(NeeThfiH~Sp}ANoDqVA&x$+MB-Zlq)^=OQJi(92BXEpF@$$LEm zYd#Nz$JXW&3P(KZ;}<$@D>b}cNe)iBJrpxK#!E^6Kp}mKn$knE@a!9oe97%_`Y?_G zhF8^^D5cK^?eGFeduGkyG^RSu$|-6MRwLNo1RyFz95~Umz*vn-oruf$p(%x%9V`TjQk44s8HQo3?lum2mV73G&0Unh-%frPVJwy;Z(ieOwr^c zq2M0F2bEjhCM4jo;jbDi8g!-RRkx?(Ywz{hU7yF|-aoJ_2Pu4>!g@FrGx=q^E({cI zZT?*16~+607=MQS>E~9oANGyhvGn-1qlXu3Y=l7?!(Joy=l&X-^d9b*CEEO{E5b!N8^@_R0Vl1FZ`x4q$P;r~c8&#iUI+tQTs+RK-IKT&s!?&?S}`ubDr2wZ$} zcgXgcS1L|6i;SxCT*)w3R_@@7-bbuj7#cY z3AKGB&&+bSwjZy5C3yB;nU2M&uQM+C12(f283u#r9Sf5a9u>XwFb<^Z9`eg-;7D-t z)(gC26Bhb4l)@s48h38WIji9AZ1YE5%d;-VSZ&KwY5NK`S@q*e55y^OSw0N1x|WYFO|sImT=&*Zq*nB1c=EJfqR%|Fp}6{f{YleH zYTXosl?$LVwnBI00-1k^6r9JCBkQwvJZby1Cd_05J&zTCuI9=DN7`^TTkw1mOi>NI z18*d>TEL3G_<9T!QY(Q2Ie77_c+_s{3J=FSZSti)2_G$g55VTn9v87ZaUM)~) z|1zzn#n*#0!VOW-S*>XIsEph%wrjb1z&~Kq1oR9@5i-F^b6yl3mS4BB!A`Ob;}?(} zBEsr(Kj;G8^``Tmp2v?c)wtkQBnslfS9I!yNsNm; zW4r#Dc4}8!JSQ-f_UI2W>Fw#c)g^0m8R{n9b8r)(QfW7VlR zSeWsV8%N{zXAC=S-V~pRtljYBDWA?V{v+p(w zV*TnIu04rLlP=|&kz5;)^n3VGee<=6D_&btj1mgW2J9B zZapD%ih)=)Z&N210)GMxpnn%G<@B7k!ksU`%fcsYWw7U+*4unL=zLOY(UI%v-jX3H z?wr@$duAVAl6gOUv7|KSQiyJ8;Ma?v@t3A*A7ZkqU(k9=5)k4bE>?s|PVmP4Gha(? zrSwv@bomq?7bVj;$R^;#L7Yd^2oJ?U!g#~FMQdNTUVar-^^T7IK^gb^R`SwV;E{CW z^(dW`;`n^EjL=Uemg+RUzS(=)TrYCJJiRjKLmz$ibNh5}gH%iZ2gf%p_HjQF=w}VA zMpt|Wdmv|NTgZM6_Bd{Tu`{~9o!{b-9sFRy?!+1u#5Z(dyWjbw$zG0|XngvyXvRPS z3=CqTRMBJ}q0#IiXo5j(R2r%=7yGi7+olQ5V9;6og7H^tNk*GnIoXuhjrBPb1^&?6 z(H(Ce<=7P^S2%`?wxt9Q0A!l05_rEPQiBo{=z1bA7V%^vW|97KKB zPIeyU$gy&L|ABGH2U0Aw%hZ!_vXw`o7cG%BGR87xZT#b-bMo@=B30``5`$Cpo?$Wf z^SqLH0v!azhffodhsVz)u5|3PZ(1oR$iMSe3dcYWx>}5PCzx<=5)dwi`59etNUt8#Q)kRFr>rO;s;TXx~kE z3{uHo)OjfzVcipx9kd$qspeK0! znrG$gb5k*juvnqj&sI!+zMlMHa)$EtgR<_0+<6jHHgi@~XK8^0u zPl5XCi?1mt<>;L0 z?UOIgwG^G{R9Wj|o6ib17AlTaXuVLEX|QYeI?1?svXi>bq?K?N3KGQoLsKWaPVsdX zvMe&0w^yYWnlp##YU<25sOt;93h=n&-?*S3Q^af?ZJ_XqViudW$I!aE!cAUCb@flt*TCZ$yfF)#IHim-=4sUn1aOfRlcSbMX0yA32?ucAImZJoo| zjs65xv!Q0)#yg;=X$dlwcGZ{f&!CzHToo|#!wGrf18fZM%!r$|- z^JQ|UM@6Mb?^RWNb1pT#($4O5#QWJ>p~DOdZ1ZW>HWGVPrkDU0a+wPLfv!(t`Nus< zm5fW}zO-EQ&ZtVSEg|IT_j4}CNWN_EVOe-g2t>KxH}OAPSb2V2$wH?B)jih3;Gh2L zrgwn=@0Mq2kk_D;Hd5*$aVTiHOmPx6%_9s&}v_zgab0X&bt`@p12LJ?GVhXqZT&sPw7IO~)5l`}`9d>)jZd z+4iT+Lq)wG7MU)jN(*jnkeL9PR@!9x;%8K=Z~Eg)WyJ#J(!&*3^KRgdu}oj6;4c&! z7BUJn8R9uxZ!VNlB{9&?c!P>^W{8_nDPIcT)sRRfJTtnVmlpwkkSf|eh%&knw%!Vq zKnUO-0WsW9+2h1!aXyl&^n`>3-pfjk-K<{qaw&vy+HFJ0c-Lgd2WlXA`pYwGE1DzL zpFiI#5{h;TR&GoZJ-hcxf7hy4RYHGK@&?=|A%(RJ@48;7s7hVV{is3YdM2q>3t^=PS?0%^#~KdXeJY$ zR})C%pg6h8oqK7c9<~MGjhBRzC=e^&SeH2Xrq{&X}QM51UaWyre-_@qdap1&by_n z*-s2`ms@jUTU#wm4G>D1E(J<)#d*drX|nZVC><7TV(uk8Vb&HkH>}C7%Igv!#Pl0< zVOlM^t?sxxYna{nFl6u+vAS4-z~|)PjurR_shb#>8yFtTArn4k;O=T^a6RhShW2Be z5mUbL+gM?9n%;i!j#{=6sxMj`t0Rz~X>P!F_uaLlskyfYv+ou%fei|?%d5Ht2rNb{ z;wK7pK7lXODe7gJl%20ju&B1$7RnOI&r5fV);Id}N$wM+X9GV zqq|S!8$$GhE>X)ER@ z_G>7@;evs_oD(0KcFl<{tbCv;3EsW9tn%a~zU zN&t>!??A3*M+liN0liqetr#6PJbgSQT|w}f;*iZ&RmS_q^_5TW0|G)__8O<_&k4x{ z#|D62$-r%jXH0b*`GPww%QYJ|PMPAR5-7JE%8?Ck>%S=mpmcsJ2C!d+=$G)@v5FcQ z%obGQ19cZQ)P%$gTkcdat<gq#aN~%(ZBH&ga|deJQ=1rz12|eeI{gUXQR_8r_YdP`q?Dt5rqmResGW4 zW9250WtNhyNH=#6*$>OXgVIk&LIj~b7f;EEQ1Hg0zbG}?qI%*(5-^aUZ~-1b1rx` z!nMkebzYUQJ3S>Z?6Yb^688L32kWNz$;}SNO*@+1>V|zcOMIb4|DfBG_FUNF zxL7^>KqiDqkh{vypxU3I|!1E zJN-^<$jymiFaLN%V_s!mH6$cHOVUfv?c8#)h6jVDK7=!OnK;bQut!Wae+S-( zF|`dbh9f!Ve73*K(K*feya*3xEYksy9aL*gEn{u|!wr44L)^Gjd8 z1fOuBXn4UWTfLZp72VZVcGGlHF24S8Cy2-YniN4y7KAU6hH#nzna~+QNKUXhb?ou+?d0zP~hWNYaBNOd}yXF@cGLOZV2S}DAey?n{oeX z7V^+~M#4=+pj8e+@K*$(m{EtbskynuwcOnt?QdLj1y(>4+7&@UN5O<`MG&XhuMX40 z5h_p^Hw5uZ&F>$f+z=$}zw=d~aBc{|kCGC|>L`kkp#;+7gB%)h2u(@~m~QXl>ISU- zAC2PbmWMyW&;%}J5Gx3UCZLr;8F(ZN3gA1uxNmS%|M~`ugu#hq5I7nE162Px4v;|+ zfWkjzU@#Ja1PJ*{28BT30E)k4U?d8Br~?m3|4@vE1ML1a5DI}oi5CPTF+jKM-{Zij zUpqlG7Y-&d5Cuj20q#&gaG_urQpIo#;IN0+zXqZZP*TN6Qp89w(ArBp7yS$I-|OKq zq==Ca6tOX2G?)}I8hYq^hu1#_LXl7e(Kdm>XxN{Ke@y_R;lBX``2Uy;g8|O>*MeX) zk`yr-^*<294;_tYE`}5_2K*P`U;IOna104u7zin14D5d(MxaU9!oW!pV-O@;491}F zFycLi0^9kAgZzR7#-K?MA9i;Wvjqe8iFiwZgz*>R!v!H=DEhZv{J-?W5Evlff9d;Y zOMomxf*1luAV?HrFbHB6AV8Rq%FurUKI9()#vqC5hl9Z|;+Ta%0M*0>{*Ty(gMTHR zLnQwK0TK@}(+~&(f+tlB1*Q?P06~%<22@~34a5*9DKH$MnuJv-K+SI*_gLP?SZ z90tT9u`y5>Nj3vWAW;J7KoEliWJD|g{6hgxBHkw;vBHTR2?{}=h#eCOK^-0te^CvE zph@-}z(x|rPy`AC!4ppgqKQ}u42(EDcK%j^M3JxnMWTT-iFh0kcSJH^J;;Ck2>;(h z2N1%D5yKF~bOBxoMx%*yIt*}N5*gsE|6@HE5jZ>;P(qS2VHn_)AhsI$KjIyO0uwU> zhrvj4KO77r$sfQtB#Qf2{`)sf5P-K5TManjVeRo3{csoxLF|}tKrb=Xz106oH)5dJKphrh zf3X0RBp71)5ikTvGy$GP;?xKj>M+6m;{TrGs6H;anXi}Sjz5wifuNJ>3GhR6JA&EZ}H zM?T4!e^>@UiDDa&p@?Y(WI&<#HxS^=22LF!84M^Ve~kn1|CfFUAS6Ca02!J%!~huv zLW~$F%!o4~1O@>XBvuTC!v3KDSNs7L{$DluFBV`xG!ajRqX6AR3j#6{#BdapI7%RZ zj5u)tGL$Zfg>V$WHL(&jlsJxogajiFvBM+<1|H#~2jV)4Bw+PG?2Z7>K-?*yk@9F2 z3`R)>4nd>kl~hnj2u2=`MuTOzrT*U`W`}owdiGw|fcri4;cc(0o284}ZI^4d)PP1b N96`;;r>vzy{eLK%K{@~c diff --git a/tools/cmake_toolchain_files/mcux_config.cmake b/tools/cmake_toolchain_files/mcux_config.cmake index 05e0a92..d768442 100644 --- a/tools/cmake_toolchain_files/mcux_config.cmake +++ b/tools/cmake_toolchain_files/mcux_config.cmake @@ -2,6 +2,7 @@ function(set_library LIBRARY_TYPE LANGUAGE) set(EXTRADEFINES " -fstack-usage ") if(${LIBRARY_TYPE} STREQUAL "REDLIB") + set(SPECS "-specs=redlib.specs" PARENT_SCOPE) set(EXTRADEFINES "${EXTRADEFINES} -D__REDLIB__") set(TARGET_LINK_SYSTEM_LIBRARIES "-lcr_c -lcr_eabihelpers -lgcc" PARENT_SCOPE) elseif(${LIBRARY_TYPE} STREQUAL "NEWLIB") @@ -12,6 +13,7 @@ elseif(${LIBRARY_TYPE} STREQUAL "NEWLIB") set(TARGET_LINK_SYSTEM_LIBRARIES "-lgcc -lc -lm" PARENT_SCOPE) endif() elseif(${LIBRARY_TYPE} STREQUAL "NEWLIB_NANO") + set(SPECS "--specs=nano.specs" PARENT_SCOPE) set(EXTRADEFINES "${EXTRADEFINES} -D__NEWLIB__") if(${LANGUAGE} STREQUAL "CPP") set(TARGET_LINK_SYSTEM_LIBRARIES "-lstdc++_nano crti.o crtn.o crtbegin.o crtend.o" PARENT_SCOPE) @@ -44,9 +46,8 @@ endfunction() function(set_debug_console DEBUG_CONSOLE LIBRARY_TYPE) if(${DEBUG_CONSOLE} MATCHES "SEMIHOST") - set(DEBUG_CONSOLE_CONFIG "-DSDK_DEBUGCONSOLE=0" PARENT_SCOPE) + set(DEBUG_CONSOLE_CONFIG "-DSDK_DEBUGCONSOLE=0 -DSDK_USE_SYSCALL_STUB=0" PARENT_SCOPE) else() - set(SPECS "--specs=nano.specs --specs=nosys.specs" PARENT_SCOPE) set(DEBUG_CONSOLE_CONFIG "-DSDK_DEBUGCONSOLE=1" PARENT_SCOPE) endif()