From 991051a00a9c17b553822c5bc16e799de442210b Mon Sep 17 00:00:00 2001 From: Yilin Sun Date: Sat, 5 Aug 2023 13:53:57 +0800 Subject: [PATCH] Updated to SDK v2.14 Signed-off-by: Yilin Sun --- CMSIS/CMSIS_v3.yml | 2188 +++++++++ .../Core/Include/CMSIS_Include_core_cm.cmake | 9 + .../CMSIS_Include_core_cm_LPC804.cmake | 8 - CMSIS/DSP/CMSIS_DSP_Include.cmake | 10 + CMSIS/DSP/CMSIS_DSP_Source.cmake | 51 + CMSIS/Driver/CMSIS_Driver_Include_CAN.cmake | 12 + .../CMSIS_Driver_Include_Ethernet.cmake | 13 + .../CMSIS_Driver_Include_Ethernet_MAC.cmake | 12 + .../CMSIS_Driver_Include_Ethernet_PHY.cmake | 12 + CMSIS/Driver/CMSIS_Driver_Include_Flash.cmake | 12 + CMSIS/Driver/CMSIS_Driver_Include_I2C.cmake | 12 + CMSIS/Driver/CMSIS_Driver_Include_MCI.cmake | 12 + CMSIS/Driver/CMSIS_Driver_Include_NAND.cmake | 12 + CMSIS/Driver/CMSIS_Driver_Include_SAI.cmake | 12 + CMSIS/Driver/CMSIS_Driver_Include_SPI.cmake | 12 + CMSIS/Driver/CMSIS_Driver_Include_USART.cmake | 12 + .../CMSIS_Driver_Include_USB_Device.cmake | 12 + .../CMSIS_Driver_Include_USB_Host.cmake | 12 + CMSIS/Driver/CMSIS_Driver_Include_WiFi.cmake | 12 + CMSIS/NN/CMSIS_NN_Source.cmake | 89 + CMSIS/RTOS2/CMSIS_RTOS2_NonSecure.cmake | 95 + CMSIS/RTOS2/CMSIS_RTOS2_Secure.cmake | 95 + .../Include/CMSIS_Device_API_OSTick.cmake | 9 + .../Include/CMSIS_Device_API_RTOS2.cmake | 9 + CMSIS/RTOS2/RTX/Config/RTX_Config.c | 64 + CMSIS/RTOS2/RTX/Config/RTX_Config.h | 580 +++ CMSIS/RTOS2/RTX/Include/rtx_def.h | 34 + CMSIS/RTOS2/RTX/Include/rtx_evr.h | 1983 ++++++++ CMSIS/RTOS2/RTX/Include/rtx_os.h | 477 ++ CMSIS/RTOS2/RTX/Library/GCC/libRTX_CM0.a | Bin 0 -> 555872 bytes CMSIS/RTOS2/RTX/Source/rtx_core_c.h | 51 + CMSIS/RTOS2/RTX/Source/rtx_core_ca.h | 1129 +++++ CMSIS/RTOS2/RTX/Source/rtx_core_cm.h | 1213 +++++ CMSIS/RTOS2/RTX/Source/rtx_lib.c | 770 +++ CMSIS/RTOS2/RTX/Source/rtx_lib.h | 224 + LA_OPT_NXP_Software_License.txt | 4 +- ...est_v3_10.xml => LPC804_manifest_v3_13.xml | 4353 ++++++++--------- SW-Content-Register.txt | 88 +- .../hello_world/armgcc/CMakeLists.txt | 67 +- .../demo_apps/hello_world/armgcc/config.cmake | 34 + .../demo_apps/hello_world/hello_world.bin | Bin 4880 -> 4880 bytes ..._world_v3_10.xml => hello_world_v3_13.xml} | 13 +- .../led_blinky/armgcc/CMakeLists.txt | 67 +- .../demo_apps/led_blinky/armgcc/config.cmake | 34 + .../demo_apps/led_blinky/led_blinky.bin | Bin 3324 -> 3324 bytes ..._blinky_v3_10.xml => led_blinky_v3_13.xml} | 13 +- .../armgcc/CMakeLists.txt | 71 +- .../power_mode_switch_lpc/armgcc/config.cmake | 36 + .../power_mode_switch_lpc.bin | Bin 6868 -> 6864 bytes ...10.xml => power_mode_switch_lpc_v3_13.xml} | 13 +- .../demo_apps/safety_iec60730b/GUI/safety.pmp | Bin 70243 -> 0 bytes .../demo_apps/safety_iec60730b/board.c | 39 - .../demo_apps/safety_iec60730b/board.h | 152 - .../demo_apps/safety_iec60730b/clock_config.c | 169 - .../demo_apps/safety_iec60730b/clock_config.h | 122 - .../freemaster/freemaster_cfg.h | 118 - .../demo_apps/safety_iec60730b/isr.h | 24 - .../demo_apps/safety_iec60730b/main.c | 438 -- .../mcux/linker/lpcxpresso804_safety.ld | 207 - .../demo_apps/safety_iec60730b/pin_mux.c | 76 - .../demo_apps/safety_iec60730b/pin_mux.h | 52 - .../project_setup_lpcxpresso804.c | 234 - .../project_setup_lpcxpresso804.h | 48 - .../demo_apps/safety_iec60730b/readme.txt | 12 - .../safety_iec60730b/safety_cm0_lpc.c | 1085 ---- .../safety_iec60730b/safety_cm0_lpc.h | 187 - .../safety_iec60730b/safety_config.h | 129 - .../safety_iec60730b_v3_10.xml | 189 - .../safety_iec60730b/safety_test_items.c | 84 - .../safety_iec60730b/safety_test_items.h | 17 - ..._basic_v3_10.xml => acomp_basic_v3_13.xml} | 11 +- .../acomp/acomp_basic/armgcc/CMakeLists.txt | 69 +- .../acomp/acomp_basic/armgcc/config.cmake | 35 + .../acomp/acomp_interrupt/acomp_interrupt.c | 2 +- ...pt_v3_10.xml => acomp_interrupt_v3_13.xml} | 11 +- .../acomp_interrupt/armgcc/CMakeLists.txt | 69 +- .../acomp/acomp_interrupt/armgcc/config.cmake | 35 + .../adc/lpc_adc_basic/armgcc/CMakeLists.txt | 69 +- .../adc/lpc_adc_basic/armgcc/config.cmake | 35 + .../adc/lpc_adc_basic/fsl_adc_basic.c | 8 +- ...asic_v3_10.xml => lpc_adc_basic_v3_13.xml} | 11 +- .../adc/lpc_adc_burst/armgcc/CMakeLists.txt | 69 +- .../adc/lpc_adc_burst/armgcc/config.cmake | 35 + .../adc/lpc_adc_burst/fsl_adc_burst.c | 8 +- ...urst_v3_10.xml => lpc_adc_burst_v3_13.xml} | 11 +- .../lpc_adc_interrupt/armgcc/CMakeLists.txt | 69 +- .../adc/lpc_adc_interrupt/armgcc/config.cmake | 35 + .../adc/lpc_adc_interrupt/fsl_adc_interrupt.c | 8 +- ..._v3_10.xml => lpc_adc_interrupt_v3_13.xml} | 11 +- .../driver_examples/bod/armgcc/CMakeLists.txt | 67 +- .../driver_examples/bod/armgcc/config.cmake | 34 + .../{lpc_bod_v3_10.xml => lpc_bod_v3_13.xml} | 11 +- .../capt/capt_acomp/armgcc/CMakeLists.txt | 71 +- .../capt/capt_acomp/armgcc/config.cmake | 36 + ...t_acomp_v3_10.xml => capt_acomp_v3_13.xml} | 11 +- .../armgcc/CMakeLists.txt | 71 +- .../capt_acomp_continuous/armgcc/config.cmake | 36 + ...10.xml => capt_acomp_continuous_v3_13.xml} | 11 +- .../capt/capt_basic/armgcc/CMakeLists.txt | 69 +- .../capt/capt_basic/armgcc/config.cmake | 35 + ...t_basic_v3_10.xml => capt_basic_v3_13.xml} | 11 +- .../armgcc/CMakeLists.txt | 69 +- .../capt_basic_continuous/armgcc/config.cmake | 35 + ...10.xml => capt_basic_continuous_v3_13.xml} | 11 +- .../driver_examples/crc/armgcc/CMakeLists.txt | 69 +- .../driver_examples/crc/armgcc/config.cmake | 35 + .../crc/{crc_v3_10.xml => crc_v3_13.xml} | 11 +- .../ctimer/simple_match/armgcc/CMakeLists.txt | 69 +- .../ctimer/simple_match/armgcc/config.cmake | 35 + ..._10.xml => ctimer_match_example_v3_13.xml} | 11 +- .../armgcc/CMakeLists.txt | 69 +- .../armgcc/config.cmake | 35 + ... ctimer_match_interrupt_example_v3_13.xml} | 11 +- .../ctimer/simple_pwm/armgcc/CMakeLists.txt | 69 +- .../ctimer/simple_pwm/armgcc/config.cmake | 35 + ...v3_10.xml => ctimer_pwm_example_v3_13.xml} | 11 +- .../armgcc/CMakeLists.txt | 69 +- .../simple_pwm_interrupt/armgcc/config.cmake | 35 + ...=> ctimer_pwm_interrupt_example_v3_13.xml} | 11 +- .../dac/lpc_dac_basic/armgcc/CMakeLists.txt | 69 +- .../dac/lpc_dac_basic/armgcc/config.cmake | 35 + ...asic_v3_10.xml => lpc_dac_basic_v3_13.xml} | 11 +- .../lpc_dac_interrupt/armgcc/CMakeLists.txt | 69 +- .../dac/lpc_dac_interrupt/armgcc/config.cmake | 35 + .../dac/lpc_dac_interrupt/dac_interrupt.c | 2 +- ..._v3_10.xml => lpc_dac_interrupt_v3_13.xml} | 11 +- .../gpio/led_output/armgcc/CMakeLists.txt | 67 +- .../gpio/led_output/armgcc/config.cmake | 34 + ...ut_v3_10.xml => gpio_led_output_v3_13.xml} | 11 +- .../master/armgcc/CMakeLists.txt | 69 +- .../master/armgcc/config.cmake | 35 + ...c_interrupt_b2b_transfer_master_v3_13.xml} | 11 +- .../slave/armgcc/CMakeLists.txt | 69 +- .../slave/armgcc/config.cmake | 35 + ...2c_interrupt_b2b_transfer_slave_v3_13.xml} | 11 +- .../polling_b2b/master/armgcc/CMakeLists.txt | 69 +- .../polling_b2b/master/armgcc/config.cmake | 35 + ...l => lpc_i2c_polling_b2b_master_v3_13.xml} | 11 +- .../polling_b2b/slave/armgcc/CMakeLists.txt | 69 +- .../i2c/polling_b2b/slave/armgcc/config.cmake | 35 + ...ml => lpc_i2c_polling_b2b_slave_v3_13.xml} | 11 +- .../iap/iap_basic/armgcc/CMakeLists.txt | 69 +- .../iap/iap_basic/armgcc/config.cmake | 35 + ...ap_basic_v3_10.xml => iap_basic_v3_13.xml} | 11 +- .../driver_examples/iap/iap_basic/readme.txt | 8 +- .../iap/iap_flash/armgcc/CMakeLists.txt | 69 +- .../iap/iap_flash/armgcc/config.cmake | 35 + ...ap_flash_v3_10.xml => iap_flash_v3_13.xml} | 11 +- .../driver_examples/iap/iap_flash/readme.txt | 8 +- .../driver_examples/mrt/armgcc/CMakeLists.txt | 69 +- .../driver_examples/mrt/armgcc/config.cmake | 35 + ...xample_v3_10.xml => mrt_example_v3_13.xml} | 11 +- .../pint/pattern_match/armgcc/CMakeLists.txt | 69 +- .../pint/pattern_match/armgcc/config.cmake | 35 + ...v3_10.xml => pint_pattern_match_v3_13.xml} | 11 +- .../pint/pin_interrupt/armgcc/CMakeLists.txt | 69 +- .../pint/pin_interrupt/armgcc/config.cmake | 35 + ...v3_10.xml => pint_pin_interrupt_v3_13.xml} | 11 +- .../plu/combination/armgcc/CMakeLists.txt | 69 +- .../plu/combination/armgcc/config.cmake | 35 + .../plu/combination/plu_combination.c | 10 + ...on_v3_10.xml => plu_combination_v3_13.xml} | 11 +- .../interrupt/master/armgcc/CMakeLists.txt | 69 +- .../spi/interrupt/master/armgcc/config.cmake | 35 + .../interrupt/master/spi_interrupt_master.c | 2 +- ..._10.xml => spi_interrupt_master_v3_13.xml} | 11 +- .../spi/interrupt/slave/armgcc/CMakeLists.txt | 69 +- .../spi/interrupt/slave/armgcc/config.cmake | 35 + .../spi/interrupt/slave/spi_interrupt_slave.c | 2 +- ...3_10.xml => spi_interrupt_slave_v3_13.xml} | 11 +- .../spi/polling/master/armgcc/CMakeLists.txt | 69 +- .../spi/polling/master/armgcc/config.cmake | 35 + ...v3_10.xml => spi_polling_master_v3_13.xml} | 11 +- .../spi/polling/slave/armgcc/CMakeLists.txt | 69 +- .../spi/polling/slave/armgcc/config.cmake | 35 + ..._v3_10.xml => spi_polling_slave_v3_13.xml} | 11 +- .../master/armgcc/CMakeLists.txt | 69 +- .../master/armgcc/config.cmake | 35 + ...> spi_transfer_interrupt_master_v3_13.xml} | 11 +- .../slave/armgcc/CMakeLists.txt | 69 +- .../slave/armgcc/config.cmake | 35 + ...=> spi_transfer_interrupt_slave_v3_13.xml} | 11 +- .../usart/polling/armgcc/CMakeLists.txt | 67 +- .../usart/polling/armgcc/config.cmake | 34 + ...10.xml => usart_polling_example_v3_13.xml} | 11 +- .../usart/terminal/armgcc/CMakeLists.txt | 67 +- .../usart/terminal/armgcc/config.cmake | 34 + .../usart/terminal/usart_terminal.c | 2 +- ...nal_v3_10.xml => usart_terminal_v3_13.xml} | 11 +- .../transfer_interrupt/armgcc/CMakeLists.txt | 67 +- .../transfer_interrupt/armgcc/config.cmake | 34 + ...xml => usart_transfer_interrupt_v3_13.xml} | 11 +- .../armgcc/CMakeLists.txt | 67 +- .../transfer_ring_buffer/armgcc/config.cmake | 34 + ...l => usart_transfer_ring_buffer_v3_13.xml} | 11 +- .../master/armgcc/CMakeLists.txt | 67 +- .../master/armgcc/config.cmake | 34 + ... usart_transfer_sync_b2b_master_v3_13.xml} | 11 +- .../slave/armgcc/CMakeLists.txt | 67 +- .../slave/armgcc/config.cmake | 34 + ...> usart_transfer_sync_b2b_slave_v3_13.xml} | 11 +- .../driver_examples/wkt/armgcc/CMakeLists.txt | 69 +- .../driver_examples/wkt/armgcc/config.cmake | 35 + ...xample_v3_10.xml => wkt_example_v3_13.xml} | 11 +- .../wwdt/armgcc/CMakeLists.txt | 69 +- .../driver_examples/wwdt/armgcc/config.cmake | 35 + ...ample_v3_10.xml => wwdt_example_v3_13.xml} | 11 +- ...BOARD_Project_Template_lpcxpresso804.cmake | 25 + .../capt_key/armgcc/CMakeLists.txt | 71 +- .../capt_key/armgcc/config.cmake | 36 + ...{capt_key_v3_10.xml => capt_key_v3_13.xml} | 11 +- .../common_task/component_common_task.cmake | 20 + .../common_task/fsl_component_common_task.c | 4 +- .../component_lpc_crc_adapter.LPC804.cmake | 20 + ...omponent_software_crc_adapter.LPC804.cmake | 20 + .../component_lpc_i2c_adapter.LPC804.cmake | 20 + components/i2c/fsl_adapter_i2c.h | 502 ++ components/i2c/fsl_adapter_lpc_i2c.c | 409 ++ components/lists/component_lists.LPC804.cmake | 20 + .../component_mem_manager.LPC804.cmake | 20 + .../component_mem_manager_light.LPC804.cmake | 20 + .../mem_manager/fsl_component_mem_manager.h | 12 + .../fsl_component_mem_manager_light.c | 56 +- components/osa/component_osa.cmake | 28 + components/osa/component_osa_bm.cmake | 20 + components/osa/component_osa_interface.cmake | 16 + components/osa/fsl_os_abstraction.h | 18 +- components/osa/fsl_os_abstraction_bm.c | 58 +- components/panic/component_panic.LPC804.cmake | 20 + components/panic/fsl_component_panic.c | 87 + components/panic/fsl_component_panic.h | 83 + .../component_pwm_ctimer_adapter.LPC804.cmake | 20 + .../component_reset_adapter.LPC804.cmake | 20 + components/reset/fsl_adapter_reset.c | 31 + components/reset/fsl_adapter_reset.h | 42 + ...omponent_software_rng_adapter.LPC804.cmake | 20 + .../component_ctimer_adapter.LPC804.cmake | 20 + .../timer/component_mrt_adapter.LPC804.cmake | 20 + components/timer/fsl_adapter_ctimer.c | 14 +- components/timer/fsl_adapter_mrt.c | 15 +- .../component_timer_manager.LPC804.cmake | 20 + .../fsl_component_timer_manager.c | 150 +- .../component_miniusart_adapter.LPC804.cmake | 20 + .../component_miniusart_adapter_LPC804.cmake | 17 - components/uart/fsl_adapter_miniusart.c | 30 +- components/uart/fsl_adapter_uart.h | 12 +- devices/LPC804/all_lib_device.cmake | 341 ++ devices/LPC804/arm/LPC80x_32.FLM | Bin 12680 -> 0 bytes .../LPC804/device_LPC804_CMSIS.LPC804.cmake | 16 + .../LPC804/device_LPC804_CMSIS_LPC804.cmake | 10 - .../LPC804/device_LPC804_startup.LPC804.cmake | 33 + .../LPC804/device_LPC804_startup_LPC804.cmake | 10 - .../LPC804/device_LPC804_system.LPC804.cmake | 20 + .../LPC804/device_LPC804_system_LPC804.cmake | 15 - .../LPC804/drivers/driver_capt.LPC804.cmake | 20 + .../LPC804/drivers/driver_capt_LPC804.cmake | 15 - .../LPC804/drivers/driver_clock.LPC804.cmake | 20 + .../LPC804/drivers/driver_clock_LPC804.cmake | 19 - .../LPC804/drivers/driver_common.LPC804.cmake | 26 + .../LPC804/drivers/driver_common_LPC804.cmake | 20 - .../LPC804/drivers/driver_ctimer.LPC804.cmake | 20 + .../LPC804/drivers/driver_ctimer_LPC804.cmake | 15 - .../LPC804/drivers/driver_iap.LPC804.cmake | 20 + .../LPC804/drivers/driver_iap_LPC804.cmake | 15 - .../drivers/driver_lpc_acomp.LPC804.cmake | 20 + .../drivers/driver_lpc_acomp_LPC804.cmake | 15 - .../drivers/driver_lpc_adc.LPC804.cmake | 20 + .../drivers/driver_lpc_adc_LPC804.cmake | 15 - .../drivers/driver_lpc_crc.LPC804.cmake | 20 + .../drivers/driver_lpc_crc_LPC804.cmake | 15 - .../drivers/driver_lpc_dac.LPC804.cmake | 20 + .../drivers/driver_lpc_dac_LPC804.cmake | 15 - .../drivers/driver_lpc_gpio.LPC804.cmake | 20 + .../drivers/driver_lpc_gpio_LPC804.cmake | 15 - .../drivers/driver_lpc_i2c.LPC804.cmake | 20 + .../drivers/driver_lpc_i2c_LPC804.cmake | 15 - .../driver_lpc_iocon_lite.LPC804.cmake | 16 + .../driver_lpc_iocon_lite_LPC804.cmake | 10 - .../drivers/driver_lpc_minispi.LPC804.cmake | 20 + .../drivers/driver_lpc_minispi_LPC804.cmake | 15 - .../drivers/driver_lpc_miniusart.LPC804.cmake | 20 + .../drivers/driver_lpc_miniusart_LPC804.cmake | 15 - .../LPC804/drivers/driver_mrt.LPC804.cmake | 20 + .../LPC804/drivers/driver_mrt_LPC804.cmake | 15 - .../LPC804/drivers/driver_pint.LPC804.cmake | 20 + .../LPC804/drivers/driver_pint_LPC804.cmake | 15 - .../LPC804/drivers/driver_plu.LPC804.cmake | 20 + .../LPC804/drivers/driver_plu_LPC804.cmake | 15 - .../drivers/driver_power_no_lib.LPC804.cmake | 20 + .../drivers/driver_power_no_lib_LPC804.cmake | 15 - .../LPC804/drivers/driver_reset.LPC804.cmake | 20 + .../LPC804/drivers/driver_reset_LPC804.cmake | 15 - .../drivers/driver_rom_api.LPC804.cmake | 16 + .../drivers/driver_rom_api_LPC804.cmake | 10 - .../LPC804/drivers/driver_swm.LPC804.cmake | 20 + .../LPC804/drivers/driver_swm_LPC804.cmake | 17 - .../driver_swm_connections.LPC804.cmake | 16 + .../driver_swm_connections_LPC804.cmake | 10 - .../LPC804/drivers/driver_syscon.LPC804.cmake | 20 + .../LPC804/drivers/driver_syscon_LPC804.cmake | 17 - .../driver_syscon_connections.LPC804.cmake | 16 + .../driver_syscon_connections_LPC804.cmake | 10 - .../LPC804/drivers/driver_wkt.LPC804.cmake | 20 + .../LPC804/drivers/driver_wkt_LPC804.cmake | 15 - .../LPC804/drivers/driver_wwdt.LPC804.cmake | 20 + .../LPC804/drivers/driver_wwdt_LPC804.cmake | 15 - devices/LPC804/drivers/fsl_adc.c | 40 +- devices/LPC804/drivers/fsl_adc.h | 31 +- devices/LPC804/drivers/fsl_common.h | 14 +- devices/LPC804/drivers/fsl_common_arm.h | 15 + devices/LPC804/drivers/fsl_iap.c | 32 +- devices/LPC804/drivers/fsl_iap.h | 15 +- devices/LPC804/drivers/fsl_iocon.h | 8 +- devices/LPC804/drivers/fsl_pint.c | 59 +- devices/LPC804/drivers/fsl_pint.h | 4 +- devices/LPC804/drivers/fsl_spi.h | 4 +- devices/LPC804/drivers/fsl_swm.c | 2 +- devices/LPC804/drivers/fsl_swm.h | 2 +- devices/LPC804/drivers/fsl_swm_connections.h | 2 +- devices/LPC804/gcc/startup_LPC804.S | 131 +- ...VICES_Project_Template_LPC804.LPC804.cmake | 25 + .../LPC804/project_template/clock_config.c | 87 +- .../LPC804/project_template/clock_config.h | 57 +- devices/LPC804/project_template/peripherals.c | 23 +- devices/LPC804/project_template/peripherals.h | 22 +- devices/LPC804/project_template/pin_mux.c | 66 +- devices/LPC804/project_template/pin_mux.h | 55 +- .../debug_console_lite/fsl_debug_console.c | 29 +- .../debug_console_lite/fsl_debug_console.h | 35 + .../utility_assert_lite.LPC804.cmake | 16 + .../utility_assert_lite_LPC804.cmake | 10 - devices/LPC804/utilities/fsl_syscall_stub.c | 133 + devices/LPC804/utilities/str/fsl_str.c | 105 +- devices/LPC804/utilities/str/fsl_str.h | 28 +- .../utilities_misc_utilities.LPC804.cmake | 12 + .../utilities_misc_utilities_LPC804.cmake | 8 - .../utility_debug_console_lite.LPC804.cmake | 22 + .../utility_debug_console_lite_LPC804.cmake | 19 - docs/Getting Started with MCUXpresso SDK.pdf | Bin 3116887 -> 3124439 bytes ...presso SDK API Reference Manual_LPC804.pdf | Bin 2322800 -> 2328252 bytes .../a00002.html | 2 +- .../a00004.html | 2 +- .../a00006.html | 62 +- .../a00008.html | 41 +- .../a00008.js | 7 +- .../a00009.html | 176 +- .../a00009.js | 11 +- .../a00010.html | 32 +- .../a00010.js | 4 +- .../a00011.html | 28 +- .../a00011.js | 2 +- .../a00012.html | 143 +- .../a00012.js | 8 +- .../a00013.html | 24 +- .../a00013.js | 2 +- .../a00014.html | 24 +- .../a00014.js | 2 +- .../a00015.html | 96 +- .../a00015.js | 6 +- .../a00016.html | 116 +- .../a00016.js | 8 +- .../a00017.html | 22 +- .../a00017.js | 2 +- .../a00018.html | 69 +- .../a00018.js | 5 +- .../a00019.html | 128 +- .../a00019.js | 10 +- .../a00020.html | 103 +- .../a00020.js | 11 +- .../a00021.html | 24 +- .../a00021.js | 2 +- .../a00022.html | 32 +- .../a00022.js | 2 +- .../a00078.html | 1550 ------ .../a00078.js | 130 - .../a00079.html | 1663 +++++-- .../a00079.js | 162 +- .../a00080.html | 806 ++- .../a00080.js | 64 +- .../a00081.html | 1107 +---- .../a00081.js | 172 +- .../a00082.html | 1847 +++++-- .../a00082.js | 211 +- .../a00083.html | 458 +- .../a00083.js | 46 +- .../a00084.html | 178 +- .../a00084.js | 23 +- .../a00085.html | 164 +- .../a00085.js | 21 +- .../a00086.html | 38 +- .../a00086.js | 2 +- .../a00087.html | 1619 +----- .../a00087.js | 82 +- .../a00088.html | 1974 +++++--- .../a00088.js | 178 +- .../a00089.html | 1370 +++--- .../a00089.js | 281 +- .../a00090.html | 969 +++- .../a00090.js | 172 +- .../a00091.html | 612 +-- .../a00091.js | 23 +- .../a00092.html | 781 ++- .../a00092.js | 22 + .../a00093.html | 234 + .../{a00094.html => a00095.html} | 4 +- .../dir_07b86ffc266b39efa5bbdc0e9fdb330a.html | 4 +- .../dir_1331922326a697e1e9aa2323d085217f.html | 6 +- .../dir_22cbbd27e16e87caa10f675e5ce8b9df.html | 6 +- .../dir_2c6aa0e2bbb2234cdccf71ce9920a505.html | 6 +- .../dir_3803c7c40becdc1a8f991e020a425f6b.html | 6 +- .../dir_3d87c2df58032c55a697acbe8461844d.html | 6 +- .../dir_51d0b1c6bed7424dc1959d40ccb0bb43.html | 4 +- .../dir_54b70da16fda314d8ea08e7fa656437e.html | 6 +- .../dir_5b772dd4d456f495cb29becefd143ee2.html | 6 +- .../dir_75214fd834f54a955adf2012023f7050.html | 6 +- .../dir_8240c85063e108bd95ef29fb603f8af8.html | 4 +- .../dir_83c4f67939b2e50b6dc50fe3fc259b2d.html | 4 +- .../dir_8ceb0280e3a6924da33c684985152a52.html | 6 +- .../dir_97879f77cafaedc6c6b7c8e7e6bcf419.html | 6 +- .../dir_9e0a0b363f74c0efadbd1d6e4c01cac5.html | 6 +- .../dir_a2da420fdde962bd10156eaa17d1670b.html | 6 +- .../dir_a343a3e9cbb710280ccd0de2056b945d.html | 6 +- .../dir_a3e8f1feb8c30c12d24fc9c7995a15c1.html | 6 +- .../dir_ae9e5c562b37697154b7013541665a90.html | 4 +- .../dir_b81d5557345932eec08d61eeb5afa2f0.html | 6 +- .../dir_c48a66ee7236adaad29cf263b70b3b16.html | 6 +- .../dir_c5a52a81292cf9a5167198f4f346d6d9.html | 4 +- .../dir_c7e9d45afd61725c3e80b2f8c629533d.html | 6 +- .../dir_d0b0cea4c1afa919405231e98537b73c.html | 4 +- .../dir_d488d26126eac48f68b56f6b2e0f43d9.html | 6 +- .../dir_ea982a4a90bbf64c8a612131ad2f0fcb.html | 6 +- .../dir_eb78a2695df30cd843f578001c009203.html | 6 +- .../dir_f116f7633d12361ea112ee9d6dd3a804.html | 6 +- .../index.html | 2 +- .../modules.html | 32 +- .../modules.js | 26 +- .../navtree.js | 12 +- .../navtreeindex0.js | 64 +- .../navtreeindex1.js | 32 +- .../navtreeindex2.js | 28 +- .../navtreeindex3.js | 348 +- .../navtreeindex4.js | 500 +- .../navtreeindex5.js | 500 +- .../navtreeindex6.js | 251 +- .../pages.html | 4 +- .../search/all_5f.js | 22 +- .../search/all_61.js | 18 +- .../search/all_63.js | 72 +- .../search/all_64.js | 54 +- .../search/all_65.js | 4 +- .../search/all_66.js | 21 +- .../search/all_67.js | 12 +- .../search/all_69.js | 48 +- .../search/all_6b.js | 1148 +++-- .../search/all_6d.js | 12 +- .../search/all_70.js | 156 +- .../search/all_72.js | 6 +- .../search/all_73.js | 61 +- .../search/all_75.js | 9 +- .../search/all_77.js | 8 +- .../search/classes_5f.js | 10 +- .../search/classes_61.js | 10 +- .../search/classes_63.js | 10 +- .../search/classes_64.js | 2 +- .../search/classes_67.js | 2 +- .../search/classes_69.js | 10 +- .../search/classes_6d.js | 2 +- .../search/classes_73.js | 8 +- .../search/classes_75.js | 4 +- .../search/classes_77.js | 4 +- .../search/enums_5f.js | 12 +- .../search/enums_63.js | 12 +- .../search/enums_70.js | 26 +- .../search/enums_73.js | 14 +- .../search/enumvalues_6b.js | 1148 +++-- .../search/functions_61.js | 2 + .../search/functions_63.js | 32 +- .../search/functions_64.js | 18 +- .../search/functions_65.html | 26 + .../search/functions_65.js | 6 + .../search/functions_69.js | 18 +- .../search/functions_6d.js | 1 + .../search/functions_70.js | 110 +- .../search/functions_72.js | 2 +- .../search/functions_73.js | 13 +- .../search/groups_63.js | 4 +- .../search/groups_64.js | 2 +- .../search/groups_69.js | 6 +- .../search/groups_70.js | 6 +- .../search/groups_72.js | 2 +- .../search/groups_73.js | 8 +- .../search/groups_75.js | 2 +- .../search/pages_64.js | 2 +- .../search/search.js | 2 +- .../search/typedefs_70.js | 2 +- .../search/typedefs_73.js | 2 +- .../search/variables_65.js | 1 - .../search/variables_67.js | 6 +- docs/MCUXpresso SDK ChangeLog_LPC804.pdf | Bin 124340 -> 163685 bytes ...so SDK Release Notes for LPCXpresso804.pdf | Bin 153172 -> 152573 bytes .../IEC60730B_Example_Release_Notes_v4_3.pdf | Bin 100371 -> 0 bytes ...60730B_Example_User_Guide_LPC_CM0_v4_3.pdf | Bin 5423984 -> 0 bytes ...C60730B_Library_Release_Notes_CM0_v4_3.pdf | Bin 79151 -> 0 bytes .../IEC60730B_Library_User_Guide_CM0_v4_3.pdf | Bin 1520570 -> 0 bytes .../bm/middleware_baremetal.LPC804.cmake | 14 + middleware/bm/readme.txt | 1 + .../Include/AMCLIB_AngleTrackObsrv_F32.h | 86 - .../AMCLIB/Include/AMCLIB_CtrlFluxWkng_A32.h | 104 - .../Include/AMCLIB_PMSMBemfObsrvABHw_A32.h | 77 - .../Include/AMCLIB_PMSMBemfObsrvAB_A32.h | 126 - .../Include/AMCLIB_PMSMBemfObsrvDQHw_A32.h | 80 - .../Include/AMCLIB_PMSMBemfObsrvDQ_A32.h | 125 - .../AMCLIB/Include/AMCLIB_TrackObsrv_F32.h | 125 - .../AMCLIB/Include/amclib.h | 92 - .../AMCLIB/Include/amclib_types.h | 61 - .../CM0_RTCESL_4.7.1_MCUX/AMCLIB/libAMCLIB.a | Bin 15960 -> 0 bytes .../GDFLIB/Include/GDFLIB_FilterExp_F32.h | 130 - .../GDFLIB/Include/GDFLIB_FilterIIR1_F32.h | 193 - .../GDFLIB/Include/GDFLIB_FilterIIR2_F32.h | 90 - .../GDFLIB/Include/GDFLIB_FilterMA_A32.h | 80 - .../GDFLIB/Include/GDFLIB_FilterMA_A32_Asmi.h | 231 - .../GDFLIB/Include/gdflib.h | 74 - .../GDFLIB/Include/gdflib_types.h | 55 - .../CM0_RTCESL_4.7.1_MCUX/GDFLIB/libGDFLIB.a | Bin 4060 -> 0 bytes .../GFLIB/Include/GFLIB_AtanYXHw_F32.h | 68 - .../GFLIB/Include/GFLIB_AtanYX_F32.h | 66 - .../GFLIB/Include/GFLIB_Atan_F32.h | 80 - .../GFLIB/Include/GFLIB_CtrlBetaIPp_A32.h | 101 - .../GFLIB/Include/GFLIB_CtrlPIp_A32.h | 99 - .../GFLIB/Include/GFLIB_DFlexRampHw_A32.h | 67 - .../GFLIB/Include/GFLIB_DFlexRamp_A32.h | 126 - .../GFLIB/Include/GFLIB_DRamp_F16.h | 101 - .../GFLIB/Include/GFLIB_DRamp_F32.h | 101 - .../GFLIB/Include/GFLIB_FlexRampHw_A32.h | 66 - .../GFLIB/Include/GFLIB_FlexRamp_A32.h | 116 - .../GFLIB/Include/GFLIB_Hyst_F16_Asmi.h | 224 - .../GFLIB/Include/GFLIB_Integrator_A32.h | 138 - .../GFLIB/Include/GFLIB_Limit_F16.h | 87 - .../GFLIB/Include/GFLIB_Limit_F32.h | 89 - .../GFLIB/Include/GFLIB_LowerLimit_F16.h | 82 - .../GFLIB/Include/GFLIB_LowerLimit_F32.h | 83 - .../GFLIB/Include/GFLIB_LutPer_F16_Asmi.h | 171 - .../GFLIB/Include/GFLIB_LutPer_F32.h | 67 - .../GFLIB/Include/GFLIB_Lut_F16_Asmi.h | 160 - .../GFLIB/Include/GFLIB_Lut_F32.h | 65 - .../GFLIB/Include/GFLIB_Ramp_F16.h | 84 - .../GFLIB/Include/GFLIB_Ramp_F16_Asmi.h | 194 - .../GFLIB/Include/GFLIB_Ramp_F32.h | 84 - .../GFLIB/Include/GFLIB_Ramp_F32_Asmi.h | 226 - .../GFLIB/Include/GFLIB_SinCos_F32.h | 86 - .../GFLIB/Include/GFLIB_SqrtHw_F16.h | 101 - .../GFLIB/Include/GFLIB_SqrtHw_F16_Asmi.h | 195 - .../GFLIB/Include/GFLIB_SqrtHw_F32.h | 102 - .../GFLIB/Include/GFLIB_SqrtHw_F32_Asmi.h | 191 - .../GFLIB/Include/GFLIB_Sqrt_F16.h | 97 - .../GFLIB/Include/GFLIB_Sqrt_F32.h | 65 - .../GFLIB/Include/GFLIB_UpperLimit_F16.h | 81 - .../GFLIB/Include/GFLIB_UpperLimit_F32.h | 80 - .../GFLIB/Include/GFLIB_VectorLimit1Hw_F16.h | 71 - .../GFLIB/Include/GFLIB_VectorLimit1_F16.h | 73 - .../GFLIB/Include/gflib.h | 139 - .../GFLIB/Include/gflib_types.h | 54 - .../CM0_RTCESL_4.7.1_MCUX/GFLIB/libGFLIB.a | Bin 27554 -> 0 bytes .../GMCLIB/Include/GMCLIB_Clark_F16_Asmi.h | 375 -- .../GMCLIB/Include/GMCLIB_DTCompLut1D_F16.h | 79 - .../GMCLIB/Include/GMCLIB_Decoupling_A32.h | 78 - .../Include/GMCLIB_ElimDcBusRipHw_A32.h | 73 - .../Include/GMCLIB_ElimDcBusRipHw_F16.h | 71 - .../GMCLIB/Include/GMCLIB_ElimDcBusRip_A32.h | 71 - .../GMCLIB/Include/GMCLIB_ElimDcBusRip_F16.h | 69 - .../GMCLIB/Include/GMCLIB_Park_F16_Asmi.h | 390 -- .../GMCLIB/Include/GMCLIB_Svm_F16.h | 121 - .../GMCLIB/Include/gmclib.h | 104 - .../GMCLIB/Include/gmclib_types.h | 133 - .../CM0_RTCESL_4.7.1_MCUX/GMCLIB/libGMCLIB.a | Bin 17186 -> 0 bytes .../MLIB/Include/MLIB_Abs_F16.h | 79 - .../MLIB/Include/MLIB_Abs_F16_Asmi.h | 107 - .../MLIB/Include/MLIB_Abs_F32.h | 79 - .../MLIB/Include/MLIB_Abs_F32_Asmi.h | 103 - .../MLIB/Include/MLIB_Add4_F16.h | 68 - .../MLIB/Include/MLIB_Add4_F16_Asmi.h | 143 - .../MLIB/Include/MLIB_Add4_F32.h | 68 - .../MLIB/Include/MLIB_Add4_F32_Asmi.h | 164 - .../MLIB/Include/MLIB_Add_A32.h | 78 - .../MLIB/Include/MLIB_Add_A32_Asmi.h | 134 - .../MLIB/Include/MLIB_Add_F16.h | 82 - .../MLIB/Include/MLIB_Add_F16_Asmi.h | 125 - .../MLIB/Include/MLIB_Add_F32.h | 87 - .../MLIB/Include/MLIB_Add_F32_Asmi.h | 130 - .../MLIB/Include/MLIB_BiShift_F16.h | 83 - .../MLIB/Include/MLIB_BiShift_F16_Asmi.h | 298 -- .../MLIB/Include/MLIB_BiShift_F32.h | 83 - .../MLIB/Include/MLIB_BiShift_F32_Asmi.h | 293 -- .../MLIB/Include/MLIB_Clb_F16_Asmi.h | 169 - .../MLIB/Include/MLIB_Clb_F32_Asmi.h | 182 - .../MLIB/Include/MLIB_Conv_F32.h | 94 - .../MLIB/Include/MLIB_Div1Q_A32_Asm.h | 66 - .../MLIB/Include/MLIB_Div1Q_F16_Asm.h | 64 - .../MLIB/Include/MLIB_Div1Q_F32_Asm.h | 76 - .../MLIB/Include/MLIB_DivHw1Q_A32_Asm.h | 322 -- .../MLIB/Include/MLIB_DivHw1Q_F16_Asm.h | 64 - .../MLIB/Include/MLIB_DivHw1Q_F32_Asm.h | 343 -- .../MLIB/Include/MLIB_DivHw_A32_Asm.h | 66 - .../MLIB/Include/MLIB_DivHw_F16_Asm.h | 64 - .../MLIB/Include/MLIB_DivHw_F32.h | 71 - .../MLIB/Include/MLIB_DivHw_F32_Asm.h | 78 - .../MLIB/Include/MLIB_Div_A32_Asm.h | 66 - .../MLIB/Include/MLIB_Div_F16_Asm.h | 64 - .../MLIB/Include/MLIB_Div_F32_Asm.h | 76 - .../MLIB/Include/MLIB_Log2_U16_Asmi.h | 150 - .../MLIB/Include/MLIB_MMDVSQ.h | 82 - .../MLIB/Include/MLIB_Mac4Rnd_F16.h | 72 - .../MLIB/Include/MLIB_Mac4Rnd_F16_Asmi.h | 166 - .../MLIB/Include/MLIB_Mac4Rnd_F32_Asm.h | 68 - .../MLIB/Include/MLIB_Mac4_F32.h | 91 - .../MLIB/Include/MLIB_MacRnd_A32.h | 73 - .../MLIB/Include/MLIB_MacRnd_A32_Asmi.h | 111 - .../MLIB/Include/MLIB_MacRnd_F16.h | 74 - .../MLIB/Include/MLIB_MacRnd_F16_Asmi.h | 155 - .../MLIB/Include/MLIB_MacRnd_F32.h | 77 - .../MLIB/Include/MLIB_MacRnd_F32_Asmi.h | 332 -- .../MLIB/Include/MLIB_Mac_A32.h | 70 - .../MLIB/Include/MLIB_Mac_F16.h | 73 - .../MLIB/Include/MLIB_Mac_F16_Asmi.h | 143 - .../MLIB/Include/MLIB_Mac_F32.h | 73 - .../MLIB/Include/MLIB_Mac_F32_Asmi.h | 435 -- .../MLIB/Include/MLIB_MnacRnd_A32.h | 74 - .../MLIB/Include/MLIB_MnacRnd_A32_Asmi.h | 110 - .../MLIB/Include/MLIB_MnacRnd_F16.h | 73 - .../MLIB/Include/MLIB_MnacRnd_F16_Asmi.h | 153 - .../MLIB/Include/MLIB_MnacRnd_F32.h | 77 - .../MLIB/Include/MLIB_MnacRnd_F32_Asmi.h | 351 -- .../MLIB/Include/MLIB_Mnac_A32.h | 70 - .../MLIB/Include/MLIB_Mnac_F16.h | 73 - .../MLIB/Include/MLIB_Mnac_F16_Asmi.h | 147 - .../MLIB/Include/MLIB_Mnac_F32.h | 73 - .../MLIB/Include/MLIB_Mnac_F32_Asmi.h | 467 -- .../MLIB/Include/MLIB_Msu4Rnd_F16.h | 74 - .../MLIB/Include/MLIB_Msu4Rnd_F16_Asmi.h | 167 - .../MLIB/Include/MLIB_Msu4Rnd_F32_Asm.h | 68 - .../MLIB/Include/MLIB_Msu4_F32.h | 102 - .../MLIB/Include/MLIB_MsuRnd_A32.h | 81 - .../MLIB/Include/MLIB_MsuRnd_A32_Asmi.h | 110 - .../MLIB/Include/MLIB_MsuRnd_F16.h | 73 - .../MLIB/Include/MLIB_MsuRnd_F16_Asmi.h | 153 - .../MLIB/Include/MLIB_MsuRnd_F32.h | 71 - .../MLIB/Include/MLIB_MsuRnd_F32_Asmi.h | 334 -- .../MLIB/Include/MLIB_Msu_A32.h | 70 - .../MLIB/Include/MLIB_Msu_F16.h | 73 - .../MLIB/Include/MLIB_Msu_F16_Asmi.h | 147 - .../MLIB/Include/MLIB_Msu_F32.h | 73 - .../MLIB/Include/MLIB_Msu_F32_Asmi.h | 442 -- .../MLIB/Include/MLIB_MulRnd_A32.h | 91 - .../MLIB/Include/MLIB_MulRnd_A32_Asmi.h | 953 ---- .../MLIB/Include/MLIB_MulRnd_F16.h | 78 - .../MLIB/Include/MLIB_MulRnd_F16_Asmi.h | 124 - .../MLIB/Include/MLIB_MulRnd_F32.h | 125 - .../MLIB/Include/MLIB_MulRnd_F32_Asmi.h | 401 -- .../MLIB/Include/MLIB_Mul_A32.h | 92 - .../MLIB/Include/MLIB_Mul_A32_Asmi.h | 805 --- .../MLIB/Include/MLIB_Mul_F16.h | 78 - .../MLIB/Include/MLIB_Mul_F16_Asmi.h | 111 - .../MLIB/Include/MLIB_Mul_F32.h | 137 - .../MLIB/Include/MLIB_Mul_F32_Asmi.h | 117 - .../MLIB/Include/MLIB_Neg_F16.h | 67 - .../MLIB/Include/MLIB_Neg_F16_Asmi.h | 105 - .../MLIB/Include/MLIB_Neg_F32.h | 80 - .../MLIB/Include/MLIB_Neg_F32_Asmi.h | 112 - .../MLIB/Include/MLIB_Rcp1Q_A32_Asm.h | 64 - .../MLIB/Include/MLIB_RcpHw1Q_A32_Asmi.h | 183 - .../MLIB/Include/MLIB_RcpHw_A32_Asm.h | 63 - .../MLIB/Include/MLIB_Rcp_A32_Asm.h | 64 - .../MLIB/Include/MLIB_Rnd_F32.h | 69 - .../MLIB/Include/MLIB_Rnd_F32_Asmi.h | 133 - .../MLIB/Include/MLIB_Sat_A32.h | 72 - .../MLIB/Include/MLIB_Sat_A32_Asmi.h | 134 - .../MLIB/Include/MLIB_Shift_F16.h | 107 - .../MLIB/Include/MLIB_Shift_F16_Asmi.h | 232 - .../MLIB/Include/MLIB_Shift_F32.h | 133 - .../MLIB/Include/MLIB_Shift_F32_Asmi.h | 225 - .../MLIB/Include/MLIB_Sign_F16_Asmi.h | 112 - .../MLIB/Include/MLIB_Sign_F32_Asmi.h | 112 - .../MLIB/Include/MLIB_Sub4_F16.h | 68 - .../MLIB/Include/MLIB_Sub4_F16_Asmi.h | 144 - .../MLIB/Include/MLIB_Sub4_F32.h | 69 - .../MLIB/Include/MLIB_Sub4_F32_Asmi.h | 191 - .../MLIB/Include/MLIB_Sub_A32.h | 78 - .../MLIB/Include/MLIB_Sub_A32_Asmi.h | 134 - .../MLIB/Include/MLIB_Sub_F16.h | 67 - .../MLIB/Include/MLIB_Sub_F16_Asmi.h | 130 - .../MLIB/Include/MLIB_Sub_F32.h | 67 - .../MLIB/Include/MLIB_Sub_F32_Asmi.h | 131 - .../MLIB/Include/RTCESL_cfg.h | 130 - .../MLIB/Include/asm_mac.h | 103 - .../CM0_RTCESL_4.7.1_MCUX/MLIB/Include/mlib.h | 390 -- .../MLIB/Include/mlib_types.h | 208 - .../CM0_RTCESL_4.7.1_MCUX/MLIB/libMLIB.a | Bin 40238 -> 0 bytes .../PCLIB/Include/PCLIB_Ctrl2P2Z_F16.h | 78 - .../PCLIB/Include/PCLIB_Ctrl3P3Z_F16.h | 81 - .../PCLIB/Include/PCLIB_CtrlPID_F16.h | 77 - .../PCLIB/Include/PCLIB_CtrlPI_F16.h | 75 - .../Include/PCLIB_CtrlPIandLPFilter_F16.h | 78 - .../PCLIB/Include/pclib.h | 71 - .../PCLIB/Include/pclib_types.h | 53 - .../CM0_RTCESL_4.7.1_MCUX/PCLIB/libPCLIB.a | Bin 6748 -> 0 bytes .../CM0_RTCESL_4.7.1_doc/CM0AMCLIBUG.pdf | Bin 1881079 -> 0 bytes .../CM0_RTCESL_4.7.1_doc/CM0GDFLIBUG.pdf | Bin 1289964 -> 0 bytes .../CM0_RTCESL_4.7.1_doc/CM0GFLIBUG.pdf | Bin 1967924 -> 0 bytes .../CM0_RTCESL_4.7.1_doc/CM0GMCLIBUG.pdf | Bin 4009433 -> 0 bytes .../rtcesl/CM0_RTCESL_4.7.1_doc/CM0MLIBUG.pdf | Bin 2189014 -> 0 bytes .../CM0_RTCESL_4.7.1_doc/CM0PCLIBUG.pdf | Bin 1305856 -> 0 bytes .../boards/common/cm0/startup/cm0/start.c | 49 - .../common/cm0/startup/cm0/startup_mcux.c | 126 - .../common/cm0/startup/cm0/vectors_mcux.c | 82 - .../common/cm0/startup/cm0/vectors_mcux.h | 320 -- .../v4_3/common_test/aio/iec60730b_aio.h | 307 -- .../v4_3/common_test/clock/iec60730b_clock.h | 136 - .../v4_3/common_test/dio/iec60730b_dio.h | 222 - .../v4_3/common_test/dio/iec60730b_dio_ext.h | 358 -- .../flash/iec60730b_invariable_memory.h | 81 - .../v4_3/common_test/tsi/iec60730b_tsi.h | 185 - .../v4_3/common_test/wdog/iec60730b_wdog.c | 472 -- .../v4_3/common_test/wdog/iec60730b_wdog.h | 260 - .../safety/v4_3/compiler/asm_mac_common.h | 73 - .../core_test/cm0/flash/iec60730b_cm0_flash.h | 90 - .../v4_3/core_test/cm0/iec60730b_core.h | 38 - .../cm0/programCounter/iec60730b_cm0_pc.h | 81 - .../programCounter/iec60730b_cm0_pc_object.S | 57 - .../core_test/cm0/ram/iec60730b_cm0_ram.h | 137 - .../cm0/register/iec60730b_cm0_reg.h | 122 - .../core_test/cm0/stack/iec60730b_cm0_stack.h | 78 - .../safety_iec60730b/safety/v4_3/iec60730b.h | 45 - .../safety/v4_3/iec60730b_types.h | 469 -- .../v4_3/libIEC60730B_M0_COM_MCUX_v4_3.a | Bin 21756 -> 0 bytes .../safety/v4_3/libIEC60730B_M0_MCUX_v4_1.a | Bin 7586 -> 0 bytes .../safety_iec60730b/tools/crc/crc_hex.bat | 261 - tools/cmake_toolchain_files/armgcc.cmake | 12 + tools/cmake_toolchain_files/mcux_config.cmake | 62 +- tools/srecord/srec_cat.exe | Bin 1440782 -> 0 bytes tools/srecord/srecord-1.64.zip | Bin 1600367 -> 0 bytes 740 files changed, 29206 insertions(+), 50047 deletions(-) create mode 100644 CMSIS/CMSIS_v3.yml create mode 100644 CMSIS/Core/Include/CMSIS_Include_core_cm.cmake delete mode 100644 CMSIS/Core/Include/CMSIS_Include_core_cm_LPC804.cmake create mode 100644 CMSIS/DSP/CMSIS_DSP_Include.cmake create mode 100644 CMSIS/DSP/CMSIS_DSP_Source.cmake create mode 100644 CMSIS/Driver/CMSIS_Driver_Include_CAN.cmake create mode 100644 CMSIS/Driver/CMSIS_Driver_Include_Ethernet.cmake create mode 100644 CMSIS/Driver/CMSIS_Driver_Include_Ethernet_MAC.cmake create mode 100644 CMSIS/Driver/CMSIS_Driver_Include_Ethernet_PHY.cmake create mode 100644 CMSIS/Driver/CMSIS_Driver_Include_Flash.cmake create mode 100644 CMSIS/Driver/CMSIS_Driver_Include_I2C.cmake create mode 100644 CMSIS/Driver/CMSIS_Driver_Include_MCI.cmake create mode 100644 CMSIS/Driver/CMSIS_Driver_Include_NAND.cmake create mode 100644 CMSIS/Driver/CMSIS_Driver_Include_SAI.cmake create mode 100644 CMSIS/Driver/CMSIS_Driver_Include_SPI.cmake create mode 100644 CMSIS/Driver/CMSIS_Driver_Include_USART.cmake create mode 100644 CMSIS/Driver/CMSIS_Driver_Include_USB_Device.cmake create mode 100644 CMSIS/Driver/CMSIS_Driver_Include_USB_Host.cmake create mode 100644 CMSIS/Driver/CMSIS_Driver_Include_WiFi.cmake create mode 100644 CMSIS/NN/CMSIS_NN_Source.cmake create mode 100644 CMSIS/RTOS2/CMSIS_RTOS2_NonSecure.cmake create mode 100644 CMSIS/RTOS2/CMSIS_RTOS2_Secure.cmake create mode 100644 CMSIS/RTOS2/Include/CMSIS_Device_API_OSTick.cmake create mode 100644 CMSIS/RTOS2/Include/CMSIS_Device_API_RTOS2.cmake create mode 100644 CMSIS/RTOS2/RTX/Config/RTX_Config.c create mode 100644 CMSIS/RTOS2/RTX/Config/RTX_Config.h create mode 100644 CMSIS/RTOS2/RTX/Include/rtx_def.h create mode 100644 CMSIS/RTOS2/RTX/Include/rtx_evr.h create mode 100644 CMSIS/RTOS2/RTX/Include/rtx_os.h create mode 100644 CMSIS/RTOS2/RTX/Library/GCC/libRTX_CM0.a create mode 100644 CMSIS/RTOS2/RTX/Source/rtx_core_c.h create mode 100644 CMSIS/RTOS2/RTX/Source/rtx_core_ca.h create mode 100644 CMSIS/RTOS2/RTX/Source/rtx_core_cm.h create mode 100644 CMSIS/RTOS2/RTX/Source/rtx_lib.c create mode 100644 CMSIS/RTOS2/RTX/Source/rtx_lib.h rename LPC804_manifest_v3_10.xml => LPC804_manifest_v3_13.xml (62%) create mode 100755 boards/lpcxpresso804/demo_apps/hello_world/armgcc/config.cmake rename boards/lpcxpresso804/demo_apps/hello_world/{hello_world_v3_10.xml => hello_world_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/demo_apps/led_blinky/armgcc/config.cmake rename boards/lpcxpresso804/demo_apps/led_blinky/{led_blinky_v3_10.xml => led_blinky_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/armgcc/config.cmake rename boards/lpcxpresso804/demo_apps/power_mode_switch_lpc/{power_mode_switch_lpc_v3_10.xml => power_mode_switch_lpc_v3_13.xml} (94%) delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/GUI/safety.pmp delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/board.c delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/board.h delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/clock_config.c delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/clock_config.h delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/freemaster/freemaster_cfg.h delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/isr.h delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/main.c delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/mcux/linker/lpcxpresso804_safety.ld delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/pin_mux.c delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/pin_mux.h delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/project_setup_lpcxpresso804.c delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/project_setup_lpcxpresso804.h delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/readme.txt delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/safety_cm0_lpc.c delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/safety_cm0_lpc.h delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/safety_config.h delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/safety_iec60730b_v3_10.xml delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/safety_test_items.c delete mode 100644 boards/lpcxpresso804/demo_apps/safety_iec60730b/safety_test_items.h rename boards/lpcxpresso804/driver_examples/acomp/acomp_basic/{acomp_basic_v3_10.xml => acomp_basic_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/acomp/acomp_basic/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/acomp/acomp_interrupt/{acomp_interrupt_v3_10.xml => acomp_interrupt_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/acomp/acomp_interrupt/armgcc/config.cmake create mode 100755 boards/lpcxpresso804/driver_examples/adc/lpc_adc_basic/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/adc/lpc_adc_basic/{lpc_adc_basic_v3_10.xml => lpc_adc_basic_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/adc/lpc_adc_burst/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/adc/lpc_adc_burst/{lpc_adc_burst_v3_10.xml => lpc_adc_burst_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/adc/lpc_adc_interrupt/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/adc/lpc_adc_interrupt/{lpc_adc_interrupt_v3_10.xml => lpc_adc_interrupt_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/bod/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/bod/{lpc_bod_v3_10.xml => lpc_bod_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/capt/capt_acomp/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/capt/capt_acomp/{capt_acomp_v3_10.xml => capt_acomp_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/capt/capt_acomp_continuous/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/capt/capt_acomp_continuous/{capt_acomp_continuous_v3_10.xml => capt_acomp_continuous_v3_13.xml} (92%) create mode 100755 boards/lpcxpresso804/driver_examples/capt/capt_basic/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/capt/capt_basic/{capt_basic_v3_10.xml => capt_basic_v3_13.xml} (92%) create mode 100755 boards/lpcxpresso804/driver_examples/capt/capt_basic_continuous/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/capt/capt_basic_continuous/{capt_basic_continuous_v3_10.xml => capt_basic_continuous_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/crc/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/crc/{crc_v3_10.xml => crc_v3_13.xml} (92%) create mode 100755 boards/lpcxpresso804/driver_examples/ctimer/simple_match/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/ctimer/simple_match/{ctimer_match_example_v3_10.xml => ctimer_match_example_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/ctimer/simple_match_interrupt/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/ctimer/simple_match_interrupt/{ctimer_match_interrupt_example_v3_10.xml => ctimer_match_interrupt_example_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/ctimer/simple_pwm/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/ctimer/simple_pwm/{ctimer_pwm_example_v3_10.xml => ctimer_pwm_example_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/ctimer/simple_pwm_interrupt/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/ctimer/simple_pwm_interrupt/{ctimer_pwm_interrupt_example_v3_10.xml => ctimer_pwm_interrupt_example_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/dac/lpc_dac_basic/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/dac/lpc_dac_basic/{lpc_dac_basic_v3_10.xml => lpc_dac_basic_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/dac/lpc_dac_interrupt/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/dac/lpc_dac_interrupt/{lpc_dac_interrupt_v3_10.xml => lpc_dac_interrupt_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/gpio/led_output/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/gpio/led_output/{gpio_led_output_v3_10.xml => gpio_led_output_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/i2c/interrupt_b2b_transfer/master/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/i2c/interrupt_b2b_transfer/master/{lpc_i2c_interrupt_b2b_transfer_master_v3_10.xml => lpc_i2c_interrupt_b2b_transfer_master_v3_13.xml} (92%) create mode 100755 boards/lpcxpresso804/driver_examples/i2c/interrupt_b2b_transfer/slave/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/i2c/interrupt_b2b_transfer/slave/{lpc_i2c_interrupt_b2b_transfer_slave_v3_10.xml => lpc_i2c_interrupt_b2b_transfer_slave_v3_13.xml} (92%) create mode 100755 boards/lpcxpresso804/driver_examples/i2c/polling_b2b/master/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/i2c/polling_b2b/master/{lpc_i2c_polling_b2b_master_v3_10.xml => lpc_i2c_polling_b2b_master_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/i2c/polling_b2b/slave/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/i2c/polling_b2b/slave/{lpc_i2c_polling_b2b_slave_v3_10.xml => lpc_i2c_polling_b2b_slave_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/iap/iap_basic/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/iap/iap_basic/{iap_basic_v3_10.xml => iap_basic_v3_13.xml} (92%) create mode 100755 boards/lpcxpresso804/driver_examples/iap/iap_flash/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/iap/iap_flash/{iap_flash_v3_10.xml => iap_flash_v3_13.xml} (92%) create mode 100755 boards/lpcxpresso804/driver_examples/mrt/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/mrt/{mrt_example_v3_10.xml => mrt_example_v3_13.xml} (92%) create mode 100755 boards/lpcxpresso804/driver_examples/pint/pattern_match/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/pint/pattern_match/{pint_pattern_match_v3_10.xml => pint_pattern_match_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/pint/pin_interrupt/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/pint/pin_interrupt/{pint_pin_interrupt_v3_10.xml => pint_pin_interrupt_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/plu/combination/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/plu/combination/{plu_combination_v3_10.xml => plu_combination_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/spi/interrupt/master/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/spi/interrupt/master/{spi_interrupt_master_v3_10.xml => spi_interrupt_master_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/spi/interrupt/slave/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/spi/interrupt/slave/{spi_interrupt_slave_v3_10.xml => spi_interrupt_slave_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/spi/polling/master/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/spi/polling/master/{spi_polling_master_v3_10.xml => spi_polling_master_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/spi/polling/slave/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/spi/polling/slave/{spi_polling_slave_v3_10.xml => spi_polling_slave_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/master/{spi_transfer_interrupt_master_v3_10.xml => spi_transfer_interrupt_master_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/spi/transfer_interrupt/slave/{spi_transfer_interrupt_slave_v3_10.xml => spi_transfer_interrupt_slave_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/usart/polling/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/usart/polling/{usart_polling_example_v3_10.xml => usart_polling_example_v3_13.xml} (92%) create mode 100755 boards/lpcxpresso804/driver_examples/usart/terminal/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/usart/terminal/{usart_terminal_v3_10.xml => usart_terminal_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/usart/transfer_interrupt/{usart_transfer_interrupt_v3_10.xml => usart_transfer_interrupt_v3_13.xml} (92%) create mode 100755 boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/usart/transfer_ring_buffer/{usart_transfer_ring_buffer_v3_10.xml => usart_transfer_ring_buffer_v3_13.xml} (92%) create mode 100755 boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/master/{usart_transfer_sync_b2b_master_v3_10.xml => usart_transfer_sync_b2b_master_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/usart/transfer_sync_b2b/slave/{usart_transfer_sync_b2b_slave_v3_10.xml => usart_transfer_sync_b2b_slave_v3_13.xml} (93%) create mode 100755 boards/lpcxpresso804/driver_examples/wkt/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/wkt/{wkt_example_v3_10.xml => wkt_example_v3_13.xml} (92%) create mode 100755 boards/lpcxpresso804/driver_examples/wwdt/armgcc/config.cmake rename boards/lpcxpresso804/driver_examples/wwdt/{wwdt_example_v3_10.xml => wwdt_example_v3_13.xml} (92%) create mode 100644 boards/lpcxpresso804/project_template/BOARD_Project_Template_lpcxpresso804.cmake create mode 100755 boards/lpcxpresso804/touch_examples/capt_key/armgcc/config.cmake rename boards/lpcxpresso804/touch_examples/capt_key/{capt_key_v3_10.xml => capt_key_v3_13.xml} (93%) create mode 100644 components/common_task/component_common_task.cmake create mode 100644 components/crc/component_lpc_crc_adapter.LPC804.cmake create mode 100644 components/crc/component_software_crc_adapter.LPC804.cmake create mode 100644 components/i2c/component_lpc_i2c_adapter.LPC804.cmake create mode 100644 components/i2c/fsl_adapter_i2c.h create mode 100644 components/i2c/fsl_adapter_lpc_i2c.c create mode 100644 components/lists/component_lists.LPC804.cmake create mode 100644 components/mem_manager/component_mem_manager.LPC804.cmake create mode 100644 components/mem_manager/component_mem_manager_light.LPC804.cmake create mode 100644 components/osa/component_osa.cmake create mode 100644 components/osa/component_osa_bm.cmake create mode 100644 components/osa/component_osa_interface.cmake create mode 100644 components/panic/component_panic.LPC804.cmake create mode 100644 components/panic/fsl_component_panic.c create mode 100644 components/panic/fsl_component_panic.h create mode 100644 components/pwm/component_pwm_ctimer_adapter.LPC804.cmake create mode 100644 components/reset/component_reset_adapter.LPC804.cmake create mode 100644 components/reset/fsl_adapter_reset.c create mode 100644 components/reset/fsl_adapter_reset.h create mode 100644 components/rng/component_software_rng_adapter.LPC804.cmake create mode 100644 components/timer/component_ctimer_adapter.LPC804.cmake create mode 100644 components/timer/component_mrt_adapter.LPC804.cmake create mode 100644 components/timer_manager/component_timer_manager.LPC804.cmake create mode 100644 components/uart/component_miniusart_adapter.LPC804.cmake delete mode 100644 components/uart/component_miniusart_adapter_LPC804.cmake create mode 100644 devices/LPC804/all_lib_device.cmake delete mode 100644 devices/LPC804/arm/LPC80x_32.FLM create mode 100644 devices/LPC804/device_LPC804_CMSIS.LPC804.cmake delete mode 100644 devices/LPC804/device_LPC804_CMSIS_LPC804.cmake create mode 100644 devices/LPC804/device_LPC804_startup.LPC804.cmake delete mode 100644 devices/LPC804/device_LPC804_startup_LPC804.cmake create mode 100644 devices/LPC804/device_LPC804_system.LPC804.cmake delete mode 100644 devices/LPC804/device_LPC804_system_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_capt.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_capt_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_clock.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_clock_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_common.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_common_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_ctimer.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_ctimer_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_iap.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_iap_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_lpc_acomp.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_lpc_acomp_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_lpc_adc.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_lpc_adc_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_lpc_crc.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_lpc_crc_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_lpc_dac.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_lpc_dac_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_lpc_gpio.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_lpc_gpio_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_lpc_i2c.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_lpc_i2c_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_lpc_iocon_lite.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_lpc_iocon_lite_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_lpc_minispi.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_lpc_minispi_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_lpc_miniusart.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_lpc_miniusart_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_mrt.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_mrt_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_pint.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_pint_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_plu.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_plu_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_power_no_lib.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_power_no_lib_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_reset.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_reset_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_rom_api.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_rom_api_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_swm.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_swm_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_swm_connections.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_swm_connections_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_syscon.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_syscon_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_syscon_connections.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_syscon_connections_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_wkt.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_wkt_LPC804.cmake create mode 100644 devices/LPC804/drivers/driver_wwdt.LPC804.cmake delete mode 100644 devices/LPC804/drivers/driver_wwdt_LPC804.cmake mode change 100644 => 100755 devices/LPC804/drivers/fsl_iap.c create mode 100644 devices/LPC804/project_template/DEVICES_Project_Template_LPC804.LPC804.cmake create mode 100644 devices/LPC804/utilities/debug_console_lite/utility_assert_lite.LPC804.cmake delete mode 100644 devices/LPC804/utilities/debug_console_lite/utility_assert_lite_LPC804.cmake create mode 100644 devices/LPC804/utilities/fsl_syscall_stub.c create mode 100644 devices/LPC804/utilities/utilities_misc_utilities.LPC804.cmake delete mode 100644 devices/LPC804/utilities/utilities_misc_utilities_LPC804.cmake create mode 100644 devices/LPC804/utilities/utility_debug_console_lite.LPC804.cmake delete mode 100644 devices/LPC804/utilities/utility_debug_console_lite_LPC804.cmake delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/a00078.html delete mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/a00078.js create mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/a00092.js create mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/a00093.html rename docs/MCUXpresso SDK API Reference Manual_LPC804/{a00094.html => a00095.html} (98%) create mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_65.html create mode 100644 docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_65.js delete mode 100644 docs/safety/IEC60730B_Example_Release_Notes_v4_3.pdf delete mode 100644 docs/safety/IEC60730B_Example_User_Guide_LPC_CM0_v4_3.pdf delete mode 100644 docs/safety/IEC60730B_Library_Release_Notes_CM0_v4_3.pdf delete mode 100644 docs/safety/IEC60730B_Library_User_Guide_CM0_v4_3.pdf create mode 100644 middleware/bm/middleware_baremetal.LPC804.cmake create mode 100644 middleware/bm/readme.txt delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/AMCLIB/Include/AMCLIB_AngleTrackObsrv_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/AMCLIB/Include/AMCLIB_CtrlFluxWkng_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/AMCLIB/Include/AMCLIB_PMSMBemfObsrvABHw_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/AMCLIB/Include/AMCLIB_PMSMBemfObsrvAB_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/AMCLIB/Include/AMCLIB_PMSMBemfObsrvDQHw_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/AMCLIB/Include/AMCLIB_PMSMBemfObsrvDQ_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/AMCLIB/Include/AMCLIB_TrackObsrv_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/AMCLIB/Include/amclib.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/AMCLIB/Include/amclib_types.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/AMCLIB/libAMCLIB.a delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GDFLIB/Include/GDFLIB_FilterExp_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GDFLIB/Include/GDFLIB_FilterIIR1_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GDFLIB/Include/GDFLIB_FilterIIR2_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GDFLIB/Include/GDFLIB_FilterMA_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GDFLIB/Include/GDFLIB_FilterMA_A32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GDFLIB/Include/gdflib.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GDFLIB/Include/gdflib_types.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GDFLIB/libGDFLIB.a delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_AtanYXHw_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_AtanYX_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_Atan_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_CtrlBetaIPp_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_CtrlPIp_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_DFlexRampHw_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_DFlexRamp_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_DRamp_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_DRamp_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_FlexRampHw_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_FlexRamp_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_Hyst_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_Integrator_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_Limit_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_Limit_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_LowerLimit_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_LowerLimit_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_LutPer_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_LutPer_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_Lut_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_Lut_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_Ramp_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_Ramp_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_Ramp_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_Ramp_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_SinCos_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_SqrtHw_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_SqrtHw_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_SqrtHw_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_SqrtHw_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_Sqrt_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_Sqrt_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_UpperLimit_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_UpperLimit_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_VectorLimit1Hw_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/GFLIB_VectorLimit1_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/gflib.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/Include/gflib_types.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GFLIB/libGFLIB.a delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GMCLIB/Include/GMCLIB_Clark_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GMCLIB/Include/GMCLIB_DTCompLut1D_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GMCLIB/Include/GMCLIB_Decoupling_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GMCLIB/Include/GMCLIB_ElimDcBusRipHw_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GMCLIB/Include/GMCLIB_ElimDcBusRipHw_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GMCLIB/Include/GMCLIB_ElimDcBusRip_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GMCLIB/Include/GMCLIB_ElimDcBusRip_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GMCLIB/Include/GMCLIB_Park_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GMCLIB/Include/GMCLIB_Svm_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GMCLIB/Include/gmclib.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GMCLIB/Include/gmclib_types.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/GMCLIB/libGMCLIB.a delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Abs_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Abs_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Abs_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Abs_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Add4_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Add4_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Add4_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Add4_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Add_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Add_A32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Add_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Add_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Add_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Add_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_BiShift_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_BiShift_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_BiShift_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_BiShift_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Clb_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Clb_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Conv_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Div1Q_A32_Asm.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Div1Q_F16_Asm.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Div1Q_F32_Asm.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_DivHw1Q_A32_Asm.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_DivHw1Q_F16_Asm.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_DivHw1Q_F32_Asm.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_DivHw_A32_Asm.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_DivHw_F16_Asm.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_DivHw_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_DivHw_F32_Asm.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Div_A32_Asm.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Div_F16_Asm.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Div_F32_Asm.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Log2_U16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MMDVSQ.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mac4Rnd_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mac4Rnd_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mac4Rnd_F32_Asm.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mac4_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MacRnd_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MacRnd_A32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MacRnd_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MacRnd_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MacRnd_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MacRnd_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mac_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mac_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mac_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mac_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mac_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MnacRnd_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MnacRnd_A32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MnacRnd_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MnacRnd_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MnacRnd_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MnacRnd_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mnac_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mnac_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mnac_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mnac_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mnac_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Msu4Rnd_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Msu4Rnd_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Msu4Rnd_F32_Asm.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Msu4_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MsuRnd_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MsuRnd_A32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MsuRnd_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MsuRnd_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MsuRnd_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MsuRnd_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Msu_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Msu_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Msu_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Msu_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Msu_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MulRnd_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MulRnd_A32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MulRnd_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MulRnd_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MulRnd_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_MulRnd_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mul_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mul_A32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mul_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mul_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mul_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Mul_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Neg_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Neg_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Neg_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Neg_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Rcp1Q_A32_Asm.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_RcpHw1Q_A32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_RcpHw_A32_Asm.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Rcp_A32_Asm.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Rnd_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Rnd_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Sat_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Sat_A32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Shift_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Shift_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Shift_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Shift_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Sign_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Sign_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Sub4_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Sub4_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Sub4_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Sub4_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Sub_A32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Sub_A32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Sub_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Sub_F16_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Sub_F32.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/MLIB_Sub_F32_Asmi.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/RTCESL_cfg.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/asm_mac.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/mlib.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/Include/mlib_types.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/MLIB/libMLIB.a delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/PCLIB/Include/PCLIB_Ctrl2P2Z_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/PCLIB/Include/PCLIB_Ctrl3P3Z_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/PCLIB/Include/PCLIB_CtrlPID_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/PCLIB/Include/PCLIB_CtrlPI_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/PCLIB/Include/PCLIB_CtrlPIandLPFilter_F16.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/PCLIB/Include/pclib.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/PCLIB/Include/pclib_types.h delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_MCUX/PCLIB/libPCLIB.a delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_doc/CM0AMCLIBUG.pdf delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_doc/CM0GDFLIBUG.pdf delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_doc/CM0GFLIBUG.pdf delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_doc/CM0GMCLIBUG.pdf delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_doc/CM0MLIBUG.pdf delete mode 100644 middleware/rtcesl/CM0_RTCESL_4.7.1_doc/CM0PCLIBUG.pdf delete mode 100644 middleware/safety_iec60730b/boards/common/cm0/startup/cm0/start.c delete mode 100644 middleware/safety_iec60730b/boards/common/cm0/startup/cm0/startup_mcux.c delete mode 100644 middleware/safety_iec60730b/boards/common/cm0/startup/cm0/vectors_mcux.c delete mode 100644 middleware/safety_iec60730b/boards/common/cm0/startup/cm0/vectors_mcux.h delete mode 100644 middleware/safety_iec60730b/safety/v4_3/common_test/aio/iec60730b_aio.h delete mode 100644 middleware/safety_iec60730b/safety/v4_3/common_test/clock/iec60730b_clock.h delete mode 100644 middleware/safety_iec60730b/safety/v4_3/common_test/dio/iec60730b_dio.h delete mode 100644 middleware/safety_iec60730b/safety/v4_3/common_test/dio/iec60730b_dio_ext.h delete mode 100644 middleware/safety_iec60730b/safety/v4_3/common_test/flash/iec60730b_invariable_memory.h delete mode 100644 middleware/safety_iec60730b/safety/v4_3/common_test/tsi/iec60730b_tsi.h delete mode 100644 middleware/safety_iec60730b/safety/v4_3/common_test/wdog/iec60730b_wdog.c delete mode 100644 middleware/safety_iec60730b/safety/v4_3/common_test/wdog/iec60730b_wdog.h delete mode 100644 middleware/safety_iec60730b/safety/v4_3/compiler/asm_mac_common.h delete mode 100644 middleware/safety_iec60730b/safety/v4_3/core_test/cm0/flash/iec60730b_cm0_flash.h delete mode 100644 middleware/safety_iec60730b/safety/v4_3/core_test/cm0/iec60730b_core.h delete mode 100644 middleware/safety_iec60730b/safety/v4_3/core_test/cm0/programCounter/iec60730b_cm0_pc.h delete mode 100644 middleware/safety_iec60730b/safety/v4_3/core_test/cm0/programCounter/iec60730b_cm0_pc_object.S delete mode 100644 middleware/safety_iec60730b/safety/v4_3/core_test/cm0/ram/iec60730b_cm0_ram.h delete mode 100644 middleware/safety_iec60730b/safety/v4_3/core_test/cm0/register/iec60730b_cm0_reg.h delete mode 100644 middleware/safety_iec60730b/safety/v4_3/core_test/cm0/stack/iec60730b_cm0_stack.h delete mode 100644 middleware/safety_iec60730b/safety/v4_3/iec60730b.h delete mode 100644 middleware/safety_iec60730b/safety/v4_3/iec60730b_types.h delete mode 100644 middleware/safety_iec60730b/safety/v4_3/libIEC60730B_M0_COM_MCUX_v4_3.a delete mode 100644 middleware/safety_iec60730b/safety/v4_3/libIEC60730B_M0_MCUX_v4_1.a delete mode 100644 middleware/safety_iec60730b/tools/crc/crc_hex.bat delete mode 100644 tools/srecord/srec_cat.exe delete mode 100644 tools/srecord/srecord-1.64.zip diff --git a/CMSIS/CMSIS_v3.yml b/CMSIS/CMSIS_v3.yml new file mode 100644 index 0000000..41284b7 --- /dev/null +++ b/CMSIS/CMSIS_v3.yml @@ -0,0 +1,2188 @@ +--- +# yaml-language-server: $schema=../../schema/component_set_schema.json + +## CMSIS Core component +CMSIS_Include_core_cm: + section-type: component + contents: + repo_base_path: CMSIS/Core/Include + project_base_path: CMSIS + cc-include: + - repo_relative_path: "./" + files: + - source: cmsis_armcc.h + compilers: armcc + - source: cmsis_armclang.h + compilers: armclang + - source: cmsis_armclang_ltm.h + compilers: armclang + - source: cmsis_compiler.h + compilers: armclang armcc gcc iar + - source: cmsis_gcc.h + compilers: gcc + - source: cmsis_iccarm.h + compilers: iar + - source: cmsis_version.h + compilers: armclang armcc gcc iar + - source: core_cm0.h + cores: cm0 + - source: core_cm0plus.h + cores: cm0p + - source: core_cm23.h + cores: cm23 + - source: core_cm3.h + cores: cm3 + - source: core_cm33.h + cores: cm33 cm33f + - source: core_cm4.h + cores: cm4 cm4f + - source: core_cm7.h + cores: cm7 cm7f + - source: cachel1_armv7.h + cores: cm7 cm7f + - source: mpu_armv7.h + cores: cm0 cm0p cm3 cm4 cm4f cm7 cm7f + - source: mpu_armv8.h + cores: cm23 cm33 cm33f + - source: tz_context.h + trustzone: TZ + cores: cm23 cm33 cm33f + section_info: + version: 5.5.0 + type: CMSIS_Include + full_name: CMSIS Include For Cortex-M, ARMv8-M, ARMv8.1-M + description: CMSIS-CORE for Cortex-M, ARMv8-M, ARMv8.1-M + display_name: CMSIS_Include_CM + taxonomy: + belong_to: CMSIS + cgroup: CORE + belong_to: set.CMSIS + +CMSIS_Include_core_ca7: + section-type: component + contents: + repo_base_path: CMSIS/Core_A + project_base_path: CMSIS + cc-include: + - repo_relative_path: "./" + files: + - source: Include/cmsis_armcc.h + compilers: armcc + - source: Include/cmsis_armclang.h + compilers: armclang + - source: Include/cmsis_gcc.h + compilers: gcc + - source: Include/cmsis_compiler.h + compilers: armclang armcc gcc iar + - source: Include/cmsis_cp15.h + compilers: armclang armcc gcc iar + - source: Include/cmsis_iccarm.h + compilers: iar + - source: Include/core_ca.h + cores: ca7 + - source: Include/irq_ctrl.h + compilers: armclang armcc gcc iar + - source: Source/irq_ctrl_gic.c + compilers: armclang armcc gcc iar + dependency: + allOf: + - core: + - ca7 + section_info: + version: 1.2.1 + type: CMSIS_Include + full_name: CMSIS Include For Cortex-A + description: CMSIS-CORE for Cortex-A + display_name: CMSIS_Include_CA7 + taxonomy: + belong_to: CMSIS + cgroup: CORE + belong_to: set.CMSIS + +# Only KEX needs such container to make sure that all files are packed. +container.CMSIS_all_files: + section-type: container + contents: + repo_base_path: CMSIS + package_base_path: CMSIS + files: + - source: Driver/** + - source: "*.*" + - source: DSP/Source/** + section_info: + product: + cmsis_pack: + supported: false + belong_to: set.CMSIS + +CMSIS_DSP_Include: + section-type: component + contents: + repo_base_path: CMSIS/DSP + project_base_path: CMSIS/DSP + cc-include: + - repo_relative_path: Include + - repo_relative_path: PrivateInclude + files: + - source: README.md + hidden: true + exclude: true + - source: Include/arm_common_tables.h + - source: Include/arm_const_structs.h + - source: Include/arm_math.h + - source: Include/arm_common_tables_f16.h + - source: Include/arm_const_structs_f16.h + - source: Include/arm_helium_utils.h + - source: Include/arm_math_f16.h + - source: Include/arm_math_memory.h + - source: Include/arm_math_types.h + - source: Include/arm_math_types_f16.h + - source: Include/arm_mve_tables.h + - source: Include/arm_mve_tables_f16.h + - source: Include/arm_vec_math.h + - source: Include/arm_vec_math_f16.h + - source: Include/dsp/basic_math_functions.h + - source: Include/dsp/basic_math_functions_f16.h + - source: Include/dsp/bayes_functions.h + - source: Include/dsp/bayes_functions_f16.h + - source: Include/dsp/complex_math_functions.h + - source: Include/dsp/complex_math_functions_f16.h + - source: Include/dsp/controller_functions.h + - source: Include/dsp/controller_functions_f16.h + - source: Include/dsp/distance_functions.h + - source: Include/dsp/distance_functions_f16.h + - source: Include/dsp/fast_math_functions.h + - source: Include/dsp/fast_math_functions_f16.h + - source: Include/dsp/filtering_functions.h + - source: Include/dsp/filtering_functions_f16.h + - source: Include/dsp/interpolation_functions.h + - source: Include/dsp/interpolation_functions_f16.h + - source: Include/dsp/matrix_functions.h + - source: Include/dsp/matrix_functions_f16.h + - source: Include/dsp/none.h + - source: Include/dsp/quaternion_math_functions.h + - source: Include/dsp/statistics_functions.h + - source: Include/dsp/statistics_functions_f16.h + - source: Include/dsp/support_functions.h + - source: Include/dsp/support_functions_f16.h + - source: Include/dsp/svm_defines.h + - source: Include/dsp/svm_functions.h + - source: Include/dsp/svm_functions_f16.h + - source: Include/dsp/transform_functions.h + - source: Include/dsp/transform_functions_f16.h + - source: Include/dsp/utils.h + - source: PrivateInclude/arm_sorting.h + - source: PrivateInclude/arm_vec_fft.h + - source: PrivateInclude/arm_vec_filtering.h + section_info: + version: 1.9.0 + type: CMSIS_driver + full_name: CMSIS DSP Library Header + description: CMSIS-DSP Library Header + display_name: CMSIS_DSP_Library_Header + taxonomy: + belong_to: CMSIS + cgroup: DSP + csub: include + product: + cmsis_pack: + supported: false + belong_to: set.CMSIS_DSP_Lib + +CMSIS_DSP_Source: + section-type: component + contents: + configuration: + cc-define: + DISABLEFLOAT16: + repo_base_path: CMSIS/DSP + project_base_path: CMSIS/DSP + cc-include: + - repo_relative_path: Include + - repo_relative_path: PrivateInclude + - repo_relative_path: Source/DistanceFunctions + files: + - source: README.md + hidden: true + exclude: true + - source: Include/arm_common_tables.h + - source: Include/arm_const_structs.h + - source: Include/arm_math.h + - source: Include/arm_common_tables_f16.h + - source: Include/arm_const_structs_f16.h + - source: Include/arm_helium_utils.h + - source: Include/arm_math_f16.h + - source: Include/arm_math_memory.h + - source: Include/arm_math_types.h + - source: Include/arm_math_types_f16.h + - source: Include/arm_mve_tables.h + - source: Include/arm_mve_tables_f16.h + - source: Include/arm_vec_math.h + - source: Include/arm_vec_math_f16.h + - source: Include/dsp/basic_math_functions.h + - source: Include/dsp/basic_math_functions_f16.h + - source: Include/dsp/bayes_functions.h + - source: Include/dsp/bayes_functions_f16.h + - source: Include/dsp/complex_math_functions.h + - source: Include/dsp/complex_math_functions_f16.h + - source: Include/dsp/controller_functions.h + - source: Include/dsp/controller_functions_f16.h + - source: Include/dsp/distance_functions.h + - source: Include/dsp/distance_functions_f16.h + - source: Include/dsp/fast_math_functions.h + - source: Include/dsp/fast_math_functions_f16.h + - source: Include/dsp/filtering_functions.h + - source: Include/dsp/filtering_functions_f16.h + - source: Include/dsp/interpolation_functions.h + - source: Include/dsp/interpolation_functions_f16.h + - source: Include/dsp/matrix_functions.h + - source: Include/dsp/matrix_functions_f16.h + - source: Include/dsp/none.h + - source: Include/dsp/quaternion_math_functions.h + - source: Include/dsp/statistics_functions.h + - source: Include/dsp/statistics_functions_f16.h + - source: Include/dsp/support_functions.h + - source: Include/dsp/support_functions_f16.h + - source: Include/dsp/svm_defines.h + - source: Include/dsp/svm_functions.h + - source: Include/dsp/svm_functions_f16.h + - source: Include/dsp/transform_functions.h + - source: Include/dsp/transform_functions_f16.h + - source: Include/dsp/utils.h + - source: PrivateInclude/arm_sorting.h + - source: PrivateInclude/arm_vec_fft.h + - source: PrivateInclude/arm_vec_filtering.h + + - source: Source/BasicMathFunctions/BasicMathFunctions.c + - source: Source/BasicMathFunctions/arm_abs_f32.c + exclude: true + - source: Source/BasicMathFunctions/arm_abs_q15.c + exclude: true + - source: Source/BasicMathFunctions/arm_abs_q31.c + exclude: true + - source: Source/BasicMathFunctions/arm_abs_q7.c + exclude: true + - source: Source/BasicMathFunctions/arm_add_f32.c + exclude: true + - source: Source/BasicMathFunctions/arm_add_q15.c + exclude: true + - source: Source/BasicMathFunctions/arm_add_q31.c + exclude: true + - source: Source/BasicMathFunctions/arm_add_q7.c + exclude: true + - source: Source/BasicMathFunctions/arm_and_u16.c + exclude: true + - source: Source/BasicMathFunctions/arm_and_u32.c + exclude: true + - source: Source/BasicMathFunctions/arm_and_u8.c + exclude: true + - source: Source/BasicMathFunctions/arm_clip_f32.c + exclude: true + - source: Source/BasicMathFunctions/arm_clip_q15.c + exclude: true + - source: Source/BasicMathFunctions/arm_clip_q31.c + exclude: true + - source: Source/BasicMathFunctions/arm_clip_q7.c + exclude: true + - source: Source/BasicMathFunctions/arm_dot_prod_f32.c + exclude: true + - source: Source/BasicMathFunctions/arm_dot_prod_q15.c + exclude: true + - source: Source/BasicMathFunctions/arm_dot_prod_q31.c + exclude: true + - source: Source/BasicMathFunctions/arm_dot_prod_q7.c + exclude: true + - source: Source/BasicMathFunctions/arm_mult_f32.c + exclude: true + - source: Source/BasicMathFunctions/arm_mult_q15.c + exclude: true + - source: Source/BasicMathFunctions/arm_mult_q31.c + exclude: true + - source: Source/BasicMathFunctions/arm_mult_q7.c + exclude: true + - source: Source/BasicMathFunctions/arm_negate_f32.c + exclude: true + - source: Source/BasicMathFunctions/arm_negate_q15.c + exclude: true + - source: Source/BasicMathFunctions/arm_negate_q31.c + exclude: true + - source: Source/BasicMathFunctions/arm_negate_q7.c + exclude: true + - source: Source/BasicMathFunctions/arm_not_u16.c + exclude: true + - source: Source/BasicMathFunctions/arm_not_u32.c + exclude: true + - source: Source/BasicMathFunctions/arm_not_u8.c + exclude: true + - source: Source/BasicMathFunctions/arm_offset_f32.c + exclude: true + - source: Source/BasicMathFunctions/arm_offset_q15.c + exclude: true + - source: Source/BasicMathFunctions/arm_offset_q31.c + exclude: true + - source: Source/BasicMathFunctions/arm_offset_q7.c + exclude: true + - source: Source/BasicMathFunctions/arm_or_u16.c + exclude: true + - source: Source/BasicMathFunctions/arm_or_u32.c + exclude: true + - source: Source/BasicMathFunctions/arm_or_u8.c + exclude: true + - source: Source/BasicMathFunctions/arm_scale_f32.c + exclude: true + - source: Source/BasicMathFunctions/arm_scale_q15.c + exclude: true + - source: Source/BasicMathFunctions/arm_scale_q31.c + exclude: true + - source: Source/BasicMathFunctions/arm_scale_q7.c + exclude: true + - source: Source/BasicMathFunctions/arm_shift_q15.c + exclude: true + - source: Source/BasicMathFunctions/arm_shift_q31.c + exclude: true + - source: Source/BasicMathFunctions/arm_shift_q7.c + exclude: true + - source: Source/BasicMathFunctions/arm_sub_f32.c + exclude: true + - source: Source/BasicMathFunctions/arm_sub_q15.c + exclude: true + - source: Source/BasicMathFunctions/arm_sub_q31.c + exclude: true + - source: Source/BasicMathFunctions/arm_sub_q7.c + exclude: true + - source: Source/BasicMathFunctions/arm_xor_u16.c + exclude: true + - source: Source/BasicMathFunctions/arm_xor_u32.c + exclude: true + - source: Source/BasicMathFunctions/arm_xor_u8.c + exclude: true + + - source: Source/BasicMathFunctions/BasicMathFunctionsF16.c + - source: Source/BasicMathFunctions/arm_abs_f16.c + exclude: true + - source: Source/BasicMathFunctions/arm_add_f16.c + exclude: true + - source: Source/BasicMathFunctions/arm_clip_f16.c + exclude: true + - source: Source/BasicMathFunctions/arm_dot_prod_f16.c + exclude: true + - source: Source/BasicMathFunctions/arm_mult_f16.c + exclude: true + - source: Source/BasicMathFunctions/arm_negate_f16.c + exclude: true + - source: Source/BasicMathFunctions/arm_offset_f16.c + exclude: true + - source: Source/BasicMathFunctions/arm_scale_f16.c + exclude: true + - source: Source/BasicMathFunctions/arm_sub_f16.c + exclude: true + + - source: Source/BayesFunctions/BayesFunctions.c + - source: Source/BayesFunctions/arm_gaussian_naive_bayes_predict_f32.c + exclude: true + + - source: Source/BayesFunctions/BayesFunctionsF16.c + - source: Source/BayesFunctions/arm_gaussian_naive_bayes_predict_f16.c + exclude: true + + - source: Source/CommonTables/CommonTables.c + - source: Source/CommonTables/arm_common_tables.c + exclude: true + - source: Source/CommonTables/arm_const_structs.c + exclude: true + - source: Source/CommonTables/arm_mve_tables.c + exclude: true + + - source: Source/CommonTables/CommonTablesF16.c + - source: Source/CommonTables/arm_common_tables_f16.c + exclude: true + - source: Source/CommonTables/arm_const_structs_f16.c + exclude: true + - source: Source/CommonTables/arm_mve_tables_f16.c + exclude: true + + - source: Source/ComplexMathFunctions/ComplexMathFunctions.c + - source: Source/ComplexMathFunctions/arm_cmplx_conj_f32.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_conj_q15.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_conj_q31.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_dot_prod_f32.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_dot_prod_q15.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_dot_prod_q31.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_mag_f32.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_mag_q15.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_mag_q31.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_mag_squared_f32.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_mag_squared_q15.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_mag_squared_q31.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_mult_cmplx_f32.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_mult_cmplx_q15.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_mult_cmplx_q31.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_mult_real_f32.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_mult_real_q15.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_mult_real_q31.c + exclude: true + + - source: Source/ComplexMathFunctions/ComplexMathFunctionsF16.c + - source: Source/ComplexMathFunctions/arm_cmplx_conj_f16.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_dot_prod_f16.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_mag_f16.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_mag_squared_f16.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_mult_cmplx_f16.c + exclude: true + - source: Source/ComplexMathFunctions/arm_cmplx_mult_real_f16.c + exclude: true + + - source: Source/ControllerFunctions/ControllerFunctions.c + - source: Source/ControllerFunctions/arm_pid_init_f32.c + exclude: true + - source: Source/ControllerFunctions/arm_pid_init_q15.c + exclude: true + - source: Source/ControllerFunctions/arm_pid_init_q31.c + exclude: true + - source: Source/ControllerFunctions/arm_pid_reset_f32.c + exclude: true + - source: Source/ControllerFunctions/arm_pid_reset_q15.c + exclude: true + - source: Source/ControllerFunctions/arm_pid_reset_q31.c + exclude: true + - source: Source/ControllerFunctions/arm_sin_cos_f32.c + exclude: true + - source: Source/ControllerFunctions/arm_sin_cos_q31.c + exclude: true + + - source: Source/DistanceFunctions/DistanceFunctions.c + - source: Source/DistanceFunctions/arm_boolean_distance_template.h + - source: Source/DistanceFunctions/arm_boolean_distance.c + exclude: true + - source: Source/DistanceFunctions/arm_braycurtis_distance_f32.c + exclude: true + - source: Source/DistanceFunctions/arm_canberra_distance_f32.c + exclude: true + - source: Source/DistanceFunctions/arm_chebyshev_distance_f32.c + exclude: true + - source: Source/DistanceFunctions/arm_cityblock_distance_f32.c + exclude: true + - source: Source/DistanceFunctions/arm_correlation_distance_f32.c + exclude: true + - source: Source/DistanceFunctions/arm_cosine_distance_f32.c + exclude: true + - source: Source/DistanceFunctions/arm_dice_distance.c + exclude: true + - source: Source/DistanceFunctions/arm_euclidean_distance_f32.c + exclude: true + - source: Source/DistanceFunctions/arm_hamming_distance.c + exclude: true + - source: Source/DistanceFunctions/arm_jaccard_distance.c + exclude: true + - source: Source/DistanceFunctions/arm_jensenshannon_distance_f32.c + exclude: true + - source: Source/DistanceFunctions/arm_kulsinski_distance.c + exclude: true + - source: Source/DistanceFunctions/arm_minkowski_distance_f32.c + exclude: true + - source: Source/DistanceFunctions/arm_rogerstanimoto_distance.c + exclude: true + - source: Source/DistanceFunctions/arm_russellrao_distance.c + exclude: true + - source: Source/DistanceFunctions/arm_sokalmichener_distance.c + exclude: true + - source: Source/DistanceFunctions/arm_sokalsneath_distance.c + exclude: true + - source: Source/DistanceFunctions/arm_yule_distance.c + exclude: true + + - source: Source/DistanceFunctions/DistanceFunctionsF16.c + - source: Source/DistanceFunctions/arm_braycurtis_distance_f16.c + exclude: true + - source: Source/DistanceFunctions/arm_canberra_distance_f16.c + exclude: true + - source: Source/DistanceFunctions/arm_chebyshev_distance_f16.c + exclude: true + - source: Source/DistanceFunctions/arm_cityblock_distance_f16.c + exclude: true + - source: Source/DistanceFunctions/arm_correlation_distance_f16.c + exclude: true + - source: Source/DistanceFunctions/arm_cosine_distance_f16.c + exclude: true + - source: Source/DistanceFunctions/arm_euclidean_distance_f16.c + exclude: true + - source: Source/DistanceFunctions/arm_jensenshannon_distance_f16.c + exclude: true + - source: Source/DistanceFunctions/arm_minkowski_distance_f16.c + exclude: true + + - source: Source/FastMathFunctions/FastMathFunctions.c + - source: Source/FastMathFunctions/arm_cos_f32.c + exclude: true + - source: Source/FastMathFunctions/arm_cos_q15.c + exclude: true + - source: Source/FastMathFunctions/arm_cos_q31.c + exclude: true + - source: Source/FastMathFunctions/arm_divide_q15.c + exclude: true + - source: Source/FastMathFunctions/arm_sin_f32.c + exclude: true + - source: Source/FastMathFunctions/arm_sin_q15.c + exclude: true + - source: Source/FastMathFunctions/arm_sin_q31.c + exclude: true + - source: Source/FastMathFunctions/arm_sqrt_q15.c + exclude: true + - source: Source/FastMathFunctions/arm_sqrt_q31.c + exclude: true + - source: Source/FastMathFunctions/arm_vexp_f32.c + exclude: true + - source: Source/FastMathFunctions/arm_vlog_f32.c + exclude: true + + - source: Source/FastMathFunctions/FastMathFunctionsF16.c + - source: Source/FastMathFunctions/arm_vexp_f16.c + exclude: true + - source: Source/FastMathFunctions/arm_vinverse_f16.c + exclude: true + - source: Source/FastMathFunctions/arm_vlog_f16.c + exclude: true + + - source: Source/FilteringFunctions/FilteringFunctions.c + - source: Source/FilteringFunctions/arm_biquad_cascade_df1_32x64_init_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_df1_32x64_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_df1_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_df1_fast_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_df1_fast_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_df1_init_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_df1_init_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_df1_init_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_df1_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_df1_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_df2T_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_df2T_f64.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_df2T_init_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_df2T_init_f64.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_stereo_df2T_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_stereo_df2T_init_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_conv_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_conv_fast_opt_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_conv_fast_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_conv_fast_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_conv_opt_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_conv_opt_q7.c + exclude: true + - source: Source/FilteringFunctions/arm_conv_partial_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_conv_partial_fast_opt_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_conv_partial_fast_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_conv_partial_fast_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_conv_partial_opt_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_conv_partial_opt_q7.c + exclude: true + - source: Source/FilteringFunctions/arm_conv_partial_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_conv_partial_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_conv_partial_q7.c + exclude: true + - source: Source/FilteringFunctions/arm_conv_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_conv_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_conv_q7.c + exclude: true + - source: Source/FilteringFunctions/arm_correlate_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_correlate_fast_opt_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_correlate_fast_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_correlate_fast_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_correlate_opt_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_correlate_opt_q7.c + exclude: true + - source: Source/FilteringFunctions/arm_correlate_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_correlate_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_correlate_q7.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_decimate_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_decimate_fast_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_decimate_fast_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_decimate_init_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_decimate_init_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_decimate_init_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_decimate_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_decimate_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_fast_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_fast_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_init_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_init_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_init_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_init_q7.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_interpolate_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_interpolate_init_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_interpolate_init_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_interpolate_init_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_interpolate_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_interpolate_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_lattice_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_lattice_init_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_lattice_init_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_lattice_init_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_lattice_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_lattice_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_q7.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_sparse_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_sparse_init_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_sparse_init_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_sparse_init_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_sparse_init_q7.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_sparse_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_sparse_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_sparse_q7.c + exclude: true + - source: Source/FilteringFunctions/arm_iir_lattice_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_iir_lattice_init_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_iir_lattice_init_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_iir_lattice_init_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_iir_lattice_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_iir_lattice_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_levinson_durbin_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_levinson_durbin_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_lms_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_lms_init_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_lms_init_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_lms_init_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_lms_norm_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_lms_norm_init_f32.c + exclude: true + - source: Source/FilteringFunctions/arm_lms_norm_init_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_lms_norm_init_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_lms_norm_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_lms_norm_q31.c + exclude: true + - source: Source/FilteringFunctions/arm_lms_q15.c + exclude: true + - source: Source/FilteringFunctions/arm_lms_q31.c + exclude: true + + - source: Source/FilteringFunctions/FilteringFunctionsF16.c + - source: Source/FilteringFunctions/arm_biquad_cascade_df1_f16.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_df1_init_f16.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_df2T_f16.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_df2T_init_f16.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_stereo_df2T_f16.c + exclude: true + - source: Source/FilteringFunctions/arm_biquad_cascade_stereo_df2T_init_f16.c + exclude: true + - source: Source/FilteringFunctions/arm_correlate_f16.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_f16.c + exclude: true + - source: Source/FilteringFunctions/arm_fir_init_f16.c + exclude: true + - source: Source/FilteringFunctions/arm_levinson_durbin_f16.c + exclude: true + + - source: Source/InterpolationFunctions/InterpolationFunctions.c + - source: Source/InterpolationFunctions/arm_bilinear_interp_f32.c + exclude: true + - source: Source/InterpolationFunctions/arm_bilinear_interp_q15.c + exclude: true + - source: Source/InterpolationFunctions/arm_bilinear_interp_q31.c + exclude: true + - source: Source/InterpolationFunctions/arm_bilinear_interp_q7.c + exclude: true + - source: Source/InterpolationFunctions/arm_linear_interp_f32.c + exclude: true + - source: Source/InterpolationFunctions/arm_linear_interp_q15.c + exclude: true + - source: Source/InterpolationFunctions/arm_linear_interp_q31.c + exclude: true + - source: Source/InterpolationFunctions/arm_linear_interp_q7.c + exclude: true + - source: Source/InterpolationFunctions/arm_spline_interp_f32.c + exclude: true + - source: Source/InterpolationFunctions/arm_spline_interp_init_f32.c + exclude: true + + - source: Source/InterpolationFunctions/InterpolationFunctionsF16.c + - source: Source/InterpolationFunctions/arm_bilinear_interp_f16.c + exclude: true + - source: Source/InterpolationFunctions/arm_linear_interp_f16.c + exclude: true + + - source: Source/MatrixFunctions/MatrixFunctions.c + - source: Source/MatrixFunctions/arm_mat_add_f32.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_add_q15.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_add_q31.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_cholesky_f32.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_cholesky_f64.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_cmplx_mult_f32.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_cmplx_mult_q15.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_cmplx_mult_q31.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_cmplx_trans_f32.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_cmplx_trans_q15.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_cmplx_trans_q31.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_init_f32.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_init_q15.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_init_q31.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_inverse_f32.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_inverse_f64.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_ldlt_f32.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_ldlt_f64.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_mult_f32.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_mult_f64.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_mult_fast_q15.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_mult_fast_q31.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_mult_q15.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_mult_q31.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_mult_q7.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_scale_f32.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_scale_q15.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_scale_q31.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_solve_lower_triangular_f32.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_solve_lower_triangular_f64.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_solve_upper_triangular_f32.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_solve_upper_triangular_f64.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_sub_f32.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_sub_f64.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_sub_q15.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_sub_q31.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_trans_f32.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_trans_f64.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_trans_q15.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_trans_q31.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_trans_q7.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_vec_mult_f32.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_vec_mult_q15.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_vec_mult_q31.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_vec_mult_q7.c + exclude: true + + - source: Source/MatrixFunctions/MatrixFunctionsF16.c + - source: Source/MatrixFunctions/arm_mat_add_f16.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_cholesky_f16.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_cmplx_mult_f16.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_cmplx_trans_f16.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_init_f16.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_inverse_f16.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_mult_f16.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_scale_f16.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_solve_lower_triangular_f16.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_solve_upper_triangular_f16.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_sub_f16.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_trans_f16.c + exclude: true + - source: Source/MatrixFunctions/arm_mat_vec_mult_f16.c + exclude: true + + - source: Source/QuaternionMathFunctions/QuaternionMathFunctions.c + - source: Source/QuaternionMathFunctions/arm_quaternion2rotation_f32.c + exclude: true + - source: Source/QuaternionMathFunctions/arm_quaternion_conjugate_f32.c + exclude: true + - source: Source/QuaternionMathFunctions/arm_quaternion_inverse_f32.c + exclude: true + - source: Source/QuaternionMathFunctions/arm_quaternion_norm_f32.c + exclude: true + - source: Source/QuaternionMathFunctions/arm_quaternion_normalize_f32.c + exclude: true + - source: Source/QuaternionMathFunctions/arm_quaternion_product_f32.c + exclude: true + - source: Source/QuaternionMathFunctions/arm_quaternion_product_single_f32.c + exclude: true + - source: Source/QuaternionMathFunctions/arm_rotation2quaternion_f32.c + exclude: true + + - source: Source/SVMFunctions/SVMFunctions.c + - source: Source/SVMFunctions/arm_svm_linear_init_f32.c + exclude: true + - source: Source/SVMFunctions/arm_svm_linear_predict_f32.c + exclude: true + - source: Source/SVMFunctions/arm_svm_polynomial_init_f32.c + exclude: true + - source: Source/SVMFunctions/arm_svm_polynomial_predict_f32.c + exclude: true + - source: Source/SVMFunctions/arm_svm_rbf_init_f32.c + exclude: true + - source: Source/SVMFunctions/arm_svm_rbf_predict_f32.c + exclude: true + - source: Source/SVMFunctions/arm_svm_sigmoid_init_f32.c + exclude: true + - source: Source/SVMFunctions/arm_svm_sigmoid_predict_f32.c + exclude: true + + - source: Source/SVMFunctions/SVMFunctionsF16.c + - source: Source/SVMFunctions/arm_svm_linear_init_f16.c + exclude: true + - source: Source/SVMFunctions/arm_svm_linear_predict_f16.c + exclude: true + - source: Source/SVMFunctions/arm_svm_polynomial_init_f16.c + exclude: true + - source: Source/SVMFunctions/arm_svm_polynomial_predict_f16.c + exclude: true + - source: Source/SVMFunctions/arm_svm_rbf_init_f16.c + exclude: true + - source: Source/SVMFunctions/arm_svm_rbf_predict_f16.c + exclude: true + - source: Source/SVMFunctions/arm_svm_sigmoid_init_f16.c + exclude: true + - source: Source/SVMFunctions/arm_svm_sigmoid_predict_f16.c + exclude: true + + - source: Source/StatisticsFunctions/StatisticsFunctions.c + - source: Source/StatisticsFunctions/arm_absmax_f32.c + exclude: true + - source: Source/StatisticsFunctions/arm_absmax_q15.c + exclude: true + - source: Source/StatisticsFunctions/arm_absmax_q31.c + exclude: true + - source: Source/StatisticsFunctions/arm_absmax_q7.c + exclude: true + - source: Source/StatisticsFunctions/arm_absmin_f32.c + exclude: true + - source: Source/StatisticsFunctions/arm_absmin_q15.c + exclude: true + - source: Source/StatisticsFunctions/arm_absmin_q31.c + exclude: true + - source: Source/StatisticsFunctions/arm_absmin_q7.c + exclude: true + - source: Source/StatisticsFunctions/arm_entropy_f32.c + exclude: true + - source: Source/StatisticsFunctions/arm_entropy_f64.c + exclude: true + - source: Source/StatisticsFunctions/arm_kullback_leibler_f32.c + exclude: true + - source: Source/StatisticsFunctions/arm_kullback_leibler_f64.c + exclude: true + - source: Source/StatisticsFunctions/arm_logsumexp_dot_prod_f32.c + exclude: true + - source: Source/StatisticsFunctions/arm_logsumexp_f32.c + exclude: true + - source: Source/StatisticsFunctions/arm_max_f32.c + exclude: true + - source: Source/StatisticsFunctions/arm_max_no_idx_f32.c + exclude: true + - source: Source/StatisticsFunctions/arm_max_q15.c + exclude: true + - source: Source/StatisticsFunctions/arm_max_q31.c + exclude: true + - source: Source/StatisticsFunctions/arm_max_q7.c + exclude: true + - source: Source/StatisticsFunctions/arm_mean_f32.c + exclude: true + - source: Source/StatisticsFunctions/arm_mean_q15.c + exclude: true + - source: Source/StatisticsFunctions/arm_mean_q31.c + exclude: true + - source: Source/StatisticsFunctions/arm_mean_q7.c + exclude: true + - source: Source/StatisticsFunctions/arm_min_f32.c + exclude: true + - source: Source/StatisticsFunctions/arm_min_q15.c + exclude: true + - source: Source/StatisticsFunctions/arm_min_q31.c + exclude: true + - source: Source/StatisticsFunctions/arm_min_q7.c + exclude: true + - source: Source/StatisticsFunctions/arm_power_f32.c + exclude: true + - source: Source/StatisticsFunctions/arm_power_q15.c + exclude: true + - source: Source/StatisticsFunctions/arm_power_q31.c + exclude: true + - source: Source/StatisticsFunctions/arm_power_q7.c + exclude: true + - source: Source/StatisticsFunctions/arm_rms_f32.c + exclude: true + - source: Source/StatisticsFunctions/arm_rms_q15.c + exclude: true + - source: Source/StatisticsFunctions/arm_rms_q31.c + exclude: true + - source: Source/StatisticsFunctions/arm_std_f32.c + exclude: true + - source: Source/StatisticsFunctions/arm_std_q15.c + exclude: true + - source: Source/StatisticsFunctions/arm_std_q31.c + exclude: true + - source: Source/StatisticsFunctions/arm_var_f32.c + exclude: true + - source: Source/StatisticsFunctions/arm_var_q15.c + exclude: true + - source: Source/StatisticsFunctions/arm_var_q31.c + exclude: true + + - source: Source/StatisticsFunctions/StatisticsFunctionsF16.c + - source: Source/StatisticsFunctions/arm_absmax_f16.c + exclude: true + - source: Source/StatisticsFunctions/arm_absmin_f16.c + exclude: true + - source: Source/StatisticsFunctions/arm_entropy_f16.c + exclude: true + - source: Source/StatisticsFunctions/arm_kullback_leibler_f16.c + exclude: true + - source: Source/StatisticsFunctions/arm_logsumexp_dot_prod_f16.c + exclude: true + - source: Source/StatisticsFunctions/arm_logsumexp_f16.c + exclude: true + - source: Source/StatisticsFunctions/arm_max_f16.c + exclude: true + - source: Source/StatisticsFunctions/arm_max_no_idx_f16.c + exclude: true + - source: Source/StatisticsFunctions/arm_mean_f16.c + exclude: true + - source: Source/StatisticsFunctions/arm_min_f16.c + exclude: true + - source: Source/StatisticsFunctions/arm_power_f16.c + exclude: true + - source: Source/StatisticsFunctions/arm_rms_f16.c + exclude: true + - source: Source/StatisticsFunctions/arm_std_f16.c + exclude: true + - source: Source/StatisticsFunctions/arm_var_f16.c + exclude: true + + - source: Source/SupportFunctions/SupportFunctions.c + - source: Source/SupportFunctions/arm_barycenter_f32.c + exclude: true + - source: Source/SupportFunctions/arm_bitonic_sort_f32.c + exclude: true + - source: Source/SupportFunctions/arm_bubble_sort_f32.c + exclude: true + - source: Source/SupportFunctions/arm_copy_f32.c + exclude: true + - source: Source/SupportFunctions/arm_copy_q15.c + exclude: true + - source: Source/SupportFunctions/arm_copy_q31.c + exclude: true + - source: Source/SupportFunctions/arm_copy_q7.c + exclude: true + - source: Source/SupportFunctions/arm_fill_f32.c + exclude: true + - source: Source/SupportFunctions/arm_fill_q15.c + exclude: true + - source: Source/SupportFunctions/arm_fill_q31.c + exclude: true + - source: Source/SupportFunctions/arm_fill_q7.c + exclude: true + - source: Source/SupportFunctions/arm_float_to_q15.c + exclude: true + - source: Source/SupportFunctions/arm_float_to_q31.c + exclude: true + - source: Source/SupportFunctions/arm_float_to_q7.c + exclude: true + - source: Source/SupportFunctions/arm_heap_sort_f32.c + exclude: true + - source: Source/SupportFunctions/arm_insertion_sort_f32.c + exclude: true + - source: Source/SupportFunctions/arm_merge_sort_f32.c + exclude: true + - source: Source/SupportFunctions/arm_merge_sort_init_f32.c + exclude: true + - source: Source/SupportFunctions/arm_q15_to_float.c + exclude: true + - source: Source/SupportFunctions/arm_q15_to_q31.c + exclude: true + - source: Source/SupportFunctions/arm_q15_to_q7.c + exclude: true + - source: Source/SupportFunctions/arm_q31_to_float.c + exclude: true + - source: Source/SupportFunctions/arm_q31_to_q15.c + exclude: true + - source: Source/SupportFunctions/arm_q31_to_q7.c + exclude: true + - source: Source/SupportFunctions/arm_q7_to_float.c + exclude: true + - source: Source/SupportFunctions/arm_q7_to_q15.c + exclude: true + - source: Source/SupportFunctions/arm_q7_to_q31.c + exclude: true + - source: Source/SupportFunctions/arm_quick_sort_f32.c + exclude: true + - source: Source/SupportFunctions/arm_selection_sort_f32.c + exclude: true + - source: Source/SupportFunctions/arm_sort_f32.c + exclude: true + - source: Source/SupportFunctions/arm_sort_init_f32.c + exclude: true + - source: Source/SupportFunctions/arm_weighted_sum_f32.c + exclude: true + + - source: Source/SupportFunctions/SupportFunctionsF16.c + - source: Source/SupportFunctions/arm_barycenter_f16.c + exclude: true + - source: Source/SupportFunctions/arm_copy_f16.c + exclude: true + - source: Source/SupportFunctions/arm_f16_to_float.c + exclude: true + - source: Source/SupportFunctions/arm_f16_to_q15.c + exclude: true + - source: Source/SupportFunctions/arm_fill_f16.c + exclude: true + - source: Source/SupportFunctions/arm_float_to_f16.c + exclude: true + - source: Source/SupportFunctions/arm_q15_to_f16.c + exclude: true + - source: Source/SupportFunctions/arm_weighted_sum_f16.c + exclude: true + + - source: Source/TransformFunctions/TransformFunctions.c + - source: Source/TransformFunctions/arm_bitreversal.c + exclude: true + - source: Source/TransformFunctions/arm_bitreversal2.c + exclude: true + - source: Source/TransformFunctions/arm_bitreversal2.S + type: src + exclude: true + - source: Source/TransformFunctions/arm_cfft_f32.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_f64.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_init_f32.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_init_f64.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_init_q15.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_init_q31.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_q15.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_q31.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_radix2_f32.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_radix2_init_f32.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_radix2_init_q15.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_radix2_init_q31.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_radix2_q15.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_radix2_q31.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_radix4_f32.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_radix4_init_f32.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_radix4_init_q15.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_radix4_init_q31.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_radix4_q15.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_radix4_q31.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_radix8_f32.c + exclude: true + - source: Source/TransformFunctions/arm_dct4_f32.c + exclude: true + - source: Source/TransformFunctions/arm_dct4_init_f32.c + exclude: true + - source: Source/TransformFunctions/arm_dct4_init_q15.c + exclude: true + - source: Source/TransformFunctions/arm_dct4_init_q31.c + exclude: true + - source: Source/TransformFunctions/arm_dct4_q15.c + exclude: true + - source: Source/TransformFunctions/arm_dct4_q31.c + exclude: true + - source: Source/TransformFunctions/arm_rfft_f32.c + exclude: true + - source: Source/TransformFunctions/arm_rfft_fast_f32.c + exclude: true + - source: Source/TransformFunctions/arm_rfft_fast_f64.c + exclude: true + - source: Source/TransformFunctions/arm_rfft_fast_init_f32.c + exclude: true + - source: Source/TransformFunctions/arm_rfft_fast_init_f64.c + exclude: true + - source: Source/TransformFunctions/arm_rfft_init_f32.c + exclude: true + - source: Source/TransformFunctions/arm_rfft_init_q15.c + exclude: true + - source: Source/TransformFunctions/arm_rfft_init_q31.c + exclude: true + - source: Source/TransformFunctions/arm_rfft_q15.c + exclude: true + - source: Source/TransformFunctions/arm_rfft_q31.c + exclude: true + + - source: Source/TransformFunctions/TransformFunctionsF16.c + - source: Source/TransformFunctions/arm_bitreversal_f16.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_f16.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_init_f16.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_radix2_f16.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_radix2_init_f16.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_radix4_f16.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_radix4_init_f16.c + exclude: true + - source: Source/TransformFunctions/arm_cfft_radix8_f16.c + exclude: true + - source: Source/TransformFunctions/arm_rfft_fast_f16.c + exclude: true + - source: Source/TransformFunctions/arm_rfft_fast_init_f16.c + exclude: true + section_info: + version: 1.9.0 + type: CMSIS_driver + full_name: CMSIS DSP Library Source + description: CMSIS-DSP Library + display_name: CMSIS_DSP_Library_Source + taxonomy: + belong_to: CMSIS + cgroup: DSP + csub: source + product: + cmsis_pack: + supported: false + belong_to: set.CMSIS_DSP_Lib + +CMSIS_NN_Source: + section-type: component + contents: + repo_base_path: CMSIS/NN + project_base_path: CMSIS/NN + cc-include: + - repo_relative_path: Include + files: + - source: README.md + hidden: true + exclude: true + - source: Include/arm_nn_tables.h + - source: Include/arm_nn_types.h + - source: Include/arm_nnfunctions.h + - source: Include/arm_nnsupportfunctions.h + - source: Source/ActivationFunctions/arm_nn_activations_q15.c + - source: Source/ActivationFunctions/arm_nn_activations_q7.c + - source: Source/ActivationFunctions/arm_relu6_s8.c + - source: Source/ActivationFunctions/arm_relu_q15.c + - source: Source/ActivationFunctions/arm_relu_q7.c + - source: Source/BasicMathFunctions/arm_elementwise_add_s8.c + - source: Source/BasicMathFunctions/arm_elementwise_mul_s8.c + - source: Source/ConcatenationFunctions/arm_concatenation_s8_w.c + - source: Source/ConcatenationFunctions/arm_concatenation_s8_x.c + - source: Source/ConcatenationFunctions/arm_concatenation_s8_y.c + - source: Source/ConcatenationFunctions/arm_concatenation_s8_z.c + - source: Source/ConvolutionFunctions/arm_convolve_1x1_HWC_q7_fast_nonsquare.c + - source: Source/ConvolutionFunctions/arm_convolve_1x1_s8_fast.c + - source: Source/ConvolutionFunctions/arm_convolve_1_x_n_s8.c + - source: Source/ConvolutionFunctions/arm_convolve_HWC_q15_basic.c + - source: Source/ConvolutionFunctions/arm_convolve_HWC_q15_fast.c + - source: Source/ConvolutionFunctions/arm_convolve_HWC_q15_fast_nonsquare.c + - source: Source/ConvolutionFunctions/arm_convolve_HWC_q7_basic.c + - source: Source/ConvolutionFunctions/arm_convolve_HWC_q7_basic_nonsquare.c + - source: Source/ConvolutionFunctions/arm_convolve_HWC_q7_fast.c + - source: Source/ConvolutionFunctions/arm_convolve_HWC_q7_fast_nonsquare.c + - source: Source/ConvolutionFunctions/arm_convolve_HWC_q7_RGB.c + - source: Source/ConvolutionFunctions/arm_convolve_s8.c + - source: Source/ConvolutionFunctions/arm_convolve_wrapper_s8.c + - source: Source/ConvolutionFunctions/arm_depthwise_conv_3x3_s8.c + - source: Source/ConvolutionFunctions/arm_depthwise_conv_s8.c + - source: Source/ConvolutionFunctions/arm_depthwise_conv_s8_opt.c + - source: Source/ConvolutionFunctions/arm_depthwise_conv_u8_basic_ver1.c + - source: Source/ConvolutionFunctions/arm_depthwise_conv_wrapper_s8.c + - source: Source/ConvolutionFunctions/arm_depthwise_separable_conv_HWC_q7.c + - source: Source/ConvolutionFunctions/arm_depthwise_separable_conv_HWC_q7_nonsquare.c + - source: Source/ConvolutionFunctions/arm_nn_depthwise_conv_s8_core.c + - source: Source/ConvolutionFunctions/arm_nn_mat_mult_kernel_q7_q15.c + - source: Source/ConvolutionFunctions/arm_nn_mat_mult_kernel_q7_q15_reordered.c + - source: Source/ConvolutionFunctions/arm_nn_mat_mult_kernel_s8_s16.c + - source: Source/ConvolutionFunctions/arm_nn_mat_mult_kernel_s8_s16_reordered.c + - source: Source/ConvolutionFunctions/arm_nn_mat_mult_s8.c + - source: Source/FullyConnectedFunctions/arm_fully_connected_mat_q7_vec_q15.c + - source: Source/FullyConnectedFunctions/arm_fully_connected_mat_q7_vec_q15_opt.c + - source: Source/FullyConnectedFunctions/arm_fully_connected_q15.c + - source: Source/FullyConnectedFunctions/arm_fully_connected_q15_opt.c + - source: Source/FullyConnectedFunctions/arm_fully_connected_q7.c + - source: Source/FullyConnectedFunctions/arm_fully_connected_q7_opt.c + - source: Source/FullyConnectedFunctions/arm_fully_connected_s8.c + - source: Source/NNSupportFunctions/arm_nntables.c + - source: Source/NNSupportFunctions/arm_nn_accumulate_q7_to_q15.c + - source: Source/NNSupportFunctions/arm_nn_add_q7.c + - source: Source/NNSupportFunctions/arm_nn_depthwise_conv_nt_t_padded_s8.c + - source: Source/NNSupportFunctions/arm_nn_depthwise_conv_nt_t_s8.c + - source: Source/NNSupportFunctions/arm_nn_mat_mult_nt_t_s8.c + - source: Source/NNSupportFunctions/arm_nn_mat_mul_core_1x_s8.c + - source: Source/NNSupportFunctions/arm_nn_mat_mul_core_4x_s8.c + - source: Source/NNSupportFunctions/arm_nn_mult_q15.c + - source: Source/NNSupportFunctions/arm_nn_mult_q7.c + - source: Source/NNSupportFunctions/arm_nn_vec_mat_mult_t_s8.c + - source: Source/NNSupportFunctions/arm_nn_vec_mat_mult_t_svdf_s8.c + - source: Source/NNSupportFunctions/arm_q7_to_q15_no_shift.c + - source: Source/NNSupportFunctions/arm_q7_to_q15_reordered_no_shift.c + - source: Source/NNSupportFunctions/arm_q7_to_q15_reordered_with_offset.c + - source: Source/NNSupportFunctions/arm_q7_to_q15_with_offset.c + - source: Source/PoolingFunctions/arm_avgpool_s8.c + - source: Source/PoolingFunctions/arm_max_pool_s8.c + - source: Source/PoolingFunctions/arm_pool_q7_HWC.c + - source: Source/ReshapeFunctions/arm_reshape_s8.c + - source: Source/SoftmaxFunctions/arm_softmax_q15.c + - source: Source/SoftmaxFunctions/arm_softmax_q7.c + - source: Source/SoftmaxFunctions/arm_softmax_s8.c + - source: Source/SoftmaxFunctions/arm_softmax_u8.c + - source: Source/SoftmaxFunctions/arm_softmax_with_batch_q7.c + - source: Source/SVDFunctions/arm_svdf_s8.c + section_info: + version: 3.0.0 + type: CMSIS_driver + full_name: CMSIS NN Library Source + description: CMSIS-NN Library + display_name: CMSIS_NN_Library_Source + taxonomy: + belong_to: CMSIS + cgroup: NN + cvariant: Source + product: + cmsis_pack: + supported: false + belong_to: set.CMSIS_DSP_Lib + dependency: + allOf: + - CMSIS_DSP_Source + +## CMSIS Driver Custom components +# All CMSIS Driver components in ARM.CMSIS.pdsc have template file. In SDK yml data record, we don't use +# thus don't add them. For example, CMSIS Driver USART in pdsc have Driver_USART.h and Driver_USART.c(a template file), +# in SDK yml data record, it just has Driver_USART.h. + +# SDK yml data record just abstracts it into an individual component. It is not allowed to directly require it +# in any component or application. You should require end terminal component like CMSIS.Driver_Include.USART. + +CMSIS_Driver_Include.USART: + section-type: component + contents: + repo_base_path: CMSIS/Driver + project_base_path: CMSIS_driver + cc-include: + - repo_relative_path: "Include" + files: + - source: Include/Driver_USART.h + - source: DriverTemplates/Driver_USART.c + template: true + - source: Include/Driver_Common.h + section_info: + version: 2.4.0 + type: CMSIS_driver + user_visible: never + full_name: CMSIS Driver USART + description: "Access to #include Driver_USART.h file for custom implementation" + display_name: CMSIS_Driver_USART + product: + cmsis_pack: + api: true + taxonomy: + belong_to: CMSIS_DRIVER + cgroup: USART + belong_to: set.CMSIS + +CMSIS_Driver_Include.CAN: + section-type: component + contents: + repo_base_path: CMSIS/Driver + project_base_path: CMSIS_driver + cc-include: + - repo_relative_path: "Include" + files: + - source: Include/Driver_CAN.h + - source: DriverTemplates/Driver_CAN.c + template: true + - source: Include/Driver_Common.h + section_info: + version: 1.3.0 + type: CMSIS_driver + user_visible: never + full_name: CMSIS Driver CAN + description: "Access to #include Driver_CAN.h file for custom implementation" + display_name: CMSIS_Driver_CAN + product: + cmsis_pack: + api: true + taxonomy: + belong_to: CMSIS_DRIVER + cgroup: CAN + belong_to: set.CMSIS + +# Mismatch +# In ARM.CMSIS.pdsc, the Ethernet component actually contains both Driver_ETH_MAC.h and Driver_ETH_PHY.h which is not a practical +# way we do the work. In SDK yml data record, we usually split the component into common and functionality part. +# CMSIS.Driver_Include.Ethernet is the common part for Ethernet component set. +CMSIS_Driver_Include.Ethernet: + section-type: component + contents: + repo_base_path: CMSIS/Driver + project_base_path: CMSIS_driver + cc-include: + - repo_relative_path: "Include" + files: + - source: Include/Driver_Common.h + - source: Include/Driver_ETH.h + - source: Include/Driver_ETH_MAC.h + - source: Include/Driver_ETH_PHY.h + - source: DriverTemplates/Driver_ETH_MAC.c + template: true + - source: DriverTemplates/Driver_ETH_PHY.c + template: true + section_info: + version: 2.2.0 + type: CMSIS_driver + user_visible: never + full_name: CMSIS Driver Ethernet Common + description: "Access to #include Driver_ETH.h file for custom implementation" + display_name: CMSIS_Driver_Ethernet_Common + product: + cmsis_pack: + api: true + taxonomy: + belong_to: CMSIS_DRIVER + cgroup: Ethernet + belong_to: set.CMSIS + +CMSIS_Driver_Include.Ethernet_MAC: + section-type: component + contents: + repo_base_path: CMSIS/Driver + project_base_path: CMSIS_driver + cc-include: + - repo_relative_path: "Include" + files: + - source: Include/Driver_ETH.h + - source: Include/Driver_Common.h + - source: Include/Driver_ETH_MAC.h + - source: DriverTemplates/Driver_ETH_MAC.c + template: true + section_info: + version: 2.2.0 + type: CMSIS_driver + user_visible: never + full_name: CMSIS Driver Ethernet MAC + description: "Access to #include Driver_ETH_MAC.h file for custom implementation" + display_name: CMSIS_Driver_Ethernet_MAC + product: + cmsis_pack: + api: true + taxonomy: + belong_to: CMSIS_DRIVER + cgroup: Ethernet MAC + belong_to: set.CMSIS + +CMSIS_Driver_Include.Ethernet_PHY: + section-type: component + contents: + repo_base_path: CMSIS/Driver + project_base_path: CMSIS_driver + cc-include: + - repo_relative_path: "Include" + files: + - source: Include/Driver_ETH.h + - source: Include/Driver_ETH_PHY.h + - source: DriverTemplates/Driver_ETH_PHY.c + template: true + - source: Include/Driver_Common.h + section_info: + version: 2.2.0 + type: CMSIS_driver + user_visible: never + full_name: CMSIS Driver Ethernet PHY + description: "Access to #include Driver_ETH_PHY.h file for custom implementation" + display_name: CMSIS_Driver_Ethernet_PHY + product: + cmsis_pack: + api: true + taxonomy: + belong_to: CMSIS_DRIVER + cgroup: Ethernet PHY + belong_to: set.CMSIS + +CMSIS_Driver_Include.Flash: + section-type: component + contents: + repo_base_path: CMSIS/Driver + project_base_path: CMSIS_driver + cc-include: + - repo_relative_path: "Include" + files: + - source: Include/Driver_Flash.h + - source: DriverTemplates/Driver_Flash.c + template: true + - source: Include/Driver_Common.h + section_info: + version: 2.3.0 + type: CMSIS_driver + user_visible: never + full_name: CMSIS Driver Flash + description: "Access to #include Driver_Flash.h file for custom implementation" + display_name: CMSIS_Driver_Flash + product: + cmsis_pack: + api: true + taxonomy: + belong_to: CMSIS_DRIVER + cgroup: Flash + belong_to: set.CMSIS + +CMSIS_Driver_Include.I2C: + section-type: component + contents: + repo_base_path: CMSIS/Driver + project_base_path: CMSIS_driver + cc-include: + - repo_relative_path: "Include" + files: + - source: Include/Driver_I2C.h + - source: DriverTemplates/Driver_I2C.c + template: true + - source: Include/Driver_Common.h + section_info: + version: 2.4.0 + type: CMSIS_driver + user_visible: never + full_name: CMSIS Driver I2C + description: "Access to #include Driver_I2C.h file for custom implementation" + display_name: CMSIS_Driver_I2C + product: + cmsis_pack: + api: true + taxonomy: + belong_to: CMSIS_DRIVER + cgroup: I2C + belong_to: set.CMSIS + +CMSIS_Driver_Include.MCI: + section-type: component + contents: + repo_base_path: CMSIS/Driver + project_base_path: CMSIS_driver + cc-include: + - repo_relative_path: "Include" + files: + - source: Include/Driver_MCI.h + - source: DriverTemplates/Driver_MCI.c + template: true + - source: Include/Driver_Common.h + section_info: + version: 2.4.0 + type: CMSIS_driver + user_visible: never + full_name: CMSIS Driver MCI + description: "Access to #include Driver_MCI.h file for custom implementation" + display_name: CMSIS_Driver_MCI + product: + cmsis_pack: + api: true + taxonomy: + belong_to: CMSIS_DRIVER + cgroup: MCI + belong_to: set.CMSIS + +CMSIS_Driver_Include.NAND: + section-type: component + contents: + repo_base_path: CMSIS/Driver + project_base_path: CMSIS_driver + cc-include: + - repo_relative_path: "Include" + files: + - source: Include/Driver_NAND.h + - source: DriverTemplates/Driver_NAND.c + template: true + - source: Include/Driver_Common.h + section_info: + version: 2.4.0 + type: CMSIS_driver + user_visible: never + full_name: CMSIS Driver NAND + description: "Access to #include Driver_NAND.h file for custom implementation" + display_name: CMSIS_Driver_NAND + product: + cmsis_pack: + api: true + taxonomy: + belong_to: CMSIS_DRIVER + cgroup: NAND + belong_to: set.CMSIS + +CMSIS_Driver_Include.SAI: + section-type: component + contents: + repo_base_path: CMSIS/Driver + project_base_path: CMSIS_driver + cc-include: + - repo_relative_path: "Include" + files: + - source: Include/Driver_SAI.h + - source: DriverTemplates/Driver_SAI.c + template: true + - source: Include/Driver_Common.h + section_info: + version: 1.2.0 + type: CMSIS_driver + user_visible: never + full_name: CMSIS Driver SAI + description: "Access to #include Driver_SAI.h file for custom implementation" + display_name: CMSIS_Driver_SAI + product: + cmsis_pack: + api: true + taxonomy: + belong_to: CMSIS_DRIVER + cgroup: SAI + belong_to: set.CMSIS + +CMSIS_Driver_Include.SPI: + section-type: component + contents: + repo_base_path: CMSIS/Driver + project_base_path: CMSIS_driver + cc-include: + - repo_relative_path: "Include" + files: + - source: Include/Driver_SPI.h + - source: DriverTemplates/Driver_SPI.c + template: true + - source: Include/Driver_Common.h + section_info: + version: 2.3.0 + type: CMSIS_driver + user_visible: never + full_name: CMSIS Driver SPI + description: "Access to #include Driver_SPI.h file for custom implementation" + display_name: CMSIS_Driver_SPI + product: + cmsis_pack: + api: true + taxonomy: + belong_to: CMSIS_DRIVER + cgroup: SPI + belong_to: set.CMSIS + +# Mismatch. There is no CMSIS_Driver_Include USB in ARM.CMSIS.pdsc. Such Driver_USB.h is the common head file +# included in Driver_USBD.h and Driver_USBH.h. + +CMSIS_Driver_Include.USB_Device: + section-type: component + contents: + repo_base_path: CMSIS/Driver + project_base_path: CMSIS_driver + cc-include: + - repo_relative_path: "Include" + files: + - source: Include/Driver_USBD.h + - source: DriverTemplates/Driver_USBD.c + template: true + - source: Include/Driver_USB.h + - source: Include/Driver_Common.h + section_info: + version: 2.3.0 + type: CMSIS_driver + user_visible: never + full_name: CMSIS Driver USB Device + description: "Access to #include Driver_USBD.h file for custom implementation" + display_name: CMSIS_Driver_USB_Device + product: + cmsis_pack: + api: true + taxonomy: + belong_to: CMSIS_DRIVER + cgroup: USB Device + belong_to: set.CMSIS + +CMSIS_Driver_Include.USB_Host: + section-type: component + contents: + repo_base_path: CMSIS/Driver + project_base_path: CMSIS_driver + cc-include: + - repo_relative_path: "Include" + files: + - source: Include/Driver_USBH.h + - source: DriverTemplates/Driver_USBH.c + template: true + - source: Include/Driver_USB.h + - source: Include/Driver_Common.h + section_info: + version: 2.3.0 + type: CMSIS_driver + user_visible: never + full_name: CMSIS Driver USB Host + description: "Access to #include Driver_USBH.h file for custom implementation" + display_name: CMSIS_Driver_USB_Host + product: + cmsis_pack: + api: true + taxonomy: + belong_to: CMSIS_DRIVER + cgroup: USB Host + belong_to: set.CMSIS + +CMSIS_Driver_Include.WiFi: + section-type: component + contents: + repo_base_path: CMSIS/Driver + project_base_path: CMSIS_driver + cc-include: + - repo_relative_path: "Include" + files: + - source: Include/Driver_WiFi.h + - source: DriverTemplates/Driver_WiFi.c + template: true + - source: Include/Driver_Common.h + section_info: + version: 1.1.0 + type: CMSIS_driver + user_visible: never + full_name: CMSIS Driver WiFi + description: "Access to #include Driver_WiFi.h file" + display_name: CMSIS_Driver_WiFi + product: + cmsis_pack: + api: true + taxonomy: + belong_to: CMSIS_DRIVER + cgroup: WiFi + belong_to: set.CMSIS + +# ## CMSIS Device API +# # Mismatch. In ARM.CMSIS.pdsc, an API is a special form of a software component that only defines a C/C++ Application Programming Interface (API), such OS Tick and RTOS2 are API. +# # In SDK yml data record, there is no such concept called API. So for the time being, we use component for them. +CMSIS_Device_API_OSTick: + section-type: component + contents: + repo_base_path: CMSIS/RTOS2/Include + project_base_path: CMSIS/RTOS2/Include + cc-include: + - repo_relative_path: "./" + files: + - source: os_tick.h + section_info: + # There is no version for api in ARM CMSIS, using apiversion instead + version: 1.0.1 + user_visible: never + type: CMSIS_driver + full_name: CMSIS Device API OSTick + description: Device interrupt controller interface + display_name: CMSIS_Device_API_OSTick + taxonomy: + belong_to: Device + cgroup: OS Tick + belong_to: set.CMSIS + +CMSIS_Device_API_RTOS2: + section-type: component + contents: + repo_base_path: CMSIS/RTOS2/Include + project_base_path: CMSIS/RTOS2/Include + cc-include: + - repo_relative_path: "./" + files: + - source: cmsis_os2.h + section_info: + # There is no version for api in ARM CMSIS, using apiversion instead + version: 2.1.3 + user_visible: never + type: CMSIS_driver + full_name: CMSIS Device API RTOS2 + description: CMSIS-RTOS API for Cortex-M, SC000, and SC300 + display_name: CMSIS_Device_API_RTOS2 + taxonomy: + belong_to: CMSIS + cgroup: RTOS2 + belong_to: set.CMSIS + +## CMSIS-RTOS2 Keil RTX5 component +# Mismatch. There is no CMSIS_RTOS2_Common in ARM.CMSIS.pdsc. So we remove it and add common files to CMSIS.RTOS2_Secure and CMSIS.RTOS2_NonSecure + +CMSIS_RTOS2_Secure: + section-type: component + contents: + repo_base_path: CMSIS/RTOS2 + project_base_path: CMSIS/RTOS2 + cc-include: + - repo_relative_path: RTX/Source + - repo_relative_path: RTX/Include + - repo_relative_path: RTX/Config + files: + - source: RTX/Source/rtx_core_c.h + - source: RTX/Source/rtx_core_ca.h + - source: RTX/Source/rtx_core_cm.h + - source: RTX/Source/rtx_lib.h + - source: RTX/Source/rtx_lib.c + - source: RTX/Include/rtx_evr.h + - source: RTX/Include/rtx_os.h + - source: RTX/Include/rtx_def.h + - source: RTX/Config/RTX_Config.c + - source: RTX/Config/RTX_Config.h + + - source: RTX/Library/IAR/RTX_CM0.a + toolchains: iar + attribute: extra-libraries + cores: cm0 cm0p + project_relative_path: RTX/Library + - source: RTX/Library/IAR/RTX_CM3.a + toolchains: iar + attribute: extra-libraries + cores: cm3 cm4 cm7 + project_relative_path: RTX/Library + - source: RTX/Library/IAR/RTX_CM4F.a + toolchains: iar + attribute: extra-libraries + cores: cm4f cm7f + project_relative_path: RTX/Library + - source: RTX/Library/GCC/libRTX_CM0.a + toolchains: armgcc mcux + attribute: extra-libraries + cores: cm0 cm0p + project_relative_path: RTX/Library + - source: RTX/Library/GCC/libRTX_CM3.a + toolchains: armgcc mcux + attribute: extra-libraries + cores: cm3 cm4 cm7 + project_relative_path: RTX/Library + - source: RTX/Library/GCC/libRTX_CM4F.a + toolchains: armgcc mcux + attribute: extra-libraries + cores: cm4f cm7f + project_relative_path: RTX/Library + - source: RTX/Library/ARM/RTX_CM0.lib + toolchains: mdk + attribute: extra-libraries + cores: cm0 cm0p + project_relative_path: RTX/Library + - source: RTX/Library/ARM/RTX_CM3.lib + toolchains: mdk + attribute: extra-libraries + cores: cm3 cm4 cm7 + project_relative_path: RTX/Library + - source: RTX/Library/ARM/RTX_CM4F.lib + toolchains: mdk + attribute: extra-libraries + cores: cm4f cm7f + project_relative_path: RTX/Library + + - source: RTX/Library/IAR/RTX_V8MB.a + compilers: iar + attribute: extra-libraries + cores: cm23 + project_relative_path: "./" + - source: RTX/Library/IAR/RTX_V8MMF.a + compilers: iar + attribute: extra-libraries + cores: cm33 cm33f + fpu: SP_FPU + project_relative_path: "./" + - source: RTX/Library/GCC/libRTX_V8MB.a + compilers: gcc + attribute: extra-libraries + cores: cm23 + project_relative_path: "./" + - source: RTX/Library/GCC/libRTX_V8MMF.a + compilers: gcc + attribute: extra-libraries + cores: cm33 cm33f + fpu: SP_FPU + project_relative_path: "./" + - source: RTX/Library/ARM/RTX_V8MB.lib + compilers: armclang + attribute: extra-libraries + cores: cm23 + project_relative_path: "./" + - source: RTX/Library/ARM/RTX_V8MMF.lib + compilers: armclang + attribute: extra-libraries + cores: cm33 cm33f + fpu: SP_FPU + project_relative_path: "./" + section_info: + type: CMSIS_driver + version: 2.1.3 + user_visible: never + full_name: CMSIS RTOS2 Keil RTX5 + description: CMSIS-RTOS2 RTX5 for Cortex-M, SC000, C300 and Armv8-M (Library) + display_name: CMSIS_RTOS2_Keil_RTX5 + need_require: true + taxonomy: + belong_to: CMSIS + cgroup: RTOS2 + csub: Keil RTX5 + cvariant: Library + dependency: + allOf: + - CMSIS_Device_API_OSTick + - CMSIS_Device_API_RTOS2 + belong_to: set.CMSIS + +CMSIS_RTOS2_NonSecure: + section-type: component + contents: + repo_base_path: CMSIS/RTOS2 + project_base_path: CMSIS/RTOS2 + cc-include: + - repo_relative_path: RTX/Source + - repo_relative_path: RTX/Include + - repo_relative_path: RTX/Config + files: + - source: RTX/Source/rtx_core_c.h + - source: RTX/Source/rtx_core_ca.h + - source: RTX/Source/rtx_core_cm.h + - source: RTX/Source/rtx_lib.h + - source: RTX/Source/rtx_lib.c + - source: RTX/Include/rtx_evr.h + - source: RTX/Include/rtx_os.h + - source: RTX/Include/rtx_def.h + - source: RTX/Config/RTX_Config.c + - source: RTX/Config/RTX_Config.h + + - source: RTX/Library/IAR/RTX_CM0.a + toolchains: iar + attribute: extra-libraries + cores: cm0 cm0p + project_relative_path: RTX/Library + - source: RTX/Library/IAR/RTX_CM3.a + toolchains: iar + attribute: extra-libraries + cores: cm3 cm4 cm7 + project_relative_path: RTX/Library + - source: RTX/Library/IAR/RTX_CM4F.a + toolchains: iar + attribute: extra-libraries + cores: cm4f cm7f + project_relative_path: RTX/Library + - source: RTX/Library/GCC/libRTX_CM0.a + toolchains: armgcc mcux + attribute: extra-libraries + cores: cm0 cm0p + project_relative_path: RTX/Library + - source: RTX/Library/GCC/libRTX_CM3.a + toolchains: armgcc mcux + attribute: extra-libraries + cores: cm3 cm4 cm7 + project_relative_path: RTX/Library + - source: RTX/Library/GCC/libRTX_CM4F.a + toolchains: armgcc mcux + attribute: extra-libraries + cores: cm4f cm7f + project_relative_path: RTX/Library + - source: RTX/Library/ARM/RTX_CM0.lib + toolchains: mdk + attribute: extra-libraries + cores: cm0 cm0p + project_relative_path: RTX/Library + - source: RTX/Library/ARM/RTX_CM3.lib + toolchains: mdk + attribute: extra-libraries + cores: cm3 cm4 cm7 + project_relative_path: RTX/Library + - source: RTX/Library/ARM/RTX_CM4F.lib + toolchains: mdk + attribute: extra-libraries + cores: cm4f cm7f + project_relative_path: RTX/Library + + - source: RTX/Library/IAR/RTX_V8MBN.a + compilers: iar + attribute: extra-libraries + cores: cm23 + project_relative_path: "./" + - source: RTX/Library/IAR/RTX_V8MMFN.a + compilers: iar + attribute: extra-libraries + cores: cm33 cm33f + fpu: SP_FPU + project_relative_path: "./" + - source: RTX/Library/GCC/libRTX_V8MBN.a + compilers: gcc + attribute: extra-libraries + cores: cm23 + project_relative_path: "./" + - source: RTX/Library/GCC/libRTX_V8MMFN.a + compilers: gcc + attribute: extra-libraries + cores: cm33 cm33f + fpu: SP_FPU + project_relative_path: "./" + - source: RTX/Library/ARM/RTX_V8MBN.lib + compilers: armclang + attribute: extra-libraries + cores: cm23 + project_relative_path: "./" + - source: RTX/Library/ARM/RTX_V8MMFN.lib + compilers: armclang + attribute: extra-libraries + cores: cm33 cm33f + fpu: SP_FPU + project_relative_path: "./" + section_info: + type: CMSIS_driver + version: 2.1.3 + user_visible: never + full_name: CMSIS RTOS2 Keil RTX5 Non Secure + description: CMSIS-RTOS2 RTX5 for Armv8-M Non-Secure Domain (Library) + display_name: CMSIS_RTOS2_Keil_RTX5_NS + need_require: true + taxonomy: + belong_to: CMSIS + cgroup: RTOS2 + csub: Keil RTX5 + cvariant: Library_NS + belong_to: set.CMSIS + dependency: + allOf: + - CMSIS_Device_API_OSTick + - CMSIS_Device_API_RTOS2 + +set.CMSIS: + section-type: set + section_info: + fixed_id: CMSIS + version: 1.9.0 + description: CMSIS Software + type: CMSIS + display_name: CMSIS Software + full_name: CMSIS Software + vendor: ARM + set_location: + repo_base_path: ./CMSIS + component_taxonomy: + CMSIS: + cclass: CMSIS + Device: + cclass: Device + CMSIS_DRIVER: + cclass: CMSIS Driver + product: + kex_package: + kex_web_ui: + ui_control: false + ui_control_default: false + ui_release_specific: false + cmsis_pack: + external_pack: true + vendor: ARM + pack_root: + pack_type: SWP + pack_name: CMSIS + pack_version: 5.8.0 + pack_url: http://www.keil.com/pack/ + belong_to: set.CMSIS + +set.CMSIS_DSP_Lib: + section-type: set + section_info: + fixed_id: CMSIS_DSP_Library + version: 1.9.0 + description: CMSIS DSP Software Library + type: middleware + display_name: CMSIS DSP Library + full_name: CMSIS DSP Software Library + vendor: ARM + set_location: + repo_base_path: ./CMSIS + component_taxonomy: + CMSIS: + cclass: CMSIS + product: + scr: + - scr.CMSIS + cmsis_pack: + vendor: ARM + supported: false + kex_package: + kex_web_ui: + ui_category: CMSIS DSP Lib + ui_control: true + ui_control_default: true + ui_release_specific: true + belong_to: set.CMSIS_DSP_Lib + +scr.CMSIS: + section-type: scr + belong_to: set.CMSIS + contents: + license: + - license.CMSIS + Name: CMSIS + Version: 5.8.0 + Format: source code + Description: Vendor-independent hardware abstraction layer for microcontrollers + that are based on Arm Cortex processors, distributed by ARM. cores + Location: CMSIS/ + Origin: NXP (Apache License 2.0) + +license.CMSIS: + section-type: license + belong_to: set.CMSIS + contents: + repo_base_path: CMSIS + files: + - source: LICENSE.txt + section_info: + Outgoing License: Apache License 2.0 \ No newline at end of file diff --git a/CMSIS/Core/Include/CMSIS_Include_core_cm.cmake b/CMSIS/Core/Include/CMSIS_Include_core_cm.cmake new file mode 100644 index 0000000..8f8fd1c --- /dev/null +++ b/CMSIS/Core/Include/CMSIS_Include_core_cm.cmake @@ -0,0 +1,9 @@ +# Add set(CONFIG_USE_CMSIS_Include_core_cm true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/. +) + diff --git a/CMSIS/Core/Include/CMSIS_Include_core_cm_LPC804.cmake b/CMSIS/Core/Include/CMSIS_Include_core_cm_LPC804.cmake deleted file mode 100644 index 4092d00..0000000 --- a/CMSIS/Core/Include/CMSIS_Include_core_cm_LPC804.cmake +++ /dev/null @@ -1,8 +0,0 @@ -include_guard() -message("CMSIS_Include_core_cm component is included.") - - -target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC - ${CMAKE_CURRENT_LIST_DIR}/. -) - diff --git a/CMSIS/DSP/CMSIS_DSP_Include.cmake b/CMSIS/DSP/CMSIS_DSP_Include.cmake new file mode 100644 index 0000000..79dcba4 --- /dev/null +++ b/CMSIS/DSP/CMSIS_DSP_Include.cmake @@ -0,0 +1,10 @@ +# Add set(CONFIG_USE_CMSIS_DSP_Include true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/Include + ${CMAKE_CURRENT_LIST_DIR}/PrivateInclude +) + diff --git a/CMSIS/DSP/CMSIS_DSP_Source.cmake b/CMSIS/DSP/CMSIS_DSP_Source.cmake new file mode 100644 index 0000000..c947787 --- /dev/null +++ b/CMSIS/DSP/CMSIS_DSP_Source.cmake @@ -0,0 +1,51 @@ +# Add set(CONFIG_USE_CMSIS_DSP_Source true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +target_sources(${MCUX_SDK_PROJECT_NAME} PRIVATE + ${CMAKE_CURRENT_LIST_DIR}/Source/BasicMathFunctions/BasicMathFunctions.c + ${CMAKE_CURRENT_LIST_DIR}/Source/BasicMathFunctions/BasicMathFunctionsF16.c + ${CMAKE_CURRENT_LIST_DIR}/Source/BayesFunctions/BayesFunctions.c + ${CMAKE_CURRENT_LIST_DIR}/Source/BayesFunctions/BayesFunctionsF16.c + ${CMAKE_CURRENT_LIST_DIR}/Source/CommonTables/CommonTables.c + ${CMAKE_CURRENT_LIST_DIR}/Source/CommonTables/CommonTablesF16.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ComplexMathFunctions/ComplexMathFunctions.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ComplexMathFunctions/ComplexMathFunctionsF16.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ControllerFunctions/ControllerFunctions.c + ${CMAKE_CURRENT_LIST_DIR}/Source/DistanceFunctions/DistanceFunctions.c + ${CMAKE_CURRENT_LIST_DIR}/Source/DistanceFunctions/DistanceFunctionsF16.c + ${CMAKE_CURRENT_LIST_DIR}/Source/FastMathFunctions/FastMathFunctions.c + ${CMAKE_CURRENT_LIST_DIR}/Source/FastMathFunctions/FastMathFunctionsF16.c + ${CMAKE_CURRENT_LIST_DIR}/Source/FilteringFunctions/FilteringFunctions.c + ${CMAKE_CURRENT_LIST_DIR}/Source/FilteringFunctions/FilteringFunctionsF16.c + ${CMAKE_CURRENT_LIST_DIR}/Source/InterpolationFunctions/InterpolationFunctions.c + ${CMAKE_CURRENT_LIST_DIR}/Source/InterpolationFunctions/InterpolationFunctionsF16.c + ${CMAKE_CURRENT_LIST_DIR}/Source/MatrixFunctions/MatrixFunctions.c + ${CMAKE_CURRENT_LIST_DIR}/Source/MatrixFunctions/MatrixFunctionsF16.c + ${CMAKE_CURRENT_LIST_DIR}/Source/QuaternionMathFunctions/QuaternionMathFunctions.c + ${CMAKE_CURRENT_LIST_DIR}/Source/SVMFunctions/SVMFunctions.c + ${CMAKE_CURRENT_LIST_DIR}/Source/SVMFunctions/SVMFunctionsF16.c + ${CMAKE_CURRENT_LIST_DIR}/Source/StatisticsFunctions/StatisticsFunctions.c + ${CMAKE_CURRENT_LIST_DIR}/Source/StatisticsFunctions/StatisticsFunctionsF16.c + ${CMAKE_CURRENT_LIST_DIR}/Source/SupportFunctions/SupportFunctions.c + ${CMAKE_CURRENT_LIST_DIR}/Source/SupportFunctions/SupportFunctionsF16.c + ${CMAKE_CURRENT_LIST_DIR}/Source/TransformFunctions/TransformFunctions.c + ${CMAKE_CURRENT_LIST_DIR}/Source/TransformFunctions/TransformFunctionsF16.c +) + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/Include + ${CMAKE_CURRENT_LIST_DIR}/PrivateInclude + ${CMAKE_CURRENT_LIST_DIR}/Source/DistanceFunctions +) + +if(CONFIG_USE_COMPONENT_CONFIGURATION) + message("===>Import configuration from ${CMAKE_CURRENT_LIST_FILE}") + + target_compile_definitions(${MCUX_SDK_PROJECT_NAME} PUBLIC + -DDISABLEFLOAT16 + ) + +endif() + diff --git a/CMSIS/Driver/CMSIS_Driver_Include_CAN.cmake b/CMSIS/Driver/CMSIS_Driver_Include_CAN.cmake new file mode 100644 index 0000000..b1ac6e5 --- /dev/null +++ b/CMSIS/Driver/CMSIS_Driver_Include_CAN.cmake @@ -0,0 +1,12 @@ +# Add set(CONFIG_USE_CMSIS_Driver_Include_CAN true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +# template file +# ${CMAKE_CURRENT_LIST_DIR}/DriverTemplates/Driver_CAN.c + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/Include +) + diff --git a/CMSIS/Driver/CMSIS_Driver_Include_Ethernet.cmake b/CMSIS/Driver/CMSIS_Driver_Include_Ethernet.cmake new file mode 100644 index 0000000..f0b9a57 --- /dev/null +++ b/CMSIS/Driver/CMSIS_Driver_Include_Ethernet.cmake @@ -0,0 +1,13 @@ +# Add set(CONFIG_USE_CMSIS_Driver_Include_Ethernet true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +# template file +# ${CMAKE_CURRENT_LIST_DIR}/DriverTemplates/Driver_ETH_MAC.c +# ${CMAKE_CURRENT_LIST_DIR}/DriverTemplates/Driver_ETH_PHY.c + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/Include +) + diff --git a/CMSIS/Driver/CMSIS_Driver_Include_Ethernet_MAC.cmake b/CMSIS/Driver/CMSIS_Driver_Include_Ethernet_MAC.cmake new file mode 100644 index 0000000..2084058 --- /dev/null +++ b/CMSIS/Driver/CMSIS_Driver_Include_Ethernet_MAC.cmake @@ -0,0 +1,12 @@ +# Add set(CONFIG_USE_CMSIS_Driver_Include_Ethernet_MAC true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +# template file +# ${CMAKE_CURRENT_LIST_DIR}/DriverTemplates/Driver_ETH_MAC.c + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/Include +) + diff --git a/CMSIS/Driver/CMSIS_Driver_Include_Ethernet_PHY.cmake b/CMSIS/Driver/CMSIS_Driver_Include_Ethernet_PHY.cmake new file mode 100644 index 0000000..250799f --- /dev/null +++ b/CMSIS/Driver/CMSIS_Driver_Include_Ethernet_PHY.cmake @@ -0,0 +1,12 @@ +# Add set(CONFIG_USE_CMSIS_Driver_Include_Ethernet_PHY true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +# template file +# ${CMAKE_CURRENT_LIST_DIR}/DriverTemplates/Driver_ETH_PHY.c + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/Include +) + diff --git a/CMSIS/Driver/CMSIS_Driver_Include_Flash.cmake b/CMSIS/Driver/CMSIS_Driver_Include_Flash.cmake new file mode 100644 index 0000000..0866719 --- /dev/null +++ b/CMSIS/Driver/CMSIS_Driver_Include_Flash.cmake @@ -0,0 +1,12 @@ +# Add set(CONFIG_USE_CMSIS_Driver_Include_Flash true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +# template file +# ${CMAKE_CURRENT_LIST_DIR}/DriverTemplates/Driver_Flash.c + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/Include +) + diff --git a/CMSIS/Driver/CMSIS_Driver_Include_I2C.cmake b/CMSIS/Driver/CMSIS_Driver_Include_I2C.cmake new file mode 100644 index 0000000..46030df --- /dev/null +++ b/CMSIS/Driver/CMSIS_Driver_Include_I2C.cmake @@ -0,0 +1,12 @@ +# Add set(CONFIG_USE_CMSIS_Driver_Include_I2C true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +# template file +# ${CMAKE_CURRENT_LIST_DIR}/DriverTemplates/Driver_I2C.c + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/Include +) + diff --git a/CMSIS/Driver/CMSIS_Driver_Include_MCI.cmake b/CMSIS/Driver/CMSIS_Driver_Include_MCI.cmake new file mode 100644 index 0000000..4f388a0 --- /dev/null +++ b/CMSIS/Driver/CMSIS_Driver_Include_MCI.cmake @@ -0,0 +1,12 @@ +# Add set(CONFIG_USE_CMSIS_Driver_Include_MCI true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +# template file +# ${CMAKE_CURRENT_LIST_DIR}/DriverTemplates/Driver_MCI.c + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/Include +) + diff --git a/CMSIS/Driver/CMSIS_Driver_Include_NAND.cmake b/CMSIS/Driver/CMSIS_Driver_Include_NAND.cmake new file mode 100644 index 0000000..58f4ce4 --- /dev/null +++ b/CMSIS/Driver/CMSIS_Driver_Include_NAND.cmake @@ -0,0 +1,12 @@ +# Add set(CONFIG_USE_CMSIS_Driver_Include_NAND true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +# template file +# ${CMAKE_CURRENT_LIST_DIR}/DriverTemplates/Driver_NAND.c + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/Include +) + diff --git a/CMSIS/Driver/CMSIS_Driver_Include_SAI.cmake b/CMSIS/Driver/CMSIS_Driver_Include_SAI.cmake new file mode 100644 index 0000000..a7dfcb7 --- /dev/null +++ b/CMSIS/Driver/CMSIS_Driver_Include_SAI.cmake @@ -0,0 +1,12 @@ +# Add set(CONFIG_USE_CMSIS_Driver_Include_SAI true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +# template file +# ${CMAKE_CURRENT_LIST_DIR}/DriverTemplates/Driver_SAI.c + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/Include +) + diff --git a/CMSIS/Driver/CMSIS_Driver_Include_SPI.cmake b/CMSIS/Driver/CMSIS_Driver_Include_SPI.cmake new file mode 100644 index 0000000..b777856 --- /dev/null +++ b/CMSIS/Driver/CMSIS_Driver_Include_SPI.cmake @@ -0,0 +1,12 @@ +# Add set(CONFIG_USE_CMSIS_Driver_Include_SPI true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +# template file +# ${CMAKE_CURRENT_LIST_DIR}/DriverTemplates/Driver_SPI.c + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/Include +) + diff --git a/CMSIS/Driver/CMSIS_Driver_Include_USART.cmake b/CMSIS/Driver/CMSIS_Driver_Include_USART.cmake new file mode 100644 index 0000000..ee425b8 --- /dev/null +++ b/CMSIS/Driver/CMSIS_Driver_Include_USART.cmake @@ -0,0 +1,12 @@ +# Add set(CONFIG_USE_CMSIS_Driver_Include_USART true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +# template file +# ${CMAKE_CURRENT_LIST_DIR}/DriverTemplates/Driver_USART.c + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/Include +) + diff --git a/CMSIS/Driver/CMSIS_Driver_Include_USB_Device.cmake b/CMSIS/Driver/CMSIS_Driver_Include_USB_Device.cmake new file mode 100644 index 0000000..d0dcdbd --- /dev/null +++ b/CMSIS/Driver/CMSIS_Driver_Include_USB_Device.cmake @@ -0,0 +1,12 @@ +# Add set(CONFIG_USE_CMSIS_Driver_Include_USB_Device true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +# template file +# ${CMAKE_CURRENT_LIST_DIR}/DriverTemplates/Driver_USBD.c + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/Include +) + diff --git a/CMSIS/Driver/CMSIS_Driver_Include_USB_Host.cmake b/CMSIS/Driver/CMSIS_Driver_Include_USB_Host.cmake new file mode 100644 index 0000000..2d5c66a --- /dev/null +++ b/CMSIS/Driver/CMSIS_Driver_Include_USB_Host.cmake @@ -0,0 +1,12 @@ +# Add set(CONFIG_USE_CMSIS_Driver_Include_USB_Host true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +# template file +# ${CMAKE_CURRENT_LIST_DIR}/DriverTemplates/Driver_USBH.c + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/Include +) + diff --git a/CMSIS/Driver/CMSIS_Driver_Include_WiFi.cmake b/CMSIS/Driver/CMSIS_Driver_Include_WiFi.cmake new file mode 100644 index 0000000..00fe68c --- /dev/null +++ b/CMSIS/Driver/CMSIS_Driver_Include_WiFi.cmake @@ -0,0 +1,12 @@ +# Add set(CONFIG_USE_CMSIS_Driver_Include_WiFi true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +# template file +# ${CMAKE_CURRENT_LIST_DIR}/DriverTemplates/Driver_WiFi.c + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/Include +) + diff --git a/CMSIS/NN/CMSIS_NN_Source.cmake b/CMSIS/NN/CMSIS_NN_Source.cmake new file mode 100644 index 0000000..aee99d0 --- /dev/null +++ b/CMSIS/NN/CMSIS_NN_Source.cmake @@ -0,0 +1,89 @@ +# Add set(CONFIG_USE_CMSIS_NN_Source true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +if(CONFIG_USE_CMSIS_DSP_Source) + +target_sources(${MCUX_SDK_PROJECT_NAME} PRIVATE + ${CMAKE_CURRENT_LIST_DIR}/Source/ActivationFunctions/arm_nn_activations_q15.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ActivationFunctions/arm_nn_activations_q7.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ActivationFunctions/arm_relu6_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ActivationFunctions/arm_relu_q15.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ActivationFunctions/arm_relu_q7.c + ${CMAKE_CURRENT_LIST_DIR}/Source/BasicMathFunctions/arm_elementwise_add_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/BasicMathFunctions/arm_elementwise_mul_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConcatenationFunctions/arm_concatenation_s8_w.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConcatenationFunctions/arm_concatenation_s8_x.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConcatenationFunctions/arm_concatenation_s8_y.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConcatenationFunctions/arm_concatenation_s8_z.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_convolve_1x1_HWC_q7_fast_nonsquare.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_convolve_1x1_s8_fast.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_convolve_1_x_n_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_convolve_HWC_q15_basic.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_convolve_HWC_q15_fast.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_convolve_HWC_q15_fast_nonsquare.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_convolve_HWC_q7_basic.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_convolve_HWC_q7_basic_nonsquare.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_convolve_HWC_q7_fast.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_convolve_HWC_q7_fast_nonsquare.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_convolve_HWC_q7_RGB.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_convolve_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_convolve_wrapper_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_depthwise_conv_3x3_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_depthwise_conv_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_depthwise_conv_s8_opt.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_depthwise_conv_u8_basic_ver1.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_depthwise_conv_wrapper_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_depthwise_separable_conv_HWC_q7.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_depthwise_separable_conv_HWC_q7_nonsquare.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_nn_depthwise_conv_s8_core.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_nn_mat_mult_kernel_q7_q15.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_nn_mat_mult_kernel_q7_q15_reordered.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_nn_mat_mult_kernel_s8_s16.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_nn_mat_mult_kernel_s8_s16_reordered.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ConvolutionFunctions/arm_nn_mat_mult_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/FullyConnectedFunctions/arm_fully_connected_mat_q7_vec_q15.c + ${CMAKE_CURRENT_LIST_DIR}/Source/FullyConnectedFunctions/arm_fully_connected_mat_q7_vec_q15_opt.c + ${CMAKE_CURRENT_LIST_DIR}/Source/FullyConnectedFunctions/arm_fully_connected_q15.c + ${CMAKE_CURRENT_LIST_DIR}/Source/FullyConnectedFunctions/arm_fully_connected_q15_opt.c + ${CMAKE_CURRENT_LIST_DIR}/Source/FullyConnectedFunctions/arm_fully_connected_q7.c + ${CMAKE_CURRENT_LIST_DIR}/Source/FullyConnectedFunctions/arm_fully_connected_q7_opt.c + ${CMAKE_CURRENT_LIST_DIR}/Source/FullyConnectedFunctions/arm_fully_connected_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/NNSupportFunctions/arm_nntables.c + ${CMAKE_CURRENT_LIST_DIR}/Source/NNSupportFunctions/arm_nn_accumulate_q7_to_q15.c + ${CMAKE_CURRENT_LIST_DIR}/Source/NNSupportFunctions/arm_nn_add_q7.c + ${CMAKE_CURRENT_LIST_DIR}/Source/NNSupportFunctions/arm_nn_depthwise_conv_nt_t_padded_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/NNSupportFunctions/arm_nn_depthwise_conv_nt_t_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/NNSupportFunctions/arm_nn_mat_mult_nt_t_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/NNSupportFunctions/arm_nn_mat_mul_core_1x_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/NNSupportFunctions/arm_nn_mat_mul_core_4x_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/NNSupportFunctions/arm_nn_mult_q15.c + ${CMAKE_CURRENT_LIST_DIR}/Source/NNSupportFunctions/arm_nn_mult_q7.c + ${CMAKE_CURRENT_LIST_DIR}/Source/NNSupportFunctions/arm_nn_vec_mat_mult_t_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/NNSupportFunctions/arm_nn_vec_mat_mult_t_svdf_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/NNSupportFunctions/arm_q7_to_q15_no_shift.c + ${CMAKE_CURRENT_LIST_DIR}/Source/NNSupportFunctions/arm_q7_to_q15_reordered_no_shift.c + ${CMAKE_CURRENT_LIST_DIR}/Source/NNSupportFunctions/arm_q7_to_q15_reordered_with_offset.c + ${CMAKE_CURRENT_LIST_DIR}/Source/NNSupportFunctions/arm_q7_to_q15_with_offset.c + ${CMAKE_CURRENT_LIST_DIR}/Source/PoolingFunctions/arm_avgpool_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/PoolingFunctions/arm_max_pool_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/PoolingFunctions/arm_pool_q7_HWC.c + ${CMAKE_CURRENT_LIST_DIR}/Source/ReshapeFunctions/arm_reshape_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/SoftmaxFunctions/arm_softmax_q15.c + ${CMAKE_CURRENT_LIST_DIR}/Source/SoftmaxFunctions/arm_softmax_q7.c + ${CMAKE_CURRENT_LIST_DIR}/Source/SoftmaxFunctions/arm_softmax_s8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/SoftmaxFunctions/arm_softmax_u8.c + ${CMAKE_CURRENT_LIST_DIR}/Source/SoftmaxFunctions/arm_softmax_with_batch_q7.c + ${CMAKE_CURRENT_LIST_DIR}/Source/SVDFunctions/arm_svdf_s8.c +) + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/Include +) + +else() + +message(SEND_ERROR "CMSIS_NN_Source dependency does not meet, please check ${CMAKE_CURRENT_LIST_FILE}.") + +endif() diff --git a/CMSIS/RTOS2/CMSIS_RTOS2_NonSecure.cmake b/CMSIS/RTOS2/CMSIS_RTOS2_NonSecure.cmake new file mode 100644 index 0000000..514f380 --- /dev/null +++ b/CMSIS/RTOS2/CMSIS_RTOS2_NonSecure.cmake @@ -0,0 +1,95 @@ +# Add set(CONFIG_USE_CMSIS_RTOS2_NonSecure true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +if(CONFIG_USE_CMSIS_Device_API_OSTick AND CONFIG_USE_CMSIS_Device_API_RTOS2) + +target_sources(${MCUX_SDK_PROJECT_NAME} PRIVATE + ${CMAKE_CURRENT_LIST_DIR}/RTX/Source/rtx_lib.c + ${CMAKE_CURRENT_LIST_DIR}/RTX/Config/RTX_Config.c +) + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/RTX/Source + ${CMAKE_CURRENT_LIST_DIR}/RTX/Include + ${CMAKE_CURRENT_LIST_DIR}/RTX/Config +) + +if(CONFIG_TOOLCHAIN STREQUAL iar AND CONFIG_CORE STREQUAL cm0p) + target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE + -Wl,--start-group + ${CMAKE_CURRENT_LIST_DIR}/RTX/Library/IAR/RTX_CM0.a + -Wl,--end-group + ) +endif() + +if(CONFIG_TOOLCHAIN STREQUAL iar AND (CONFIG_CORE STREQUAL cm4f OR CONFIG_CORE STREQUAL cm7f)) + target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE + -Wl,--start-group + ${CMAKE_CURRENT_LIST_DIR}/RTX/Library/IAR/RTX_CM4F.a + -Wl,--end-group + ) +endif() + +if((CONFIG_TOOLCHAIN STREQUAL armgcc OR CONFIG_TOOLCHAIN STREQUAL mcux) AND CONFIG_CORE STREQUAL cm0p) + target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE + -Wl,--start-group + ${CMAKE_CURRENT_LIST_DIR}/RTX/Library/GCC/libRTX_CM0.a + -Wl,--end-group + ) +endif() + +if((CONFIG_TOOLCHAIN STREQUAL armgcc OR CONFIG_TOOLCHAIN STREQUAL mcux) AND (CONFIG_CORE STREQUAL cm4f OR CONFIG_CORE STREQUAL cm7f)) + target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE + -Wl,--start-group + ${CMAKE_CURRENT_LIST_DIR}/RTX/Library/GCC/libRTX_CM4F.a + -Wl,--end-group + ) +endif() + +if(CONFIG_TOOLCHAIN STREQUAL mdk AND CONFIG_CORE STREQUAL cm0p) + target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE + -Wl,--start-group + ${CMAKE_CURRENT_LIST_DIR}/RTX/Library/ARM/RTX_CM0.lib + -Wl,--end-group + ) +endif() + +if(CONFIG_TOOLCHAIN STREQUAL mdk AND (CONFIG_CORE STREQUAL cm4f OR CONFIG_CORE STREQUAL cm7f)) + target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE + -Wl,--start-group + ${CMAKE_CURRENT_LIST_DIR}/RTX/Library/ARM/RTX_CM4F.lib + -Wl,--end-group + ) +endif() + +if(CONFIG_COMPILER STREQUAL iar AND CONFIG_CORE STREQUAL cm33 AND CONFIG_FPU STREQUAL SP_FPU) + target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE + -Wl,--start-group + ${CMAKE_CURRENT_LIST_DIR}/RTX/Library/IAR/RTX_V8MMFN.a + -Wl,--end-group + ) +endif() + +if(CONFIG_COMPILER STREQUAL gcc AND CONFIG_CORE STREQUAL cm33 AND CONFIG_FPU STREQUAL SP_FPU) + target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE + -Wl,--start-group + ${CMAKE_CURRENT_LIST_DIR}/RTX/Library/GCC/libRTX_V8MMFN.a + -Wl,--end-group + ) +endif() + +if(CONFIG_COMPILER STREQUAL armclang AND CONFIG_CORE STREQUAL cm33 AND CONFIG_FPU STREQUAL SP_FPU) + target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE + -Wl,--start-group + ${CMAKE_CURRENT_LIST_DIR}/RTX/Library/ARM/RTX_V8MMFN.lib + -Wl,--end-group + ) +endif() + +else() + +message(SEND_ERROR "CMSIS_RTOS2_NonSecure dependency does not meet, please check ${CMAKE_CURRENT_LIST_FILE}.") + +endif() diff --git a/CMSIS/RTOS2/CMSIS_RTOS2_Secure.cmake b/CMSIS/RTOS2/CMSIS_RTOS2_Secure.cmake new file mode 100644 index 0000000..e3cecd1 --- /dev/null +++ b/CMSIS/RTOS2/CMSIS_RTOS2_Secure.cmake @@ -0,0 +1,95 @@ +# Add set(CONFIG_USE_CMSIS_RTOS2_Secure true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +if(CONFIG_USE_CMSIS_Device_API_OSTick AND CONFIG_USE_CMSIS_Device_API_RTOS2) + +target_sources(${MCUX_SDK_PROJECT_NAME} PRIVATE + ${CMAKE_CURRENT_LIST_DIR}/RTX/Source/rtx_lib.c + ${CMAKE_CURRENT_LIST_DIR}/RTX/Config/RTX_Config.c +) + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/RTX/Source + ${CMAKE_CURRENT_LIST_DIR}/RTX/Include + ${CMAKE_CURRENT_LIST_DIR}/RTX/Config +) + +if(CONFIG_TOOLCHAIN STREQUAL iar AND CONFIG_CORE STREQUAL cm0p) + target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE + -Wl,--start-group + ${CMAKE_CURRENT_LIST_DIR}/RTX/Library/IAR/RTX_CM0.a + -Wl,--end-group + ) +endif() + +if(CONFIG_TOOLCHAIN STREQUAL iar AND (CONFIG_CORE STREQUAL cm4f OR CONFIG_CORE STREQUAL cm7f)) + target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE + -Wl,--start-group + ${CMAKE_CURRENT_LIST_DIR}/RTX/Library/IAR/RTX_CM4F.a + -Wl,--end-group + ) +endif() + +if((CONFIG_TOOLCHAIN STREQUAL armgcc OR CONFIG_TOOLCHAIN STREQUAL mcux) AND CONFIG_CORE STREQUAL cm0p) + target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE + -Wl,--start-group + ${CMAKE_CURRENT_LIST_DIR}/RTX/Library/GCC/libRTX_CM0.a + -Wl,--end-group + ) +endif() + +if((CONFIG_TOOLCHAIN STREQUAL armgcc OR CONFIG_TOOLCHAIN STREQUAL mcux) AND (CONFIG_CORE STREQUAL cm4f OR CONFIG_CORE STREQUAL cm7f)) + target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE + -Wl,--start-group + ${CMAKE_CURRENT_LIST_DIR}/RTX/Library/GCC/libRTX_CM4F.a + -Wl,--end-group + ) +endif() + +if(CONFIG_TOOLCHAIN STREQUAL mdk AND CONFIG_CORE STREQUAL cm0p) + target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE + -Wl,--start-group + ${CMAKE_CURRENT_LIST_DIR}/RTX/Library/ARM/RTX_CM0.lib + -Wl,--end-group + ) +endif() + +if(CONFIG_TOOLCHAIN STREQUAL mdk AND (CONFIG_CORE STREQUAL cm4f OR CONFIG_CORE STREQUAL cm7f)) + target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE + -Wl,--start-group + ${CMAKE_CURRENT_LIST_DIR}/RTX/Library/ARM/RTX_CM4F.lib + -Wl,--end-group + ) +endif() + +if(CONFIG_COMPILER STREQUAL iar AND CONFIG_CORE STREQUAL cm33 AND CONFIG_FPU STREQUAL SP_FPU) + target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE + -Wl,--start-group + ${CMAKE_CURRENT_LIST_DIR}/RTX/Library/IAR/RTX_V8MMF.a + -Wl,--end-group + ) +endif() + +if(CONFIG_COMPILER STREQUAL gcc AND CONFIG_CORE STREQUAL cm33 AND CONFIG_FPU STREQUAL SP_FPU) + target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE + -Wl,--start-group + ${CMAKE_CURRENT_LIST_DIR}/RTX/Library/GCC/libRTX_V8MMF.a + -Wl,--end-group + ) +endif() + +if(CONFIG_COMPILER STREQUAL armclang AND CONFIG_CORE STREQUAL cm33 AND CONFIG_FPU STREQUAL SP_FPU) + target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE + -Wl,--start-group + ${CMAKE_CURRENT_LIST_DIR}/RTX/Library/ARM/RTX_V8MMF.lib + -Wl,--end-group + ) +endif() + +else() + +message(SEND_ERROR "CMSIS_RTOS2_Secure dependency does not meet, please check ${CMAKE_CURRENT_LIST_FILE}.") + +endif() diff --git a/CMSIS/RTOS2/Include/CMSIS_Device_API_OSTick.cmake b/CMSIS/RTOS2/Include/CMSIS_Device_API_OSTick.cmake new file mode 100644 index 0000000..dade778 --- /dev/null +++ b/CMSIS/RTOS2/Include/CMSIS_Device_API_OSTick.cmake @@ -0,0 +1,9 @@ +# Add set(CONFIG_USE_CMSIS_Device_API_OSTick true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/. +) + diff --git a/CMSIS/RTOS2/Include/CMSIS_Device_API_RTOS2.cmake b/CMSIS/RTOS2/Include/CMSIS_Device_API_RTOS2.cmake new file mode 100644 index 0000000..725d43f --- /dev/null +++ b/CMSIS/RTOS2/Include/CMSIS_Device_API_RTOS2.cmake @@ -0,0 +1,9 @@ +# Add set(CONFIG_USE_CMSIS_Device_API_RTOS2 true) in config.cmake to use this component + +include_guard(GLOBAL) +message("${CMAKE_CURRENT_LIST_FILE} component is included.") + +target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC + ${CMAKE_CURRENT_LIST_DIR}/. +) + diff --git a/CMSIS/RTOS2/RTX/Config/RTX_Config.c b/CMSIS/RTOS2/RTX/Config/RTX_Config.c new file mode 100644 index 0000000..737078a --- /dev/null +++ b/CMSIS/RTOS2/RTX/Config/RTX_Config.c @@ -0,0 +1,64 @@ +/* + * Copyright (c) 2013-2021 Arm Limited. All rights reserved. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Licensed under the Apache License, Version 2.0 (the License); you may + * not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an AS IS BASIS, WITHOUT + * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * + * ----------------------------------------------------------------------------- + * + * $Revision: V5.1.1 + * + * Project: CMSIS-RTOS RTX + * Title: RTX Configuration + * + * ----------------------------------------------------------------------------- + */ + +#include "cmsis_compiler.h" +#include "rtx_os.h" + +// OS Idle Thread +__WEAK __NO_RETURN void osRtxIdleThread (void *argument) { + (void)argument; + + for (;;) {} +} + +// OS Error Callback function +__WEAK uint32_t osRtxErrorNotify (uint32_t code, void *object_id) { + (void)object_id; + + switch (code) { + case osRtxErrorStackOverflow: + // Stack overflow detected for thread (thread_id=object_id) + break; + case osRtxErrorISRQueueOverflow: + // ISR Queue overflow detected when inserting object (object_id) + break; + case osRtxErrorTimerQueueOverflow: + // User Timer Callback Queue overflow detected for timer (timer_id=object_id) + break; + case osRtxErrorClibSpace: + // Standard C/C++ library libspace not available: increase OS_THREAD_LIBSPACE_NUM + break; + case osRtxErrorClibMutex: + // Standard C/C++ library mutex initialization failed + break; + default: + // Reserved + break; + } + for (;;) {} +//return 0U; +} diff --git a/CMSIS/RTOS2/RTX/Config/RTX_Config.h b/CMSIS/RTOS2/RTX/Config/RTX_Config.h new file mode 100644 index 0000000..4d2f501 --- /dev/null +++ b/CMSIS/RTOS2/RTX/Config/RTX_Config.h @@ -0,0 +1,580 @@ +/* + * Copyright (c) 2013-2021 Arm Limited. All rights reserved. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Licensed under the Apache License, Version 2.0 (the License); you may + * not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an AS IS BASIS, WITHOUT + * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * + * ----------------------------------------------------------------------------- + * + * $Revision: V5.5.2 + * + * Project: CMSIS-RTOS RTX + * Title: RTX Configuration definitions + * + * ----------------------------------------------------------------------------- + */ + +#ifndef RTX_CONFIG_H_ +#define RTX_CONFIG_H_ + +#ifdef _RTE_ +#include "RTE_Components.h" +#ifdef RTE_RTX_CONFIG_H +#include RTE_RTX_CONFIG_H +#endif +#endif + +//-------- <<< Use Configuration Wizard in Context Menu >>> -------------------- + +// System Configuration +// ======================= + +// Global Dynamic Memory size [bytes] <0-1073741824:8> +// Defines the combined global dynamic memory size. +// Default: 32768 +#ifndef OS_DYNAMIC_MEM_SIZE +#define OS_DYNAMIC_MEM_SIZE 32768 +#endif + +// Kernel Tick Frequency [Hz] <1-1000000> +// Defines base time unit for delays and timeouts. +// Default: 1000 (1ms tick) +#ifndef OS_TICK_FREQ +#define OS_TICK_FREQ 1000 +#endif + +// Round-Robin Thread switching +// Enables Round-Robin Thread switching. +#ifndef OS_ROBIN_ENABLE +#define OS_ROBIN_ENABLE 1 +#endif + +// Round-Robin Timeout <1-1000> +// Defines how many ticks a thread will execute before a thread switch. +// Default: 5 +#ifndef OS_ROBIN_TIMEOUT +#define OS_ROBIN_TIMEOUT 5 +#endif + +// + +// ISR FIFO Queue +// <4=> 4 entries <8=> 8 entries <12=> 12 entries <16=> 16 entries +// <24=> 24 entries <32=> 32 entries <48=> 48 entries <64=> 64 entries +// <96=> 96 entries <128=> 128 entries <196=> 196 entries <256=> 256 entries +// RTOS Functions called from ISR store requests to this buffer. +// Default: 16 entries +#ifndef OS_ISR_FIFO_QUEUE +#define OS_ISR_FIFO_QUEUE 16 +#endif + +// Object Memory usage counters +// Enables object memory usage counters (requires RTX source variant). +#ifndef OS_OBJ_MEM_USAGE +#define OS_OBJ_MEM_USAGE 0 +#endif + +// + +// Thread Configuration +// ======================= + +// Object specific Memory allocation +// Enables object specific memory allocation. +#ifndef OS_THREAD_OBJ_MEM +#define OS_THREAD_OBJ_MEM 0 +#endif + +// Number of user Threads <1-1000> +// Defines maximum number of user threads that can be active at the same time. +// Applies to user threads with system provided memory for control blocks. +#ifndef OS_THREAD_NUM +#define OS_THREAD_NUM 1 +#endif + +// Number of user Threads with default Stack size <0-1000> +// Defines maximum number of user threads with default stack size. +// Applies to user threads with zero stack size specified. +#ifndef OS_THREAD_DEF_STACK_NUM +#define OS_THREAD_DEF_STACK_NUM 0 +#endif + +// Total Stack size [bytes] for user Threads with user-provided Stack size <0-1073741824:8> +// Defines the combined stack size for user threads with user-provided stack size. +// Applies to user threads with user-provided stack size and system provided memory for stack. +// Default: 0 +#ifndef OS_THREAD_USER_STACK_SIZE +#define OS_THREAD_USER_STACK_SIZE 0 +#endif + +// + +// Default Thread Stack size [bytes] <96-1073741824:8> +// Defines stack size for threads with zero stack size specified. +// Default: 3072 +#ifndef OS_STACK_SIZE +#define OS_STACK_SIZE 3072 +#endif + +// Idle Thread Stack size [bytes] <72-1073741824:8> +// Defines stack size for Idle thread. +// Default: 512 +#ifndef OS_IDLE_THREAD_STACK_SIZE +#define OS_IDLE_THREAD_STACK_SIZE 512 +#endif + +// Idle Thread TrustZone Module Identifier +// Defines TrustZone Thread Context Management Identifier. +// Applies only to cores with TrustZone technology. +// Default: 0 (not used) +#ifndef OS_IDLE_THREAD_TZ_MOD_ID +#define OS_IDLE_THREAD_TZ_MOD_ID 0 +#endif + +// Stack overrun checking +// Enables stack overrun check at thread switch (requires RTX source variant). +// Enabling this option increases slightly the execution time of a thread switch. +#ifndef OS_STACK_CHECK +#define OS_STACK_CHECK 0 +#endif + +// Stack usage watermark +// Initializes thread stack with watermark pattern for analyzing stack usage. +// Enabling this option increases significantly the execution time of thread creation. +#ifndef OS_STACK_WATERMARK +#define OS_STACK_WATERMARK 0 +#endif + +// Processor mode for Thread execution +// <0=> Unprivileged mode +// <1=> Privileged mode +// Default: Privileged mode +#ifndef OS_PRIVILEGE_MODE +#define OS_PRIVILEGE_MODE 1 +#endif + +// + +// Timer Configuration +// ====================== + +// Object specific Memory allocation +// Enables object specific memory allocation. +#ifndef OS_TIMER_OBJ_MEM +#define OS_TIMER_OBJ_MEM 0 +#endif + +// Number of Timer objects <1-1000> +// Defines maximum number of objects that can be active at the same time. +// Applies to objects with system provided memory for control blocks. +#ifndef OS_TIMER_NUM +#define OS_TIMER_NUM 1 +#endif + +// + +// Timer Thread Priority +// <8=> Low +// <16=> Below Normal <24=> Normal <32=> Above Normal +// <40=> High +// <48=> Realtime +// Defines priority for timer thread +// Default: High +#ifndef OS_TIMER_THREAD_PRIO +#define OS_TIMER_THREAD_PRIO 40 +#endif + +// Timer Thread Stack size [bytes] <0-1073741824:8> +// Defines stack size for Timer thread. +// May be set to 0 when timers are not used. +// Default: 512 +#ifndef OS_TIMER_THREAD_STACK_SIZE +#define OS_TIMER_THREAD_STACK_SIZE 512 +#endif + +// Timer Thread TrustZone Module Identifier +// Defines TrustZone Thread Context Management Identifier. +// Applies only to cores with TrustZone technology. +// Default: 0 (not used) +#ifndef OS_TIMER_THREAD_TZ_MOD_ID +#define OS_TIMER_THREAD_TZ_MOD_ID 0 +#endif + +// Timer Callback Queue entries <0-256> +// Number of concurrent active timer callback functions. +// May be set to 0 when timers are not used. +// Default: 4 +#ifndef OS_TIMER_CB_QUEUE +#define OS_TIMER_CB_QUEUE 4 +#endif + +// + +// Event Flags Configuration +// ============================ + +// Object specific Memory allocation +// Enables object specific memory allocation. +#ifndef OS_EVFLAGS_OBJ_MEM +#define OS_EVFLAGS_OBJ_MEM 0 +#endif + +// Number of Event Flags objects <1-1000> +// Defines maximum number of objects that can be active at the same time. +// Applies to objects with system provided memory for control blocks. +#ifndef OS_EVFLAGS_NUM +#define OS_EVFLAGS_NUM 1 +#endif + +// + +// + +// Mutex Configuration +// ====================== + +// Object specific Memory allocation +// Enables object specific memory allocation. +#ifndef OS_MUTEX_OBJ_MEM +#define OS_MUTEX_OBJ_MEM 0 +#endif + +// Number of Mutex objects <1-1000> +// Defines maximum number of objects that can be active at the same time. +// Applies to objects with system provided memory for control blocks. +#ifndef OS_MUTEX_NUM +#define OS_MUTEX_NUM 1 +#endif + +// + +// + +// Semaphore Configuration +// ========================== + +// Object specific Memory allocation +// Enables object specific memory allocation. +#ifndef OS_SEMAPHORE_OBJ_MEM +#define OS_SEMAPHORE_OBJ_MEM 0 +#endif + +// Number of Semaphore objects <1-1000> +// Defines maximum number of objects that can be active at the same time. +// Applies to objects with system provided memory for control blocks. +#ifndef OS_SEMAPHORE_NUM +#define OS_SEMAPHORE_NUM 1 +#endif + +// + +// + +// Memory Pool Configuration +// ============================ + +// Object specific Memory allocation +// Enables object specific memory allocation. +#ifndef OS_MEMPOOL_OBJ_MEM +#define OS_MEMPOOL_OBJ_MEM 0 +#endif + +// Number of Memory Pool objects <1-1000> +// Defines maximum number of objects that can be active at the same time. +// Applies to objects with system provided memory for control blocks. +#ifndef OS_MEMPOOL_NUM +#define OS_MEMPOOL_NUM 1 +#endif + +// Data Storage Memory size [bytes] <0-1073741824:8> +// Defines the combined data storage memory size. +// Applies to objects with system provided memory for data storage. +// Default: 0 +#ifndef OS_MEMPOOL_DATA_SIZE +#define OS_MEMPOOL_DATA_SIZE 0 +#endif + +// + +// + +// Message Queue Configuration +// ============================== + +// Object specific Memory allocation +// Enables object specific memory allocation. +#ifndef OS_MSGQUEUE_OBJ_MEM +#define OS_MSGQUEUE_OBJ_MEM 0 +#endif + +// Number of Message Queue objects <1-1000> +// Defines maximum number of objects that can be active at the same time. +// Applies to objects with system provided memory for control blocks. +#ifndef OS_MSGQUEUE_NUM +#define OS_MSGQUEUE_NUM 1 +#endif + +// Data Storage Memory size [bytes] <0-1073741824:8> +// Defines the combined data storage memory size. +// Applies to objects with system provided memory for data storage. +// Default: 0 +#ifndef OS_MSGQUEUE_DATA_SIZE +#define OS_MSGQUEUE_DATA_SIZE 0 +#endif + +// + +// + +// Event Recorder Configuration +// =============================== + +// Global Initialization +// Initialize Event Recorder during 'osKernelInitialize'. +#ifndef OS_EVR_INIT +#define OS_EVR_INIT 0 +#endif + +// Start recording +// Start event recording after initialization. +#ifndef OS_EVR_START +#define OS_EVR_START 1 +#endif + +// Global Event Filter Setup +// Initial recording level applied to all components. +// Error events +// API function call events +// Operation events +// Detailed operation events +// +#ifndef OS_EVR_LEVEL +#define OS_EVR_LEVEL 0x00U +#endif + +// RTOS Event Filter Setup +// Recording levels for RTX components. +// Only applicable if events for the respective component are generated. + +// Memory Management +// Recording level for Memory Management events. +// Error events +// API function call events +// Operation events +// Detailed operation events +// +#ifndef OS_EVR_MEMORY_LEVEL +#define OS_EVR_MEMORY_LEVEL 0x81U +#endif + +// Kernel +// Recording level for Kernel events. +// Error events +// API function call events +// Operation events +// Detailed operation events +// +#ifndef OS_EVR_KERNEL_LEVEL +#define OS_EVR_KERNEL_LEVEL 0x81U +#endif + +// Thread +// Recording level for Thread events. +// Error events +// API function call events +// Operation events +// Detailed operation events +// +#ifndef OS_EVR_THREAD_LEVEL +#define OS_EVR_THREAD_LEVEL 0x85U +#endif + +// Generic Wait +// Recording level for Generic Wait events. +// Error events +// API function call events +// Operation events +// Detailed operation events +// +#ifndef OS_EVR_WAIT_LEVEL +#define OS_EVR_WAIT_LEVEL 0x81U +#endif + +// Thread Flags +// Recording level for Thread Flags events. +// Error events +// API function call events +// Operation events +// Detailed operation events +// +#ifndef OS_EVR_THFLAGS_LEVEL +#define OS_EVR_THFLAGS_LEVEL 0x81U +#endif + +// Event Flags +// Recording level for Event Flags events. +// Error events +// API function call events +// Operation events +// Detailed operation events +// +#ifndef OS_EVR_EVFLAGS_LEVEL +#define OS_EVR_EVFLAGS_LEVEL 0x81U +#endif + +// Timer +// Recording level for Timer events. +// Error events +// API function call events +// Operation events +// Detailed operation events +// +#ifndef OS_EVR_TIMER_LEVEL +#define OS_EVR_TIMER_LEVEL 0x81U +#endif + +// Mutex +// Recording level for Mutex events. +// Error events +// API function call events +// Operation events +// Detailed operation events +// +#ifndef OS_EVR_MUTEX_LEVEL +#define OS_EVR_MUTEX_LEVEL 0x81U +#endif + +// Semaphore +// Recording level for Semaphore events. +// Error events +// API function call events +// Operation events +// Detailed operation events +// +#ifndef OS_EVR_SEMAPHORE_LEVEL +#define OS_EVR_SEMAPHORE_LEVEL 0x81U +#endif + +// Memory Pool +// Recording level for Memory Pool events. +// Error events +// API function call events +// Operation events +// Detailed operation events +// +#ifndef OS_EVR_MEMPOOL_LEVEL +#define OS_EVR_MEMPOOL_LEVEL 0x81U +#endif + +// Message Queue +// Recording level for Message Queue events. +// Error events +// API function call events +// Operation events +// Detailed operation events +// +#ifndef OS_EVR_MSGQUEUE_LEVEL +#define OS_EVR_MSGQUEUE_LEVEL 0x81U +#endif + +// + +// + +// RTOS Event Generation +// Enables event generation for RTX components (requires RTX source variant). + +// Memory Management +// Enables Memory Management event generation. +#ifndef OS_EVR_MEMORY +#define OS_EVR_MEMORY 1 +#endif + +// Kernel +// Enables Kernel event generation. +#ifndef OS_EVR_KERNEL +#define OS_EVR_KERNEL 1 +#endif + +// Thread +// Enables Thread event generation. +#ifndef OS_EVR_THREAD +#define OS_EVR_THREAD 1 +#endif + +// Generic Wait +// Enables Generic Wait event generation. +#ifndef OS_EVR_WAIT +#define OS_EVR_WAIT 1 +#endif + +// Thread Flags +// Enables Thread Flags event generation. +#ifndef OS_EVR_THFLAGS +#define OS_EVR_THFLAGS 1 +#endif + +// Event Flags +// Enables Event Flags event generation. +#ifndef OS_EVR_EVFLAGS +#define OS_EVR_EVFLAGS 1 +#endif + +// Timer +// Enables Timer event generation. +#ifndef OS_EVR_TIMER +#define OS_EVR_TIMER 1 +#endif + +// Mutex +// Enables Mutex event generation. +#ifndef OS_EVR_MUTEX +#define OS_EVR_MUTEX 1 +#endif + +// Semaphore +// Enables Semaphore event generation. +#ifndef OS_EVR_SEMAPHORE +#define OS_EVR_SEMAPHORE 1 +#endif + +// Memory Pool +// Enables Memory Pool event generation. +#ifndef OS_EVR_MEMPOOL +#define OS_EVR_MEMPOOL 1 +#endif + +// Message Queue +// Enables Message Queue event generation. +#ifndef OS_EVR_MSGQUEUE +#define OS_EVR_MSGQUEUE 1 +#endif + +// + +// + +// Number of Threads which use standard C/C++ library libspace +// (when thread specific memory allocation is not used). +#if (OS_THREAD_OBJ_MEM == 0) +#ifndef OS_THREAD_LIBSPACE_NUM +#define OS_THREAD_LIBSPACE_NUM 4 +#endif +#else +#define OS_THREAD_LIBSPACE_NUM OS_THREAD_NUM +#endif + +//------------- <<< end of configuration section >>> --------------------------- + +#endif // RTX_CONFIG_H_ diff --git a/CMSIS/RTOS2/RTX/Include/rtx_def.h b/CMSIS/RTOS2/RTX/Include/rtx_def.h new file mode 100644 index 0000000..a7076a4 --- /dev/null +++ b/CMSIS/RTOS2/RTX/Include/rtx_def.h @@ -0,0 +1,34 @@ +/* + * Copyright (c) 2021 Arm Limited. All rights reserved. + * + * This Software is licensed under an Arm proprietary license. + * + * ----------------------------------------------------------------------------- + * + * Project: CMSIS-RTOS RTX + * Title: RTX derived definitions + * + * ----------------------------------------------------------------------------- + */ + +#ifndef RTX_DEF_H_ +#define RTX_DEF_H_ + +#ifdef _RTE_ +#include "RTE_Components.h" +#endif +#include "RTX_Config.h" + +#if (defined(OS_OBJ_MEM_USAGE) && (OS_OBJ_MEM_USAGE != 0)) + #define RTX_OBJ_MEM_USAGE +#endif + +#if (defined(OS_STACK_CHECK) && (OS_STACK_CHECK != 0)) + #define RTX_STACK_CHECK +#endif + +#ifdef RTE_CMSIS_RTOS2_RTX5_ARMV8M_NS + #define DOMAIN_NS 1 +#endif + +#endif // RTX_DEF_H_ diff --git a/CMSIS/RTOS2/RTX/Include/rtx_evr.h b/CMSIS/RTOS2/RTX/Include/rtx_evr.h new file mode 100644 index 0000000..2a6899e --- /dev/null +++ b/CMSIS/RTOS2/RTX/Include/rtx_evr.h @@ -0,0 +1,1983 @@ +/* + * Copyright (c) 2013-2021 Arm Limited. All rights reserved. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Licensed under the Apache License, Version 2.0 (the License); you may + * not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an AS IS BASIS, WITHOUT + * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * + * ----------------------------------------------------------------------------- + * + * Project: CMSIS-RTOS RTX + * Title: RTX Event Recorder definitions + * + * ----------------------------------------------------------------------------- + */ + +#ifndef RTX_EVR_H_ +#define RTX_EVR_H_ + +#include "rtx_os.h" // RTX OS definitions + +// Initial Thread configuration covered also Thread Flags and Generic Wait +#ifndef OS_EVR_THFLAGS +#define OS_EVR_THFLAGS OS_EVR_THREAD +#endif +#ifndef OS_EVR_WAIT +#define OS_EVR_WAIT OS_EVR_THREAD +#endif + +#ifdef _RTE_ +#include "RTE_Components.h" +#endif + +#ifdef RTE_Compiler_EventRecorder + +//lint -emacro((835,845),EventID) [MISRA Note 13] + +#include "EventRecorder.h" +#include "EventRecorderConf.h" + +#if ((defined(OS_EVR_INIT) && (OS_EVR_INIT != 0)) || (EVENT_TIMESTAMP_SOURCE == 2)) +#ifndef EVR_RTX_KERNEL_GET_STATE_DISABLE +#define EVR_RTX_KERNEL_GET_STATE_DISABLE +#endif +#endif + +#if (EVENT_TIMESTAMP_SOURCE == 2) +#ifndef EVR_RTX_KERNEL_GET_SYS_TIMER_COUNT_DISABLE +#define EVR_RTX_KERNEL_GET_SYS_TIMER_COUNT_DISABLE +#endif +#ifndef EVR_RTX_KERNEL_GET_SYS_TIMER_FREQ_DISABLE +#define EVR_RTX_KERNEL_GET_SYS_TIMER_FREQ_DISABLE +#endif +#endif + +/// RTOS component number +#define EvtRtxMemoryNo (0xF0U) +#define EvtRtxKernelNo (0xF1U) +#define EvtRtxThreadNo (0xF2U) +#define EvtRtxThreadFlagsNo (0xF4U) +#define EvtRtxWaitNo (0xF3U) +#define EvtRtxTimerNo (0xF6U) +#define EvtRtxEventFlagsNo (0xF5U) +#define EvtRtxMutexNo (0xF7U) +#define EvtRtxSemaphoreNo (0xF8U) +#define EvtRtxMemoryPoolNo (0xF9U) +#define EvtRtxMessageQueueNo (0xFAU) + +#endif // RTE_Compiler_EventRecorder + + +/// Extended Status codes +#define osRtxErrorKernelNotReady (-7) +#define osRtxErrorKernelNotRunning (-8) +#define osRtxErrorInvalidControlBlock (-9) +#define osRtxErrorInvalidDataMemory (-10) +#define osRtxErrorInvalidThreadStack (-11) +#define osRtxErrorInvalidPriority (-12) +#define osRtxErrorThreadNotJoinable (-13) +#define osRtxErrorMutexNotOwned (-14) +#define osRtxErrorMutexNotLocked (-15) +#define osRtxErrorMutexLockLimit (-16) +#define osRtxErrorSemaphoreCountLimit (-17) +#define osRtxErrorTZ_InitContext_S (-18) +#define osRtxErrorTZ_AllocContext_S (-19) +#define osRtxErrorTZ_FreeContext_S (-20) +#define osRtxErrorTZ_LoadContext_S (-21) +#define osRtxErrorTZ_SaveContext_S (-22) + + +// ==== Memory Events ==== + +/** + \brief Event on memory initialization (Op) + \param[in] mem pointer to memory pool. + \param[in] size size of a memory pool in bytes. + \param[in] result execution status: 1 - success, 0 - failure. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMORY != 0) && !defined(EVR_RTX_MEMORY_INIT_DISABLE)) +extern void EvrRtxMemoryInit (void *mem, uint32_t size, uint32_t result); +#else +#define EvrRtxMemoryInit(mem, size, result) +#endif + +/** + \brief Event on memory allocate (Op) + \param[in] mem pointer to memory pool. + \param[in] size size of a memory block in bytes. + \param[in] type memory block type: 0 - generic, 1 - control block. + \param[in] block pointer to allocated memory block or NULL in case of no memory is available. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMORY != 0) && !defined(EVR_RTX_MEMORY_ALLOC_DISABLE)) +extern void EvrRtxMemoryAlloc (void *mem, uint32_t size, uint32_t type, void *block); +#else +#define EvrRtxMemoryAlloc(mem, size, type, block) +#endif + +/** + \brief Event on memory free (Op) + \param[in] mem pointer to memory pool. + \param[in] block memory block to be returned to the memory pool. + \param[in] result execution status: 1 - success, 0 - failure. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMORY != 0) && !defined(EVR_RTX_MEMORY_FREE_DISABLE)) +extern void EvrRtxMemoryFree (void *mem, void *block, uint32_t result); +#else +#define EvrRtxMemoryFree(mem, block, result) +#endif + +/** + \brief Event on memory block initialization (Op) + \param[in] mp_info memory pool info. + \param[in] block_count maximum number of memory blocks in memory pool. + \param[in] block_size size of a memory block in bytes. + \param[in] block_mem pointer to memory for block storage. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMORY != 0) && !defined(EVR_RTX_MEMORY_BLOCK_INIT_DISABLE)) +extern void EvrRtxMemoryBlockInit (osRtxMpInfo_t *mp_info, uint32_t block_count, uint32_t block_size, void *block_mem); +#else +#define EvrRtxMemoryBlockInit(mp_info, block_count, block_size, block_mem) +#endif + +/** + \brief Event on memory block alloc (Op) + \param[in] mp_info memory pool info. + \param[in] block address of the allocated memory block or NULL in case of no memory is available. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMORY != 0) && !defined(EVR_RTX_MEMORY_BLOCK_ALLOC_DISABLE)) +extern void EvrRtxMemoryBlockAlloc (osRtxMpInfo_t *mp_info, void *block); +#else +#define EvrRtxMemoryBlockAlloc(mp_info, block) +#endif + +/** + \brief Event on memory block free (Op) + \param[in] mp_info memory pool info. + \param[in] block address of the allocated memory block to be returned to the memory pool. + \param[in] status extended execution status. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMORY != 0) && !defined(EVR_RTX_MEMORY_BLOCK_FREE_DISABLE)) +extern void EvrRtxMemoryBlockFree (osRtxMpInfo_t *mp_info, void *block, int32_t status); +#else +#define EvrRtxMemoryBlockFree(mp_info, block, status) +#endif + + +// ==== Kernel Events ==== + +/** + \brief Event on RTOS kernel error (Error) + \param[in] status extended execution status. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_ERROR_DISABLE)) +extern void EvrRtxKernelError (int32_t status); +#else +#define EvrRtxKernelError(status) +#endif + +/** + \brief Event on RTOS kernel initialize (API) +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_INITIALIZE_DISABLE)) +extern void EvrRtxKernelInitialize (void); +#else +#define EvrRtxKernelInitialize() +#endif + +/** + \brief Event on successful RTOS kernel initialize (Op) +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_INITIALIZED_DISABLE)) +extern void EvrRtxKernelInitialized (void); +#else +#define EvrRtxKernelInitialized() +#endif + +/** + \brief Event on RTOS kernel information retrieve (API) + \param[in] version pointer to buffer for retrieving version information. + \param[in] id_buf pointer to buffer for retrieving kernel identification string. + \param[in] id_size size of buffer for kernel identification string. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_GET_INFO_DISABLE)) +extern void EvrRtxKernelGetInfo (osVersion_t *version, char *id_buf, uint32_t id_size); +#else +#define EvrRtxKernelGetInfo(version, id_buf, id_size) +#endif + +/** + \brief Event on successful RTOS kernel information retrieve (Op) + \param[in] version pointer to buffer for retrieving version information. + \param[in] id_buf pointer to buffer for retrieving kernel identification string. + \param[in] id_size size of buffer for kernel identification string. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_INFO_RETRIEVED_DISABLE)) +extern void EvrRtxKernelInfoRetrieved (const osVersion_t *version, const char *id_buf, uint32_t id_size); +#else +#define EvrRtxKernelInfoRetrieved(version, id_buf, id_size) +#endif + +/** + \brief Event on current RTOS Kernel state retrieve (API) + \param[in] state current RTOS Kernel state. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_GET_STATE_DISABLE)) +extern void EvrRtxKernelGetState (osKernelState_t state); +#else +#define EvrRtxKernelGetState(state) +#endif + +/** + \brief Event on RTOS Kernel scheduler start (API) +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_START_DISABLE)) +extern void EvrRtxKernelStart (void); +#else +#define EvrRtxKernelStart() +#endif + +/** + \brief Event on successful RTOS Kernel scheduler start (Op) +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_STARTED_DISABLE)) +extern void EvrRtxKernelStarted (void); +#else +#define EvrRtxKernelStarted() +#endif + +/** + \brief Event on RTOS Kernel scheduler lock (API) +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_LOCK_DISABLE)) +extern void EvrRtxKernelLock (void); +#else +#define EvrRtxKernelLock() +#endif + +/** + \brief Event on successful RTOS Kernel scheduler lock (Op) + \param[in] lock previous lock state (1 - locked, 0 - not locked). +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_LOCKED_DISABLE)) +extern void EvrRtxKernelLocked (int32_t lock); +#else +#define EvrRtxKernelLocked(lock) +#endif + +/** + \brief Event on RTOS Kernel scheduler unlock (API) +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_UNLOCK_DISABLE)) +extern void EvrRtxKernelUnlock (void); +#else +#define EvrRtxKernelUnlock() +#endif + +/** + \brief Event on successful RTOS Kernel scheduler unlock (Op) + \param[in] lock previous lock state (1 - locked, 0 - not locked). +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_UNLOCKED_DISABLE)) +extern void EvrRtxKernelUnlocked (int32_t lock); +#else +#define EvrRtxKernelUnlocked(lock) +#endif + +/** + \brief Event on RTOS Kernel scheduler lock state restore (API) + \param[in] lock lock state obtained by \ref osKernelLock or \ref osKernelUnlock. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_RESTORE_LOCK_DISABLE)) +extern void EvrRtxKernelRestoreLock (int32_t lock); +#else +#define EvrRtxKernelRestoreLock(lock) +#endif + +/** + \brief Event on successful RTOS Kernel scheduler lock state restore (Op) + \param[in] lock new lock state (1 - locked, 0 - not locked). +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_LOCK_RESTORED_DISABLE)) +extern void EvrRtxKernelLockRestored (int32_t lock); +#else +#define EvrRtxKernelLockRestored(lock) +#endif + +/** + \brief Event on RTOS Kernel scheduler suspend (API) +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_SUSPEND_DISABLE)) +extern void EvrRtxKernelSuspend (void); +#else +#define EvrRtxKernelSuspend() +#endif + +/** + \brief Event on successful RTOS Kernel scheduler suspend (Op) + \param[in] sleep_ticks time in ticks, for how long the system can sleep or power-down. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_SUSPENDED_DISABLE)) +extern void EvrRtxKernelSuspended (uint32_t sleep_ticks); +#else +#define EvrRtxKernelSuspended(sleep_ticks) +#endif + +/** + \brief Event on RTOS Kernel scheduler resume (API) + \param[in] sleep_ticks time in ticks, for how long the system was in sleep or power-down mode. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_RESUME_DISABLE)) +extern void EvrRtxKernelResume (uint32_t sleep_ticks); +#else +#define EvrRtxKernelResume(sleep_ticks) +#endif + +/** + \brief Event on successful RTOS Kernel scheduler resume (Op) +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_RESUMED_DISABLE)) +extern void EvrRtxKernelResumed (void); +#else +#define EvrRtxKernelResumed() +#endif + +/** + \brief Event on RTOS kernel tick count retrieve (API) + \param[in] count RTOS kernel current tick count. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_GET_TICK_COUNT_DISABLE)) +extern void EvrRtxKernelGetTickCount (uint32_t count); +#else +#define EvrRtxKernelGetTickCount(count) +#endif + +/** + \brief Event on RTOS kernel tick frequency retrieve (API) + \param[in] freq frequency of the kernel tick. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_GET_TICK_FREQ_DISABLE)) +extern void EvrRtxKernelGetTickFreq (uint32_t freq); +#else +#define EvrRtxKernelGetTickFreq(freq) +#endif + +/** + \brief Event on RTOS kernel system timer count retrieve (API) + \param[in] count RTOS kernel current system timer count as 32-bit value. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_GET_SYS_TIMER_COUNT_DISABLE)) +extern void EvrRtxKernelGetSysTimerCount (uint32_t count); +#else +#define EvrRtxKernelGetSysTimerCount(count) +#endif + +/** + \brief Event on RTOS kernel system timer frequency retrieve (API) + \param[in] freq frequency of the system timer. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_GET_SYS_TIMER_FREQ_DISABLE)) +extern void EvrRtxKernelGetSysTimerFreq (uint32_t freq); +#else +#define EvrRtxKernelGetSysTimerFreq(freq) +#endif + +/** + \brief Event on RTOS kernel system error (Error) + \param[in] code error code. + \param[in] object_id object that caused the error. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_KERNEL != 0) && !defined(EVR_RTX_KERNEL_ERROR_NOTIFY_DISABLE)) +extern void EvrRtxKernelErrorNotify (uint32_t code, void *object_id); +#else +#define EvrRtxKernelErrorNotify(code, object_id) +#endif + + +// ==== Thread Events ==== + +/** + \brief Event on thread error (Error) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId or NULL when ID is unknown. + \param[in] status extended execution status. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_ERROR_DISABLE)) +extern void EvrRtxThreadError (osThreadId_t thread_id, int32_t status); +#else +#define EvrRtxThreadError(thread_id, status) +#endif + +/** + \brief Event on thread create and intialize (API) + \param[in] func thread function. + \param[in] argument pointer that is passed to the thread function as start argument. + \param[in] attr thread attributes. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_NEW_DISABLE)) +extern void EvrRtxThreadNew (osThreadFunc_t func, void *argument, const osThreadAttr_t *attr); +#else +#define EvrRtxThreadNew(func, argument, attr) +#endif + +/** + \brief Event on successful thread create (Op) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. + \param[in] thread_addr thread entry address. + \param[in] name pointer to thread object name. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_CREATED_DISABLE)) +extern void EvrRtxThreadCreated (osThreadId_t thread_id, uint32_t thread_addr, const char *name); +#else +#define EvrRtxThreadCreated(thread_id, thread_addr, name) +#endif + +/** + \brief Event on thread name retrieve (API) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. + \param[in] name pointer to thread object name. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_GET_NAME_DISABLE)) +extern void EvrRtxThreadGetName (osThreadId_t thread_id, const char *name); +#else +#define EvrRtxThreadGetName(thread_id, name) +#endif + +/** + \brief Event on current running thread ID retrieve (API) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_GET_ID_DISABLE)) +extern void EvrRtxThreadGetId (osThreadId_t thread_id); +#else +#define EvrRtxThreadGetId(thread_id) +#endif + +/** + \brief Event on thread state retrieve (API) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. + \param[in] state current thread state of the specified thread. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_GET_STATE_DISABLE)) +extern void EvrRtxThreadGetState (osThreadId_t thread_id, osThreadState_t state); +#else +#define EvrRtxThreadGetState(thread_id, state) +#endif + +/** + \brief Event on thread stack size retrieve (API) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. + \param[in] stack_size stack size in bytes. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_GET_STACK_SIZE_DISABLE)) +extern void EvrRtxThreadGetStackSize (osThreadId_t thread_id, uint32_t stack_size); +#else +#define EvrRtxThreadGetStackSize(thread_id, stack_size) +#endif + +/** + \brief Event on available stack space retrieve (API) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. + \param[in] stack_space remaining stack space in bytes. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_GET_STACK_SPACE_DISABLE)) +extern void EvrRtxThreadGetStackSpace (osThreadId_t thread_id, uint32_t stack_space); +#else +#define EvrRtxThreadGetStackSpace(thread_id, stack_space) +#endif + +/** + \brief Event on thread priority set (API) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. + \param[in] priority new priority value for the thread function. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_SET_PRIORITY_DISABLE)) +extern void EvrRtxThreadSetPriority (osThreadId_t thread_id, osPriority_t priority); +#else +#define EvrRtxThreadSetPriority(thread_id, priority) +#endif + +/** + \brief Event on thread priority updated (Op) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. + \param[in] priority new priority value for the thread function. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_PRIORITY_UPDATED_DISABLE)) +extern void EvrRtxThreadPriorityUpdated (osThreadId_t thread_id, osPriority_t priority); +#else +#define EvrRtxThreadPriorityUpdated(thread_id, priority) +#endif + +/** + \brief Event on thread priority retrieve (API) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. + \param[in] priority current priority value of the specified thread. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_GET_PRIORITY_DISABLE)) +extern void EvrRtxThreadGetPriority (osThreadId_t thread_id, osPriority_t priority); +#else +#define EvrRtxThreadGetPriority(thread_id, priority) +#endif + +/** + \brief Event on thread yield (API) +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_YIELD_DISABLE)) +extern void EvrRtxThreadYield (void); +#else +#define EvrRtxThreadYield() +#endif + +/** + \brief Event on thread suspend (API) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_SUSPEND_DISABLE)) +extern void EvrRtxThreadSuspend (osThreadId_t thread_id); +#else +#define EvrRtxThreadSuspend(thread_id) +#endif + +/** + \brief Event on successful thread suspend (Op) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_SUSPENDED_DISABLE)) +extern void EvrRtxThreadSuspended (osThreadId_t thread_id); +#else +#define EvrRtxThreadSuspended(thread_id) +#endif + +/** + \brief Event on thread resume (API) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_RESUME_DISABLE)) +extern void EvrRtxThreadResume (osThreadId_t thread_id); +#else +#define EvrRtxThreadResume(thread_id) +#endif + +/** + \brief Event on successful thread resume (Op) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_RESUMED_DISABLE)) +extern void EvrRtxThreadResumed (osThreadId_t thread_id); +#else +#define EvrRtxThreadResumed(thread_id) +#endif + +/** + \brief Event on thread detach (API) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_DETACH_DISABLE)) +extern void EvrRtxThreadDetach (osThreadId_t thread_id); +#else +#define EvrRtxThreadDetach(thread_id) +#endif + +/** + \brief Event on successful thread detach (Op) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_DETACHED_DISABLE)) +extern void EvrRtxThreadDetached (osThreadId_t thread_id); +#else +#define EvrRtxThreadDetached(thread_id) +#endif + +/** + \brief Event on thread join (API) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_JOIN_DISABLE)) +extern void EvrRtxThreadJoin (osThreadId_t thread_id); +#else +#define EvrRtxThreadJoin(thread_id) +#endif + +/** + \brief Event on pending thread join (Op) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_JOIN_PENDING_DISABLE)) +extern void EvrRtxThreadJoinPending (osThreadId_t thread_id); +#else +#define EvrRtxThreadJoinPending(thread_id) +#endif + +/** + \brief Event on successful thread join (Op) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_JOINED_DISABLE)) +extern void EvrRtxThreadJoined (osThreadId_t thread_id); +#else +#define EvrRtxThreadJoined(thread_id) +#endif + +/** + \brief Event on thread execution block (Detail) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. + \param[in] timeout \ref CMSIS_RTOS_TimeOutValue or 0 in case of no time-out. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_BLOCKED_DISABLE)) +extern void EvrRtxThreadBlocked (osThreadId_t thread_id, uint32_t timeout); +#else +#define EvrRtxThreadBlocked(thread_id, timeout) +#endif + +/** + \brief Event on thread execution unblock (Detail) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. + \param[in] ret_val extended execution status of the thread. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_UNBLOCKED_DISABLE)) +extern void EvrRtxThreadUnblocked (osThreadId_t thread_id, uint32_t ret_val); +#else +#define EvrRtxThreadUnblocked(thread_id, ret_val) +#endif + +/** + \brief Event on running thread pre-emption (Detail) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_PREEMPTED_DISABLE)) +extern void EvrRtxThreadPreempted (osThreadId_t thread_id); +#else +#define EvrRtxThreadPreempted(thread_id) +#endif + +/** + \brief Event on running thread switch (Op) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_SWITCHED_DISABLE)) +extern void EvrRtxThreadSwitched (osThreadId_t thread_id); +#else +#define EvrRtxThreadSwitched(thread_id) +#endif + +/** + \brief Event on thread exit (API) +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_EXIT_DISABLE)) +extern void EvrRtxThreadExit (void); +#else +#define EvrRtxThreadExit() +#endif + +/** + \brief Event on thread terminate (API) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_TERMINATE_DISABLE)) +extern void EvrRtxThreadTerminate (osThreadId_t thread_id); +#else +#define EvrRtxThreadTerminate(thread_id) +#endif + +/** + \brief Event on successful thread terminate (Op) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_DESTROYED_DISABLE)) +extern void EvrRtxThreadDestroyed (osThreadId_t thread_id); +#else +#define EvrRtxThreadDestroyed(thread_id) +#endif + +/** + \brief Event on active thread count retrieve (API) + \param[in] count number of active threads. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_GET_COUNT_DISABLE)) +extern void EvrRtxThreadGetCount (uint32_t count); +#else +#define EvrRtxThreadGetCount(count) +#endif + +/** + \brief Event on active threads enumerate (API) + \param[in] thread_array pointer to array for retrieving thread IDs. + \param[in] array_items maximum number of items in array for retrieving thread IDs. + \param[in] count number of enumerated threads. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THREAD != 0) && !defined(EVR_RTX_THREAD_ENUMERATE_DISABLE)) +extern void EvrRtxThreadEnumerate (osThreadId_t *thread_array, uint32_t array_items, uint32_t count); +#else +#define EvrRtxThreadEnumerate(thread_array, array_items, count) +#endif + + +// ==== Thread Flags Events ==== + +/** + \brief Event on thread flags error (Error) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId or NULL when ID is unknown. + \param[in] status extended execution status. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THFLAGS != 0) && !defined(EVR_RTX_THREAD_FLAGS_ERROR_DISABLE)) +extern void EvrRtxThreadFlagsError (osThreadId_t thread_id, int32_t status); +#else +#define EvrRtxThreadFlagsError(thread_id, status) +#endif + +/** + \brief Event on thread flags set (API) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. + \param[in] flags flags of the thread that shall be set. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THFLAGS != 0) && !defined(EVR_RTX_THREAD_FLAGS_SET_DISABLE)) +extern void EvrRtxThreadFlagsSet (osThreadId_t thread_id, uint32_t flags); +#else +#define EvrRtxThreadFlagsSet(thread_id, flags) +#endif + +/** + \brief Event on successful thread flags set (Op) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. + \param[in] thread_flags thread flags after setting. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THFLAGS != 0) && !defined(EVR_RTX_THREAD_FLAGS_SET_DONE_DISABLE)) +extern void EvrRtxThreadFlagsSetDone (osThreadId_t thread_id, uint32_t thread_flags); +#else +#define EvrRtxThreadFlagsSetDone(thread_id, thread_flags) +#endif + +/** + \brief Event on thread flags clear (API) + \param[in] flags flags of the thread that shall be cleared. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THFLAGS != 0) && !defined(EVR_RTX_THREAD_FLAGS_CLEAR_DISABLE)) +extern void EvrRtxThreadFlagsClear (uint32_t flags); +#else +#define EvrRtxThreadFlagsClear(flags) +#endif + +/** + \brief Event on successful thread flags clear (Op) + \param[in] thread_flags thread flags before clearing. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THFLAGS != 0) && !defined(EVR_RTX_THREAD_FLAGS_CLEAR_DONE_DISABLE)) +extern void EvrRtxThreadFlagsClearDone (uint32_t thread_flags); +#else +#define EvrRtxThreadFlagsClearDone(thread_flags) +#endif + +/** + \brief Event on thread flags retrieve (API) + \param[in] thread_flags current thread flags. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THFLAGS != 0) && !defined(EVR_RTX_THREAD_FLAGS_GET_DISABLE)) +extern void EvrRtxThreadFlagsGet (uint32_t thread_flags); +#else +#define EvrRtxThreadFlagsGet(thread_flags) +#endif + +/** + \brief Event on wait for thread flags (API) + \param[in] flags flags to wait for. + \param[in] options flags options (osFlagsXxxx). + \param[in] timeout \ref CMSIS_RTOS_TimeOutValue or 0 in case of no time-out. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THFLAGS != 0) && !defined(EVR_RTX_THREAD_FLAGS_WAIT_DISABLE)) +extern void EvrRtxThreadFlagsWait (uint32_t flags, uint32_t options, uint32_t timeout); +#else +#define EvrRtxThreadFlagsWait(flags, options, timeout) +#endif + +/** + \brief Event on pending wait for thread flags (Op) + \param[in] flags flags to wait for. + \param[in] options flags options (osFlagsXxxx). + \param[in] timeout \ref CMSIS_RTOS_TimeOutValue or 0 in case of no time-out. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THFLAGS != 0) && !defined(EVR_RTX_THREAD_FLAGS_WAIT_PENDING_DISABLE)) +extern void EvrRtxThreadFlagsWaitPending (uint32_t flags, uint32_t options, uint32_t timeout); +#else +#define EvrRtxThreadFlagsWaitPending(flags, options, timeout) +#endif + +/** + \brief Event on wait timeout for thread flags (Op) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THFLAGS != 0) && !defined(EVR_RTX_THREAD_FLAGS_WAIT_TIMEOUT_DISABLE)) +extern void EvrRtxThreadFlagsWaitTimeout (osThreadId_t thread_id); +#else +#define EvrRtxThreadFlagsWaitTimeout(thread_id) +#endif + +/** + \brief Event on successful wait for thread flags (Op) + \param[in] flags flags to wait for. + \param[in] options flags options (osFlagsXxxx). + \param[in] thread_flags thread flags before clearing. + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THFLAGS != 0) && !defined(EVR_RTX_THREAD_FLAGS_WAIT_COMPLETED_DISABLE)) +extern void EvrRtxThreadFlagsWaitCompleted (uint32_t flags, uint32_t options, uint32_t thread_flags, osThreadId_t thread_id); +#else +#define EvrRtxThreadFlagsWaitCompleted(flags, options, thread_flags, thread_id) +#endif + +/** + \brief Event on unsuccessful wait for thread flags (Op) + \param[in] flags flags to wait for. + \param[in] options flags options (osFlagsXxxx). +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_THFLAGS != 0) && !defined(EVR_RTX_THREAD_FLAGS_WAIT_NOT_COMPLETED_DISABLE)) +extern void EvrRtxThreadFlagsWaitNotCompleted (uint32_t flags, uint32_t options); +#else +#define EvrRtxThreadFlagsWaitNotCompleted(flags, options) +#endif + + +// ==== Generic Wait Events ==== + +/** + \brief Event on delay error (Error) + \param[in] status extended execution status. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_WAIT != 0) && !defined(EVR_RTX_DELAY_ERROR_DISABLE)) +extern void EvrRtxDelayError (int32_t status); +#else +#define EvrRtxDelayError(status) +#endif + +/** + \brief Event on delay for specified time (API) + \param[in] ticks \ref CMSIS_RTOS_TimeOutValue "time ticks" value. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_WAIT != 0) && !defined(EVR_RTX_DELAY_DISABLE)) +extern void EvrRtxDelay (uint32_t ticks); +#else +#define EvrRtxDelay(ticks) +#endif + +/** + \brief Event on delay until specified time (API) + \param[in] ticks absolute time in ticks. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_WAIT != 0) && !defined(EVR_RTX_DELAY_UNTIL_DISABLE)) +extern void EvrRtxDelayUntil (uint32_t ticks); +#else +#define EvrRtxDelayUntil(ticks) +#endif + +/** + \brief Event on delay started (Op) + \param[in] ticks \ref CMSIS_RTOS_TimeOutValue "time ticks" value. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_WAIT != 0) && !defined(EVR_RTX_DELAY_STARTED_DISABLE)) +extern void EvrRtxDelayStarted (uint32_t ticks); +#else +#define EvrRtxDelayStarted(ticks) +#endif + +/** + \brief Event on delay until specified time started (Op) + \param[in] ticks \ref CMSIS_RTOS_TimeOutValue "time ticks" value. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_WAIT != 0) && !defined(EVR_RTX_DELAY_UNTIL_STARTED_DISABLE)) +extern void EvrRtxDelayUntilStarted (uint32_t ticks); +#else +#define EvrRtxDelayUntilStarted(ticks) +#endif + +/** + \brief Event on delay completed (Op) + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_WAIT != 0) && !defined(EVR_RTX_DELAY_COMPLETED_DISABLE)) +extern void EvrRtxDelayCompleted (osThreadId_t thread_id); +#else +#define EvrRtxDelayCompleted(thread_id) +#endif + + +// ==== Timer Events ==== + +/** + \brief Event on timer error (Error) + \param[in] timer_id timer ID obtained by \ref osTimerNew or NULL when ID is unknown. + \param[in] status extended execution status. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_TIMER != 0) && !defined(EVR_RTX_TIMER_ERROR_DISABLE)) +extern void EvrRtxTimerError (osTimerId_t timer_id, int32_t status); +#else +#define EvrRtxTimerError(timer_id, status) +#endif + +/** + \brief Event on timer callback call (Op) + \param[in] func start address of a timer call back function. + \param[in] argument argument to the timer call back function. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_TIMER != 0) && !defined(EVR_RTX_TIMER_CALLBACK_DISABLE)) +extern void EvrRtxTimerCallback (osTimerFunc_t func, void *argument); +#else +#define EvrRtxTimerCallback(func, argument) +#endif + +/** + \brief Event on timer create and initialize (API) + \param[in] func start address of a timer call back function. + \param[in] type osTimerOnce for one-shot or osTimerPeriodic for periodic behavior. + \param[in] argument argument to the timer call back function. + \param[in] attr timer attributes. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_TIMER != 0) && !defined(EVR_RTX_TIMER_NEW_DISABLE)) +extern void EvrRtxTimerNew (osTimerFunc_t func, osTimerType_t type, void *argument, const osTimerAttr_t *attr); +#else +#define EvrRtxTimerNew(func, type, argument, attr) +#endif + +/** + \brief Event on successful timer create (Op) + \param[in] timer_id timer ID obtained by \ref osTimerNew. + \param[in] name pointer to timer object name. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_TIMER != 0) && !defined(EVR_RTX_TIMER_CREATED_DISABLE)) +extern void EvrRtxTimerCreated (osTimerId_t timer_id, const char *name); +#else +#define EvrRtxTimerCreated(timer_id, name) +#endif + +/** + \brief Event on timer name retrieve (API) + \param[in] timer_id timer ID obtained by \ref osTimerNew. + \param[in] name pointer to timer object name. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_TIMER != 0) && !defined(EVR_RTX_TIMER_GET_NAME_DISABLE)) +extern void EvrRtxTimerGetName (osTimerId_t timer_id, const char *name); +#else +#define EvrRtxTimerGetName(timer_id, name) +#endif + +/** + \brief Event on timer start (API) + \param[in] timer_id timer ID obtained by \ref osTimerNew. + \param[in] ticks \ref CMSIS_RTOS_TimeOutValue "time ticks" value of the timer. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_TIMER != 0) && !defined(EVR_RTX_TIMER_START_DISABLE)) +extern void EvrRtxTimerStart (osTimerId_t timer_id, uint32_t ticks); +#else +#define EvrRtxTimerStart(timer_id, ticks) +#endif + +/** + \brief Event on successful timer start (Op) + \param[in] timer_id timer ID obtained by \ref osTimerNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_TIMER != 0) && !defined(EVR_RTX_TIMER_STARTED_DISABLE)) +extern void EvrRtxTimerStarted (osTimerId_t timer_id); +#else +#define EvrRtxTimerStarted(timer_id) +#endif + +/** + \brief Event on timer stop (API) + \param[in] timer_id timer ID obtained by \ref osTimerNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_TIMER != 0) && !defined(EVR_RTX_TIMER_STOP_DISABLE)) +extern void EvrRtxTimerStop (osTimerId_t timer_id); +#else +#define EvrRtxTimerStop(timer_id) +#endif + +/** + \brief Event on successful timer stop (Op) + \param[in] timer_id timer ID obtained by \ref osTimerNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_TIMER != 0) && !defined(EVR_RTX_TIMER_STOPPED_DISABLE)) +extern void EvrRtxTimerStopped (osTimerId_t timer_id); +#else +#define EvrRtxTimerStopped(timer_id) +#endif + +/** + \brief Event on timer running state check (API) + \param[in] timer_id timer ID obtained by \ref osTimerNew. + \param[in] running running state: 0 not running, 1 running. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_TIMER != 0) && !defined(EVR_RTX_TIMER_IS_RUNNING_DISABLE)) +extern void EvrRtxTimerIsRunning (osTimerId_t timer_id, uint32_t running); +#else +#define EvrRtxTimerIsRunning(timer_id, running) +#endif + +/** + \brief Event on timer delete (API) + \param[in] timer_id timer ID obtained by \ref osTimerNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_TIMER != 0) && !defined(EVR_RTX_TIMER_DELETE_DISABLE)) +extern void EvrRtxTimerDelete (osTimerId_t timer_id); +#else +#define EvrRtxTimerDelete(timer_id) +#endif + +/** + \brief Event on successful timer delete (Op) + \param[in] timer_id timer ID obtained by \ref osTimerNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_TIMER != 0) && !defined(EVR_RTX_TIMER_DESTROYED_DISABLE)) +extern void EvrRtxTimerDestroyed (osTimerId_t timer_id); +#else +#define EvrRtxTimerDestroyed(timer_id) +#endif + + +// ==== Event Flags Events ==== + +/** + \brief Event on event flags error (Error) + \param[in] ef_id event flags ID obtained by \ref osEventFlagsNew or NULL when ID is unknown. + \param[in] status extended execution status. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_EVFLAGS != 0) && !defined(EVR_RTX_EVENT_FLAGS_ERROR_DISABLE)) +extern void EvrRtxEventFlagsError (osEventFlagsId_t ef_id, int32_t status); +#else +#define EvrRtxEventFlagsError(ef_id, status) +#endif + +/** + \brief Event on event flags create and initialize (API) + \param[in] attr event flags attributes. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_EVFLAGS != 0) && !defined(EVR_RTX_EVENT_FLAGS_NEW_DISABLE)) +extern void EvrRtxEventFlagsNew (const osEventFlagsAttr_t *attr); +#else +#define EvrRtxEventFlagsNew(attr) +#endif + +/** + \brief Event on successful event flags create (Op) + \param[in] ef_id event flags ID obtained by \ref osEventFlagsNew. + \param[in] name pointer to event flags object name. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_EVFLAGS != 0) && !defined(EVR_RTX_EVENT_FLAGS_CREATED_DISABLE)) +extern void EvrRtxEventFlagsCreated (osEventFlagsId_t ef_id, const char *name); +#else +#define EvrRtxEventFlagsCreated(ef_id, name) +#endif + +/** + \brief Event on event flags name retrieve (API) + \param[in] ef_id event flags ID obtained by \ref osEventFlagsNew. + \param[in] name pointer to event flags object name. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_EVFLAGS != 0) && !defined(EVR_RTX_EVENT_FLAGS_GET_NAME_DISABLE)) +extern void EvrRtxEventFlagsGetName (osEventFlagsId_t ef_id, const char *name); +#else +#define EvrRtxEventFlagsGetName(ef_id, name) +#endif + +/** + \brief Event on event flags set (API) + \param[in] ef_id event flags ID obtained by \ref osEventFlagsNew. + \param[in] flags flags that shall be set. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_EVFLAGS != 0) && !defined(EVR_RTX_EVENT_FLAGS_SET_DISABLE)) +extern void EvrRtxEventFlagsSet (osEventFlagsId_t ef_id, uint32_t flags); +#else +#define EvrRtxEventFlagsSet(ef_id, flags) +#endif + +/** + \brief Event on successful event flags set (Op) + \param[in] ef_id event flags ID obtained by \ref osEventFlagsNew. + \param[in] event_flags event flags after setting. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_EVFLAGS != 0) && !defined(EVR_RTX_EVENT_FLAGS_SET_DONE_DISABLE)) +extern void EvrRtxEventFlagsSetDone (osEventFlagsId_t ef_id, uint32_t event_flags); +#else +#define EvrRtxEventFlagsSetDone(ef_id, event_flags) +#endif + +/** + \brief Event on event flags clear (API) + \param[in] ef_id event flags ID obtained by \ref osEventFlagsNew. + \param[in] flags flags that shall be cleared. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_EVFLAGS != 0) && !defined(EVR_RTX_EVENT_FLAGS_CLEAR_DISABLE)) +extern void EvrRtxEventFlagsClear (osEventFlagsId_t ef_id, uint32_t flags); +#else +#define EvrRtxEventFlagsClear(ef_id, flags) +#endif + +/** + \brief Event on successful event flags clear (Op) + \param[in] ef_id event flags ID obtained by \ref osEventFlagsNew. + \param[in] event_flags event flags before clearing. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_EVFLAGS != 0) && !defined(EVR_RTX_EVENT_FLAGS_CLEAR_DONE_DISABLE)) +extern void EvrRtxEventFlagsClearDone (osEventFlagsId_t ef_id, uint32_t event_flags); +#else +#define EvrRtxEventFlagsClearDone(ef_id, event_flags) +#endif + +/** + \brief Event on event flags retrieve (API) + \param[in] ef_id event flags ID obtained by \ref osEventFlagsNew. + \param[in] event_flags current event flags. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_EVFLAGS != 0) && !defined(EVR_RTX_EVENT_FLAGS_GET_DISABLE)) +extern void EvrRtxEventFlagsGet (osEventFlagsId_t ef_id, uint32_t event_flags); +#else +#define EvrRtxEventFlagsGet(ef_id, event_flags) +#endif + +/** + \brief Event on wait for event flags (API) + \param[in] ef_id event flags ID obtained by \ref osEventFlagsNew. + \param[in] flags flags to wait for. + \param[in] options flags options (osFlagsXxxx). + \param[in] timeout \ref CMSIS_RTOS_TimeOutValue or 0 in case of no time-out. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_EVFLAGS != 0) && !defined(EVR_RTX_EVENT_FLAGS_WAIT_DISABLE)) +extern void EvrRtxEventFlagsWait (osEventFlagsId_t ef_id, uint32_t flags, uint32_t options, uint32_t timeout); +#else +#define EvrRtxEventFlagsWait(ef_id, flags, options, timeout) +#endif + +/** + \brief Event on pending wait for event flags (Op) + \param[in] ef_id event flags ID obtained by \ref osEventFlagsNew. + \param[in] flags flags to wait for. + \param[in] options flags options (osFlagsXxxx). + \param[in] timeout \ref CMSIS_RTOS_TimeOutValue or 0 in case of no time-out. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_EVFLAGS != 0) && !defined(EVR_RTX_EVENT_FLAGS_WAIT_PENDING_DISABLE)) +extern void EvrRtxEventFlagsWaitPending (osEventFlagsId_t ef_id, uint32_t flags, uint32_t options, uint32_t timeout); +#else +#define EvrRtxEventFlagsWaitPending(ef_id, flags, options, timeout) +#endif + +/** + \brief Event on wait timeout for event flags (Op) + \param[in] ef_id event flags ID obtained by \ref osEventFlagsNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_EVFLAGS != 0) && !defined(EVR_RTX_EVENT_FLAGS_WAIT_TIMEOUT_DISABLE)) +extern void EvrRtxEventFlagsWaitTimeout (osEventFlagsId_t ef_id); +#else +#define EvrRtxEventFlagsWaitTimeout(ef_id) +#endif + +/** + \brief Event on successful wait for event flags (Op) + \param[in] ef_id event flags ID obtained by \ref osEventFlagsNew. + \param[in] flags flags to wait for. + \param[in] options flags options (osFlagsXxxx). + \param[in] event_flags event flags before clearing or 0 if specified flags have not been set. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_EVFLAGS != 0) && !defined(EVR_RTX_EVENT_FLAGS_WAIT_COMPLETED_DISABLE)) +extern void EvrRtxEventFlagsWaitCompleted (osEventFlagsId_t ef_id, uint32_t flags, uint32_t options, uint32_t event_flags); +#else +#define EvrRtxEventFlagsWaitCompleted(ef_id, flags, options, event_flags) +#endif + +/** + \brief Event on unsuccessful wait for event flags (Op) + \param[in] ef_id event flags ID obtained by \ref osEventFlagsNew. + \param[in] flags flags to wait for. + \param[in] options flags options (osFlagsXxxx). +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_EVFLAGS != 0) && !defined(EVR_RTX_EVENT_FLAGS_WAIT_NOT_COMPLETED_DISABLE)) +extern void EvrRtxEventFlagsWaitNotCompleted (osEventFlagsId_t ef_id, uint32_t flags, uint32_t options); +#else +#define EvrRtxEventFlagsWaitNotCompleted(ef_id, flags, options) +#endif + +/** + \brief Event on event flags delete (API) + \param[in] ef_id event flags ID obtained by \ref osEventFlagsNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_EVFLAGS != 0) && !defined(EVR_RTX_EVENT_FLAGS_DELETE_DISABLE)) +extern void EvrRtxEventFlagsDelete (osEventFlagsId_t ef_id); +#else +#define EvrRtxEventFlagsDelete(ef_id) +#endif + +/** + \brief Event on successful event flags delete (Op) + \param[in] ef_id event flags ID obtained by \ref osEventFlagsNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_EVFLAGS != 0) && !defined(EVR_RTX_EVENT_FLAGS_DESTROYED_DISABLE)) +extern void EvrRtxEventFlagsDestroyed (osEventFlagsId_t ef_id); +#else +#define EvrRtxEventFlagsDestroyed(ef_id) +#endif + + +// ==== Mutex Events ==== + +/** + \brief Event on mutex error (Error) + \param[in] mutex_id mutex ID obtained by \ref osMutexNew or NULL when ID is unknown. + \param[in] status extended execution status. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MUTEX != 0) && !defined(EVR_RTX_MUTEX_ERROR_DISABLE)) +extern void EvrRtxMutexError (osMutexId_t mutex_id, int32_t status); +#else +#define EvrRtxMutexError(mutex_id, status) +#endif + +/** + \brief Event on mutex create and initialize (API) + \param[in] attr mutex attributes. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MUTEX != 0) && !defined(EVR_RTX_MUTEX_NEW_DISABLE)) +extern void EvrRtxMutexNew (const osMutexAttr_t *attr); +#else +#define EvrRtxMutexNew(attr) +#endif + +/** + \brief Event on successful mutex create (Op) + \param[in] mutex_id mutex ID obtained by \ref osMutexNew. + \param[in] name pointer to mutex object name. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MUTEX != 0) && !defined(EVR_RTX_MUTEX_CREATED_DISABLE)) +extern void EvrRtxMutexCreated (osMutexId_t mutex_id, const char *name); +#else +#define EvrRtxMutexCreated(mutex_id, name) +#endif + +/** + \brief Event on mutex name retrieve (API) + \param[in] mutex_id mutex ID obtained by \ref osMutexNew. + \param[in] name pointer to mutex object name. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MUTEX != 0) && !defined(EVR_RTX_MUTEX_GET_NAME_DISABLE)) +extern void EvrRtxMutexGetName (osMutexId_t mutex_id, const char *name); +#else +#define EvrRtxMutexGetName(mutex_id, name) +#endif + +/** + \brief Event on mutex acquire (API) + \param[in] mutex_id mutex ID obtained by \ref osMutexNew. + \param[in] timeout \ref CMSIS_RTOS_TimeOutValue or 0 in case of no time-out. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MUTEX != 0) && !defined(EVR_RTX_MUTEX_ACQUIRE_DISABLE)) +extern void EvrRtxMutexAcquire (osMutexId_t mutex_id, uint32_t timeout); +#else +#define EvrRtxMutexAcquire(mutex_id, timeout) +#endif + +/** + \brief Event on pending mutex acquire (Op) + \param[in] mutex_id mutex ID obtained by \ref osMutexNew. + \param[in] timeout \ref CMSIS_RTOS_TimeOutValue or 0 in case of no time-out. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MUTEX != 0) && !defined(EVR_RTX_MUTEX_ACQUIRE_PENDING_DISABLE)) +extern void EvrRtxMutexAcquirePending (osMutexId_t mutex_id, uint32_t timeout); +#else +#define EvrRtxMutexAcquirePending(mutex_id, timeout) +#endif + +/** + \brief Event on mutex acquire timeout (Op) + \param[in] mutex_id mutex ID obtained by \ref osMutexNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MUTEX != 0) && !defined(EVR_RTX_MUTEX_ACQUIRE_TIMEOUT_DISABLE)) +extern void EvrRtxMutexAcquireTimeout (osMutexId_t mutex_id); +#else +#define EvrRtxMutexAcquireTimeout(mutex_id) +#endif + +/** + \brief Event on successful mutex acquire (Op) + \param[in] mutex_id mutex ID obtained by \ref osMutexNew. + \param[in] lock current number of times mutex object is locked. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MUTEX != 0) && !defined(EVR_RTX_MUTEX_ACQUIRED_DISABLE)) +extern void EvrRtxMutexAcquired (osMutexId_t mutex_id, uint32_t lock); +#else +#define EvrRtxMutexAcquired(mutex_id, lock) +#endif + +/** + \brief Event on unsuccessful mutex acquire (Op) + \param[in] mutex_id mutex ID obtained by \ref osMutexNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MUTEX != 0) && !defined(EVR_RTX_MUTEX_NOT_ACQUIRED_DISABLE)) +extern void EvrRtxMutexNotAcquired (osMutexId_t mutex_id); +#else +#define EvrRtxMutexNotAcquired(mutex_id) +#endif + +/** + \brief Event on mutex release (API) + \param[in] mutex_id mutex ID obtained by \ref osMutexNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MUTEX != 0) && !defined(EVR_RTX_MUTEX_RELEASE_DISABLE)) +extern void EvrRtxMutexRelease (osMutexId_t mutex_id); +#else +#define EvrRtxMutexRelease(mutex_id) +#endif + +/** + \brief Event on successful mutex release (Op) + \param[in] mutex_id mutex ID obtained by \ref osMutexNew. + \param[in] lock current number of times mutex object is locked. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MUTEX != 0) && !defined(EVR_RTX_MUTEX_RELEASED_DISABLE)) +extern void EvrRtxMutexReleased (osMutexId_t mutex_id, uint32_t lock); +#else +#define EvrRtxMutexReleased(mutex_id, lock) +#endif + +/** + \brief Event on mutex owner retrieve (API) + \param[in] mutex_id mutex ID obtained by \ref osMutexNew. + \param[in] thread_id thread ID obtained by \ref osThreadNew or \ref osThreadGetId. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MUTEX != 0) && !defined(EVR_RTX_MUTEX_GET_OWNER_DISABLE)) +extern void EvrRtxMutexGetOwner (osMutexId_t mutex_id, osThreadId_t thread_id); +#else +#define EvrRtxMutexGetOwner(mutex_id, thread_id) +#endif + +/** + \brief Event on mutex delete (API) + \param[in] mutex_id mutex ID obtained by \ref osMutexNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MUTEX != 0) && !defined(EVR_RTX_MUTEX_DELETE_DISABLE)) +extern void EvrRtxMutexDelete (osMutexId_t mutex_id); +#else +#define EvrRtxMutexDelete(mutex_id) +#endif + +/** + \brief Event on successful mutex delete (Op) + \param[in] mutex_id mutex ID obtained by \ref osMutexNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MUTEX != 0) && !defined(EVR_RTX_MUTEX_DESTROYED_DISABLE)) +extern void EvrRtxMutexDestroyed (osMutexId_t mutex_id); +#else +#define EvrRtxMutexDestroyed(mutex_id) +#endif + + +// ==== Semaphore Events ==== + +/** + \brief Event on semaphore error (Error) + \param[in] semaphore_id semaphore ID obtained by \ref osSemaphoreNew or NULL when ID is unknown. + \param[in] status extended execution status. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_SEMAPHORE != 0) && !defined(EVR_RTX_SEMAPHORE_ERROR_DISABLE)) +extern void EvrRtxSemaphoreError (osSemaphoreId_t semaphore_id, int32_t status); +#else +#define EvrRtxSemaphoreError(semaphore_id, status) +#endif + +/** + \brief Event on semaphore create and initialize (API) + \param[in] max_count maximum number of available tokens. + \param[in] initial_count initial number of available tokens. + \param[in] attr semaphore attributes. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_SEMAPHORE != 0) && !defined(EVR_RTX_SEMAPHORE_NEW_DISABLE)) +extern void EvrRtxSemaphoreNew (uint32_t max_count, uint32_t initial_count, const osSemaphoreAttr_t *attr); +#else +#define EvrRtxSemaphoreNew(max_count, initial_count, attr) +#endif + +/** + \brief Event on successful semaphore create (Op) + \param[in] semaphore_id semaphore ID obtained by \ref osSemaphoreNew. + \param[in] name pointer to semaphore object name. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_SEMAPHORE != 0) && !defined(EVR_RTX_SEMAPHORE_CREATED_DISABLE)) +extern void EvrRtxSemaphoreCreated (osSemaphoreId_t semaphore_id, const char *name); +#else +#define EvrRtxSemaphoreCreated(semaphore_id, name) +#endif + +/** + \brief Event on semaphore name retrieve (API) + \param[in] semaphore_id semaphore ID obtained by \ref osSemaphoreNew. + \param[in] name pointer to semaphore object name. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_SEMAPHORE != 0) && !defined(EVR_RTX_SEMAPHORE_GET_NAME_DISABLE)) +extern void EvrRtxSemaphoreGetName (osSemaphoreId_t semaphore_id, const char *name); +#else +#define EvrRtxSemaphoreGetName(semaphore_id, name) +#endif + +/** + \brief Event on semaphore acquire (API) + \param[in] semaphore_id semaphore ID obtained by \ref osSemaphoreNew. + \param[in] timeout \ref CMSIS_RTOS_TimeOutValue or 0 in case of no time-out. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_SEMAPHORE != 0) && !defined(EVR_RTX_SEMAPHORE_ACQUIRE_DISABLE)) +extern void EvrRtxSemaphoreAcquire (osSemaphoreId_t semaphore_id, uint32_t timeout); +#else +#define EvrRtxSemaphoreAcquire(semaphore_id, timeout) +#endif + +/** + \brief Event on pending semaphore acquire (Op) + \param[in] semaphore_id semaphore ID obtained by \ref osSemaphoreNew. + \param[in] timeout \ref CMSIS_RTOS_TimeOutValue or 0 in case of no time-out. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_SEMAPHORE != 0) && !defined(EVR_RTX_SEMAPHORE_ACQUIRE_PENDING_DISABLE)) +extern void EvrRtxSemaphoreAcquirePending (osSemaphoreId_t semaphore_id, uint32_t timeout); +#else +#define EvrRtxSemaphoreAcquirePending(semaphore_id, timeout) +#endif + +/** + \brief Event on semaphore acquire timeout (Op) + \param[in] semaphore_id semaphore ID obtained by \ref osSemaphoreNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_SEMAPHORE != 0) && !defined(EVR_RTX_SEMAPHORE_ACQUIRE_TIMEOUT_DISABLE)) +extern void EvrRtxSemaphoreAcquireTimeout (osSemaphoreId_t semaphore_id); +#else +#define EvrRtxSemaphoreAcquireTimeout(semaphore_id) +#endif + +/** + \brief Event on successful semaphore acquire (Op) + \param[in] semaphore_id semaphore ID obtained by \ref osSemaphoreNew. + \param[in] tokens number of available tokens. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_SEMAPHORE != 0) && !defined(EVR_RTX_SEMAPHORE_ACQUIRED_DISABLE)) +extern void EvrRtxSemaphoreAcquired (osSemaphoreId_t semaphore_id, uint32_t tokens); +#else +#define EvrRtxSemaphoreAcquired(semaphore_id, tokens) +#endif + +/** + \brief Event on unsuccessful semaphore acquire (Op) + \param[in] semaphore_id semaphore ID obtained by \ref osSemaphoreNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_SEMAPHORE != 0) && !defined(EVR_RTX_SEMAPHORE_NOT_ACQUIRED_DISABLE)) +extern void EvrRtxSemaphoreNotAcquired (osSemaphoreId_t semaphore_id); +#else +#define EvrRtxSemaphoreNotAcquired(semaphore_id) +#endif + +/** + \brief Event on semaphore release (API) + \param[in] semaphore_id semaphore ID obtained by \ref osSemaphoreNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_SEMAPHORE != 0) && !defined(EVR_RTX_SEMAPHORE_RELEASE_DISABLE)) +extern void EvrRtxSemaphoreRelease (osSemaphoreId_t semaphore_id); +#else +#define EvrRtxSemaphoreRelease(semaphore_id) +#endif + +/** + \brief Event on successful semaphore release (Op) + \param[in] semaphore_id semaphore ID obtained by \ref osSemaphoreNew. + \param[in] tokens number of available tokens. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_SEMAPHORE != 0) && !defined(EVR_RTX_SEMAPHORE_RELEASED_DISABLE)) +extern void EvrRtxSemaphoreReleased (osSemaphoreId_t semaphore_id, uint32_t tokens); +#else +#define EvrRtxSemaphoreReleased(semaphore_id, tokens) +#endif + +/** + \brief Event on semaphore token count retrieval (API) + \param[in] semaphore_id semaphore ID obtained by \ref osSemaphoreNew. + \param[in] count current number of available tokens. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_SEMAPHORE != 0) && !defined(EVR_RTX_SEMAPHORE_GET_COUNT_DISABLE)) +extern void EvrRtxSemaphoreGetCount (osSemaphoreId_t semaphore_id, uint32_t count); +#else +#define EvrRtxSemaphoreGetCount(semaphore_id, count) +#endif + +/** + \brief Event on semaphore delete (API) + \param[in] semaphore_id semaphore ID obtained by \ref osSemaphoreNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_SEMAPHORE != 0) && !defined(EVR_RTX_SEMAPHORE_DELETE_DISABLE)) +extern void EvrRtxSemaphoreDelete (osSemaphoreId_t semaphore_id); +#else +#define EvrRtxSemaphoreDelete(semaphore_id) +#endif + +/** + \brief Event on successful semaphore delete (Op) + \param[in] semaphore_id semaphore ID obtained by \ref osSemaphoreNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_SEMAPHORE != 0) && !defined(EVR_RTX_SEMAPHORE_DESTROYED_DISABLE)) +extern void EvrRtxSemaphoreDestroyed (osSemaphoreId_t semaphore_id); +#else +#define EvrRtxSemaphoreDestroyed(semaphore_id) +#endif + + +// ==== Memory Pool Events ==== + +/** + \brief Event on memory pool error (Error) + \param[in] mp_id memory pool ID obtained by \ref osMemoryPoolNew or NULL when ID is unknown. + \param[in] status extended execution status. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMPOOL != 0) && !defined(EVR_RTX_MEMORY_POOL_ERROR_DISABLE)) +extern void EvrRtxMemoryPoolError (osMemoryPoolId_t mp_id, int32_t status); +#else +#define EvrRtxMemoryPoolError(mp_id, status) +#endif + +/** + \brief Event on memory pool create and initialize (API) + \param[in] block_count maximum number of memory blocks in memory pool. + \param[in] block_size memory block size in bytes. + \param[in] attr memory pool attributes; NULL: default values. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMPOOL != 0) && !defined(EVR_RTX_MEMORY_POOL_NEW_DISABLE)) +extern void EvrRtxMemoryPoolNew (uint32_t block_count, uint32_t block_size, const osMemoryPoolAttr_t *attr); +#else +#define EvrRtxMemoryPoolNew(block_count, block_size, attr) +#endif + +/** + \brief Event on successful memory pool create (Op) + \param[in] mp_id memory pool ID obtained by \ref osMemoryPoolNew. + \param[in] name pointer to memory pool object name. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMPOOL != 0) && !defined(EVR_RTX_MEMORY_POOL_CREATED_DISABLE)) +extern void EvrRtxMemoryPoolCreated (osMemoryPoolId_t mp_id, const char *name); +#else +#define EvrRtxMemoryPoolCreated(mp_id, name) +#endif + +/** + \brief Event on memory pool name retrieve (API) + \param[in] mp_id memory pool ID obtained by \ref osMemoryPoolNew. + \param[in] name pointer to memory pool object name. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMPOOL != 0) && !defined(EVR_RTX_MEMORY_POOL_GET_NAME_DISABLE)) +extern void EvrRtxMemoryPoolGetName (osMemoryPoolId_t mp_id, const char *name); +#else +#define EvrRtxMemoryPoolGetName(mp_id, name) +#endif + +/** + \brief Event on memory pool allocation (API) + \param[in] mp_id memory pool ID obtained by \ref osMemoryPoolNew. + \param[in] timeout \ref CMSIS_RTOS_TimeOutValue or 0 in case of no time-out. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMPOOL != 0) && !defined(EVR_RTX_MEMORY_POOL_ALLOC_DISABLE)) +extern void EvrRtxMemoryPoolAlloc (osMemoryPoolId_t mp_id, uint32_t timeout); +#else +#define EvrRtxMemoryPoolAlloc(mp_id, timeout) +#endif + +/** + \brief Event on pending memory pool allocation (Op) + \param[in] mp_id memory pool ID obtained by \ref osMemoryPoolNew. + \param[in] timeout \ref CMSIS_RTOS_TimeOutValue or 0 in case of no time-out. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMPOOL != 0) && !defined(EVR_RTX_MEMORY_POOL_ALLOC_PENDING_DISABLE)) +extern void EvrRtxMemoryPoolAllocPending (osMemoryPoolId_t mp_id, uint32_t timeout); +#else +#define EvrRtxMemoryPoolAllocPending(mp_id, timeout) +#endif + +/** + \brief Event on memory pool allocation timeout (Op) + \param[in] mp_id memory pool ID obtained by \ref osMemoryPoolNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMPOOL != 0) && !defined(EVR_RTX_MEMORY_POOL_ALLOC_TIMEOUT_DISABLE)) +extern void EvrRtxMemoryPoolAllocTimeout (osMemoryPoolId_t mp_id); +#else +#define EvrRtxMemoryPoolAllocTimeout(mp_id) +#endif + +/** + \brief Event on successful memory pool allocation (Op) + \param[in] mp_id memory pool ID obtained by \ref osMemoryPoolNew. + \param[in] block address of the allocated memory block. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMPOOL != 0) && !defined(EVR_RTX_MEMORY_POOL_ALLOCATED_DISABLE)) +extern void EvrRtxMemoryPoolAllocated (osMemoryPoolId_t mp_id, void *block); +#else +#define EvrRtxMemoryPoolAllocated(mp_id, block) +#endif + +/** + \brief Event on unsuccessful memory pool allocation (Op) + \param[in] mp_id memory pool ID obtained by \ref osMemoryPoolNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMPOOL != 0) && !defined(EVR_RTX_MEMORY_POOL_ALLOC_FAILED_DISABLE)) +extern void EvrRtxMemoryPoolAllocFailed (osMemoryPoolId_t mp_id); +#else +#define EvrRtxMemoryPoolAllocFailed(mp_id) +#endif + +/** + \brief Event on memory pool free (API) + \param[in] mp_id memory pool ID obtained by \ref osMemoryPoolNew. + \param[in] block address of the allocated memory block to be returned to the memory pool. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMPOOL != 0) && !defined(EVR_RTX_MEMORY_POOL_FREE_DISABLE)) +extern void EvrRtxMemoryPoolFree (osMemoryPoolId_t mp_id, void *block); +#else +#define EvrRtxMemoryPoolFree(mp_id, block) +#endif + +/** + \brief Event on successful memory pool free (Op) + \param[in] mp_id memory pool ID obtained by \ref osMemoryPoolNew. + \param[in] block address of the allocated memory block to be returned to the memory pool. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMPOOL != 0) && !defined(EVR_RTX_MEMORY_POOL_DEALLOCATED_DISABLE)) +extern void EvrRtxMemoryPoolDeallocated (osMemoryPoolId_t mp_id, void *block); +#else +#define EvrRtxMemoryPoolDeallocated(mp_id, block) +#endif + +/** + \brief Event on unsuccessful memory pool free (Op) + \param[in] mp_id memory pool ID obtained by \ref osMemoryPoolNew. + \param[in] block address of the allocated memory block to be returned to the memory pool. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMPOOL != 0) && !defined(EVR_RTX_MEMORY_POOL_FREE_FAILED_DISABLE)) +extern void EvrRtxMemoryPoolFreeFailed (osMemoryPoolId_t mp_id, void *block); +#else +#define EvrRtxMemoryPoolFreeFailed(mp_id, block) +#endif + +/** + \brief Event on memory pool capacity retrieve (API) + \param[in] mp_id memory pool ID obtained by \ref osMemoryPoolNew. + \param[in] capacity maximum number of memory blocks. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMPOOL != 0) && !defined(EVR_RTX_MEMORY_POOL_GET_CAPACITY_DISABLE)) +extern void EvrRtxMemoryPoolGetCapacity (osMemoryPoolId_t mp_id, uint32_t capacity); +#else +#define EvrRtxMemoryPoolGetCapacity(mp_id, capacity) +#endif + +/** + \brief Event on memory pool block size retrieve (API) + \param[in] mp_id memory pool ID obtained by \ref osMemoryPoolNew. + \param[in] block_size memory block size in bytes. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMPOOL != 0) && !defined(EVR_RTX_MEMORY_POOL_GET_BLOCK_SZIE_DISABLE)) +extern void EvrRtxMemoryPoolGetBlockSize (osMemoryPoolId_t mp_id, uint32_t block_size); +#else +#define EvrRtxMemoryPoolGetBlockSize(mp_id, block_size) +#endif + +/** + \brief Event on used memory pool blocks retrieve (API) + \param[in] mp_id memory pool ID obtained by \ref osMemoryPoolNew. + \param[in] count number of memory blocks used. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMPOOL != 0) && !defined(EVR_RTX_MEMORY_POOL_GET_COUNT_DISABLE)) +extern void EvrRtxMemoryPoolGetCount (osMemoryPoolId_t mp_id, uint32_t count); +#else +#define EvrRtxMemoryPoolGetCount(mp_id, count) +#endif + +/** + \brief Event on available memory pool blocks retrieve (API) + \param[in] mp_id memory pool ID obtained by \ref osMemoryPoolNew. + \param[in] space number of memory blocks available. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMPOOL != 0) && !defined(EVR_RTX_MEMORY_POOL_GET_SPACE_DISABLE)) +extern void EvrRtxMemoryPoolGetSpace (osMemoryPoolId_t mp_id, uint32_t space); +#else +#define EvrRtxMemoryPoolGetSpace(mp_id, space) +#endif + +/** + \brief Event on memory pool delete (API) + \param[in] mp_id memory pool ID obtained by \ref osMemoryPoolNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMPOOL != 0) && !defined(EVR_RTX_MEMORY_POOL_DELETE_DISABLE)) +extern void EvrRtxMemoryPoolDelete (osMemoryPoolId_t mp_id); +#else +#define EvrRtxMemoryPoolDelete(mp_id) +#endif + +/** + \brief Event on successful memory pool delete (Op) + \param[in] mp_id memory pool ID obtained by \ref osMemoryPoolNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MEMPOOL != 0) && !defined(EVR_RTX_MEMORY_POOL_DESTROYED_DISABLE)) +extern void EvrRtxMemoryPoolDestroyed (osMemoryPoolId_t mp_id); +#else +#define EvrRtxMemoryPoolDestroyed(mp_id) +#endif + + +// ==== Message Queue Events ==== + +/** + \brief Event on message queue error (Error) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew or NULL when ID is unknown. + \param[in] status extended execution status. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_ERROR_DISABLE)) +extern void EvrRtxMessageQueueError (osMessageQueueId_t mq_id, int32_t status); +#else +#define EvrRtxMessageQueueError(mq_id, status) +#endif + +/** + \brief Event on message queue create and initialization (API) + \param[in] msg_count maximum number of messages in queue. + \param[in] msg_size maximum message size in bytes. + \param[in] attr message queue attributes; NULL: default values. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_NEW_DISABLE)) +extern void EvrRtxMessageQueueNew (uint32_t msg_count, uint32_t msg_size, const osMessageQueueAttr_t *attr); +#else +#define EvrRtxMessageQueueNew(msg_count, msg_size, attr) +#endif + +/** + \brief Event on successful message queue create (Op) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. + \param[in] name pointer to message queue object name. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_CREATED_DISABLE)) +extern void EvrRtxMessageQueueCreated (osMessageQueueId_t mq_id, const char *name); +#else +#define EvrRtxMessageQueueCreated(mq_id, name) +#endif + +/** + \brief Event on message queue name retrieve(API) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. + \param[in] name pointer to message queue object name. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_GET_NAME_DISABLE)) +extern void EvrRtxMessageQueueGetName (osMessageQueueId_t mq_id, const char *name); +#else +#define EvrRtxMessageQueueGetName(mq_id, name) +#endif + +/** + \brief Event on message put (API) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. + \param[in] msg_ptr pointer to buffer with message to put into a queue. + \param[in] msg_prio message priority. + \param[in] timeout \ref CMSIS_RTOS_TimeOutValue or 0 in case of no time-out. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_PUT_DISABLE)) +extern void EvrRtxMessageQueuePut (osMessageQueueId_t mq_id, const void *msg_ptr, uint8_t msg_prio, uint32_t timeout); +#else +#define EvrRtxMessageQueuePut(mq_id, msg_ptr, msg_prio, timeout) +#endif + +/** + \brief Event on pending message put (Op) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. + \param[in] msg_ptr pointer to buffer with message to put into a queue. + \param[in] timeout \ref CMSIS_RTOS_TimeOutValue or 0 in case of no time-out. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_PUT_PENDING_DISABLE)) +extern void EvrRtxMessageQueuePutPending (osMessageQueueId_t mq_id, const void *msg_ptr, uint32_t timeout); +#else +#define EvrRtxMessageQueuePutPending(mq_id, msg_ptr, timeout) +#endif + +/** + \brief Event on message put timeout (Op) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_PUT_TIMEOUT_DISABLE)) +extern void EvrRtxMessageQueuePutTimeout (osMessageQueueId_t mq_id); +#else +#define EvrRtxMessageQueuePutTimeout(mq_id) +#endif + +/** + \brief Event on pending message insert (Op) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. + \param[in] msg_ptr pointer to buffer with message to put into a queue. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_INSERT_PENDING_DISABLE)) +extern void EvrRtxMessageQueueInsertPending (osMessageQueueId_t mq_id, const void *msg_ptr); +#else +#define EvrRtxMessageQueueInsertPending(mq_id, msg_ptr) +#endif + +/** + \brief Event on successful message insert (Op) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. + \param[in] msg_ptr pointer to buffer with message to put into a queue. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_INSERTED_DISABLE)) +extern void EvrRtxMessageQueueInserted (osMessageQueueId_t mq_id, const void *msg_ptr); +#else +#define EvrRtxMessageQueueInserted(mq_id, msg_ptr) +#endif + +/** + \brief Event on unsuccessful message insert (Op) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. + \param[in] msg_ptr pointer to buffer with message to put into a queue. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_NOT_INSERTED_DISABLE)) +extern void EvrRtxMessageQueueNotInserted (osMessageQueueId_t mq_id, const void *msg_ptr); +#else +#define EvrRtxMessageQueueNotInserted(mq_id, msg_ptr) +#endif + +/** + \brief Event on message get (API) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. + \param[in] msg_ptr pointer to buffer for message to get from a queue. + \param[in] msg_prio message priority. + \param[in] timeout \ref CMSIS_RTOS_TimeOutValue or 0 in case of no time-out. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_GET_DISABLE)) +extern void EvrRtxMessageQueueGet (osMessageQueueId_t mq_id, void *msg_ptr, uint8_t *msg_prio, uint32_t timeout); +#else +#define EvrRtxMessageQueueGet(mq_id, msg_ptr, msg_prio, timeout) +#endif + +/** + \brief Event on pending message get (Op) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. + \param[in] msg_ptr pointer to buffer for message to get from a queue. + \param[in] timeout \ref CMSIS_RTOS_TimeOutValue or 0 in case of no time-out. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_GET_PENDING_DISABLE)) +extern void EvrRtxMessageQueueGetPending (osMessageQueueId_t mq_id, void *msg_ptr, uint32_t timeout); +#else +#define EvrRtxMessageQueueGetPending(mq_id, msg_ptr, timeout) +#endif + +/** + \brief Event on message get timeout (Op) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_GET_TIMEOUT_DISABLE)) +extern void EvrRtxMessageQueueGetTimeout (osMessageQueueId_t mq_id); +#else +#define EvrRtxMessageQueueGetTimeout(mq_id) +#endif + +/** + \brief Event on successful message get (Op) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. + \param[in] msg_ptr pointer to buffer for message to get from a queue. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_RETRIEVED_DISABLE)) +extern void EvrRtxMessageQueueRetrieved (osMessageQueueId_t mq_id, void *msg_ptr); +#else +#define EvrRtxMessageQueueRetrieved(mq_id, msg_ptr) +#endif + +/** + \brief Event on unsuccessful message get (Op) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. + \param[in] msg_ptr pointer to buffer for message to get from a queue. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_NOT_RETRIEVED_DISABLE)) +extern void EvrRtxMessageQueueNotRetrieved (osMessageQueueId_t mq_id, void *msg_ptr); +#else +#define EvrRtxMessageQueueNotRetrieved(mq_id, msg_ptr) +#endif + +/** + \brief Event on message queue capacity retrieve (API) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. + \param[in] capacity maximum number of messages. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_GET_CAPACITY_DISABLE)) +extern void EvrRtxMessageQueueGetCapacity (osMessageQueueId_t mq_id, uint32_t capacity); +#else +#define EvrRtxMessageQueueGetCapacity(mq_id, capacity) +#endif + +/** + \brief Event on message queue message size retrieve (API) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. + \param[in] msg_size maximum message size in bytes. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_GET_MSG_SIZE_DISABLE)) +extern void EvrRtxMessageQueueGetMsgSize (osMessageQueueId_t mq_id, uint32_t msg_size); +#else +#define EvrRtxMessageQueueGetMsgSize(mq_id, msg_size) +#endif + +/** + \brief Event on message queue message count retrieve (API) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. + \param[in] count number of queued messages. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_GET_COUNT_DISABLE)) +extern void EvrRtxMessageQueueGetCount (osMessageQueueId_t mq_id, uint32_t count); +#else +#define EvrRtxMessageQueueGetCount(mq_id, count) +#endif + +/** + \brief Event on message queue message slots retrieve (API) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. + \param[in] space number of available slots for messages. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_GET_SPACE_DISABLE)) +extern void EvrRtxMessageQueueGetSpace (osMessageQueueId_t mq_id, uint32_t space); +#else +#define EvrRtxMessageQueueGetSpace(mq_id, space) +#endif + +/** + \brief Event on message queue reset (API) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_RESET_DISABLE)) +extern void EvrRtxMessageQueueReset (osMessageQueueId_t mq_id); +#else +#define EvrRtxMessageQueueReset(mq_id) +#endif + +/** + \brief Event on successful message queue reset (Op) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_RESET_DONE_DISABLE)) +extern void EvrRtxMessageQueueResetDone (osMessageQueueId_t mq_id); +#else +#define EvrRtxMessageQueueResetDone(mq_id) +#endif + +/** + \brief Event on message queue delete (API) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_DELETE_DISABLE)) +extern void EvrRtxMessageQueueDelete (osMessageQueueId_t mq_id); +#else +#define EvrRtxMessageQueueDelete(mq_id) +#endif + +/** + \brief Event on successful message queue delete (Op) + \param[in] mq_id message queue ID obtained by \ref osMessageQueueNew. +*/ +#if (!defined(EVR_RTX_DISABLE) && (OS_EVR_MSGQUEUE != 0) && !defined(EVR_RTX_MESSAGE_QUEUE_DESTROYED_DISABLE)) +extern void EvrRtxMessageQueueDestroyed (osMessageQueueId_t mq_id); +#else +#define EvrRtxMessageQueueDestroyed(mq_id) +#endif + + +#endif // RTX_EVR_H_ diff --git a/CMSIS/RTOS2/RTX/Include/rtx_os.h b/CMSIS/RTOS2/RTX/Include/rtx_os.h new file mode 100644 index 0000000..65e4227 --- /dev/null +++ b/CMSIS/RTOS2/RTX/Include/rtx_os.h @@ -0,0 +1,477 @@ +/* + * Copyright (c) 2013-2021 Arm Limited. All rights reserved. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Licensed under the Apache License, Version 2.0 (the License); you may + * not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an AS IS BASIS, WITHOUT + * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * + * ----------------------------------------------------------------------------- + * + * Project: CMSIS-RTOS RTX + * Title: RTX OS definitions + * + * ----------------------------------------------------------------------------- + */ + +#ifndef RTX_OS_H_ +#define RTX_OS_H_ + +#include +#include +#include "cmsis_os2.h" +#include "rtx_def.h" + +#ifdef __cplusplus +extern "C" +{ +#endif + + +/// Kernel Information +#define osRtxVersionAPI 20010003 ///< API version (2.1.3) +#define osRtxVersionKernel 50050003 ///< Kernel version (5.5.3) +#define osRtxKernelId "RTX V5.5.3" ///< Kernel identification string + + +// ==== Common definitions ==== + +/// Object Identifier definitions +#define osRtxIdInvalid 0x00U +#define osRtxIdThread 0xF1U +#define osRtxIdTimer 0xF2U +#define osRtxIdEventFlags 0xF3U +#define osRtxIdMutex 0xF5U +#define osRtxIdSemaphore 0xF6U +#define osRtxIdMemoryPool 0xF7U +#define osRtxIdMessage 0xF9U +#define osRtxIdMessageQueue 0xFAU + +/// Object Flags definitions +#define osRtxFlagSystemObject 0x01U +#define osRtxFlagSystemMemory 0x02U + + +// ==== Kernel definitions ==== + +/// Kernel State definitions +#define osRtxKernelInactive ((uint8_t)osKernelInactive) +#define osRtxKernelReady ((uint8_t)osKernelReady) +#define osRtxKernelRunning ((uint8_t)osKernelRunning) +#define osRtxKernelLocked ((uint8_t)osKernelLocked) +#define osRtxKernelSuspended ((uint8_t)osKernelSuspended) + + +// ==== Thread definitions ==== + +/// Thread State definitions (extending osThreadState) +#define osRtxThreadStateMask 0x0FU + +#define osRtxThreadInactive ((uint8_t)osThreadInactive) +#define osRtxThreadReady ((uint8_t)osThreadReady) +#define osRtxThreadRunning ((uint8_t)osThreadRunning) +#define osRtxThreadBlocked ((uint8_t)osThreadBlocked) +#define osRtxThreadTerminated ((uint8_t)osThreadTerminated) + +#define osRtxThreadWaitingDelay ((uint8_t)(osRtxThreadBlocked | 0x10U)) +#define osRtxThreadWaitingJoin ((uint8_t)(osRtxThreadBlocked | 0x20U)) +#define osRtxThreadWaitingThreadFlags ((uint8_t)(osRtxThreadBlocked | 0x30U)) +#define osRtxThreadWaitingEventFlags ((uint8_t)(osRtxThreadBlocked | 0x40U)) +#define osRtxThreadWaitingMutex ((uint8_t)(osRtxThreadBlocked | 0x50U)) +#define osRtxThreadWaitingSemaphore ((uint8_t)(osRtxThreadBlocked | 0x60U)) +#define osRtxThreadWaitingMemoryPool ((uint8_t)(osRtxThreadBlocked | 0x70U)) +#define osRtxThreadWaitingMessageGet ((uint8_t)(osRtxThreadBlocked | 0x80U)) +#define osRtxThreadWaitingMessagePut ((uint8_t)(osRtxThreadBlocked | 0x90U)) + +/// Thread Flags definitions +#define osRtxThreadFlagDefStack 0x10U ///< Default Stack flag + +/// Stack Marker definitions +#define osRtxStackMagicWord 0xE25A2EA5U ///< Stack Magic Word (Stack Base) +#define osRtxStackFillPattern 0xCCCCCCCCU ///< Stack Fill Pattern + +/// Thread Control Block +typedef struct osRtxThread_s { + uint8_t id; ///< Object Identifier + uint8_t state; ///< Object State + uint8_t flags; ///< Object Flags + uint8_t attr; ///< Object Attributes + const char *name; ///< Object Name + struct osRtxThread_s *thread_next; ///< Link pointer to next Thread in Object list + struct osRtxThread_s *thread_prev; ///< Link pointer to previous Thread in Object list + struct osRtxThread_s *delay_next; ///< Link pointer to next Thread in Delay list + struct osRtxThread_s *delay_prev; ///< Link pointer to previous Thread in Delay list + struct osRtxThread_s *thread_join; ///< Thread waiting to Join + uint32_t delay; ///< Delay Time/Round Robin Time Tick + int8_t priority; ///< Thread Priority + int8_t priority_base; ///< Base Priority + uint8_t stack_frame; ///< Stack Frame (EXC_RETURN[7..0]) + uint8_t flags_options; ///< Thread/Event Flags Options + uint32_t wait_flags; ///< Waiting Thread/Event Flags + uint32_t thread_flags; ///< Thread Flags + struct osRtxMutex_s *mutex_list; ///< Link pointer to list of owned Mutexes + void *stack_mem; ///< Stack Memory + uint32_t stack_size; ///< Stack Size + uint32_t sp; ///< Current Stack Pointer + uint32_t thread_addr; ///< Thread entry address + uint32_t tz_memory; ///< TrustZone Memory Identifier +#ifdef RTX_TF_M_EXTENSION + uint32_t tz_module; ///< TrustZone Module Identifier +#endif +} osRtxThread_t; + + +// ==== Timer definitions ==== + +/// Timer State definitions +#define osRtxTimerInactive 0x00U ///< Timer Inactive +#define osRtxTimerStopped 0x01U ///< Timer Stopped +#define osRtxTimerRunning 0x02U ///< Timer Running + +/// Timer Type definitions +#define osRtxTimerPeriodic ((uint8_t)osTimerPeriodic) + +/// Timer Function Information +typedef struct { + osTimerFunc_t func; ///< Function Pointer + void *arg; ///< Function Argument +} osRtxTimerFinfo_t; + +/// Timer Control Block +typedef struct osRtxTimer_s { + uint8_t id; ///< Object Identifier + uint8_t state; ///< Object State + uint8_t flags; ///< Object Flags + uint8_t type; ///< Timer Type (Periodic/One-shot) + const char *name; ///< Object Name + struct osRtxTimer_s *prev; ///< Pointer to previous active Timer + struct osRtxTimer_s *next; ///< Pointer to next active Timer + uint32_t tick; ///< Timer current Tick + uint32_t load; ///< Timer Load value + osRtxTimerFinfo_t finfo; ///< Timer Function Info +} osRtxTimer_t; + + +// ==== Event Flags definitions ==== + +/// Event Flags Control Block +typedef struct { + uint8_t id; ///< Object Identifier + uint8_t reserved_state; ///< Object State (not used) + uint8_t flags; ///< Object Flags + uint8_t reserved; + const char *name; ///< Object Name + osRtxThread_t *thread_list; ///< Waiting Threads List + uint32_t event_flags; ///< Event Flags +} osRtxEventFlags_t; + + +// ==== Mutex definitions ==== + +/// Mutex Control Block +typedef struct osRtxMutex_s { + uint8_t id; ///< Object Identifier + uint8_t reserved_state; ///< Object State (not used) + uint8_t flags; ///< Object Flags + uint8_t attr; ///< Object Attributes + const char *name; ///< Object Name + osRtxThread_t *thread_list; ///< Waiting Threads List + osRtxThread_t *owner_thread; ///< Owner Thread + struct osRtxMutex_s *owner_prev; ///< Pointer to previous owned Mutex + struct osRtxMutex_s *owner_next; ///< Pointer to next owned Mutex + uint8_t lock; ///< Lock counter + uint8_t padding[3]; +} osRtxMutex_t; + + +// ==== Semaphore definitions ==== + +/// Semaphore Control Block +typedef struct { + uint8_t id; ///< Object Identifier + uint8_t reserved_state; ///< Object State (not used) + uint8_t flags; ///< Object Flags + uint8_t reserved; + const char *name; ///< Object Name + osRtxThread_t *thread_list; ///< Waiting Threads List + uint16_t tokens; ///< Current number of tokens + uint16_t max_tokens; ///< Maximum number of tokens +} osRtxSemaphore_t; + + +// ==== Memory Pool definitions ==== + +/// Memory Pool Information +typedef struct { + uint32_t max_blocks; ///< Maximum number of Blocks + uint32_t used_blocks; ///< Number of used Blocks + uint32_t block_size; ///< Block Size + void *block_base; ///< Block Memory Base Address + void *block_lim; ///< Block Memory Limit Address + void *block_free; ///< First free Block Address +} osRtxMpInfo_t; + +/// Memory Pool Control Block +typedef struct { + uint8_t id; ///< Object Identifier + uint8_t reserved_state; ///< Object State (not used) + uint8_t flags; ///< Object Flags + uint8_t reserved; + const char *name; ///< Object Name + osRtxThread_t *thread_list; ///< Waiting Threads List + osRtxMpInfo_t mp_info; ///< Memory Pool Info +} osRtxMemoryPool_t; + + +// ==== Message Queue definitions ==== + +/// Message Control Block +typedef struct osRtxMessage_s { + uint8_t id; ///< Object Identifier + uint8_t reserved_state; ///< Object State (not used) + uint8_t flags; ///< Object Flags + uint8_t priority; ///< Message Priority + struct osRtxMessage_s *prev; ///< Pointer to previous Message + struct osRtxMessage_s *next; ///< Pointer to next Message +} osRtxMessage_t; + +/// Message Queue Control Block +typedef struct { + uint8_t id; ///< Object Identifier + uint8_t reserved_state; ///< Object State (not used) + uint8_t flags; ///< Object Flags + uint8_t reserved; + const char *name; ///< Object Name + osRtxThread_t *thread_list; ///< Waiting Threads List + osRtxMpInfo_t mp_info; ///< Memory Pool Info + uint32_t msg_size; ///< Message Size + uint32_t msg_count; ///< Number of queued Messages + osRtxMessage_t *msg_first; ///< Pointer to first Message + osRtxMessage_t *msg_last; ///< Pointer to last Message +} osRtxMessageQueue_t; + + +// ==== Generic Object definitions ==== + +/// Generic Object Control Block +typedef struct { + uint8_t id; ///< Object Identifier + uint8_t state; ///< Object State + uint8_t flags; ///< Object Flags + uint8_t reserved; + const char *name; ///< Object Name + osRtxThread_t *thread_list; ///< Threads List +} osRtxObject_t; + + +// ==== OS Runtime Information definitions ==== + +/// OS Runtime Information structure +typedef struct { + const char *os_id; ///< OS Identification + uint32_t version; ///< OS Version + struct { ///< Kernel Info + uint8_t state; ///< State + volatile uint8_t blocked; ///< Blocked + uint8_t pendSV; ///< Pending SV + uint8_t reserved; + uint32_t tick; ///< Tick counter + } kernel; + int32_t tick_irqn; ///< Tick Timer IRQ Number + struct { ///< Thread Info + struct { ///< Thread Run Info + osRtxThread_t *curr; ///< Current running Thread + osRtxThread_t *next; ///< Next Thread to Run + } run; + osRtxObject_t ready; ///< Ready List Object + osRtxThread_t *idle; ///< Idle Thread + osRtxThread_t *delay_list; ///< Delay List + osRtxThread_t *wait_list; ///< Wait List (no Timeout) + osRtxThread_t *terminate_list; ///< Terminate Thread List + uint32_t reserved; + struct { ///< Thread Round Robin Info + osRtxThread_t *thread; ///< Round Robin Thread + uint32_t timeout; ///< Round Robin Timeout + } robin; + } thread; + struct { ///< Timer Info + osRtxTimer_t *list; ///< Active Timer List + osRtxThread_t *thread; ///< Timer Thread + osRtxMessageQueue_t *mq; ///< Timer Message Queue + void (*tick)(void); ///< Timer Tick Function + } timer; + struct { ///< ISR Post Processing Queue + uint16_t max; ///< Maximum Items + uint16_t cnt; ///< Item Count + uint16_t in; ///< Incoming Item Index + uint16_t out; ///< Outgoing Item Index + void **data; ///< Queue Data + } isr_queue; + struct { ///< ISR Post Processing functions + void (*thread)(osRtxThread_t*); ///< Thread Post Processing function + void (*event_flags)(osRtxEventFlags_t*); ///< Event Flags Post Processing function + void (*semaphore)(osRtxSemaphore_t*); ///< Semaphore Post Processing function + void (*memory_pool)(osRtxMemoryPool_t*); ///< Memory Pool Post Processing function + void (*message)(osRtxMessage_t*); ///< Message Post Processing function + } post_process; + struct { ///< Memory Pools (Variable Block Size) + void *stack; ///< Stack Memory + void *mp_data; ///< Memory Pool Data Memory + void *mq_data; ///< Message Queue Data Memory + void *common; ///< Common Memory + } mem; + struct { ///< Memory Pools (Fixed Block Size) + osRtxMpInfo_t *stack; ///< Stack for Threads + osRtxMpInfo_t *thread; ///< Thread Control Blocks + osRtxMpInfo_t *timer; ///< Timer Control Blocks + osRtxMpInfo_t *event_flags; ///< Event Flags Control Blocks + osRtxMpInfo_t *mutex; ///< Mutex Control Blocks + osRtxMpInfo_t *semaphore; ///< Semaphore Control Blocks + osRtxMpInfo_t *memory_pool; ///< Memory Pool Control Blocks + osRtxMpInfo_t *message_queue; ///< Message Queue Control Blocks + } mpi; +} osRtxInfo_t; + +extern osRtxInfo_t osRtxInfo; ///< OS Runtime Information + +/// OS Runtime Object Memory Usage structure +typedef struct { + uint32_t cnt_alloc; ///< Counter for alloc + uint32_t cnt_free; ///< Counter for free + uint32_t max_used; ///< Maximum used +} osRtxObjectMemUsage_t; + +/// OS Runtime Object Memory Usage variables +extern osRtxObjectMemUsage_t osRtxThreadMemUsage; +extern osRtxObjectMemUsage_t osRtxTimerMemUsage; +extern osRtxObjectMemUsage_t osRtxEventFlagsMemUsage; +extern osRtxObjectMemUsage_t osRtxMutexMemUsage; +extern osRtxObjectMemUsage_t osRtxSemaphoreMemUsage; +extern osRtxObjectMemUsage_t osRtxMemoryPoolMemUsage; +extern osRtxObjectMemUsage_t osRtxMessageQueueMemUsage; + + +// ==== OS API definitions ==== + +// Object Limits definitions +#define osRtxThreadFlagsLimit 31U ///< number of Thread Flags available per thread +#define osRtxEventFlagsLimit 31U ///< number of Event Flags available per object +#define osRtxMutexLockLimit 255U ///< maximum number of recursive mutex locks +#define osRtxSemaphoreTokenLimit 65535U ///< maximum number of tokens per semaphore + +// Control Block sizes +#define osRtxThreadCbSize sizeof(osRtxThread_t) +#define osRtxTimerCbSize sizeof(osRtxTimer_t) +#define osRtxEventFlagsCbSize sizeof(osRtxEventFlags_t) +#define osRtxMutexCbSize sizeof(osRtxMutex_t) +#define osRtxSemaphoreCbSize sizeof(osRtxSemaphore_t) +#define osRtxMemoryPoolCbSize sizeof(osRtxMemoryPool_t) +#define osRtxMessageQueueCbSize sizeof(osRtxMessageQueue_t) + +/// Memory size in bytes for Memory Pool storage. +/// \param block_count maximum number of memory blocks in memory pool. +/// \param block_size memory block size in bytes. +#define osRtxMemoryPoolMemSize(block_count, block_size) \ + (4*(block_count)*(((block_size)+3)/4)) + +/// Memory size in bytes for Message Queue storage. +/// \param msg_count maximum number of messages in queue. +/// \param msg_size maximum message size in bytes. +#define osRtxMessageQueueMemSize(msg_count, msg_size) \ + (4*(msg_count)*(3+(((msg_size)+3)/4))) + + +// ==== OS External Functions ==== + +// OS Error Codes +#define osRtxErrorStackUnderflow 1U ///< \deprecated Superseded by \ref osRtxErrorStackOverflow. +#define osRtxErrorStackOverflow 1U ///< Stack overflow, i.e. stack pointer below its lower memory limit for descending stacks. +#define osRtxErrorISRQueueOverflow 2U ///< ISR Queue overflow detected when inserting object. +#define osRtxErrorTimerQueueOverflow 3U ///< User Timer Callback Queue overflow detected for timer. +#define osRtxErrorClibSpace 4U ///< Standard C/C++ library libspace not available: increase \c OS_THREAD_LIBSPACE_NUM. +#define osRtxErrorClibMutex 5U ///< Standard C/C++ library mutex initialization failed. + +/// OS Error Callback function +extern uint32_t osRtxErrorNotify (uint32_t code, void *object_id); +extern uint32_t osRtxKernelErrorNotify (uint32_t code, void *object_id); + +/// OS Idle Thread +extern void osRtxIdleThread (void *argument); + +/// OS Exception handlers +extern void SVC_Handler (void); +extern void PendSV_Handler (void); +extern void SysTick_Handler (void); + +/// OS Trusted Firmware M Extension +#ifdef RTX_TF_M_EXTENSION +extern uint32_t osRtxTzGetModuleId (void); +#endif + + +// ==== OS External Configuration ==== + +/// OS Configuration flags +#define osRtxConfigPrivilegedMode (1UL<<0) ///< Threads in Privileged mode +#define osRtxConfigStackCheck (1UL<<1) ///< Stack overrun checking +#define osRtxConfigStackWatermark (1UL<<2) ///< Stack usage Watermark + +/// OS Configuration structure +typedef struct { + uint32_t flags; ///< OS Configuration Flags + uint32_t tick_freq; ///< Kernel Tick Frequency + uint32_t robin_timeout; ///< Round Robin Timeout Tick + struct { ///< ISR Post Processing Queue + void **data; ///< Queue Data + uint16_t max; ///< Maximum Items + uint16_t padding; + } isr_queue; + struct { ///< Memory Pools (Variable Block Size) + void *stack_addr; ///< Stack Memory Address + uint32_t stack_size; ///< Stack Memory Size + void *mp_data_addr; ///< Memory Pool Memory Address + uint32_t mp_data_size; ///< Memory Pool Memory Size + void *mq_data_addr; ///< Message Queue Data Memory Address + uint32_t mq_data_size; ///< Message Queue Data Memory Size + void *common_addr; ///< Common Memory Address + uint32_t common_size; ///< Common Memory Size + } mem; + struct { ///< Memory Pools (Fixed Block Size) + osRtxMpInfo_t *stack; ///< Stack for Threads + osRtxMpInfo_t *thread; ///< Thread Control Blocks + osRtxMpInfo_t *timer; ///< Timer Control Blocks + osRtxMpInfo_t *event_flags; ///< Event Flags Control Blocks + osRtxMpInfo_t *mutex; ///< Mutex Control Blocks + osRtxMpInfo_t *semaphore; ///< Semaphore Control Blocks + osRtxMpInfo_t *memory_pool; ///< Memory Pool Control Blocks + osRtxMpInfo_t *message_queue; ///< Message Queue Control Blocks + } mpi; + uint32_t thread_stack_size; ///< Default Thread Stack Size + const + osThreadAttr_t *idle_thread_attr; ///< Idle Thread Attributes + const + osThreadAttr_t *timer_thread_attr; ///< Timer Thread Attributes + void (*timer_thread)(void *); ///< Timer Thread Function + int32_t (*timer_setup)(void); ///< Timer Setup Function + const + osMessageQueueAttr_t *timer_mq_attr; ///< Timer Message Queue Attributes + uint32_t timer_mq_mcnt; ///< Timer Message Queue maximum Messages +} osRtxConfig_t; + +extern const osRtxConfig_t osRtxConfig; ///< OS Configuration + + +#ifdef __cplusplus +} +#endif + +#endif // RTX_OS_H_ diff --git a/CMSIS/RTOS2/RTX/Library/GCC/libRTX_CM0.a b/CMSIS/RTOS2/RTX/Library/GCC/libRTX_CM0.a new file mode 100644 index 0000000000000000000000000000000000000000..07a62b91fa5127c40f00910175c252a8618e0093 GIT binary patch literal 555872 zcmdSC3w%_?**`wBXE)hpmyoalHV~4{=0ZqF2q9clP)K08V@S{7Gh>;2OD|9)rYoZSsr?fd?IpWpjG zpUipYdFGjCo_Xe(nR8~(O!8(l^|Z7dKV)J!`I$mhSZ*N)e;3w8MD7?P4Z)3NxvALa1%^O-BbWVG35H8rDNB0S*0*mREEYm^<&V&z9)bpQ*R}Q@QRtl34Xu4- zgrSzSc69Y@sqO08u%NS@+9lCuZ$MiNCPsT&bt!gE+aNZcXbziZONy6wgcw#V(H3@A z(5vYZjx7=r;+9At#_eDekF4?F+S>X#)i>(Zw{|pjw{`Wj>Pk9jyp9fOcFP$X+k0pl zhg1hkH}xiwSWD%*32aH~ZR~5^eBzm%tv&I~R4Reo+XsD6jG`IiGdZr4N{Q>E(6~5- zf?1Vf%W-s=yQ#IaFS?;=ZLby`$K&$jG;|P1h~qV=a8D8ixsvd&G$}ueXK_kKHIq7> zjW2KOX>D2!Au3gCrJ`|#biTf(9+qX0u5LTNpto*gXJ>ooTASb$iAWQBo`NTJ_O)*y ziz;vKX1hOVd~rJl3)Q8mmtrAFS1*ZF-=)+@qSc|FZDON2)H>8@%OHVD4US#OjB9KA zXj3O^{h953Ep3SujJDlPgJ?AL;7TYOhttH&^|TvCa=Yfn!m5f1Cbsbfswr#?nblaL zEdq8BoW44UXvQZ^h3C}vw0HIBq0iwwf_6%K>xOuV^&5M;F*`ZBVtm`EMqVvoPAf{M zWi-05tG&}fmbdnFw092D*6xd%e{Ls)^(3;Wj-Z`fvnYb?QwWpjlysoMv_?K#?{gs<2t3$H*J$85iwkz;S@zL;4=ekc?7eapfU(Ne>61p zbZna1QQpO8*3WxRom5dVb?Q_+r%st(Wk08$H+OMVN{Po+eMwO);+PPz6h-$`DNrm% zh)mHPJ>Z@2?A!17ww>SZI>xtIj33`G_YHgezIlQD)-P^|<}6Bi;>zlrnD@BRjbgkn zM$Yi`OIi*em=j$RJvrJCJ$zut`t*v!kMEA&8oev})9AK$e3yi$AAZ~wK2Rg(z5R}N zTmL}!yrBz&ZP!$Pd{FMYRMfAyK0562LntA=@rG#kJh|_)5B~L;wf~CfV*~N{Lj#$n z9yVZIwj&VkFz~y8mY9aSOMa3zRG|J$Mv&#@6ZKzx*T$Zf)`|0KYDA)a>$Pz_F7OL$ zs?5vFn}m=T;Vm!U#PTQRS);-ZiIIt9$)A{Sv#7*~H8hNrJR4koUf6nAl)GF|DMIyF zif~gob?r4U53mVAZj%`zJtad9msZ-Cw8FHY$8d;jL`-&@B<$=Y9HpWY6a1)N%sF<| zy!O8N8=F_nu3J*p+qI_e%%+~!RW(cM7t}XQ(QsAW@)PSTabK~j0gt~uO+8yy!D6eH z%vmJnEj=kbyFOf5K6y&{Br$s|%4}+FYHk-Yh^S|3ec2L`5=dR*lUYvvsMt|1E6F3- zc2*LXCESW5-u8e0Y$!OUJ6wPNEQENxoWFnOBq3r6kElo_fO9hNMu#TFaftJ!PG3?) z5oxng@SliyH~~Ku@ihtfYQ*Ox;AbKpFEg$gSuCMDoy~5ERB^G5D5&x49opdhekX+y zOVAqe%M!jgf|w=J2jOXh@Cx`X+Fex*cISV!HwDi_(5zBY?#BBV2?KKp_9U zfcC}oClIbgu+v|(69JWW3mTR;)Sa}n;lyaYSYA`zP+zN}YDQ24znU_g7np)R^)j}k zzE;7d8#|gYU-SL!q+S!L>B1boS@WqWo~edt26mOfkm{T8=uxnmqX8zN!Ebv?kN6zL zLLd%Vg)*wEhDnuxb^jxX0~P0h_ct(y{4 zn|hi$*S4Yv%>XTg65G_**VEpNXZK#H`(-bfR{5{p)2e{)O~~vv@iBnxEwc>13nOe8 z@!<$G5Bb;zg$B1rrh~u}FY@v#MIiqd@l}9_dGr`A1m)Q>5Ow0yP2A@D9TO8SJI_4O z5bwUgr2PSqK47QY^{oLdvA*}AzT{(lQxWX?o{YGi7g6yp!uJ?4mz`DzJW*Z@@>qY$ zBi)v_25~zNqT(7LMU0rsPHP69DDNQT(FT;qQO}mwgSedsQSoyi^nLrX)3$?P$C-8z zNSG0GQCD8u5E9ExhvTr1uw0IocDXwdr#!YFuMdHA>8QFhjo}6a_&~QJ;Z3n&DYi2(7UC# z4^OIJ?wNA>)XFdYO!+!H6`ldu=LDWN3q=9SP2VSqL$RTYLT!Aq+m0YWX2_QCe-OG+GIudJmzsNTG4i0+kw$GKk-@ez%a!Nc@Lo>blbY+ zdPD+e!TKLk%Am_7Q*K9+H61rsX=nzLUDDe@%;n)^;BuuN0|C}ml(-~x8cA-?p8%}& zjGvfAM{~JT%gEsM{G6CK^?pcjyFKk#1Vq~FEMhP7go1QmtA!#b1eY-$V`4?-YQ#Oa z;g)hQsk;IOM_JO(=MtVn9}?c5Kr-s2T!X13{brO@8a$gfnv`|()SW%4!r^_ zp0CK^`vG0~V(32NE97wM>B@Hx^-$0$ayXTD<$H&&MJCTmJ3WwAUsESMr`mXWS`G14 zHl8_j81tWI=MSaTp)8+8LL5?dwd+2+V*I@G(h+!<4iRqUkB87)qX8aIwPouPG zmq5Dbfb=ayrG{R|%)hWRi=o%Eu!n8jop$o<#lrK5jeCdE;GReA$_7M6Ei?R5TAxAC z@b@4xWqbx}DrImnEnAq*fr&Q2Fepcmbbf{;2QLmFu5*MOA9q$XC!=L%v-N<`L!cbJAGq$RtrI_g2GNqmrd1$O;`* zRtn0YtSnE0rYb7{*FgxD{_}t5>(K4)@_Vm6o=q_Rdw4 zD#Dc&l@(=YRF!o!t?TMp1-`P*uFlpnt}3i*?`+wyadqpe4y;`At>XV$0eC8kFP@-6 z3v|I)FPW&_E^k$efgQE3$(nG*B_tj4g*kNL`zZpWoE8I;tEjSz3ioZfMvDQIjhhl7358tZnAU@S56_7R+&e zX|{jXX13|bRgd+Y<#1iGpr*b~tl=v7hOW-FVRZwKsF}TZLACvhx)SUpIl5M9W&Wq@ zmbx<3%KlCMbAEKk?-}^HXZC_RJScAL>}_A$iSY_!6;>teMPz5Zo0!yNYnIn77N~{! zQUFCI=8o6gkxCOBVPf;CKoV2^d>Rl7PfZ&(*lnX$UC~0T+c!B?!fy-CN||H8X*!4Y zjU=&Z0c&~OO(tnhsHGa6OT9IdriCX}lvkEdQp5D5NfndB#aQ^^FSp~vWgRWu8)stR z!E#YqM+NY{Hmt}2Hg&i3maV}&&mAgKe{8s!4+eRNCjMYB+>KWl zIm!y*r-RWlM?WQj$G$KlI+t4vUh7Xx0}# zP@IyY_`?pteyGv}3s0oU^1Xxjlb=N`{0foGKSc4zxzua5Q<{ZUv1EQc@h4msET5c? zw@IYOCXseF>=#g@gx zzv5Q}bz^Pfm!($h5^+1XrdjG;F)@8q96`(yS%YxBm}L_!VHW2N!4kQ4l7d#!Dj((L zi9`vk9oJ4+ms*4f{A(JZeKDPjS4^LP5P`qhYq1*ls^6WMP8&zyFXsRfr{_iB4_`O9 zP7kQH$T)wRxXYxotO(lg;UpZ?q}dF=wBT0}GetBs9BsPMQ{~{n*&ZPOw|w)a>@@^N zoQ3+j%7s`=h~^6$SZpBs2GeOzEH$ZoE+o>^2)WuoNKbJU3H9563yUWZt>}{sJi)-I zflCamGqBOXRs(wt#D}Fy&iMv@!@zGExZA)V8u$wXUoh}>1K%?6T?0Qhkj_H=Qw$6k zINZRHfjI^i7|7qBn6JXXsRqt4aEXDZ8Q5XqIR@Tt;8O;^W8e@B(Ud#dz+(+uWZ((| z*BIDKh<4jz@QVnug}BtforZoRAsT6qfp;4EPYDa~n}LD9H1uZ(M+)(RfrkwJO+qX< z95(P{Lr=k*mkUlY#K25K)FYb^gIw6a5<{;f#PB@Tz*&YqpU?|`H1K3YZzjYm+!_Pd z5kl`ilYXATFEsEHL*HreZyU(fM(TC5!EZD0PD04vZ_*DKi0Uc3DgTH4l)r;d`8!}9 z(*X+&{Am0l6Etci5~ zentC*31MGURoTCS5beX?kJx^*2+_Xt2~n9^Lii0Ymd~{rLWolG0tRA4RB}0!P`)Y; z?aQpHoe5D6nnpoYKFU+$0ieKJgDSdz|eIQqr4_uWG`HNXTaAg;iv&!I& zgeY%=!Mh1j?%4+4Mu_q+H~0=h=yAQlcN1pg_HTY`KJs^F^W$^5J&ou|M*Y}KW`}O3 zmm?GGw@~#Pw!=Q?Z7(^IpN{dd{77NWd%}?!I?adIN2KV_x{e?_Z)W^Ky}XORsiUs? zNAG|C3pu_`OJsI_FpuZAe?pH_^l$J9KdHBLb#&n4)kHz+{p`R0V>_R3;IeAI1W<@_-(|GZB2=>7*;-eoAE|z7|ZB$G09^-l{?Jz6W{avoBlTib3*XkhcR&l!xKok=Hp$-g}U@ z<0y7sH%MM1gv>YcIHuV8Z8h>LK;v~0=Bi%~k_Q%X(ICD>c=cSWa_Ad*7_KsWcGC6W zyTwKTSuQUulO(mrEzr-dFCIS~{cZ#w)ah24aGR5!+|OAiFG81!>03AWI9KNb<+#9d zK~iNVR8JhjT>D$l?aP*jDcSLPrcECWzp@j7+tHrB%?+)adh~}L;V=Gvc+&Lg)4_(T zamW{aKYU0V%lXzL9q*H!C|lRlEjhLYj=}9;2)yv!0+z{y<%Uy0eXnOd)U>?rl>{=S zj6~_Bc`cWxUV+r(ndbH^Qy>*Tk0L|rfaY8SV&adqi9gR`P_W{^)avIUaK~F%AavjlGR8%X&iR--1DzW+0*lvXu5nrAE-?Os%IjhqD)7AE)EH z9AmET+Q@i4+S;r)79r}vA~F}NmsFUql)q@v5qaoo_Vs^a-O-js zc(iYVlhZjf#4oNBCSF_zOuV@6C*m%r*D^5gaK3gy6vqxi^==2q@tf}&;|#srz$pgK zFtElz^=^lJ%M8B4zy<@?7}#MTidOG5|M5G(mw7IB9)vaX=Rfk|m(TM5*5{;Z4Ug^5 zdhn{!z`5JwdLAg`v&Zkje6|nK&M@~E^3iSWJcz1tdl)g7oyPeC%^_wP`r}Yw8{!-+ zIYcwCJhr2q2T}2Tgd#@FWv6l8wBt;A5`oXm_GPEh4-(6rkAheZ%jF1fm&nO;JLXL0=~`+=Cb+NMSIn+T3_xv%t=#@F_ZHb z&&}6!-o;R-eXtlS)j6VD?W@UM{7Rdg{7NIg#1vuP^E>eAa%|VU{0*YiAG@jjzt&$_ z?Tz)fzuY3mhx_Gm&o+rtE7twsv+bhvgZ})juF?s?i&PyJKJ)k0QYb=To# zpvFemi!#yQe9y|Qq9oA2E#g~L=ULhK;e(orU*wby?+?hxwLR|);LFweKPy}CJJmDc zFxvmz@Z@>Hk2}Tv0H~=F&ujdy(7PX4iu+;Q-QNa7O6KsnjQ1lgB^2Zg;rl%a5^_@tGC7R7 zPldpg3BijP=ks<-MdmItT8Mjx;Wnf=6`z3KjhO#CP&gHzfMx>0y1ai5@}}YwP_p3@ zQ2#NIn~G0BnHQgc`nmp@icdgwdLY10KvVGvsK(O+`~)-=pMYvS(_h2<_yknv4+Z!M zXevGdeMHI2_Ag;NJ^|JI1!5>8OibfwC56&**k|OzC!kqKu%zoYD4p(cj|YdhE-edK z#=|hR$hZc4GUGW!T^Xavn{hmwVE7G)pBMZ-+73VCo(F^GB2T6)#eKwG;1=$War5p? zr>v3ZBhMV)+H|h*j%r^md~F4^G0YSCEzIL<&(o+3)q(6=XHZvYI&%BgM|7$c@{)N& zFdeU zM$HcGAljSr8&yy^)QsBt`m!{d7rK~QZ5*SuiiGZEL7TEPnjad=yk}->Q3avzu%OMO zHCi0{Aw_M;UJi@6@+XAOBJEBGgM!XPLZJqk6lA@9YAdb$aM@5XrG@MG&AI;-aj{zLm?m01KH0iQCZOYCggT=>hWD* zu?|^Y-wxjuz(eC%%#{&(6?|n>Q_ouAyDDEB%Prieu$-ON8nBMM8REjetJ7x!s(OFh zskd^mUCAzXjZ^O(tl726^}f!jcR4kLi_t#)u-**fe2n_+*cSA%sL3)Tk$@p$G zXlCfEw94*0*2I+`LPf7d%zZcWeAi+;E8Taqk2X{a-{L5&8tT^MhWde{@H#f*Z8=GO z;)jmHs-bRo8fqho@%_m7OaMBpqz+um^4(#bh@yv5&MSzh;lV|n9`mh+O_WZLC+qa9 zWSxGUtkV<8Iz5@J(^HO4f1$;H6MjSad?3_In?9Yb(KO*Mrh#G>b;=6)Uhq8!_Ru=k zp`~nx-)Cz-3534Eyf2zQmLB3aqP{((LQ zBU^9__})r?)YjnbWDO1{Yw&kR12tUz!_h!F?K=^Q!HlDw_FaQ|;RB~5!QF$xeD7I| zXI1$=^j(SvVpZ*rk_-JfxzJCXLX{tW>J+NR=6@zv`(Me`{>-kn{}Z->kU6^N6!`gL zs832Wm=*ii6L-n6R0_kS{{bp%$sCR2ZP@(;^d2^uZh%kJe+y(tz&AwtQt;>-;0)uJ zb~XH}{|BT|T>8}6_6J+vF*^^KNR zCyLeOjIrek|EpxnmbrgcjxPN(S&6YStb490Hz!`M8clMg89&?FLFJVMs(TIFB;gYDY*e)g0{}5Ykyv)|3L;m&Dszi>}c(%Wl>7_EH@vt`Rgm^3aX^;w; z$|||?i^Y(1U!}CJfhzv9*^-lGga}npwWzXd;SO}1A$(J0!Hp`}>sO|lD#zTdOv`bN zk3}i&Z?HuIzUkP5!U}dYZ5F;`WWF9-M4$yLHojwR+!er)629YPflhV@x^O0lZ-$+m z;(wC$nJFWb1$#g~hm;|iz7wR?h{|X!XUP#8fKY2S+Rm1N?Fx7Mhtl@da@h3>_xih8 z%NiNDTj2r!LK3D#mq!F|q3S$wO@?27Q1LZMQ$sR?&nsnYk=XjmszD&`7 zL^^Mva45t4s^~0Z1p5yt4Q=>b#tFCji(=4#yS{~hhHz{b7) zN$i~qWmu;N#E`IWv9xY-L@kkdM4Wb9D#IFg`*+i&PPEg#+G4eGj7|^upP+@7+3D%p zf^~ANPS4a9thf0?{vy=Jw_IlF^la3PBkPd8zERS*9IyBO`P4Ne^BxBy723fJSx$HG zpUMKm(i1d3e?R*`o*esu;!oF2JW2O0SAM3hz%=P$2Xf`l@pF*%9WOoKQ}}ZK$F$vC zd?5}KyYd?Y9jzV0w@7-PRCr&Yqq|-BPLduP-pb!D#jyXPbx)SoEuf6fVDT$tCUuu` zjC>m6<&*pdM!yLX%5`aY#``fUCZ8;1d;*AJgt1TIHv(R+`MAm7q#lpNoIinh0r0aC za+wf*1C%=uxsAvNK%Pb9DIykE4^Y^r0ApV!g3H~Fn=BYP64G)R55I?$2-w0(uRIH{ zg-~8d-oPYexfK}-VkP63@HPXUn|Bb|jwQxhH6yXq{5rr<;4P4~va&!fv#^haKFUF; zP|iaM@>X5{?ckh3+z*wDRQ-RD&ieBco+gB`Hz9%V$FL~aZcqD!8Z#cg8!7w2avwtY z0FXZ-@_PiOJYNnZZ=)IcidKF);}yKMKwkbP#EOibpccwsFzHOK8teE^NR!l{h=Z5h zoX&1sh*lblke80SN11~+6}j3!4t$~tGRF-^q#BW8D#23vAcq#I5XGxOss-s1O>%7n z|2oi42Q9xFkqwA|mkDD0J8&SmWQ6h&Nq;EeASjomzk$?}9-QDL>y**N_@U5CMlg?2 zaq3s9Y#J$3KE>~mWI=$5T10UmE@Hf*i?UIuf$OF$$tleme;=~AF3pu3WvrRDK-ZQ2{+ey`I3W^waQ68Z=Oy*1Xp)$&66C{th1ctt#fp86?1pztMSmf)=BO*xw)m& zwI)Z>=+^yC@|xpy?w6=&V~#S5ML$$^bu~`n7$(Yh;pTpLq_ifsB4#}=bCp#rdUgfB zbg_Obb(PD9v4B^`NUNM*I9Ue~nCXU^52SeZ=lXQ4Fs8i9L^^7+m{DB>s4GHU90l6UZ753Jf)=j`CaN7~6d_=eg$_|ohhe8ZA=@TI%u;EjVB_I|eG8Pa(D}a+}>Kqk!7M$UTK2qoPB|GF31cMw>l;stS4s z;h5x&d}@oIy^SyXDBJkPj>Q(fI(c8U|D==^{!{#4QJUm<#H66v=$Gr4CqesgRh*PJ z7IBq{@^vKZHy?vW)(e&fSEzXU0oA!r);*reUXJ1ZN#fh19hi~ z(G}Ih3?C>MsJ>kVTYr$$H63?WZ7^M@>ay9ecB8IDJh8k)K9+4?m54Ckd<6Pp;`Q(J zk8qL_PjV*tg2ToB8(T*IukC96f3|Jb`3l0`N2`96HothO&8_?eY(sl9wx+_SEgik> zy$x$yT7bfhvRa!s0<8z7rN6Xt=rg75TNeuHH(ZXg7-M;{I7ULVU|C5`-t$ z-o*L7;FilwPj1REkCl(js3B~=v{IH-oZuOWnA)j2(PI^O#>O$dZ#B>31t}H#Sg{$_ zQ<#frp4tPOno{M-M3N_^La|6|7`Ligonf`J(;EioWVg$cWd&F^ejgc>kFeO|d|bhf7^;>nG0ukTYLoayldJtM5$=Rv!X zFik3ouu}5fmS>E0Id`aLVxj2WbHi#It1(bEc(|3f2GAe&_$GS%Wnt9OO36a~Jl0le ztThpqrno94PHmy3xSi*Pl?3Y{v_5+t9>HjD^6j%}Pml)DcbpggH=0=ZS;yHuJW=mSF0-xqgtCPv!+P#qWfTkM z{ISI-YUC+PvEDgHLbhdn-4#xmm;z-|tlyk19gCiz3f^a1^a7 z+~@uIqP`ABY`8A>$O`zv7}bFAqLPx$KTYHsKGqILFxu<`R- zJM>A?4QKEuY0VNp@%F^#b=dYP43AjD!*(@~I-0kkS2Q%NZS8BQty{2UcKsrOm$8Pv zuJx^*y~*Efb@B{2oO|7ioumREk?Ygij3nn^XH!~y59g7mwmFA_nqzXCc~odCPgzCP zbbf60#i^=1(ld&^s|rI}yiSUuj|AnJqR7lWu0q*KiAg*#+s;1dc)9iJcvR%k$HF!= z^Xz1-h2VthhHh*d6&rBiuIS?7$PIn!0NVK8VtiM{ozHen)VX@1gU2Fc2xhK$D>*0c zs${XTw{>-cs&TLA!Ev%1%*Sv?ei4@-!x*)_w?{W|L-&zK1Di8{`BggFhacylUAY;0 z(p05s1D2dsxw@iSy0S38l?O{l@7jhn?LD^k@w2m$*2`md8}#Sx@<2mh$Mb-72Ubp@&ik{s@^_rkpm1fV1-b>2zuTjodwCu&yNBp* zT8(2Wc&0Qu0{P)RIMO-3hd$x!Nav}*_D^%vuHDD5{8Z;nBEZtETbT(o4`KDI$*{1Ws=ipBm<6e$YNp(5p z!4wFOUae31S8a19&YVtE(>Vzp<=gw%6L+-hUH|c~Mq4)a^yp7fse_WH8%mwit$m)0 zqq^3rE$q?4sg>&9roXc{!ASA01wAyPhL58io1J*L_L6Sn2l1m7oC6;^dE$SseLTFD z>KuuT6FqS%w$j&bQq|46)qhsdheaGYzM#(PR05@;882<^ZPa!V(b28ll?SK9rv>%3 zsc|CZ`3Wan(0w;FVKnK3S@^Ss;ZqiduJ-=~Fr$N+ar(A4=pk5iO!w@JX*I~?cjd|;rOjR&acLoy#HrE+f|F-iRT$O@7s82=WE*RwDj%ni>$BmOoK3R z`{JwT?6l17o{QXHwJt{L+_VsXe95*M0Qs6YJ1ul!N}Nl~E(P&_@wo)7m%i|_lW-mF zT!MsTyt3+Z2jVo1^QQ}aCB7W<75C`p5H#BCM|^+GMUnW$a|9AEo)eIGabJJprN6(A zQ~vXP`iY)F{l}>9{kbedKN*hYiK4i8!L^T>gK%d}19YaZ8I&Hkl}_I=DE+2E_z!`z ze74zeymKBfIQ?!o?AH%yUo0>A)mZPlH-j=JCHw!**!v*|&0vWGHs^umoOcq5NBQRm zi}B3&<^JbF;&`uSaV2@slc}Z+9wm+(vbbC{1RH$lAw$q1GYQ8E(MXu1?as52HxLh- zrM5hnhdB7y4lb-AZ6gGo7r$L+k08XKg7iq@v@IdaA*81eqG$!f1}+60QlRyqT=od= zdsT91z$-(iZVv1J5_`s|J44 zz-tV=*}xwe_;UlFHtFzz+@l zmw|3HFzciC^#i6Ge1d`e^pNTG1~wabhJo7*{Dy%y7nTSY=?1fprEp8F;RNUpH`%fj=|wDFgpt;2Q>hY#=|wW4R#%#~C=)z_|vV zYGAj4{F;jSt~2l+10OYzpXf6E6$Afapu`Fm>FEaM8dz@N90S)HxXD0%+r@m}G;oiB zcNzG&fu9&S1Ra9>Sq2sxIL*MQf&ATue60pfFgH5jtCl$bwkV1u=J( zZSd<1+)D`lTM1FlJ_CPd(tly#69ztQ;6Xyr&H^h$$IHSh#N@Xs-DzJav{o?>9Lfg26H)WE9@+(U@+e`DYw1K%_-0}mQZ z&oyw8fyWzIYv5@Hb{TlKfmaxKoq@L-_<(^=8u&W{Uor4~1KoH)VfkqWjy5pI!0`r_ z892?r;|!c@-~t2d4g89MO$N3a*kxd^fq2YNeu!yFL3BR_p`L;h46HD4nt{g|IM=`h z2G$z5+`v-}z}W_J-#+O}4CKCN;`|tk@C*Yt z8^|v_Nx#s*iw)#{8q&Gno$wk1zhj_!z61RhgYzpc@*ObnF$4MKAnE+Di|}Ow|6<_Z z4CH58>}UJ|pU`I@zlI{tFSZDI&O2d+fmH?`XW$72Mh)Z<>g31cfN;T?ls^HtpX0-} z{RyF;@;^ZQDO~v>5S0%QLyDr?`3JuT6qxi11FH<2Zr}_9=M$n`7aLe>pzW_K48F?1 zMgvzH*ll2+ftw9H+rUc7$H2*)9gJA_U)ZgWL7_kN5DZ^Lq5VD3@35H`(|pJ4bt)RH^oVo8fsjaVd!_ zQU5Y9K%9vj6hh2bmD5}Y%EIQtBF7+4!{e_b zk8=v;*%vSS}t{oN_tW*?ABZ7ojoe8}?*x(CmZuWtT;m(ClIx_-aAG^4P!qzd^C_LcDGZ1GMF_ z4ehao$(x~Hft{GZ*Mm=J@Dqvp#hyFXzvzEaPMbcxa(b9o;}@PKGlK_A;#(X&wiV-3 zw3+yB+l}4cAD7DhS@<73BK+yVfvA;}5_4@9;g3s1tb5+UwoeBTKZ3qu-O=g066oF} zIoGW_F#2Q2`Vg`X@B2!0Y4nfLxzR)W+~_eQ#f5i@;;NV!Um4@>b`M5D!dY3l#p7QJ za6~E+9sEd{N3a>v2$|!HPMC9-gZ$k zJ9brSp#R#rLk=#Din8G`kuP^1yXd-T&Y^eOMCt1>QHU>i?>xN!r}z(=w?=n!-+I2- zISCxQ^H)Y=D@5^BqlqYcJtp&i9F;po`PA6Q`K8_Rx?*u#x45o4y1r55=ZV`IZ)<*| zxwNILc~LY_LA_T-!;6AzpBfOQzwQ@hS+OyTL}Xd?VApj~rk)=a#XpO=pix~^Z(7d|$xpOL23s3C75cM7!3#%Mfo`@`{Cifmt-|g4?_eFkw_nytyrUsr9 zg)gS2KEFE>ShNe}_+xo0SFz}R(7Win?FYM5&dqNOY>VWHU8>Z>1Mb3(>c63FSuW2X zz%YXUNhSLC9HNJb*o}u$ge<^+XPJsU{}=S!bK5#mnio4I=hkLXk{kQWIx*hrpK$2X zHvB)-SkFCQ+kAO9&q7!P{mNpOwO!jNO2)%$YZJ6D^tb!ZDFx)oo?%zXnwcI-_t$)tV7+<>hjX{B2S=4MR2gx?>ApiJ?fd2 zZ5V?}KIm_5+|&4G^WsLmt!LQ)&RNjjhwNjwysA| zvu*1Cmc0Q>{1u!z-3JHOtI`Ww{xINM6c(qn>sI$&=nCK1_{YXs)sHEAJThRRTDaQ#aDf-|KHt?Xc<4O{y1*{w}mc9!7ZdAf*$% z$rY=PzOp&k{bgIo_MtD|LQ9Nos}pRS+#hudiLmzL+MHXO*`F>S(6zJry{dK|j4Q00 zDF2`zBW>yUSeCfZ^n+B@5B`XDxnkfZj4Kxp3|my3jzk4#d z^UUn>9BkR&FD8tNWh{;Ja;|(V<{DS+%#?dE*U3m#-eEQGxWy9#V%$TZ(~Je2 zhj?wn88e;>MQKVuJQ&c`?>bTZY1@N*+W9i+AMX22bRK52G;w8gSJakf8EFMEQC1Wy z#SE9`^yQrXVxfDLJ7-L6k~33$1Z&qs_jE6chQ%x0YZ|}TNb8F5f&KSK?~H!< zU`^Bw%|1{b@UHTJzbDP7X{v9cHW!EzRX*Spl)pGuf}Xkn<k%&!y7{92~w z$8j;+lOxKLbK%K*bT7pW$x-oWJu)m_GkUrXRY!-?cKV#3lILO^dp~gc#|H!K{qGv7 zoV}k@b1zU|_CqAUG)$vF+T`1wR!S9cd+0V;kz(f6>_kMoH(;MLfD2_(nQ zC*nSB_X&7Gh@Ve%DY+g+j`;aRFGCv6C;Aj!JL!C)$S<{$Ao|}2N%%vMC;j)K z7?*zu;+B5_Ea(o50n2$qXMmzk`myu2rTqk!_H%2z@bkA}>3<7%um5Z5c!%_JgXR$b zt+@OB_u(G!KZg5I{|mUM`Cr3*nE$WPI^F*^#ANtW@LD(AKNk0(pTB%(`WN9o!q3y? zNBXzmKFYrpxkCO$$d%#r-IRrOAHWhusAZ;pS>}>fUtz69C)tzf8-8IRA%eDG|63 zZFgQ^6+d6a+O3-D^1D^V{^%A7H7sIbpUsJ`nhq9D6 z)R9u@1*wO9&!K>f$qDYst)blBpkzr32w$oD6<>kosZvG!%;eVVW_X%paMfK*GYk zf+c-y@jD1F6&oH(9VdM}FDR%~EOs{c2bGREpn`snlTU(5$r5R68B|)9+6Phug4|`D ziUTT)oU6y@zSXdt5wCh>M<>(ulNE){vZ z4Vsy8HHVEe409-BFDl`6j%IYb!pZiR>i1z}E zbx8Mmclhpy{ux`~?A|LQ?Aoq;F_K4Fc@vJZ;%0I0PU}jrj(8nb4|}gpZ$sIt-p)~0 z+QpostaQDdqpUK1OWmELtaQDdqpUJ+qz2bVD3$dVY=Z9?G?4Lq43=K!D65R&thaNN zRmOBm-kryq=%cLo6^#2my2p1d#?#ZiH~VNqrLc39m2N2KC@Zb7bCi|p>R#t4E3L3| zl$CC%+nt7bm1a#m%IYHOz|XV1cUb(2X4LQDFlo>}@sOr4ygtTJ9;$4ofNYCa7Vv#3*g$oqotGek0e$2`ta zRvEX_|D2<&G9F?U=P0X;d*~O=QC1m;nb$eWD&u&_^Clc+HIm);CF?qtSKvM5I|u%$ zD(@U+r7Q0oWu+_c9A%{|?;K^NEAJd-r7Qnur}7)v2?ugnDRq=p3fjZ_y5&cqBSM&g z1KzjN58E0zM_FkNoTIF?2F_7ddbo0qveHibPK07Gplhdn*PuL*hhG)B$DuIqdluu~ z_i;5`rEtaRO-^Q?5;o%5`8-T#$b_s{IQ2N$yF_<2^r z6*NWsJgeZ#Y(|`CMWrxS1_j3;oM)wRo@Yh9GY`<2v9B-{Y{LUyoM$x^-7<^QO#D2n zpqgjm=UD~SL=!*HD#!`c8$ZuV&5}5!GV(Zk1!hGx0OC9=GXjdt`#BWg9FQkjtk;Y4 ztZqQDx}5lVR>3z}iTHU|!Hei^W1aJ?bh+{Ktb%GtNjT36qH&(puPAyuy&UIRX%$3J z4MsT6O5<*7f%B~1CF@i+Zn+HGtUj79;XJG16<(ZYbshv}@jNRp&aD=*HodRirWwW*TMv+6|IDej+Z zN5FYj6JhKu9_8YVpJ$cD^Q^q_^Q^M4CCVE=&nk->L%i|xtb(_*zBtc{vS1I$=Y!Ai zOfSx}+5wu@66aZ6uNXv74Y@ea>TZR*gF$)#&a-+_3kc@YU^O!Es=@=ot2zGRJgfH= zj{l)2DQOt(hr(Dyn*0$dyn*r$Rmqza{gkf{gmF|>bo$l2Qy-#{}qct#n>xTWg^ssf{7`kD9B|S8`E59mu z7pJse+wWG(gK4zdlX5Hzcjd1LzRL99$ZU_DAxRQlu6mC5(_0L-F!nOTAmK2B8@tx1bVt zT<$iwfPBD8{TlLzzh$T?-JrV9_U92sZUOZy@NQ8#MD9r79GwJLh>$n*DDvjl8!C5( zU?~*&F9}4}fVczkD-fXUoe}hSL?mzcazez7tjcC#YRuSdUQT%ZEO-MI#ARm5W@6 zU2hGM^k`mO``LlG9Dx^P-OAVNR{jO3cOvB6#a8}~rH=BNg8Y*aoGM!}_>sKPNl~3MzZwM zSi7yEF9R#=2K8Jp^dRIhC2|fZzXis9Byf%b)V~A~7jG2^@+Men8mPqUFTR z;~XCTW=KmBDoZ_!OgvZ}SKLxNbl%YO$ou)0dL7yRtg_}_W?SkO=KQ8LGyts&zXPfV z1Rf=u$CStqKv{~&90Zu+^nBO?5f^V05aiXc)ES^&35KsD6rBSEmb#2US?Yuo#I_Hz z)E!`z?W1f$CSu#LAe*$ z?J8TrF4P~C1WR3JWfa~9DyP2p5sL1miE4`;AyAfTPC;z*AWMZv+=*YkwNGufrqfb$ zK%I_|vleB@E!t8%cKZs1xTWp{t31m}eH%K*EycxDT8dCv>U+qv2LV^yQZb!3G#+Jt zzNH>Ow)<4p+*gZ?rRZn!Y%9GHISW4km8S(ig^FgC~;Y`t~D zU0|Jw{ACDZpJ1b|x0W&64?);XB439v^#{7WS5n? z0-sYjhE55CN<$MWLpLE)0|G8(XgZdduJeYjLSAKPQn~#>eaB`GbDNN@Pi4)0E>C|x zCTp3q+e-66`@*ycdLbD2%8u7QoIr}m6GYwxe+DK;z5*8x0O3nqEkYgzM8<&kE@0nB zh>QdBFGM~iQUxT4Sug`3cfuqr(?cnEC~hIk_tI#HJ08@DNFIlf$CStdP}+gDAVf|A z!ow%8BGLq8KO#J;H_`#*V?_ReFpg6=Wm~)pkPixq|UsRCZIU;yN7!7jKPBIUA-a=|*hzG1zH?!b)-8=O)~j zx%vWP!gi#bffQ2v5Eli30==WybqO#&SI8b~SYZvQbD>=gLg6$ZD-l^iq!dUOA{|6> zfqWH_3lNG<1Ok6vMX;=>ouIC06G45^g#>W&6gQXNvSleU$kP*CeLKipkCr##lRxe1 zr)yXLBd9S1?dqpnbICCa6V+4%?dqpnCsXWYAY4GAa`n@#cDi~Dghvpxt2by@&r1Ur zLfqAPBB^Y)Qfu%9W8Brb%tBWuRIbiFN3#)dDObl>AjCnPH*^m2Dpx1f#T!3|v|W7# zvYo85=2k~g2$JMs=4`RjCZkG)XM@@chV=-AT|jO_88+6_JyGaO)6G>lAqu z5V;hT1;Az_M7{-tuVUvAxe3Tm5xF0sWClt+4{e`{!r1;B1EORhX!I1EMX7xvKu_6( zX|NF~$)0j0+Hf7HlD8g4THz^=gW3=APa_oW2l8h`UL|rTkWUc#h{#?b!!cH+Arw6a z1fKF=1j~xvBB(3+grL62TL_>$9&R%^^`PE9W#0o^^a_l65)C zN^`7{6nH8~ryw{6aq}5f&a+ZyLAqm*6n=k8gAgi%oPkW-B!w$(kT#t+v=w-9P(89Aoy$%f5AQW~1xf78e5orPP7$OfN6kQAirurtqvZC)2)D``R zK+T{xp*3WsZB@j5a}&Mp(xp~jOf{|89Ph7Oqs73Y@e_bYLTmYZUQCfx!` z;q|zkhV-u>6z%}>4MZ+Q$Rl6n-Jn$doVO=<`z>#;@b)%upWrsGvQk%6)s~}y{K&U6 z3~6(b@Bk#;hcKB5Uq=rVVJZ?qM1*M4x5mWvcovLLAt*gOcvbogNFLcD)MFMX

e# z^AIApBPhKh)N3{--Qy4<)NczS9Ym<-(}+Bbp!6-d07dVDCevXmH9x4aU?bvna!#qN zcWr^X_koFnNXavZd>@gOihCJ8U?yLMD0v+TcO#+GB=mv#UxxYO!OZDWh+lyD`zoOp zMPU4q6%Yl1q9g~&Eac}VpSOyIOcE0+knl7T)Vv1aysEZ&VK={-R4fj%Q^xJk;))}jM{&h3picr(q4A0Ko)btsr$Izj4o&c!os*9>DkmuMoIv6^4I-*~J;?biaARGq~3`mQUe+DpEVvUJb; zu4@)cR~`5!-KD1S=M=feHA?-mP{jokx!TV8l_ERmYS#%)&ep`vxW;rwC1$M_GqtA` zgF>5Y6!TB*?(4xjziSj*29LM=A8J*@@DPsi(j1u2RSB(NeS(6^bupsqIqFnxGvDhl zS0^yn*v#s`tWC0+`EIHuPjXc|6{xhC`Ll=&+sql`Z04}5#$nDg%*V{68ZoJjimHfR zs7Vf1UgS?p?8mH_oXLzUKwXHSpU7i!A-l%UK)91cHL%9yD)x~=tVd)a=qK_R-X$UQ zY!G@7CUT(dmul#H8u&ws#sT*ksfNHwqcDpi2sLj5+LgkS%Z*Aw#bd3Tn_9Kgvf0`?n2}T zMD7Lh79xK}P*$L9i?@R;WWtyWAYNIaU^F5K+6o^tmA6kBS)^ZkQ>YSe{O4nmE5ye> z0{L73ws_-eu=Kfn$Msd>rI!eA+yN;AuIx8i(#1$Q2Bl16NhMox<4aBoJcSQ7XX?iW zy#8E`6h5njO^SI@MxL9H!snbSCq`|EaD%dtwLd%i)U>aW{n)9)dBCx~A|(EWA0 z>|;?cg76fA>aQ2+{>s@WqfypK1l?aR(f##!5T=u; z`s<}~6i1NtAgo2u{q-`_U+Jlfk?MLKH+hFlt({;zbq9DaLr|Xjb42b($RnHb)VF}W zj-Wg>7q4rh5R|8$iby?z@>I%p^Hxjw6UIz{c;%^Ag5w(qLM^-WFqKcM@Y3;IoH>_- zViFt={Rc=EZ|^egKIvB;`Y93K1et#-bFN?s^w94^`d*fR|FxjK?ItAYM{l?UXmpeP zhPF{Xj-LtctB^uxdBLRkcGBlIqeTuNg&wMWjBfc)J2PEgJy+8!V_>6KX24U7Tb29) zG!|E=XmnvQfm++H*N6CwF&U^rugrAb#fO9HI!8#pyJ(;5m1-t>2=%%jK{-vIb{Y$> zh@Y^&GxrXKoVUiPhXv^^$1(lto%XHc=x@iXSJ}5tRB`nl`_@K2ES$jk;%zlu%;NW$ z^00E7S^O4L{(ThLXYr#b`41ic*$~9v(eWEls(e>{_cd!Wp$2=E2JZqrj4>FQ?n%&-}FH~C)mBJV9~vbqoW4@rBMulcvCn)&n4&Iog<51wO$oCOW#9iEq9 zF*UlEBeeuUjqbIGEJhIH*k?M)&)emUJnB1jBG@>fW5$Sxur z+kb+{?L;`XA42302^jA|o6{h4-;0YqA zlBXdu1tE`Is`$mg79yzPTM=m>!s4$&3Wxv6PKqdrg2v7@&(Jvh*Mg>oe}~T*{@H^{ zPDZ*K|DAMEvKlls{u>&{e>aRk*?SC)veQ7L?1!AZP9Mf=Hg{H2^7BrrD2aeZ$$wEa zz2bW;Xq@6yKUYHtdvf#?c9b3X-#kUI?>zeTjwyWOou}M>#}bk;)o^8N=5g#uD@RIp z1NhOu> zl1t{O+)C;MotvMd%5p7Lpcq*mB9EB=Fz&9Kb0nYbt(mhhB=8?M{T*U0-j06+s=3TR zZc1_U+pd}CVb*l5ER-zJ8d(V@t`N9ZF5z>nn9qL`={`S%0S?LYBarAm%V1R@NV68Y zbM(Kk;@bX{ckyfjQW+~RsyLv;{~;6~PNwmQJLexlRdMaiT5A8tD1IEE8EVacjH((- zc?C8DSNk&MXCkN{{mw^Zi{j_&OCtYD^7A*<2a&vw{67Hl5hDCSODyEi z)x7X*q4c?o{QR~0xB{%_BB&p#xgLBqf>^|foeT82~0c8ZO+V#S}m(B?l6 zy!@SgG85vz@#ZxKK~-utA~O;4$gh^~dVw_{sO7s<2!4YI zmlY2Hxf?+(Tt{}o?M|TPS%R7+CKY)C-Qg;XuuIpvM zu8E_7USu;1d(IMFiQ-!3+6rE^o;Mur!X>%|CVRpX-ByfJVI*^juG!?Xm*`5WOu|`% z1ujJbN2G6-O$d=c0C^ITUl4g6 zNO~zIN`zcyKAySx!o{_MX?EsuNX|#dV@f3@R5EADQlQkT5R4qdF-lHY2b!%FM@><} zOdO{dDK7OJS_%Dx;BgfQ z<|&z`NNK|jKWeGR=2?tyQUw*VCNvHN12BZ zxt|ChW&VlCdkFs@duIY4MU}Su>aKJrK)^r}2#e4mVTTYF5j84n6afthU}Vq`LLfm% zViFVt0TmUOQB+i1M$mEBQ3p3hMN!dlT!SmFqmGK>GH$4-DEE2Jd8)g*6LjXAJNLU= z{Zjd#_bl&u&wi@9tIJ$_P`Ar}yQzO**PEc;RO>Ko)`sA;J09Kz5AYwynz!TO_pk!| zmq!P$LHch+0*?5PpvG=zoc_Y8ql*bT4v*)x6?Qg?#Y2bY8tL^g8ZqNBLji`+GEMY8GNfP zBt$Sr@TK&l0)a~ri6;X3q9{CrFQ!9kk2RQQ@cH(Tu7-u4fBvIqxs*yn49Per5NSD7dhg^ig>AGYmDH; zsCw&T-{=b*{fhfVePge6?C*aG;{e}_9S3>=*f3!}!i%hY?ZvzY>*b988p@B_V{$Nt z524|h0ckU~1hMx&4sYpYjQjbs!A(eiCfJGjTL8NcMCSV;jR~9#?K>n}YR?0sWmk#`zV>Z+x^YUhA?Xh5o$kTQv{!XMzh3|4TCY=1pv~{9g1pA`dVAG?sd` zFfr=m**=N+d(FJ#pGvqKlIXYL)084WJ7<6DB6OrXvo4Eg*df z+7Q-r`08?YEH zyaZp36EA-z&Nt2?!aAoBYjN5ofvziXA|-LPaZV#1w7vulNO+mFgRchp^Di(_rVuvw z>9O3W#B!hR&wa{z=DyCRB4eR43S9mpnxz&Kg4de?r<6F^I7CZ(*edR!Z}_u?i4dv7nRDB4~zMOIan zF~r|Fsp<;rOI&T7i5<^sorW-T#Kz;lr8S{D2b?+3ZYyP~a>CeKB~SnB$Gwh{hcG6> zsM&it*h+|i|K_k&!j04IOKi!l;Qhn(ZdV5YPu= zDod#HChJQut&88=k9A2Vz`>Mkf25uX*z8J}~B$OidvKb0Zl;+H_lSR>Mn^{S?ag4#AIVli?$d0NvuwamO*3;%y2)&G7m5x zp1#(10^Q)j)8}c&f#XEr{fl<7?wJ=RF#I@T+N?cO#^-pIQ`xm^28R?^GIkvh^iwzEj~c zN6?uCGHU!h73>fQaC<0dVN>{QU*{58p0`AnUzdu>Cg`!*|ca*JvGJs5L0A(PG}Fx)~#} zR^!{vzs($lX7|sb!RSnaFIzzq#`~W2bexBijY3}K1>67!HJDg%+XEiU;pfl2> zSu3}fg#L_Yj<^(O2Jf|h)q~yj-ds;aWY%dT60WG)&vo3 zZ!$6epfk?2v90ZKzSZgY!OOXhuTRHwmM7MayQ|`VnU2U#=f-y zZd)gDwQ)4t;3J$tXUKZe*8H&bU%?$tC?Vs-ia5+~Fw#?po$XSs+gzOS>$&h}7xWH) z)4p!AJ%78C6eZ)%REG6uMRzlb!mimiWY%;fs zOA)1ogWVU+N_Zxhm{g;UWhI1XGOoy?c1E|PxE77tX!ZXmsvY_2m;qjg6GN1+-Li*8m|Is60JRgOOIK+12oX#&i+qR6BpO#Sr zV+EbM6!I@P(W>}w4r2^l5uCotVM);6Sna-EIKsq^_BTl8$i;uV6_~;V{MsaPh?UjX zg`)W)hWSE<&1h;jh*o=CZP+i|jDmi2FX}cQeLKYjqq``ve?Y*(j=K)4A>l| z=OU~>&VVzWpOD+kVig-;A$a=gCP}GljJLn>;9-vV7}H`!j;o`W5I4nS-BPO~zo*|4+F43iJENVc`HcI&yy-^3z(a7Np$WDR z#u9P9^(Ac4rfq<8lkuI#hOteNIP2LWMn@y!nF-ZSq(#IFIDO-lF#^WZj*KG0w*NE) zD4S@V!6zD-vovGBVN|fEnS&$8-XcPm5jH^yvE2G@0BqW5 zB{%^(dHRjP2G95av0UKe9jS0|%mbWjI4FwN)0Jiehz`*JILzU{i~V2IKs9U!Z+ln( zGZQ8`lT+fq{_udkgzd#siR*A$)9KoR6LE;wjdLo&9%kdvwbKL?5kE%*=t4>+CTNp? z5`?|1nQKiXb7f^?`1^i<=8Q2hiinGhGuoFRnd5N$KME&uB1(;OI``ImwgSFHmT^u; zSlq$Lwqq3$^*FJ-FtQzrMTE61nif8YWDhSr2NM-kn6N3lQrLnM-bv(3#@(3YRSNy7 zgWui`I*myPHV4&unNuZm&&J70)WImMxd={p`|2Ma=7^7BW*gf=(Yg(or!x|&)9>kv z$ttliqZMFtSq3b}iR}_`rg4@KHhM8!_Q0ag$g6N-024MwY!I2RW!9VUXf8pB8*y$i z-bI9ZAYA4MnsDnGv)DXxVLhX|Z2U)otvF#Z@r-en5H`BsVl(ePWnxSrYz&{p_rjB> ze>VcrJ5u04^AH`3vxLa7zC=gsi~r{E&jSByT2BZ0ucrM!JzraPU#aaBT0+E6!oFr; z-P`Glt}L8rDx!;VMqAlmIS9e=AIgZT+26exoYuo_F7Vk|;LathFt*{&hqKwy13oAQ zvD!GN5^HhVqSD3m{#h7a-eHqZi6uYUpWJ>_YLmyW&R+UBT4lvV4Ni;^f|CGkDPB+4 zX*PiHjI^JSuCoEeM>uT?x^z)O@Dj@g(8W7M$b+DXHUJ5tM>n{vO{RW&LX4{hdh|7( zzfib5MEJGRuq6Kh`jEfpll z>~#1H&xkiX!)JH~js6KItj(Y;?6Gu{tXNyt3{NY0AWqv%<^yxqrvIj+FX||`xlO?C zlwiIAX9i)H=@P=Kn!!GDsfkcRSgkYQ@*ipxuXph7q*wDn=fbI9Aa05&K>m6f&#Ggb zSQQS7_67RK>M(X^6G@`SIJngKhP2#ep04RgfB>S@IEx5s_FWL7 z9{w|mk&XF6LOF^n^E0*-hKw#D8-n;XhSzP`6xN@iC^wDm1#6Hj11lfTxU> zB7zU!U z93nr#iSppTIT&RZ&OD=}C9C3NOp9d`Ehb~|*o||b(KD0CH_j5mnm99-cBV<-{X>i} zPELks0m9z4Dv8~;DrQ>VwDsRR(CtvBZD)d}5Z!DnqPz8trHz)`{;mTpwx7KjQ?}xN z(72M!fBx$r7k9cm64}LinSO zmFEeL!Z`-#nK)PD^bT)4c|*nX-vq~lI5|l?sgq;FJ9fdr4(jzE&wmmeD{-ENa}~}F zIH~I+oL}Mej(Rv6ae8x-=f50|l{mfho_}jNQgOD!8No??J8^o)d2n2db0bbK>}M|! zjNs(F!R|W(r+0Aa;eyv6ejFj*!MHUz*}wheehGdY4RttI`{L6swi(X|&Yn0~ey_f1 zAKUK+oTGf{xu_F;^M0J(Q3?m! z`gWXmdY&yX34SR)f7lS?L)lYD#x1`SIZoM`#s@JbZ*^E{mT z#>Hj$rIiyFRW7Q`t8h?p^jT0nJFkjD#lnW_hO&7T6%f&v7*>HQDyvv%!^`GW&MjZG zps}oRNnK?F{BW^Q*3dYox~35VMzvK?+b|R&zHChewTy>JyI}UB>IIF1s%s809Vs-y z39-96?pMJ;$W2cQx*weqz-!5_gcuG7LK#jlDUcWHA088OGeW8G31o*--IF5`d|@aM z2p!?2U!SD(4=iK)CtZYv+l9ipRz)O~N=-Rd+BXz%Tjhlc!uwKdCbXvZlftM$cX|qM zF$!OU!U?~oaEMh1Gd*>OdGdsp{@r*5@T_v1gKZh}?UGmHw2 zmUpRV;Af~!H{BkYp`~H>M6`h0`sz?;(*#LwsC(FbA)FU--8O-aq1Mn6q1OpW=J^!B zsxIz^C4tbO9-*#K!PxBsp?0X@>`16xB-Aw`ha1i|-fs9%)6k*t;EYgP#0!Oc;?-3t za3zQHnN6q9p-guPQ)gSb zH{_Fja<5QkSB0i$XYWfVDmByw>OfStd*6zPDIPLyORE{~cFAL{G#UlA z4&1g!uwDw_m5)?2(UTdWtvfAZs^L)7s|`K|^$|f?28UXuhq^#tY5}qx9BOY>Lvk~b zql@OjOOQ{_^8#9zMgxLj4ytIU-4N2Cx_>DL)t{3Bndr4`BcX6FZ&lJLQy zWD?^zAw)8qC6fz-9=#Elt3^7DW-0(1(+m`*XAreXtyA@~- zw|l@gTY)L6shR+Ove{Hd4VoUMZ9NlVLs@Ne(em>GwpfK;SO1$gc)l~mItK=)r)P!= z!|r0_Rcwofx^vqf5$fRXUdrxwdJ-IW|v8K{SqXzW(7jNRTg_25tkBM*^0!i1yuhuWZ)+%&cwl+~d{^Np_0 z9IFd~7egDzN;0%jOLDkpmTC|48az;ApSozQw(e!in98eC_G0#oOxA90)Dp~q5zMjf zdzeO0wl?APP}?4+53)8}*@0|={>@^$XcZMdu1xM_D3Mn$tf&8SJ)rL!&2PqP>qh9d z(-m58CEA{o3i?+z`(9qCHQ{n%GLFg!<_Y|~1ncmpr3I#bL*ag*EF?U z<$0k_ZaSx$h3J(S5Xq=+#7PcMG#RuCW1{6a2)nPFLC_)W-jIZPZWTUwQfMER=6PSZdjTZ%_*9r&4!CH=QLC-7GL(;Ps66Em3_&p`;p2WGLRij2Q+cOf{9|&?bADhtdh>G_yqKBhZSuu9L z?P+IQEfI?;TaR0lSVz93%&=Bpy_=PyOU%g|jfXW8rRtagc$EO3Q`)ld;uE zb4TPwf+2RfL%c3`ExSE?SvuEN%%_LgwW4q65O*y|qQ`w6!jM+1j#IrGJY zn;r^tIz8C*sMy47WV3E{=8|kCU92%}4>HL)^>WR(-SSYb@~EQdoe)NwVj_15ko*B02 zrfuCPezgRc=3D27hGk=~gc<&ypBlNv^QJG4dm9<-*Y0!ZQ|V|S7v0HDn1^zTGhw%& z|9MJ0#&u;B=^PY0*^ZeDOrxfud!tdgjm4nB%5vzwaP~y8sTCzL9ilZGGe4AroV!Ot zgE^;Xn7rCz!XL!6c2O#@mrIMi4*k40hn{QZ&~Lptbg?&w&fVJ_+R^Wj@u>N7HgRQZ3Z+}aMV`7?GiD=`f02<==$7#=BOWx`}OAjr#HZuzA+Y8 zp>wlY4#3)i;b5AobwQ{d*FFTFc}nwL9rn08wV1qyT1;3>TDk8=7n4WLoMZR;u-ttB z1GgO(leBK#A@(%8rgpi^=l9E+uV8zdLlFO0w?db&OSE$j%Cn2pKyNJn5k+;=GqCXP z6Uv$#8n|yLD~DI#f5L@J?1~Cn+zQ9+&Uw zW~Onmz-foR_X~B5q{RjQ>UBCsV`nss|2jLpXZKJWTv>O`?$I+ln-^ZV=w{>bTAHh{ zT^#M@bSw7-HxkNqZ(kNc9YlBCUz@E*M{I?76^rg>=4Wn=P%q}LK*Vm(pIXi_r-*I3 zhdTB&(c6UEqTgbIO?5wBTFQ>4`vtu4 z*}n-9aV>$;*M;3Hlh{FAT-Qdhm1mWN-K*h;G}!6mc7fa3BzhL(*}DKivwX%W6qj`Y z_ly<16B~#`!U6YZEQgr3xz|Be2i>^cRFmzn#+n;jvIe`Wn`ODd)u8|`TR5n2w`%`_&?Mf%GSguM>wfH30Tx2U>3}Z8PBz%|<7Vs~ zO1Jl+a&RTjJbQ}S%#wZVLuQHq_X-S%A<%=B551VzyVwyk6A6u%z{cv~YQQGit-ktHUQudn5+j01ID;VOcZrrzNdAIV>-a>E*M-!-irv4a*@IjFR-`^^&F z1Wk1x3ZWCA%RGhF`JYlwbDs*!bgFwXRGVJ)j(U}uBo6g@)pw>>Wtd4~WlJ6E&@kSj zZKtd|m*zz~6z+`MDeKI=cBpIAq1xjjGuEME9~@{zrsC6reR;L~7A6(k+PL`?m}^!k zQ-OHr6~bQerWqFL#pSqJ5kJ?Rp3EF~>Y8F;2I}V{6=R*R z7$1AZ_{Uz0@uz4p%qCpJJN7Y2VlnW-vrvB6y(_*LPnu$E@fYLk=Eb)K{K(vn5!CFjecuTG9_GcNQj`tx2bdM9epl~Id6YQdt~hT_J>lPee2 z)-Nf-N3^3CEU2w;=2R{yUs6_AUwNWa+fYxTLtUp|bwO$~jI=`NB${|Bskb5i8ZD}A zXe_F)tw1HC7z-=WASOCuPNi75sHOrsP{$3GEH)I|3Ol;Ix^evCYWn&09ah;`g66Dr z&~m2tn1(lvhajt9{E3w{jfHH!xVkB>EMGtqhB$MPX$uP2%NkLw6$?&os2=K=K3G;} zJ_WC?VK=C#TjDe{mZLFRE-bQZ(X6qxi_qM$RvA;dp!Ou&cm0j!^Y*uy&)eU0KJVcz z>-IOKFSfrueco(VuiJQ4;={JDF6{;WN}{k;y9Fy<$e6gvy58_>T_ zE?a0uhEsb|jlTn-{m0hU%&ng1Eb>MIII_i7C|cxifC+OJa2iSIKojOT3pu2H6=BEU zqK3*jWwS9m9ghh?ZD~T#W9*k#@D&ZrS=F^pePsi_ZsF7571QT6srDpiL2b>vi1`;c zB{WnnEU&A=H#jt@OejJv$WU*doKS;NQBk{aA!d#E!NKXPc?TRYBN=aifhhk;7`4TV0Pv zG^sFYomjqLQKhUeYoNY_(m_dC+1N=l5{jm|+>XAvoWK(z_$*|uUNa@k9b>({^%_`QHU?3wb+(rwx~4j7g|*(yf-TnTku=gj=arcz@TT?&HRTnJ z)hD7HrdONAU_os;=k?}o@k*0FJF(e)2Ia$02U zko_Y=^7Dq~4KZJW8Zsn*Sfp<~ItQAvUt|zww?zlz%R?9dgBIpPZme3ga5h+8SJ5!Y zR5^sXFtKqEzASYxzoEs@`iiQ9@inRwM>Gst7#TEg4!*TDchJztpreLG2F>M6+lb|D zPy^Nq5Q~6kqpjtIb!BtP8_Vs4dVFPlP2~dB*}@ab7FJ-|EGw(5DWAQdvaGuP1P5Q^ zs(0qHv#B3qRjiDCmCAmVY;b-2m!{Nec0sqbZRVQhmC4bd*z;?vYqS|L-GNp*4QA=_ zTCjLgO-*%;oqf!#(^%fP2qnZT74i zn%JCy;p&Ycvl6k##|D$_43p4_SsqSXP937r)Yue1dUoxJmCe^6pSOSV_j&v0f1kI1 z3GjLQR{@{5ea!YavNnfyqQr&^R|aKu zb{bA>r<1ThRK1!8E%8>)gf=aP7EH3Xv>Z2Frg=?am#~UO_4RgOF$|;DG^o)GNV^93CJcd= zHyP-c(ZR{?RW`f2vB6esTo=Pk#h&kPO(r#)DztzuXJT1;<5daRpY8R777jKDb}X@Z zt@)H@ef+bT_3=++*2h1GS)cu4S8QhKNz7+|R9tlPsX5=SW!}Q3X`)EI&bQ$Gt+wctXSY#TAvN$-P!Iy%Oz;9i548FT)b5)LcV0Yf|<_*vM|oJ+;Zl zslx>twyyRHO&6yss%Zsp*J=7wGnLV{8+YJL`|a(S{%>#3)VH@SXBJOAs$?ksm(DDk zR6V=Cyne~d!^V!CIe8qen(fsU7c#yk*erNuUcF#bZ7=`hJC4^y%@vucXM6L&baXEb zzU|DqLUWj1gPC!fbr+upHs}6pGKl`31JU1YAo}|ZME`mR(Z9|?+~47TU=ErbdynYd zis&3*u6b*CXQKJAi%tB9?!T(Wv^#{iDNF@$5^X+~y=izJZ&UD5Wz_nCk-pp%j>Z?| z$9rFtpNgs0d{G|z#6fXil<&9qouhrwWDkyj6I|9VwXJ#Z1m6=k2OnDCgDBp?hZX)6 znGZGe^%8@8xM6g=j8&mClFoF`3Z5Sr-!6A`tFu$iNj^6`u3f%)z{!iw2OvhbOIww6 zhI=MrIuS1b+cxK3wD-5jaM-OJS+^>hIUP%EXVe?XY%6b9_0sns{+_YU;wD zHy;if(?Z_=sy`p1X-N<5ZmDd3|Iq^wshm%0IDu?9LnBHCO?JYm$&*_JIwWNTG6S95 ztU%{Lmq2&(paP;q4^(mVNOHd16BXdVrvQEta(r@^Pfhp^7Y|POAFARL+vy0W7WVfb zAFS{lE}pE2j~{XdA)N6!9)f)G!gsiM`XWAl*qMm%SbTI0-{CsR3GtKlZU|3*vM)Bv zo01Se#W@`@sGs94822a{wJa?%#Y(bh;aYmI{PHV-^U3d9^-S22NBNv zvglzBymiobxUfIIJrc{4o)Dhybd`RN{~&q?^{E9#=d zb^0X4?_=UeqOtH!U*F+6{SxB$ONigk7n}My(Zvqe%ocu`kB!C-*F2u+mxsm#xZH$C zJ6-dhkysQr;n7Cd**_L&(jVvyiS|YN04#r#z&qHMMP58dfEt1*iI$(TkjgzyTx8md+XQyPYryZPLP8%#yw3q8;2lUS#aWeW> zef&MK+`W8MVQwz8xciJ=-nAI&-JonS&|coP7-a7QG!NocW-hPm%tc7-LmvOmw|Oe> zilEs=tK9)NPryr}=Dyf#V-u~9fqWNbu}>)zJk2e6pP#*Y!!-@BuzmhiU@oM5elgkH z_A(){`v)||=jHoUEPB-tf9Jrvb!0wn)KyiY0&|Js^W?3<=#2{hrMbCjVQ+Vt==!)N z{xXbB74H+fR`Dx~=HPF`W(k|CigvtaalKC0EWmcSX5O~5#e3VH5$~sN#Pzf_ezSyQ zioAiG?l^CfN86uHZe41BNu)L2N5Z`X<$Uo75>M}!lD~1x{wO%p{(5pS%kJ%4A8UU^ zqxEt2?ToF<>kF*yt6C6S&J z6T|rnybR}$Trix!wGy=t`c72*i%7^&u_ZFZ z)+VextK4e?WPmzWf=`DThaB7X>l_L=$y$4EY0JW`x0&JgRx#o}^tm3WT0 zM!ZzKO1xIQNxWUWTfAS~B0eELBfcPR7vC1SCt-O$7QYa=H=+M-5zo*WIYn$IW{6$H zh}c^kC=L}ziie2f#3RHaak@BDoGn&~HDaT9vba(_Q#?<+NaP-e<+@tDPUK#P{&$Nn zh@XmIiMzy~#U$)$n69;$CUz7f;y`hvI9Z%29xt9Oo-M8uZxrtp9~WO1zYuwuPkYkD zh?p;q5~qk2;&Sn~;#K0U;{D=Z#O>k^@mmp3WSjgm#2j&`I9eU8^5T-{Pq*ai6!D}@dRPE7x%%!$b5Q;gT+I|qr@_?Ry;?%Lj051 z18Xw%jS`E*Sz?{IQcP}V<98DKi2IAh;%u>AJWaevyjI*OJ}UlA{91HzyNdRui#g&j zajaM(o*`Z&-YPyVekgt`hOrkh?I!jXM~H>ubnyi7RPjRb8u5?fBjPskU2(UV+TP~d zO&loB6c>og#Ph_f#M{IN#Sg^q#N-ZEe+GFt_P1g;ax#7xOW{K$j}Q-%|6!6Ric?AG zIfgt6Z*r7eEBPdGB?QyeE5 zd&%H<+)WktA)&7`iSS;M`-yq-KTz@^;$bB8Od?UvVQQR#5N5v<^7Zv`h_!)_E{6LPus}aN?E(EAAl|*=!M;j$#+F zkHQCtqe;}ykz@g`W5wwTpQZ2xlIz4p@;_Db8RGdQ^ju8B-s>daEcuV({Uq#tNPLP! zy5|-Cj^q!-zl-0HNcX*%gxmg1*NQ}bT}Xs?7jxu4P;$O_5Q%i7#Bt&jg-;WYCs99( z$pai`nYdEn=P3MA$ybQ$<$tT>JH$;S^lTCPHK8bRCB<>`U?t6u|#~{qw~dajC*rh?kJ4pKHkxxL+jRqVT&F zzD4p@@h|d!S@LV*+w%Wd@;}6{<-bR=+Zp+xoGB#o&ybuYW|Od|H;HoZFS$VSIB_xw zJyXPENu-;t@CM0?#bx4Z66wwpFO&aOB=Y-%{QoH4FFqvxS$tZ2N!%{JMMBSql0O!| z7QYku;U(=z65EonzXOT%Ir8r#4wV0Xk`EBah=t-&Vu?6YoF!J1$friE7f)08nc^Dx zUm{*EUN7Dv-Y(uRK1{;?rzJOuFNtr9yTo?5M?`zl#ZF=_iTdd)4iWbg4-vbJo0xcs!q+SOR>^mWcguf^ zl|=h*BJ*+ISlpuUrxgB*kb>31H|zp(jB4j-$oRQy8xUi?W6XIpz(iy0*BK0q8J z{zj}7SBp1_Tf~=1)bB^)HzGefp#MJNe&Sehx>zCBiKmFa7yl?WiEoLYh^=#MKApr~ z;y7`NSSBtP&lN8hH;IpnFN$A@d&IW6HoqeASaH61lDJB|NPJm*PyAfmEw<{Va)=|u zvEmeQwRnkmt$2sHS!@#jE`BGr?rrnQ7mpK95YH8_7B`7ciyw%)#14I|z8)m}a>PC) z`uz}vA1ryaSSbHu$HMR7Zccz-8R&dv*$_7(JzXxlF08}g?}dbOYvLz2M5@A zVX+MfeVrtC6(jN=C^=soC5{uPiN}b?kM|&r`9C$*+)zla}?f393uY_B=Q*}`7p`TB+n3! z6OR|`#76N968WDkULgP9i<`w)#dpM?#SVk4-Mz*ANz~goGUPaii__#^PEN*n5EqF{ z#1$mcUnu@gyjk2LJ|k`y-xt3ScZ;p^tiJXn)~ZagGdUTbzlcM{(c*aV2om;8lYESL zocyaLFBDG^PZiH3Ssuxkh*!w}ddWA78%fxCABpz;v;3bHUlO;A?~#bVL;OViQQ>>U zl);FH@}`QJVrQ|Z*jpSd4igJVcPR_2TW~M)3g>_G}TiicR9n;%nju;>Y4Y#2-l1%gP1i&f$>agBJL z_^9}b_^}um0=?)5gTy1m*_N8*>_H{u?$4fY|pb|y1O#Lp75#a`lIvK_uhBaV~* z;UeE3&-By8GO|6cJH%u79SHoC!uGjxJyhK zZtY7I+mndbL(CQXiX%ysr$8Jn|D(jIMVHbQ0;#le|W}T>PDQ zgLsR0mw2DJMcgVrD{d2C7vC2DMrPpi5wXL5RvsW8Ay$aX#5LlLBniLZ)pi0_lo^LG;U@Pqt+7G2!4qkjhy@p_9R#YtkNxL7=s#66UA#Pdnmca^w7 zyjlE%c&~WB_yP$%uadCiBl&+SekuPSB>yZX?{D*KBW8$MVz$^z%p;M{FcSG3Dju%z zN#fBY;>}cemE?tzmq=bNo+h3zUMyZFUN7E4BHsr{)YHS_lj1YtDRRC~guT6kiqJ5Z@C&6h9Nc z6wUWA$Kd;^lEbd*L2NH(ihV`%Jxrtx>zrsD4rsoDy|mK6E7Ao z6R#Gp6>k>*AU-5MD!wSbDt;t>DuytSX>TjheBTpthUBhd53#q{UmPOtCmtyli^qt^ zigUy&u~uvlPZrJhNMXl?k}na>_etTuLGm4B0qz@!4~P$oZ-{>tKNLR}zZAa_cZ*KQ z>P;5gh#ka^VjppUSRjrPr-;);^ZiuVTQ7NuXuhus|5cJ#ix-F&i&uzOi}#5Sh>wa- zh|h}K#O>mn;@`v_;t%4_Vkm6up_Q0LVh!mg=8ApAapK|PQDTWWLp)Bb6stw^JzD5* zl)O}2A+8bEir0%bi{|^bNdJ)JSH(BP_rwpy&%`gqUE*%hO}6Dt79(P=I6xdMjt~zN zM~madNn(*$A-r@@wKd;``z! z;^*S`;!k2yinS+2+(%3oyNKPzgT>L};o>CGeBT&$9WD7d(R}|H{?(EfiYJLn#nZ$y z#ovk-inob(iuZ{Rh>wa-h|h}K#O>mn;)mkLqT9;WN3z&X>>zd%BVr$MfViJ{fOv#> zq*yLiiYJOEix-NQh*yal#9PGM#ZBUa;)~*|;@jeT;@`#3#GT?Uv3+Y>{!Fo(7!mu3 z1H_@?2+@2W8|^$=@=S4-I8QuYtQSudPZ3WQSBvL~7mJsP8^wFY&Eg~Clj1Yti{h)| z+v0oT-^I_wpGDq#G2c@WJBfqEVd8<}A>w#(f>tKNLR}zZAa_ zcZ+;mIP1N&*iOtAdx- zO?+8=Q+!9g#IfRG;%~$<@dR;^c&2!cc%`^ryi>ee{ImG9_=5O~_z~G3_lw1y z;w}+CuwcTIMDx4|;zuO+69)#CNy&Eoyy zL!x<31oplv`LE*N#81S}#ZbB}Z!0lP%n-YZJ;YJsIB}wQlsH|SA(o4k;sUWwTr4gV zPZ!S;&lfKe&2uFv-|r+pB0erYBQ}ZV`4Pl_L-Kp#hvGlPuSD~F3F4dQOTbWu>PJiy zGeq;83F1X0_Ynt(qs8&!WO0gkjA))Wfu1FjPZ3v%tHle%i^VI%tHtZZo5efDyG8Sy z3G#VS^6TQ;;)mkL;#cCg;?H6*)7DFCv7OkR?2Yf~iv7hr(L9HO@B<~6iZjIuah_Ns z){D!;m7;kL1^UjFe35vm_&f0$@geb1@h{@D;!EOo@jdZF@iXyD@keowXr4=fJ*_+1 zdgvwg6Z6I4;z8n}Vxf41I7OT$mWdVOGI6DNmUymsiFmoVK{U^+z|PwxZxqdQDe!+$ z^6TQ;qIpgQ;pRCN@N4;hC;lu3JK6HL65EOyVwN~W+)peJ&GRbIf0*QnVzD?~JXS0h z8%6UR3(~KUe7d+!yh{ANc%yi`xKVsu{EN6vd|CWd{6hRr{80>M*?I_zZN>ItUvZ#l zo?n68`%6AV93xH;&GRgXH%syy@p!RTTqUj+FAy&luMn>m?-L&o9~GYvpB1->+r>A< z&%`gqq|Ubd=J^%ayN~2_(LA>T|NfGPi2I3$i<86>@n~_rSR*bHmx$)M73g0h**w1j z`AW$f#e2og;v?dd;xpol;;Z66#IMBf#h*m;ybAJ5>0;|)A2D6b75j?jITge|Sn?z? zg69*(Qqer80{=OZtHjmfdE&+5W#ZN1wc>5!o#K7s1LC9N6XLVtHgUW7rWoM!8?Y-R zriy8zd0qwKT_yJt`-%DDaM3)sg81gS6>x(5CyP_XQgOC8S6nEbATAb{i5H8PiC2r) zinob(iuZ{Rh;N906+aZs^DVIVOUd7eyG8!M4C^UbY$IlhoyCZlD~=Tp6OR;&#bd-{ zMf02s@;P2|y?CN{ig>EHT0Bp@SiDR$&$&R~{gNLM9~Ykyo5WYeH$?Ni3(|im`7`lL zahJGTbn#iYsVA|E*j?-;_7e{g$A}Ze$>LP8R6Jg+6;BeEifhER;+5ih@doi0@nP{X z(L6VU@;)c|W$`ufAL3Wy_o8`j2I-RcdZWPVw3o~__p|g_;=CmVbdpz<~bVZ>ma$C z7!mu31H^;LT-;9;Cy0~96U0U0GI6DNmUymco}+<2^BfJhLH^f?w~FR@8ifBz@@8?X z_@wxP_=@ZR=qlv6I+U%oB%-=6M~|+rg5H z#Hpfreh1-YlIz4q@eJ{7@e1*3@h;tug2;#Xp; z9Gkwam?36~J;WTbznCYECvoq6f>*yNWqtAMsFetayZYq&Q7HMywF$iOa>)#IwcUifhGnqIu2?_TC`*Y4LgS74dcP zUGW3)bMb5O2k~by1=j|wk5n;T>?CH3y~Kf{d5#U~4wQU|I9{9}mWdVOe6dDcA)YQ? zC*CC9A>Ji!79SCx6rT}a6kiqJ6+aL^6~7RF5Pud!eQbTS63z2%=YMR`D6JNqj^6tGGk_MEqL(PTV89{cOFN=kH*rdHxRUCI5cn zIPq}tDA7D`hxjujA19jU?ci^ow*%|s-zY8>SBU00JH*={`6lr;@ov#PZ-;nWBySa; z5u3#A;+x|8;zwc>~~k zhl(S_@g%O%CWuo+^E@E@kCj|5o+6$qt`^S|FBUHouMw{oZxinn?-L&oUlGmofXM${ z$sdTHisrdMg#RG*BlO2jXs#KfBL%OcvXS<~c+7ca*%Zm@N($ zM~a7vW5wy>46$4^&mltZV#&+I)5WvItHcfBjpD81M)6+pFXFS}OQLyR5&3;4`Acz^ zXr5a{xSOYX5W9%o#ol6n@nF$BzlijQOP(Z_i522}u|_;YJX>5Nt`)Bo*NZoZw}_93 zkBiTUP2#KK8=`q`5q5qk`6n?j*p}NouZVE-ydsz`|4w3e(LA?^aPyoZxWD|v4)jd4 z?@b7X@SGXt6nq{?wz2Ow;(i_=XX*bZ+~B#&oA(x9Z6z}m?~zG zun)K43?m{;Gcrstb`KXvipbjdj}pg;MdDPkRGcZAa-tp04Q#Hr#eagJCeE)eU)MsX#H z{f}k-Qp(kLGelP8L>%xNqmPyzkgr+Nc>d%Lfk3t5_gME z(56ok&F^hPU#jFRv6~nX&2t@y*H`jDF<%@mjuZ>T!%2+KNuv4vZOBt4mx?pRDsh2W zCpL;J$$ZqmxLQ0TC#_=UJr z+$HW79oOcUB&LX|Vit*ctD6`RbH%>mKyjp4AdV8piACa6u~eKX&JyQ{RpJ71vA9fJ zDXtP%i|2{!#H+*&;&tMkBiEn-~#uMe{r72p=waq*x#xE>04Q#HnJbI8&S@n&)4jw~jK_r$%wH zxJ+Crt`gUXYsGcqRpL$JZQ`Bc-Qp(kL2-+?Rcs>1;5{4ScJWQ|9r1nf3vs8oOWZA{ zU@t&@sbZRFe$O5LS(3Yn5iwWHCy#gU?Uz6SoIB##r#@4&--U@DJ0#Y__r;IMT&&097bMoDo#HMM^ZIVl2}8#GoFt}@m`78^ zG!pY=hG?Gq;e16IjF1>#xuSXg2mN{=WiX#a|2Dsy500dab}tY|k>jy`i{^PD)bk|D zpji)LYg!O8>b0Ciecnl;9`}%_zbPE=sJBX%3-x96qn>_j1sU~IgAE<)<?{|j4?rIGuRuz$GZktE77PBN03@=TRnN}^nIBv+A8zDDv|61LqY`A!n$ZIb*F z89}>CHv2Fblfiuf?ITeSBPADTzc5R(*&m@^7E4}6qJGv$UQ42$Hc2-78PwOClFhya z^=9@Z$Zr?@QGcmyFUY1nQIENj`;w^70?DID)T`MyAimi*u#)l`mMm;6pA9zFTUL3l z#>&NwPM&!sectSb1}Bdmc`d&B%De*X7s8|OWBP?S-kX_zA&PxR(=SA@Z)?h{uUxRV zNPP3uFNNzpPQMhzzW(W#V(_(9v2gPmthl#Y;r+=)dVO*%T8kC?OKN;?+KOq3e=Ajd zY2(AZH*W1MZDJw(CEzQ@_LdG|vF47pM6=o@mQxeHPSK_{H-2@|t;-7!$I6I*p~%AzrOQ)`r7*R9g?7r`+w%)9iDv$Cg|G= zeQZ10hb2F1-*E~0lCVY>w4iTBg1*#;?L8^#!?GOJcSeFf?q}AupzqWKeRH6%2zsb5 z-KX#R1by3+(S|MPyCy;3-Ox8n`mmLW+V^yVzARLF1mWJ{)!&~J^kr3Hl14ZzRIK!_)Ws1bvNL zV&(0H$XaAAi5YuW#L6^zrvT{Q6e;^!4-U z3t>K?KJVajutN{@q*z!0@%;ME+v1qdF4?{VaC-LfcS8L7{((L0-x#RF3(HA}x9teW zDa5^W@9^UB9k>2`2Y!sbGxp)k7q{@E`3_Cc*Xd-(dD2q`diwGb^c8don0HN5AJj(m zjZe_`SLiGC7Hyxt(Fyu?Lf-}$OnpOr`i@S}xBsaaXWbD&hi6|&g1)u60dvou`q;0% zdajT@+RS6>ddFG9iCG8r@vKRR_w1dHbEFqZaTsrDf_>foh;Hid|WCy({NH>8cy~B=!+g(?niz2 zJUo4@0-v{YUGIRydvxC6#WSx;u3T7$m-Xh=4vs{Ij2Jp(=|s@&ObbzqoV?(|P-+VkDddz_xx4w<&u>A!p1 z?0cPo-#2}=Bki7~?%j;lowwQa&U~kD>n67*)?wA4FAFIB6Oy3vk?{(+5nFY&c z2M(fbotjRsinl#2&h|FO_JC|>ZnN+GU{7jU zObTqBQQdRajH;RCfdgmEa{8q-G5=N*uc=;FYjiGtdk1PJvUA7EoNTA5lzyKXdp93&$6}U3he1s`Ji0AqTfz`c6arm6$RmJJ!N2oqO~>;w?AF9e&l=p3eNS7Zy5s zeVXp8at1rwYToHFreNFGRXGC=cx_nKm4(Bq*A3v1vcR2^u{ibl~EGOrox(8-C1FNA9|ULLti>9I+Dpd?50=Y8Z8ws3z|p^Y->G)%TBi<2BagfvCwc)#MA* z-=}HE*Ju@I+l4!x+Tjd%a+@=FcvJU@PR|L2>uRqsnpYM&eIINJ_CBJ}$sT2Ve!JtK z^09>vlpi#E;<%q?Ei3=Ae17-9pjJ&|3p+c31G{!^GmCv=Vqv$g8^$>~V+zw}owCE} zcg40#(MGx1O{IaJv^d$hV29KDMED(`b~_v6$c$+G%SZq1fp*%r$?4y<$r;eO3A@eQ z$z#XOn%Oxp>$F*q%^F*tRDO8(0D44cC)EjzJUc6Umecq9ZMSr}GRx^1h;*6dyn~;6 z-Hh??u$G;^sZI6wj;iJi5%krwgL<$t1;?J#w|&!NRp*Xrx822vX@goDTis^X*s9Ux zfrG}*LU-wJ@r#byyGftiU znJ8_?rY?)W>z}^O>DQjV=pk8{5omXp*77rtr(31TESL3x31jGxs?`u z4~y(P^y_Ij@BXW4I4A#Fn%1zbO`L6ZzGgbu7Wrl`?c*YR<>s*3mu+|m?oZmaGbzB& zgHoSw?KsEa?CY)8jD;hZ6spEe3^ytHdPr_c=ptP8xhY9G$yi`N#jYmQuhSHU1i}X( zc~TK}?x6u$bBtHY8mzr;FtnJFZ$f^d13E8fNHBR1k|wgcMGC4F8k(lY8Ne4%jd{Nx3jEoRwY* zcdOUw!QYh%=VsK?{WTPZ2d1AvcYulVGuF{vjXFr`)vha6W;YOA38{4`sUJd;k8Q{6 z-ZuP^IH%2ZusYBt133iSya~74<|!uR6|S?Q?bGntvuDo=SjBt5?E-c9zt2X5IZ4@Y zCSR9EU7qGA+C2oRO%1d;sddn^BK0l&U!FPvhMkf+8s5uNYw>?+>I|5Da@&#EU>p5O zH&NU3?lK^$1B#dYp2;RHD|z2QtIJGn@|mbRao5;JyNdDa`JXLH1lezs*#wQxeJ{xrM8<5P2=UelTs%!BlBhUlM@sYM8#fU?QLB`4O`JQsm+_||o`mi+T{kcbf$IR&UskEV~@D1?_n~u7% zbw}M4uP`676}>sX)ttB`USZQwzle7f-=rPARbwU{bvTA~n9f%H(mM)8ccPvj;mPBd zz7Xs5Wa>KPGIe^Yb)BAWU8iSS*Xh~Tb$YIKot}@^DVufrZR``4*DF2uQrh%|ZdS~2 zQuwgG=nju{>X;XOIdvD3=T2dsS2Qu4+ymJ2JJqa|+{c*ZRmDbgc^gUewQhEtr01T= zysvAHW#--i3q;>gY>tyMo*nW>?<}P1SQvdPl@AFtjeom!=}~P?PlN-!k9!Yw&678tiIagU{kMFw52F@fw&x z`$bQx!GdlF?QV%tjDh13kUEo||vwQncYi z)Vl+p_Zv-dJ-&B6Gd+Qs$GK(V%*uD)I;D~-vzxjjBG1KrKK3dy70 z-I$%ZDmOn-u31fbxLQ9_sJfRMzMHBqMFXRSZcn@5I$35N>g~%W=^!`!7L!)sBUZ5m$*IbB88--(VniyC;oMATHWYG`?zgbd+r30`f zoH_m7ZdP?()(x!H0Jpo9yJc~0i4Ju0tQ@n3ElTt<)H%^ow+)*V>ebK5%#4=1-rcB5 z)=t`Lu-lUYt72MF;kP2CbHmY2bckDczln}!8B+~)yWV9?%XMuLMpPu_X7)&WbeQXP zMPZ#As@FKt1KnPqm}E}p8thl2!+kl_xgPBw;TGEHl+G*hgKc!AA05d`L*ISD)Tg$O-?naZq$ug_$I5&NpkyEm2+2iBg_V-!^S$DFP6WsJ2Mo!N< zj0TzLX1`E}PxutW%s(L3n&kfV?Uat+V(_sm%dx+~jfsih| zgDASd&0*bLx2yXmWcQ9xy2~#FJPIuw_oNJL^o~XA5MA~RV*4Q`2G_ladF~9E?+{B7 zummnYvjCqsQh0BldrzoM2juUuSfPQ_ zx91pzh8TqaCuL)L!5jo`hJOOTJq739h~FG-ldrQ){uZGh!FA7Ildlh@GfM;9QE>4l zdz;zh8$)fv$RBTV_+mAgG)-QQOzYrqm?on?a7&cUo5x$6Op_TJ;s-Av`%S(G*)A|y z^Do2?%sGg1pJL9NLhX)0&O*M?^&TX+2`Mgq#M?S~ys03EJNW9^Po>6v4UMV5!vzeHsb42k(8d zwbZ7#r5=Xa-AzfB`VdLozhmEGEww2$gwrS+W4sMqcWx@(bF8HnA%G8APgrUP?Raje z&7sJjuoQ1>rlm+@sTO2vg2R!p)GnJh?|kIlzokw`wx5}-`MZ2eMOo$ZLLHt#&O$!D zX)4oQ0(bZI6YW&s&c%rhsoa<}Yuv z;4r3M-pf)OLs^9%BlI#PxCpNIm)26DuFz{{A0HC!t`02C3!&*gBz5`tIcurap%Pl^ zeT2RW*KH)uw8%5^4kR57OLHG%*Xv{Kdd)NTk#KSIrR?F*JucMdF_=GYz6dYTaiEdL ze4CMJ6C94jdYwlj92d&_9(j%VxK!`8USErBSDUQ)i~aSwlsS(NWqbi+7Oq3+Lr8Eh zTwybW&*AwqT#U&I&PGTD8dd~Xa0!G}@HE2Z^L@VPCgq-=aD%hQBOA^ai-X zuaV>?c&>wsFQOsmN z^ZK5Nz!~s|_%VxteBOV*@$lV;bp72*?+dpQQZGI~YBCe>gQ?xMq4tF(2o0l?`4sZP zUJ$y$!&^OikKiDv>^+s3-FqHkhQv3_S{G{LvVVC{`zYjai#s8^Ia}9-269N$BlIV5 z-MBwTo)J8C-3R}jaNS2Rus)PZJ^cFdK3rl*Y(r9aMX1dmFwPP~f>-`HBuFzP+M>6k z>~Rf=bow5#dGp%iY`p&&)dShOo2>cQqeIP%;uhU)2xWYToP|#!bQltp!xjDt!jbSS zg^Mv+!Al6a3=$s@KHx0~y}HFv9?Ez5F`AyjP+DOLLirGIUSlp80pV+SzN9b(LOS+L zZQ;x)U_Or@ec;@xj=w@R%qZYas|TDN1&j^zqaTwUVMoD8`uSlBuH#bCs|LL`mf`%E zOwUU6`Fey7g|Z5`!eb!Jgolp{@4bn=T-p0FVs`Hv2-D~MO5z?KYUe%$9Xw)iM zkmvXL;i19o^YamUCR}%{-j2I4)Sg*hK)~~G@$U8NXy_wCZSKODiFa?97s1%Qr0L%G zk?CDH9Elmf(dNzj8}jad#(#@!dra0|_n;wIA_F_LC86}frxBWk@zM^i_aE5S%HHq9 zn|uZfnHlQfvQa!@aOFtq9)_;&b~siBL>F;H^9kc~;0Btr>CDi02D-BcUL7)N>X^Zu z)7{LBS)m*%yb#H^z{L%cQfl&q+WZ;@jTX)Al-hCm!R29VR-s6Zl zz4;V1j+ICX-`i6(m*?;@oi{vAuR*5yWp;)Yd@TOP{g_qh_YuOA%B zHD3jaR!5*FPiu|GjkZ;jXHPkU%({{n8bgB{HJzo#=s?Ay=AQh!DzXZrt<}3ItfnE71*D-sZhyW9;cvDiIBKT_>C6R z0lr{V`hrm@!72JP>f+*(nOTNB4q~GcA&K@uNVHFaQ*nG+0 z(k8yv9UHIHb$;SsW4qyQ@e@yvC*I7%;xm1v z%~=v*{a3kWik28V9Bu7j*MKHhYrvgcMGtauF$m+x)Ho0eT`#zDu1h1VvIfYtaL(Wn z97)?dn}Ou=nS2%IRIvR3mS17c0NZC8zghmbH*+8jp{jdLmk;Gni|&1<*+b{BNx#Zv z@}Xty{QIr{AsY1o>mN@$J!t()nEs*M*MOns{Q7V%Pp{4ao!Po=e8rr-0gNwaaJe%b z#*(*<;M)2;!k&aX&=}_DnY8EMA%DX#(*ajyavXNgz!eI(;oV}`TOpCr>R#WDPv$eb znF_@6bqk2cX=wy-7^Fks%$8;?JZs?0mWB#Ee&E!>EzQ|bVzxAwBgLg~j@j5UmT&$r z7g_u*O$D>?V<-;+gn8YI;Co7;ZH2>b&A`@6G4E%=<{mh#^0Nwnzk_Og=8 z##Hc!3HSICUP!AjRyck%tuS;Am)y1H$_nzilxEuvt~)oLZ}vduvuUeL+M!0rJhOvE zzKtBIgX}dO_qKSxL4!ANGQYOM+Gk(gcg@k2~NShwmsNffS%XOW!HP50wm%YI5ckx$G9`< zd3>atoaeTO71!FZ=mbiIeu9(Z3Hm6(=utRTdGB_0z0s#)Y4Uc$H-^g+51x!TGk=Y2=4RRxWZ>4%z$SqT)|EVd`m+E+<^BWjH3pOF?&Nf7d?~V4#eLAXHc-F$1 zS<6bK@PiG*tepTQX4Y;)3cg9f&RWKX`N0r>)^duv{OCsyXYKggVz@T~lE;t93|{P} zju;8gyG3|1D3oI^hoa3+zgY&`82FG35V;Gqxb1y{qfQvC^05uF? zPBSz(Ox=6=@wMCTHs;{an1s~h;LOli4o?lUGnpA0r$agw&KQgu%xIrVEo(b&fD&V{ z8OCnFdWk2>^Ol+sL&b9{SS|OUHmOGD(1d508N~M&|Q!|f-77Ap#)#H z`@$72g>XDPb#Mb#K^X8;e*BCd=ksGLKW^s7c78mLk3RWWn!B(Re#GG1$5Xel8_N*! zB{Y2oHvuV~uBfL?Z<6r?twH*HKG4sk&qdp_;U+M`r0>B-ur=ndn6W)GwH%H#d^>=t z|3mQHMS(5Lz@CiH(~s>ymI5|n3Zw(z3fP#(;kl6l8`X#^FNG^$;~s+NUJ7jF7w~*Y zfsN&3)F;Cgu+jIyb0Y;dzCT7)500$4F`Ow-p!*R&2D)h@`=dT*BD5LN9MFX)LEwYJ z&!x}|flmeJ6T%CZL--ILo}yw@P;e1K+F^>P!VS0@LQfX34BhDQ!(1g9)YfDyMv@{p zQ`i)ECQx8uE8tm1frasr+!w(a)$hRbG6kwGM?3g{Y#e4=xD6f`{~Y(bbUsMWEGYAk zU^ZNg5oSR-7E&{uSx_#4hY!6r^MeXJep~~G6U;SGV&=zvNI?_Y`N7yQKdxgkUFmv& zettYe4^J=?xz+ua5Oo^}%3X3oYE39*0kEOh=4IDn?w8 z95ELWd^*})CZaO5hWX4SMrLOOZ_s1D=any7NQGrizgrODFAm3|R7`4)1Rm4j_@Hn1 z=L6Avj-jcL#=@BnKLeii6i!0Sv+z7l;Y7j7NegZJ>fXQ#&Ce~D2HQxcyZkfCq8!iL%2yCXzpw?ssgL$m@CAO6gZ5k zO~`2~G8QRD!`V@l!&rV`!Hth9w%+B(0D3U0@Ygd(VyjLU`eQptH)8bDh3?6PG}Ofx z6u8hslz2&(F7z;^m)l@I!-ejqv@(hn2QIWD3sOJ$=t2+XPI298XrM#H&Q!;t3%!sv z)pbh{$k(DG09OZkXJn^&8C^5xMY1psGb2b<=%l5MPUF`-PhM=)Q#v|c#_+TeX$PiF z!_EmmP^Y0%5rT4?T&FK=kH_*-N*!X*HpLyC#(100MNC>hsOg5B>BiFzi=XkJ+>Bhe zZ#vVo51ZVX*=|}Ia)u8~V<_IFXet14S~f4}cTkN?0L~@Zu9smlpo+|pgA7r>#>|i_ z4Wutd+vBB89;5g@Y1Tq2rnu|$Jl0vl2|gzEWS71TBQC6i6J1Eo-gN z*t@OlT^>{<^9)vcbaSEt6{>(44EWNnd=iJM0um1hYG~EJ!&EhsP~{yM%&f5CaSNON zm3>F}mZV8W#s?CVB`S(1P5Kkh24cMl2JxQmF~#VOR(2R05AjFhxYji=gJFDf|C-PV zsFh>;cVaw~27m_UBr}T8xs!_>PJ^F~&G0LUU05Itr<{Pq*@!ie2N% zicLf%QEI$Z1kYzsNw5xq#+{aH`3$1^@ zOUF3GV{QHq-EM;rv3N zb}mGwz)A7@|LmlQ%pKqY4ZD#h?ZT5RNQR+X| zurI64f>y4%A*VFnYFefn+-Nvdn005eq`LblJZDw9sVmbM0W=5o#Nez&=1>od3YPE+IJ(vbK>$J$r!U*E{ck!3Ag$KtvBq0Pum=!Iup(HsiK#Y} zu#ISS(nKhZK5(!SF&55tJ$*Juura0-tG?3L8SDe+-`t0Ap$HVuuCv9n3|seB#oMJf zU;x|M!KNakIs9S9BK~0pza4?|9EU(pW;Ejq&wvgcJb z)DLvsvGCe%3pO$kYmPacoli8H))*G3RR7ci9LW*~qr z5D4r2Ydh`#k2fRG2rg*ma07v@I*qGYz})-(Y9S@|nwC?U4w<&I=BF)^P@ z_0eE9PB50rtY(5yH8bzE60?Q2GIJ8e$GZcO#%9#xI&*z3@G{06E;U$lwaTVweQDwY z0_?A)P8BWBRRhf=Y_G=WU$7UL390_&yht8D{@uL)rI&rE?0YH*JKr)4gN$|Z2Yb2mpM`_6X9 zY?izjE>LnXh3O1G&0Y&~CNkPfQgfJwMFn=j`!uzk5EV!nFvMXH?HHqqMx%z&fg_Q_ znBLD(xiyg^(Z|R)3yz5}5bFS|b1r;3IAjim3k;1AL>9xWrm0lT%zL$)ZMM~)lPJE` zAmoXUdQiNtB{(HqYLMmNiyy24PsrpJ>yO4G5yf!-;F^fq6Re~fdL+Dd=+SqEjUdi4 z-b#X+1GT|O``=M)SLq(MV0^}p;qWbkLnYP#s-+*zA;NVekm5v$ff~0?QAHg#Mf@bd z6jS3mW+zf4hLy{By1>wf)M_EfF!Bj`ByYYz-}Gae|8{?fvya;Fefgg+h!vOzan$u=OCyt*XwQ3#t1P4wQ4H^`k27L97K{nsS?{_e&5P!sloU*NnZXO&TatzN$b`}tF z25+qZxoWWI4guL9EIn5NER@Luh04owq(+$`%lA0Ss8tyaO>h`i#4&Il0=CkFM?x^% z&z@pE=F3KM)f!FG1w@PSRuNQ!Q!BC7h7vSD$T`|qYsl39APHifZEzfv_%6t?wF$2E zRVH(jnoTh~ks@)nN8TIaI_4)*#8*Sdy$11gf&GAM?pWhU$0Ca;a|8ne8;&0k$&nk# z?zjx33kE{u(D3(p)2%-p*z4$r5G>xrWH_E+D$OqeQ*8vn{5Arfy^dmppm2g3ICU!N zu?l7f6(qh@B0hfDOE_}~=HsGS*(;h*F(;^^^`^krF&ZB97QuY1Y*nBYRY46^@r8mm z>I1jW+z2-ZhNbzPvL7TFY9hR??SY|h5(PB*i4w*6i306qBDL2Ph7W%hv0}E&*+e-U ziYIjC%H2xOcpHvSbD*|J=bC2Oj;SIl80yR>m86AqdDAjf4&x};(3C$QyJ5=C&<`t-4NP(;u+t-HmA?3*sW z&Nwau=>m(-6A(EZY=rTc3v~2#wBlauqHy*nkGU4+Yc{=GB=h{lgVTry4Z?%<e|7v|YK!NY5mu@2547lL<=%zS^v)}i3{3q>+L0M0@K5#{F96S3v{{O6h z0F1(U?a%vt+2~*Gcjjfk^Y}k$ed~{j;F(8zgxv2k+Tm1Z_KR2n+~VRA`@d@C>ZY1n zXX5aZDfKN=S1&2SyQT&;uUyu0Y;{v@$%L6z)2bE?u`HRe5<$}%YgVjYTIbCMQwAe-%mY3wtnw5X=R#xGi0yR3Tkik3w!$E~Vu zMi_Q0i<(=O;_=uJFgdM)mCfZ4!&bpcro);$a>?rY6)l768@a|{+cU`tbF@0%eIYmO zWv7I^%TILih|3RMHynw$;T$KF;ueSdMaGA{oNziq+=6hrcYG`s!XKTu;mLmf^F8H% z>;&e26zV2NGQyEUt0IQKD2Aq9R_Y#hz0~6H@JM%R&4t$V{!%!_S9p!D@OGo{MJSx| z&lHZ>hr$WI!n=Ki+l<0@p>V=KQ#kA&Dg2GE@EoIX_rIcWwpG|O0;9&;JjSo$R#?=_ z&dxQaAJa7)=^YM7O2ciun@&PRPKp=q;jL$>IXNW}m^tEox7N++jPS1Bsmz-L(|>nj zESw6HdXWO7hZ;IV4o6B1y~r4b7l+$KyvMN*3xz%J-jkp$oEh<+a$JO$hTX_4DDbwQ z6qAk;Q^+nvdpVI95{Dz+EH@mDxFL@N;b6ZF&%g|3Af0Ij(pRpV8$LMF4tjd~Lm?w_ z0Ln~9N#3_7m|Fig8^(qvRW{=NfQoZGqfO_WIMTKsE%*{OM-#%OuuYqsa9YHTh1(Vv zV|b>;Tv*XNCI&mhLJ@EMiEcRG`!x-a9nPoq!>QiAZY

&7b8r|F3@YKQe>jl90>( zoE7noLk0T!^T~@$z%2S9(fC)HE#9lwA%a~td?ZSqU>fs=u{^r>T676#jE5?Bie&eI zrvM%Xl-hO;jCi|HrErdET6V;190^P3xZVqi^8S0H2u8XxHr5b>@?gHj`< zk?Aqcbu(OkJRxS9^0a9R2N9CtPyGLU!hQ!ChUkrGO52D#682gRYlmp<_?&*lpMpQE zMY-N79tPWl)`N|vX3vMY{zOKF+mKl3!X7=|_sobtoMxoA0qRUV(zRpILCVIA-dNTH zW-c>~#NZulRKvtI#o@4*?}j@fMHJ>n=cIe5B<%g)?B90YCn1be*K5mOM)$@zaKmjE zQn>Vl;((Dcj|+;?KG+eR6mA!Bm!V$EVaF8O@i>3f-U9&(k3Dk3$3s&3_2Pa{g9C;shjubHEy`92ga|rnyEXu*`|7(QA?Vvz(`tz zI9GF6EqHonXPW`rCNkO>xNmW|owsIWc{u82(tC7_?Q0)XqEeG+Ac}~1*~RDw==X9s zVZ6@h40~GPnwJ~NF3CsMf^0Ky;0d=iv)RkR%q-~=X z7r=g_y_c~7{O4=%=zXohC}QuWYN%bQP^S)PTrQf4wS(QA=f;+WbG*Y?vl-zGW3*Fb zjgoL`cDM^`J`(oj;CnAo-T&U;i&r=?hY@7X8h%YV)*e2o*z^v(84HXLz0!`IWCm=P zV%EL|ISW*MuiZ$Ff{_R+#hAGWr?9+8cF&S}`0k&PTj%#?YLs-bLtrY;IaG%;q6! zS_BJyES!ZJh49>PH{9e;lp>A+44bBicRaH933oQrBU}oEio;U?k0n%u$3!}X^SXt{ z6!NnRK3g}#Z%!-jqfuzeD7Gn_R$!XPrQb9YtqP}=uvvw{W})Yg#3s$_r2SNdU-KRI z1QIyYVzyR9yggU|J=lDs7mEZo6{fGe$Plxb{JVP#)G&Emqe_=z6O-;O^S6%it>-t1 zn(aMOIb>wx;k5C;dq%|UX^W1+i9BAZz}R&$R9UGbQ7Lm~Y4pwY9IS&A{g51PW>Ug= z-c4&60CV*4Zs2N}gS}R`G2&etvi5uZ1lbRT-GDIUiFmiI#bDt6tcUk};0zzm^}a@< z!;x~B<&Km1{mG4Cr{Vn$p=lf_g`72ZXEoff(rczlIi@yO?5j4zBi@~=&GUiU-0j!q z>iyPc16pKja~*4A>hed_rEOAOCi->x(3+=T+&t&(t3nea-Xp5eBPSHw;RW+N?pNr7 z{Z{BaQz2~1yqmf8#k@@kMZAa1S^jaJs^dJ zLKwSvGoEEDe7nI4#JOmCkX>iaukn4#ZfmaIadWNTm$@cHI7-ZHyfe^$JA89(+^@O# z#ewI#bnMs@=DOCH>y3c9?7s8Cgst|TaR2$f6Fqwe-oB*$@>OPIg|I)tU<1+jJcW(+ zZMVq`dX6*BOYc-{8~G(BF*PIJdzdikk*;RtHv8_lSx5Mz@Z>NXYiGn)KczouevXKE zugRj<1qR?7zD2+P>Hg;D&9Z2gw}~fG4Zy^gTaCYTe538o?+afbJ?7u}ICI&rv}RZF z5kj#o_2(4F$>x)=`!ZjdM*7V-JJ5_j@2eRjBi;vU2KIOHdGwLrj9vR}#`btK&JQ#r z@s&Ec8Gl21813TL&3M-|W6z0!;l3^2jA4E=E(tW_Py1@du!#4$nz1R+41966tL1z9 zZN}sAW?UR-M&gTnax*?gdX#oW>t_7PG~=(qX56-SGp-FZRBtTa>#R9*387~?bj@7 zMybndTNYK$nKpA=)jpFJZECJx*@*me z>zZn-mzp{_)0(F>9X+$U`Ka2ZP=>^V%NMoSGHkLbwJmd2H#XKcE=OphedAWFSXtvN z!3T4iIvu>^$l4lQ!($2c4Yf^^S2xx`LK~WETdAC1UEeZkO})Y<9aG!bGMOz#;$_H# zdep2qzPY~KF*dgCwU(SsLn7HxwM~t+D_T`(R_(D!U%#>m7GAWZy15pyRV~#mtEm=s zK))>Fdu3Z?LgU7>301WIiuz_$>Db2FrbR8rLdkuK)U(*tt9>(p!>HYKhRq%@5t3J- z$I-2fcUCvoE?r~{hOS+?8r`Cvom1OvFD*CKHsd0wvtniA@|gK()rlA+crTCb@M)D* zbC8__AwJ@!HNv7bD;pZn$JH$@O-Ra$mJLM>F*IAHwY?YYPgHh-D#c<FyS%PD=^xV5+U27MH6Nm<}|ld*BrHI8Hc{ZJk}KT%}uQ; zXr|8+beAd44psDS0|u(IXuRo0GurmiMTrjeNBXqJ>YA4NV^Am4xn?%3SXs?sYsdK9 z+NOs3#_AR`l1*W3FW+V}W!Ajdgt7x-Wu?XC#bxHId|6rP;8?$=+7-1JWc_1<8fsRp z9)-)<=;J{RrI1_dRyQmGt5?-D4>ApfunYyZ48qm>QT)k(u}w8~qi|jSn4!&s8e)T% zFU8LY%LbLl1|2*&HfR~=K?`Qp+#j_gHtg|YT9vrOSfuEctlJX%`K61)1DIxMYjsdk#p5ce(VFBKel zoEqqD%s{8%=tVYh(m3R#O&7A>i7X-?G4&X7`vE9r5L7TrLBSet`V zi*I-o-Q?KC9*eb>gIX?u>XT&Ss|v{zB-pVl>Ko9Orc!>C@uM7N+jh?})l1eHw_hSz zb8XWxX87BDW)k_Q1a@RgJ!UP&8b(JQ#(J#P(iAk=w53RGRTJn2&&*XC z;dY&-k#$0thQ+)i-i7@Lap9wsC3IA$!eZyQtc& z#=eGxZ^pgPJuqitUoca<8jWyY-0Qqhxe{h&H{{IQ))2$^RXFE^2OmN5@%v zP^;h3`|tleZtsu5D}aB*lcUyVq_;Eo%kYO}9=xZ4_s{qT?``;ZWZu)odu{xK_jZlX zh;9hse$Zg}T!fF?M|jJA!nXv%`@!!Yyr*egM&2or4dGK$PV;_-awcc=+t~K>)H9-I z;yG=3enN0M+_;SN4c@7&P3v}1XRw{+kc0J&K}LP4|6dB{J!ok6Ug>$S*S_>r>?i%d z8_s)M_Em0Spxki-Ayo4Q3&$;hH$1dz&`c+i-ezX1+aV>#&2>BB2aC>b7dPMBV1Oj? zgLd+Bxyb_g6EZg}7$q7z4o8GqEmaTqc;875*q+!4__eOS*5 zu=n7Ec*jKG@Nm~e>+}(G-xu|BT!)hGWb>RhN$J}-HAt68j{y}pJluKKT7T48tMr@) zp;mXM(LSk3=~JBxl%De>#76=K4$nzTN}uN3hIrOzE?fxlzX3Vc?BPG$%SxWpE-8OI z=V?SSe+yg)@xjAG>elUP@B9e~n0^af2(c`0Gn_qIr}mtzr2JXVmq^I`_HPWbO#a&# zV2&)`W9T{AN%^yr;&YPXbCTk7lj3ue;yWhAcQp0s7Ek5h$z=mPtRAgfn3t43xVo@^ zyCkLWV$#ou>-XtIeezBE(s=s(xX-4KC8dugrH>`0 z@0paoXHxo}N$Crd(ifWa74cMDbrOe%I}}^jzmG{Dizn$5_tE3U@AS37o|)~5B%Z^C zEOB_|xdlPFBq6}(Ib})m;3RoSlALG>BRuB-CpA8H?V}93CCQ~p@}wkrL6W>AN#@^T z_(vu@{2LMfpnOh}ye&z-9x{V?uIqsLbiZVt-#XyTwL>z`ZylUm=RL_WBX@Lmx03Vl zYGtdh(!_Uhx=3DV*8$^oZYw$FG_;a?I_o9#yww4BVqYYgBc}uJ zXl=boE8P1EkH5S7NBjJJ#F_7XRlFLuk4SrgXde;&?!46{oZ!AKIgj067cSka6#ES) z=_-M~0w*hpUn#I#NdGIo{X&-5RmLwgChs%@3H@(;CWv3l?AFufh<|wt?Ac8{O6KgX|mCU)HB3SA&Aci}dDQlQZu{IX-4n$YC;ZZM6nDUnKQ&?~{d2pe*`1~)oJz~?6&x85Z-6pc8BN6&r_k!_8#`OOYEOBhVUoDr3`N?V#{FUOnaYs2&d>6pWQzPFGs@#GA$K;)~+j;x6$=F#}&aSZ;TbZ{MTLmt2w4#l_+&;(6lF#oNS(#ovnWiJdUP zsIQMWM4TvAiA%(z#goMg#cRd8#K*-K#ka-J#2l;*EVq|fE{+o`#YN&u@u%X2BHtcM zJ$H$Zi!X{FiD^-ru8Y`T941Z?4-sp{)#9n*1>!B@i{d-t=VFMDW=Fj`h`q$&;&hQO zyJxy1MZVUT@&)3x;v*v8smA#4#5Am-l)H)p#S!8`;^E>k;%VZwA|L!tJw@V3afY~1 zTp@lT@+CXWmo4@ZM~kz>Bg97WL~)aNrFg6Og7~KRsraMVzMU<%hgc$x5swy66gP>N zkrVMk4e@Gnn&bRJ@%Kr7P<%|`zm@!=_&NzaZ;=P#Eh&<}lKg|%27jPN{b^z+68XB3 z$TvuGxj0lDPa@xBakj$wDmUimYu(7@ia$y`nVg9~l_tmGMGxYIir=RATO{8m-mUOQ zCI4FdEeSopCsE(`B!4XVGx1vz_5DF?i!Wu&*PcYanB?AKe{l$jd#eXdRNTQwTxR}Tnx$(_zB;WEz{rM!~2S_d!2P=Gxbu$qizY!cUaEUgZ1YsDCqwcKlrOb&_ut zx09&f{o<1(@;#^cKT3W_{6PGiM82;?7hkBDFG8ZcP9);HiiKi7aS(}gLnRLvCx}zT zN)meKkx0Kx;YW(iBA@8N^45|_cdF#m#q&t$xk$WByjk(T6!}^?rh7B_39vY6?=#yNsNbSWI0}FF3wi`;fh}_`6zLf!q-Y(C!VVCb0uFO zUP(g#)g; ze-!^BekOh?hB~1<*drpg7qi7u68%1kEWz(n;v~f%toXwvFA|q3e3j%D@dOfj)|0T~ z`I0Y|yiL53M7@3?-bW(eLyCVv@=M}Q@jVjxJ`%rB_#W|lF*VPoYbW+1(aut`2-h#f zVTvEG_*s(Yi1QV`Ok6FVtoT#KO(gW5Pomw|NWM|>?c%RU)SoZYBcCCW?*+xbCHZ~v zWARH8>Aw+Ea6yInQpI*+7ZU06#lGS|u|gasR+F$xi+Hklsd$5Uzxb5+w)m--feTaA z(^c#*P8H{gHR5{l9PtYA0r6?^74a+4>uSr%5=V;D#6!fT;yL2wBHt; zcjBug&Q*UD-zHI?FU4=fA4t?AwTF%83k8|31IczsE)WNh&|5-cK8{iN1d%WCWB6>z z^TZ>>CE`&e^sEwF#0`qyD4wVAi^R*s>&08d+r(dqFNhzIXvZEgC1&MJF<&edM~YL$ zL&Q3s6Ymor6dxmzZinQT#6OB}i=UFH z-)<7=!@aHER561@cqhqS#X_;4SVlt6P;t08UGcNT1q%O(SS>b)P2w@)I`IPWb`op+ z-Qs;D`(J!kd`a9XzAe5l{*8p5FG#d6rH|Evmtz`z?MQ^jNTlm6d8p!tixb2t;tUe` z=1D$OTtY(6a`7nfr;0yGJYC_N#Ph@}#jC~Z#oNWl#aF~n#ZX^cZYGI2o+IXwuv;H- zfH+t@KpZPh6jzhbbG&$>xJmKni5DsSTJa|FR`DScxz=+{q;Bb%??b6BjAGPVx$IwRoJko`jyCiD!zJDSoSXqrz_$?+_mn9~Yk#{~*35 z{z?3loQ8QXelPL|d4{Ko?Zl2G>ep55A(kk9usBNL;pt+o*jel;_7O|O!Qwa)Whe(Vvd+E_7wYzgTw>G5h5(?@OP^eFs73;*GiYJMi#Vz9X;?3e6;yvP1Bno{_d`a9Xek=YUhFzPU_w+HJ zxz7X4k!L0Lw#a+`Sk6MRK|ESqFPi5#C&{E!^sIG>1Lh+m7@nD~tEB<71f#s1|tGr{Wjlx8e^X9w27gpDJdGIbv6_hgdES z6-SBV#7c4)?%fv`isrr`gs+vnPW+j8rfBXLLb?kjUm=?NhY)^)Sg! zP2zpz5XX5?{I&SB_`dkDxJ%qE{vhHpfkscN*iP&ub`^VyeZ!pufH3j-t6Q3*i-# z&3##r$4H(a&J<^h3&e%uDzQb}D4r#rFJ2_xB;G1+7w;E$h%bq+i*Jg%#NA>zRsAY< z6JuguaiBPg#P4?F#A)J8v0iKtTf{ZuN#ZHu8R90<+(!oemq^|wUL)QoJ}5pRJ|(^= zzASz${#D#9?h)Izwe86edx*WnVd6+}f;dH-EzT2<6dT3W;&I|Saf5i7xK+GPyh*%W zyjy%gd_?@c_=@v zisy?LiC2nOiMNQiiQC2d#TUfii|>mci{FYrh*{X6vp%_EH!&ublSR0WCyo}!i-(Cv zh_zz9*eo6^o+z#tFBC5oZxL@39~FNszAU~bej=Lt2a8bGcDB9|v7MMD4kU4|GWQdL zLnRLvCyGrc%%n|d%5)xy5usB>CElv{6{fJ0cDS57V zl(7hf0O z6h9En{fbDpTk;<9N73Vbe2DKV_7MAs1H=k(n0T;QB_1XoA=Zg2#AfkW(cGtqa?g=` zwRpW~?o&kk9g=@7J}tf|zASzsekz*#6OsR0$!S2JlSFeLBEl;r&lQ)8M~O}1 zG2-ds+2R(_+#4kiQ=i^>EdQ_i+H7Ym1yoigno1XA-G-P_lu8- zzY%wc=6*z^e_isM;+Nt#A|F1&cBhEBVrOx#{vh(4N4uE&H^E%V-NcyKR~#q~77q~T ziVMU=VvTsD*eI?Rj}zC48^kk3bH6C+b)n=-#rwnu#V5q4#2w;G;v3>y;z#0N#NFZ^ zk$;G7#*3IHwio-0gG6&*D(ZQlWOIKi-TRg{+{b{4yf#bUWQMKt%zB43r{`Qi$3mAFP+ zE1n|$Ox!A7E#55tQoKj}mH4>$r1*~bf%rG^bMYJT@1nU67xj+fT+DX27qi7KV!l`| z4i!g<khUB$kTi{#?Y*kX$9s7puiuafN8^(?z~BBySQg5HAt060Z|~A>J-N zE1LUrq3>nMuZi!AAB(%h-C{<}wy%TOS?n(M6$gst;!tshSSijI4;POXSBq=Kb>fBM zrQ*-UYsLG;hsEEB&xr4fAB(%h-QsuRk7BgIwl`huCH57IMRVUU?0KN%QQ|~#s(7gQ z6VcpH+z;n>%HT=jDdHL8Ch-FC67eSSR`Fr+aq%T_r}!y30Qa4U=DuRc=DuPuiZRUi zbkW>j47ro!fntd`R-7nC9OR#Z?*k;RKVsWtuCKwI<~kReXs-X1lDHNyjKp`Vu_X4G zQ%RgVDoM<-1tfGYCSl(?5=vH)sMi`2*Hzb%CHT8UvfMt;i@%dyOc{l2BS+Y`G4uEM z+bEC2-{F!I@j;>!=nPFx|H_99)2u~l=z&uQ+z{w zOMFlKNc@Yqhs1dQUUWiMP7(RcE5@gbd15y)CKih3J{Y7o_rZX}6wYU1QO{U$qBvEY zAubRXii^di;wo|&#C$EE#htBUE;ms1L7m%6XFhX1je_x zQ+z{wOMFk!=nPFx|b5?jPI;#zT?xIx@Zj>9t(#f!zu#ckp>;%(wx;=SSn;#1;t;tug8 zai{o(_?GydxQoPMx?9{MelKz%XMBp7DdvcIVmGlLiSt#FSV~4=f6?6kgWq39QU=G8 z_#I=SIF-ctY=&4#=3spn7m!%@7mAC?u8y-*tRt~LuMk&}JuyE-bN>*|e`_g&>qxAZ z8^nzy&WGlCKj3D{STDDT7n4}OE*H0vT(86%NX+Y7#M?;B&%4BXNsP+}#79Vs&nLvE zNQ{r?#2w^B%qQ^$u1m15xgQU9UCem`JKj#hj(7!)vEN)0cFSWv*sBxr&`zgfV?q0v z`#xZoui8R}9n9~{u*39p$Y{5DUJKgY#NQp!Uh^Cjv~y5<$Y`H=UIp595c-Pk>Cgd0 zJ622Vu9f6mQOZXtX)cA>N$lI4%_b2nl<>B-xzD#yO5TPa*v- zhSONZ&BrygR4)Nrnk?&lAKt~#;uM=_i5D-yX}Cx z?lVSJGKNoTQ&Me$&lfZW1#FRco?yJQ_8FUOfS}HQP#lyd`gos;ks{E?`%9ue-e2_I zeVk}l(DqAfm#kiHAEjPw{nbmB@I6DOXKV;xyAu%c4xz^7wHV26I* zw;6IbIREg={T%{=_2c?I(oY2X>7Iulx2ic~;doqprv0vrCDnv89qslNTu|Rfkmthr zhp+E*1O)Zn0DWvP_2Kkt%B8-JP!`lT5<&~Y{lnMS0pUS?j|A$6Q)*n_kR*M#LEhpk zQ#y)eN&0p`Un#;_Kb(@|`VL9bHxUEwUWECFU%xp?`uK&HV}bf`nvLsQm86gR!Wq6Y zrK5O8Qo5-qsNX))U7M7S`?HFDq8Ss^Bz^B8qS9!vAHKe?lk_bJ=)+GQaebZ8Pe__rH?UV) zxDS2&`-@e^?itC%3r0^P`+myfV3ew!^x4CY`%6-}by%<$tK5M|>X-XtlD;j6;a&(|8R+Z#E=k{0 zFW75@>=QQI*Vh5-iJymR79NhjY28OVGLSB4=XE4#sq}HJ&>4&`eM8+mKi9CjPRwaLA#-fbcz1^r%Y3j?|LZUK= zx!8^E`tXs-yYBwYk2)J8LE{nS6NN7kO2SJJcdgSqfPGR)G=-`yMy3}EXAJBeBwsYqAJ-_pM9$I(qgtpGOx-+_rU+ffxcXX|D zW4#tTZ?Uy*Y~kYXpl2i2Roi_j?g21X`cO#U!?O-9c!W~Id|jBA(&i}G*o%gLW01lV z4&MIT!ywA-ju#4lV$kMKP~fG6+afOIa0G=8?u_X{9nTTbHvHBbPVwC6Yw)DF)KQvK z$2d1s3MmqG^HYBVxy?XGZjW^AYMf9nrwxaq8uSb8|6!_EJTxPp9%Vk0ylPw(gT|}oh;EiVP zt^L*`um~;&*N%&Vd+T6^oeGEP?PRRNw+Q!_9hv7o$ak7B$Gxp1|B7-qq`$zm$6!HN z7lxI?^@GbCga)yVAsWMdxJ}kU2;jAXCb+CI5V)b*2-krrvgae@=aBdq@a!50+#fv( zha)v56Q0x*+p^2xck44;R+PskxR)8mzr?dGtM9`|_F4$8oiTlV1x>-L&-_cxb{`lm z6Bu?86qHBfXC(9 z>t2?2p0DYCMBfKzH2n^q7vMTDl~MN*ByJ0hy0%amg_FKDR8`53E8D%}>*L=F@;Tu~ z-+}NP0M~)3jJ{crX22PJtYS4BuI#b38>xyP>~8m}G}F>Gh&Tq$=;H?PEI6ZzfBpAM z3RLq4xZlHZUfjZ7nVgsxLlOEB;@rOYu%lczGHRKU z$vpf!y<_3*=$UH9$|lHX!5PcqnwmN3IPPKX-+;C9*hi+u*CNT)a2=4s>C72ms{1Ph z-3zCdGr!9Z<2f|dwEP9cKM!a0@K5>Pg)=Sx7M?v6*zznaAMMQ&lA7`xsOWMqD_)WB za`bwukfN(u3M$IhBevU{CUy*)%Et&e?hrG4yPIWTsA-y$|0pAhyBmXfHhXaivm-dm z?VXXG=4Euvm>0=%=eU`uNT|?BOB8CbG9I$p-`v=M0srcJ|@)Np$w!g87I zrlr|X7olz11rqTOvZC3pmk~^XznVxh3flH@s3)!19h2@W?wdX>JIn3hj*VP2KArl~ z!&tNZLPL@5<59hK2#Z%lVHp*Br)!U}DO~?v6W!cix zA6m*RZkR&J$lG@R>H5@X?P z1byS-5J6D6jesZVFy~EYHqi&pbB>N~rN_@Rd#j%zVmuf$CZX%hW-?YGg38Pu)@|!p z_?gX@;WY;)fjKxW`3>83s)&5!okMWZKlK|4{cP@KqJ(ANQGi?!CEz5E7CL2?V%VfUt$IiYyWqQ3N$0B3hJ$ z5J(h4NCKjw;=a^cwc28<6_-}4ty)`mEx1+GRztc`*x*$YZE(h0M69*D)r1|S({AtK8EmflbBPi-G~w!N zxI-Om_PN(^&z?ZMxdgSj0PF;tkCTesdg8zF<`GSHcOeldn`f1|@+^eE-HiSE!FkS2 zdh%L<_7qowFoB3#4DZk zUbF-~kV!YdA(Xh#?#?AxHs%>@u1`V24xg&fc((7SSn(ul#WIB09$gyalxp_+4xOJB zaRpw`QQ!sew3{)EIs`7Yvvv5UbT*tbl96p^V=B6o`lp<)I*bxBhancTjI#cyK6dTa z5rUo+c(PM70eg9-*AkeFB6Xx#5t7>s1L#tUYs?CGu;%GC3w%>b&6)+?wq{I4m!i%y zd+{{3vz~^SQE#=Exu_g*G569ohx|?Pm7sX|H%LLWH!!BjNe*V?Tig z!bR!mF04ur#deb*Qc8}VVJf;*Pl#bSj`QR=w;uyKZP}1v7=i;8jzEI(Q%4<$!B&7B z$F7F}!e!TrJ-3ByNAILG!*(}%`d(`+Y3t1H?1gkG1;|eE?67r45HroJ zN#B(8k)&r@UeUdK4@2g55V5v{fQ{wkcCmvU=Cx~UJ`h4soWP$}DT1R(=*r=!JJ^RRby`l? ztDCS0>mV<0sa=^^*0`d+HBr89{J6o3mN%_Q)MC}%`e4=GwqVuXMI$Q{X{+`wLcHNk ztD5SEb8*&1jZJkc)-0`Gw6eCYf$z2c$1-R=3H>eQDNY^wkGFVf{j%CME7}%w>FL&r z1~w~JLB@LWZ4h|siA?e$wypigJQMNr@_I%lMg5aaBsykcA~Mzsg-s%oH7a8K9*M{t zh`xV(BH~BK`HPG{z(lg6dHo?4N4iIQMq>WrM5LQvoQPx>!Lu~d&;OQH8qdp*nyBB; zz~`7$pZ+G27lj8DIF5*PgIp3ViTL@EE>VNCnK6-BK_TCAh0M!~M`lI+lTggX_=Oq@ zSrHilgevU6$N+-H9F!l4Mg1xhDe|{?C|06E<+|r|6(iC+>i-s6`}Rli?>n7|N~5TA zB468&o@ba%Y zJ&}m?_FqMKHx{rM*1QsFlIoELWk*}ROQU7^vnSbl3{4>7_Z1QL^>;!;zmiC|eo*=& zTjgT34>a1s^h5n}ZT(Oh`WD+Zars@AnxBjnGR$s5&_=(2;O9j$^CEscG9lV65*-k< zkslrFe|egLHS+zhSwrq)9iZT zxR+eqP+z-rahtcMwSMV0*0gVS>$TS|UE1O;!5i)qJg(|JedK=oUa&hcd}Uqpn#pym zTJVX%@RgO2+ZxuaTmsfM*R>9}@3SE+Td}IPZ8!#w$*rrFwK24%u3<8Ul(pkphp$Ww zU%vFj+LmR*Mo*I`mT)E1()Cb? zN7TXMk;xT2?S~x=t5(#znsjKR?&if!_#ngE=sbC^m>I#FNF-#Is1GyIAsNA|LTH z-%Vt9eB&s8emlba?-d^uA0`p+DarO5Qp9^+^2_1}B=Y+Qi7w3dH6$dmWZ;O8yKPRF0E75*i3z_e%S>K*wf3Z{?Ci2}eYSKkSOmWh1ZC7?nlJ4b3cMDig${_PZ!S@`A&uT{D6est4Q_>`QI+yD?TVbOtN1{ zenzz4j6>hclI>iO(EBcB@O_cr0x@6S&XJj7H_@)O3jf}cOGVx{G5!b=?LCpi5Nqdz z1o?J`;S0q@Vl9dI_S^;P4R8)UY*GIuhh3ryM7e5s3 z8(H{gYrKhzgF-Oc7`-pbDMSQ+rroKty zbTJrT7f3!vtQGm43e&9+n?*a0!v7MRLD0^b z3)=AzwBsSjSK3VfoX8j2l;0IU5kD9Cj+^1xqMiE|a;ap#(q{N%kuS6<&lCAdmhy6u z@2@G_xo<(f!lrz=_#^Qe(avd$@Vg~%5g!%#qMPYo5cy)8=Yw~}kHy_0-*Gd%hgc}~ z70bkO(avRy_|qjHA|58%Ic^bd=ePyy2`v1?`-+Am5WS|I0+a5U2bzk#E8& z|3>6nZ_3Y#eDO`$&S4Ak-8W@BcP+@*-<0Dbg6(f`?D+-$1(Xq%(6|iP_-Jm+m}t*S zDe?JiHkl6T%OsbJ_PhoE@sjzLkMXO-8KOO3!QY;*z=iVXOAy9yATbWF5Szs|aRZ5R zoh_bEBHcw|=kr-H$6>_(t;XZyd0aw&KI7w-%i06sw)~JQrN@>NvMnd#|L4ESN%?8W z-hWH7kKd7W{HWvq&p(>@zx104_Q_ylKj$_L4qbwG#dc$VW8Vq3Al}jNNR77#^1(qQ zFo=f->NZ{w#QQ{kniFi)!EF^ByHgb$w=K9~u0p(C*he?E`eNYea6uaEdhIAEuQ`G( z*u4}U!9K${XENub4z?idJMc)&*XxgKZRljad~(5hFyBpZK^p9O?YMU4F%fJ**j4aI z)z>`0^Ahl*zAU&v->z{Z;!x z&zw#>h}YhH z(8de)?BZ9rTW0RQv+wMDZ(2V-`TOCtfzO{mt?XHESYi97hSCPFJh%PKjG}F?HFO_R z_<~oQclh)guk_QBvub+G4mF?AeC`>KopJxNZaZFU@P=e=EAlp$c0GLeLa#j5{`F^H zeT@%3w|R-(50-kS__bXdN=->l@$Q8T`2hJMJh`3KfUkas=Cr@}QO=nycb#>CH?(WJ znRwP2cmcX?$z4`giC0YKJm?MC1HUhJ@jKySTg!gi&T8<6X4pK9;_*x1K-)tXTsbZJ zf*B2c32(@UNd5jUr`bdD$`oea8i_M?2?0evwj;o zSt5))KeqM%z}EloX?X{_^Ps!G)m@a-%a-cmS_)r#TRSAoj+m>%5MTN2wpu^gwdAh9 z*<8??*nC&JGd;v(`*}lR?cUJL_TK9A8y;kzr#(JL&;Kl~Oda;}ZC+8-irUKJ z%m|xbVo=EXHAavFF+voiju430@g4aOAmKam5fLJMZWIH{Ec}ZM?lqSoCOQDg!(50U zGPGc+^@?4F^9sH%=PC=~Gm%4N|3W^+^+Or0^y0`GIkezXdW9pskTm>rq=+0|c!TxI z7|issjQLC&j)w1pZ-&A5=c_TM#U6kZ4L^eaVSeBl&F^s__qhZ}v>=aHmzgipgV)>9 zviuhAPlUqgu)K4)zk`V?^EYz;5L7!nAiEE|@GW~Sq%M*0V1#5Gn|&NSyEf4-U3){7 z>1uDRy6%sCzw39IFzc5HJiQxl9PrNNbd+i-(qtR{+OGQ@2=l@{u$ys14s`{Zf1CXq zNL_otpkCHo=s7*>I{ZH^Yci^PY8D^+tk0^&|5LJ_g=tRi_6`he^@p#awrBkHKzI$R zl<|tqCMPE&ZZeCJobxAp89hz$RuEs(=PpAo8NE!AeHc?3&OL_V1*RWM7b=bA&cKyG zMxp8F!n3>{B`8WpZ{zc+M~o`-u7-*kvE?}%5t84y)QdHg(Z0|g--$BB8jGE1;=FQ> z9WOBy=L3k?2_-Jn=akM~Y(K0qshgwmP_$I1ql9(001$B<6nAxgb7-s#=O&9g5-yQf%$_G}44h6Y)z~leR)97RSdR!`PZWu2d!QXPMF3 zLMN8SA46NlPL#RI;^#4=b-kVD^7#8yby86^d_$$f;+4$k~eVjNc{u!%#T3;ttq34XIsaK=OMX@_`n1MC*T}e~lMdf!Fq?!7j zbW`7(ZtDAzrhb8$-QVXy6oICGmbG}Gw-dACe7+icP?gGw^Raa7Hxl#XN3-0UrMVz} z9K|g~&sbH3DEE&MJ$ws&&-7V`p0U^^nHNH3d?vHGw1fu(`bvIn%W^MvS*bG?ehcyn zd&l?uC5W0=3qvMi-_PNr1zYbQB#gcDSt!E-mSGHQb(_x* z?0ZqqE7&REg=C0jdOWiR^|EE!nqHWUrVq2>*+1VrBHb<%txECxBQnO=S@df%!>Uz=b@kk@1>XE{qz!ikSu{6 zu0Bkbz;@b?N~k7O8g`xbV~H{JfnyL5p2vRwiO>C>V`95A&w*^K{dsz(U!-UHWinIS z5BDT9wPW)?(yRSddbPg}s+~KTP2vUTjI!J+TEQ3%X65)s33ABvWuY)k=5i6Am~Z+y zxe|4F9Odp&$9^0(K2LHvS+OoAlTT?1d4}m~f@;_CPm$708oBle{=DtWf%nIaK?pltohnR#0 zST83Ln`8VRCsiG4iYX-9@i3Ecaya+*=vuMEgYcNMSheZn!n1Pu#w#{A2+wgAoM-yF z@O)>%BZBw^xjj*z*nCsy!i!Khj;uL1#Cn;`YP@01<*Tb$LC4kX&zPVvc{t?q)m|)N zBHh*J=OKBl*!2Aj@j|6Ju8BvwV=Gje?<%mLiSPglmCne0l@&U`M6R{+{9Hr%Kzt$z z6NgG`3i0We7n^M&TdmwyxU#v?iyeuNQehy!^lXE73Owo-82<+N^lqlG(B!l1#`G~< z^~=0(vJ5Zyxs^G1W^pzy^lH&k2p;hyLdy}7fb07#n#+7(>^;I#cr1bIH>NlKV+-$q zLCnV{<3r@ozfQiM-d7(pC`Utk%&lrV3Y zF1TAmIJPlxTj9(Fc&zBE{D!OY$MAg%t}j0{F*{8blT^VS0GF(?zk#8GFj!w z`KmH$t9&F99RY{URvGPqM};nJL4V|Jt4v>h%j6yis>;VB89(Ad%AI(ImX9672@=g) zrrQFfEZYs=^AO=wxCBFrBY4Qx4vFs=V5!Nd|3K`7_-`1Tc^j7EVh(wT%}L${EOM4= zOj_zoBsG6Z=^QmkHQAPUoueMUbKzX)c;0o6cj3WLU6PgxzeSBNnT+mu z>X)=sl=m#`9Hh0B&wc=ht)p|qpb2RUxS-I!I|rW<@N*fY+<<#B+c^?U`Lf9#fl`-o z{SD5cFcdDqkm617xf{}raK*oXFaRCA6t3SgJclfTDswP3{Mcl0v7a)|>^~jB`@dL4 zvqh^1Tu<0T;6xbOJekt4ix8V1i)QD#*Um2^ z{6)BqcCKcmr%lFZuvbSr^K%HcGilrT10;G64qHb%H@mb2BhhyIZs#bD;xHUi-XF9x zf5mQ|G1(cYMA=L5?T-k2ms<8T1ilnK7%stR#c#o9JETY9hJ6BI_|<5)1oWBPspc$` zQFa@A4#Byr3NAt4;``xq1*Gr76?27#N3p{dFKt6Jwa`@5)u*0P=2fWPI ztsd}KV(x%X3ES2@UzrC@H?tKwxFz7YNT!*JVZ7UV9H{dGbC|+6>tjps3ELOJR?QaXDZ#6-N8U=LWSGyf(WZn?M@k4CDJ6InCsXN) zAWt5BHYI#IQbOoRDZ#6FpIzsKASFAjO$i^S99cWchT4R-ulMd zr#=^T_5>UCXj}@JL+$16{w?^ui83Pz8~AhhW<(R6U*6^(M6A zU-*my(;bpOVGyhuK1EW6eUbB=>2Eui&wG%{L(%d+E@f#`ui^wsWkCd9e7Oh%6=C8X z=-K}3eT+Zx5SY_{9($A6zqw&#ur0n7$?SFaS;+hpID5&x89R5wd6U^AxSb965q!)> ze11~KWvTk0N_lW)AsBE8cIHvwLRDOB_c6F(y&()6$bX~ouRnF+bG?h^9h zteQ6LETg~-wqfT9xC9dvQ&)G~jYZ&!sdGMd4x>QbXJO}bxC4$s+%8BlxD7i6*coEx znyMj~J!B+2yvgjQ+{VCNiv;H9xTY;T6uyr`dI)aV(GaGvGogV!H^)v}W;LVnQtC5A z`3NpS!`MryLfkOL;q0ZEK!Bd$I(zUCc#eT5 zZBj{_P`@{s0~fbyxSNsKywMkx9SGkSAw3CKJQ@OT(PqLGS3!6bI}cMh6v7MG`4ik! zYGTgz-yxvEc*iwUS|8oB~W2L$hZsn*j`qK5xE~+f&sRd9RcZ3INQrMU}rs?tvnU@{D%zL%Pxiz zTlwn{V-uXKJVV3$N1wD_b~`=T%cihKRg|{!-_E=~tPtyPHxk_mXKVKic76|+V60)R zm95Pnj-*T-;lF8c=8Me1>;=;j)|4S0lipw&zgj-AI@potcWz7JUV~o4o5QjP;hTkv z^AKF|lMohShj(_xe}Zroc76yqm5C2yYX0NV&vW@Lfr4Km%58ANs9+cq4qk{j$0h7R zU<<^4Xd8Sp5~0EAX%8Q^_w&|oZYc8~?r^E9K;#t&c*jM?5oBw4GQ4n9S%uS#8I6&W zF7)SakoW<&{fK*e9;B@?Jg9*SJ(CM*Cd^J3x+@MTfq|Va^czZiFi97>lTr`pqYG`O z^cMW-LhaOYBlhS*4>D{d&OCIX=kmP&eiEf%PNa;uHwe8f=B7r<9BXGt!u)Utbmg2$ z9n&mzE%*HFA`Jgob_YXcH@kzAQFh!6vQsB@kJ!{XnxHANznxbIi;>&Oh9J($;{;7T zG_8~Mz}cqrd`u3F4inc`-U<3+TC>6;(duvK1>oFy3 z5~|!MISmv%zcCF|k)}Vg2{ctx-&7^?>zABv$xiPSIm*_#f4Z-o^a-;paiXWTmaKdAg&c0BJBPV6fr~y_7EHkikROCcM4C;${p6sMksfx>!z8zCh4Zh$^gWkkh zp@v*|rtv~uE}aI&L({4ck6~`+sNu;BgX-dvMRP&PjHoA+;e(fsR>w6=s_D>=5y~dE z*2M)?=h>vFVYD=8_OCJ0AaQJZ5>~USi>B}zmzq-Tv|nm+`HfH2;flRKq9ywtF6Am* zEs&|3C=1W$QoRyrm`N3|URwT>lRm+uVh6y=p0o0lbPKA%s)A-<&8H@#sP)vVrd4?C zy60782eWr!*y4tf2+qyARp$0F6n%5!Nf7g~5F8%e{8`+?<)oL7Q`^Sdj!GZ9liwBrj*y%6fSBtg4|}9D;;L6kr(!PmZeMa#GtM%AG8;D zu1E=p$fg8fv9SBk%fV|DxBZuj&=pK4LpYlp5oAE7c9H1@~(mQ^t?m%2k&euPBaJD4Sm;jU&DSo3J#X90%p41 zf7(#1sREf9OU2ygX`f^Uj03pMBtW_p zrR?mTdLVmYdhOu>O>}O6T;%q7c>mLF3_@WMVmzEv%Dt5S&eFR8?i~V$6vV&T-(^OE z#Y!)@u?ndPO7Y)q|H-I}6g}X^!C~?&mff}OTqz*m|Z6p>(MSg6Zgga}i`W9vvOtwp+4mrgt*NOg%ahR^I#B zpeMpmaiRduDdJvl7eFN7I_D7hr&lA2m~<&;v>R z47eDJTfR@N|SZ8RT1L3B-I^esy-%}y1Ju0)ot*l@z8Ja-)*)CfvM2qK}rtC zLDIDA5+q}u&d5h}Sj~$2@r2jXF4VQwQr4C`liqse>-%R09JUCQ2zRZ!lb8v7@a791NFI zY=(raa~EPX`aiqFWBfQAR1nnYa^c=M7eE}44B#Hmct{bP_*{zMk_-WJ5YC*_3!FL} zA<%CBTY0;&5znPljpb%FO~5m)MQp*GE&ekLC?OjF4|zISkk=f}9<(6)a7wcodk0Gk zruG6TVXtILcAp?!T4C*t=)m-ZkXQyd*9mIee(KSHuGx7jg9nO4RNCG71UtPeHurd; zg*XJ;7b70Q4i8^~qb+=ih0d3#vAgr}e=pl0_+bzrg3RV#!@VjOKrk1UV(vBEtAR_o zs;0TqtHYt-f3G?=bHhWppDkE53!h>swi|4M|8{dl&B4B_`Mi$0&ZFIG;8J=4>XtHy zR9?d_NsVa(}6*qvcrUrQDW3Zb#1%Pe*KEahId9`Hkh6Fk&WIpQ!l z*HyU3K^*}El_5I*+bw+@`0sSNt7MInK?bfUuKy)#xE`UbAH=QE&|j&WY1m<_;DRm4pJ@*WJi)3I7uY8yIJq0b9&bH@ ztqXPnmmPTSh5ys(*ye!?>dg}wd+?%^@+7cN+t4n74Hz%jf_9k(k5sz`;Q{~M`UGVn znJ*pNt_qF^QLwRH7*++x^2~!<02gd5OW;30@Z1Z-@Jl@-us;MYCnY}nZQv5vFM%5f zHw=#bZC~5JTW_ZCAtgPCaL#9oxohi3Oh5F9Sw}1$>o{UMrWhD8%T1E~KQ}q{|H|y!$ys2r z6Vxc_7kJqDIy)v;u(Nb?zJ(QyOE4oh%v!gywXt>a^13>R=mZSItikn*>sGq(Z%pZp z^Fhay-sqN2!K&6#h>xdMRIrLue@D@Jkwbz>nx8eCVmTc0|8bhZPqfa3$jPSrcy=!B zvC(Oqf16Wsn_|qEd~zZY!qvEm92}(oMM&wdx9N8h;;P_+BvWXM2e#M#eGX71F?t6p6#sGMTo;$yz>y~=DBu6 zEXeysOYlD<>d#GBZI~Uq+aZyj@QY2dS-1(y{rn1At^!s%lv8g%hWF?RCOdW#?Kf#s z2*0E=D0_s5wCDfLqv7J#+S7|@Fi!BD>)*wRwe!P%q`&_&pS$@ZqG*|@zkR)l!@G}v z2Q8G3#(Mg+L?j30zy#r}Jc~s7y)@hP2pj$CAo@F}B@hGCeh)@ zem?6sA~I<)4*hV;y}ZgTy!$@www)Vf##u4fNkZnQS=Xb)(nOk%$dpLchw+UhhXoT8njIkBa)O z9fyoPirsPp#?Qhif&O+3j$FTp2aCb#2U$2sFwYorSrN4Ft?YYwk+Jz4Jj0O!f9t9A zz(LaAzX=g>*uoMkqW*ctz|;3X$H8Y0WMqCJ{E#N)!%VSW%4 z=AnHSW?4{}pRzEvD1Sy#x^yZ^bx@QioN+3X#`(qG%2OTnuTy#cl2V?Z1m*eFKFibQ zhKVfyCc6j$yJFOT@l^CZ|He>okX1ycO@gV$;uyP;+5g8w>c2R~viwIwumzgvDcAz7 zx?_Fk1L`TXY1F^-)Q&Nx^8tn6i(o9{|IjuwP0Q|4sTJt` zef;z&wD+%VOF|nL07)KNlLN!Qo^ohizBijsj`|PC=8v9Mkvz116WIKR`?5K&U1;@O z|F=93$m$)JQmh#ku*kyoQ(rDtaX*gu@lpRNjM44_%39`j_!|GLz%;DU!8jw?@gZ4> z_gwE8nCw00?V~1JRg-&Cde2iqO}?|wn)GH(IAVQzS};g_2j$A@!!w;5uy_(!TEC+9 zB)4ux%c>>#Wp`8U%6hE4(ArwNyngZOHT7%iu|h=a+PWixiN_AAZ=1eq4So{7s`bE@ zmQ^j)wOEy>zOB9`NaZbCQM|zv5M_!&bQ^BD8478Z5W5xD}e0*S9UMo;T~z zsYlHA@VoQHZL3bGZ^Cj9smo*7#Xr{8x3pqK4Wysn(1LX;Y~D^=vS_oGdb3(*wX8n0 zw)KSirO+NM8e!*$bm>t1d25=Q8k?58H9`>2h917;c&ycd1tyR|=u4-v)J8AKf+SYocJ)*6)Z4L8)F|lyP;$|*v(J8BBldNcL zMe$B-s&84`X06n*ZU#&-o?5KsUA)$08RpfmsAqOIw6pf0fLwFMt@?q+P3-~m>RVT> zX{oFCTIyS|sL{R_4RMVM9iR{Pbv+c=+PpswPDUD>*P@v_Di8m~1i*d|DtX)4MK zpVqe8x)T=LrC~g#bVXhQZ8o~=nP|tA=!TwKiDZ@SUC{2j(yXT1y0*r(XkXh_wu57Z z8Fob%VSatf%EqSJHrqR`LRNw^E&ShE(gugTDzit^7|Y_umetOTNxN0A zYHh1-SyhK)z^(VP%&tP?+G$x!eZA*;>tZ)@IHTBONB+mJ!pP)?Dx25R+P2!Ht%Adu zOT@5?qj_+|cq>;gw$U1!8rvFcSE!ZJ$FiVn+g6_ybdbYmdD=XivN1TFO|alEH= zZ7xB>#<$DO*z~UD=D-#>9uc$d1g{xu5pkqpM_;_8v8~k^hBd%vP_S!%|?!jsu*7ku&#-#+AsrrIPnvCk8mGpB9-V9ZW!BKCu79Ivj`I07Y`&pvy)u&X(_6z&2gWu+<} zc`Ir$>a=NCR3SQ6p;AKIbQ}@X58P?qYde`2Cpe#a%@~`Y-VIKjdTek|rY=jQFFYRWv9=NWq-l}w6DQ!q!;zq&7r7P+^@1W_^CnU;wnwpB0 z84nCrW}FXWp+y^;uo&C$w3QhL?|XSR_v}7(T`fM#4>mquPnG$co$nEXjnCVsW*3|l zJv(wv_+0<1g4+fU8TIXirge{SbI z5;iRMjlB2e&u9I}`y26V_TjHOd?P;J4Fr0o4u$Z4WZf|Q(k*2R+xEcIb^ER)l=2Rf zk+_andi;pTsxUs=I>hJbDI3=nOOGG*rX!s3*%v|x=R2i!aBhq0J1o~1LTPV~n18I3 zzSK8M4DZq@yo=Wg#mtX=D5U9sTwaWy)hT|KR@wFJ>+ul6S-#+XPkQfW`s_~Wv%PB( zpZT#5h7iv5HE?cAeKzqL=nd4axe%#lRHVJy2ho$xQan1BiZ};4ylRqyk%k&T+dG<{` zy>*J8yeLS&-A`WKrh6wZrqgaKzp>(9iif>9a954?5W95z{Y1 zt-4N^kM<%cc_EW>abquRQZCeJrWCQ`Y9VQ}zyc|;0$W+VsWwfClf3Xw@srii<{S^Y z?2liylN0bGXE21Bnm3U6={S$jE|c85`!18&N1V(fn=<=N7kub3lbqtlR;K59o#||P z#N#(7U8)pss{0X1mua3Gml3}R;aq#nGQ)ia7Q(NGM={+&(hH@PjE4_G5@a9utw;!- zyiN&?#msslzYwI1Ur2kQ(ViVC_*oowtv{-2iK1At{ERu6Ox}7cZr{hk+91* zUn~_ziBm<+ufp=#wE@9zOa6g)hxm}#F1{lEUHpfbg-bK)>mv>kt3Kci-P&M!a?6DNst#An6V#g9bJlfiVHb%v}E4-gL%j}x23)5MF# z+r-V{GvX`ad*at3XRcv>{l&TBablBr3OUTpWkYgao6tzCL8tI5C0{LGC;wX|-yz;l zBK;N;Cz)-M|0ww{;w}>U-w`>x4b$yWcsJZqQ0^i26iY~?8z_#H|2T1ic#y(pi?t-| z&_oW#cp$D-_y&bvB>57NbJ{T7wUU1#-bzBxuSnGMQOQq8-X?M)85_*0r|C>clw?qGXBtIZNCO#ql zfrOqvi7$!oDEvL~bNPQIa(!8*%NFxUCf59$o<91;vwWne7Y?zCDER%$x_c- zBc7!2vlRYa$=?$<%Kt|3SMtAG+(JU%VEC26_8|8nk0)9YiF5^GkvLf4!^P<&>RCAVidTr&D*Pwntt9mR ziX4q`T=El=w}~&3DF0u?w@IXXPvQI-80CnVDaJ{pD-_G*KUf?tPEhy(;z8nUalW`v zJcAsIHCDxI#aqP(#An2p#lMQ5ieWtZqMlN*QanIBRy<^3UNPiqBui5SUi+Ox&@Mt5$nX| zVzbyLo4;E4Hk z6}yZ5N#t894wwHZahy0!JcvX&j+A_i!iWEXGObFBC`0e;kQ=O_%>c;vD%ODfwt|iMUK$ zMIxUzah-U+!Y>lPFaIBj*NC@>x05Kx{gT_ozmjoGS1Eo#q8?w1J{}}e&JuIPLb0zn zl!Ts268Tige}*_q{_`X+5EqMe;tCRaR*P%Ia}<7o_&xb=6t5C*5`RImzLK{{ZYNQn z?c$%sSH(9;r2CviIldA@ctt|Fi&!L%Br%qa7568h{~&R;IA2^S){6CF6A3-7;)&w< z3cpDFk^FxyZV_J)-xa?m$KtsTu6f9AVy@Un>@N-$hl~4(6U76?S>h4mQDUuFFRl_> z#Z$#IL=PM9xA9obGE2lEY~^whQLKz6vD{CbDjq1#5v#?eVuN^!c)ECrc)56^c#C+4 zc$c`9#C`4W#O>nq;>Y4{@oUlNb6TY9BJw>I<+xZVCd4vvs5nBb5@(3^IWzPeE%|tn z@2#kxtBa8K`7?N#VIGKeJ5v#T=<0HMIzTYp=_U*gEJ*_JyH74 z7Z-|)#X51NxLRB%t{2Z0xhNs^T`FE7azz#T-!DEa{#I-kw~H@{uZVvY|0aGc?iTUO z9IHPnb`x_&F5<%c2aD6igT%wcdE(LHvEov(L2MD%id^r7`oAMyE^ZXL1PsG}CEBG~ zA#axaxX49e8IQBflYbJqI1J^#iTs3va#-vtVnSH!Urd(b{g^mh#5ADR|45OmWinok zxKwNs?Ry4%|S?h2q8H72=iR z_2P}AQ%zVqEMmmWo_)mhme@E@VU5 zPKyj4DfwuzMqDa3i7nzu;;AB+!J)n@#ZBT3;w|EBB3H^`eEZ%8Y?r)Ud|BKfa?KpZ z|5VI~Ik~IIg>M*MB62}y%ELu2aT7}5I$4}89xg5yPY_$gwc-ZxZ1LOTcSWv?L;W|3 zT<(VQgCf_kp={q9fn2VJ@?XXG#g9dMC6(>lwTCNP#xu+A{W5m^97&ppTI6+wpbt* ziKQZ!a$tNemO&mUa-nXA8UMyZFUMXHJ-XPv2av=w%e?)vz zd|G@?d_mkHz9DkS2i`}2CVnmY@S{H$fgt;f1H~cYNO7zl|03=b|0>$|h}i#3@>gOA7wXhw z-z$P8k_U^!#r?#I;xuul$f^0LXTHbT ze+l_6$@hs|bA$1o6JHj0h`Yph#1F+!Mf;u;>GSyh5bQ1X69%!KQjJb#gD|##IHnti^X_ZVvg8L>>~~k?fX*1 zuarDS@(`4wgGesQz-s<=~pSA1XmO#D)eVvd+E_7VGw1H~cYNO7z< zNvsmNHVVsmtZ3htLT-@UBCZur70(dAE!y{|NXO+>sPEU}{o-cvx8jrHcJX=f74dcP zZSn8oC*tQKKR{%DVX>>&UF1403@;Z)h@-^`;sN3j;!)yp;u5h@Tq$l4&lWEdFA=X3 ze=6QB+V`g@*E5oz6<;IqyzNc#@8XA|eNT$;oIDq=m)J+N?@JMG- z(}nph66?g}Vw2b+t`|3m=ZW7IuM#(jT>pjo?-X$x;)O=I`EGd~hSxs!`Usb__B>Ng zqVHFdsPlLdcB&$w=U@`oht*_4--VWXUJd1eZVp#IziFmC4F5=+k8t~IiAym{oGvYG z{>TrH&uzX5u}rk(K=>HRwmgt0Nw(#IJV$c1IA5$GQIDl!gSbLmC$1Mah-ZtJkf{IV z;zsc*ag%t1c)R#(@gDI3ajW<{v0dCQ?jT{`H^jHZcg6R`k41ib&iM8_RWK$wOXMn_ zY^Ng8K2L;fpC^Ll@*gI0WlzSRB--bTknQtDu$um8@A=|FagkUfE)|=_HgTP}UgRpS z)OV41iFmoVQM^jrB;Fw2F8*4)M|?osB0eg%i`&KL#h1mmNc7`(#rMUJ#ogi_F{bBu zSz?ZuFDAq?v0NM`R*GZ9@!}-$U~!IEEzTDgii^YsafR3{wuu`^9G_>4=ZhDKmxz~( zo5UN$o5Y`s_IqIHdqDCQ@lkQB_&c#(+%E1QhoQfVZ;9`U?~5Ocp6}v^#h92S+UKVj z*X;9CFhTzq`ny<8Vmulq+V7EbFuqU*$CDT@CW%!fj{h0r!6e4PIbt=5og#JyGQ9e5^Lbl@~%Gs{?+sTAmubt(2mom!j(GHNq zI_?T27m=t(rQ|Ur>f=?kp0u*9b_v+l;@A-EVJ?m~ufqOVzG6vht5-pficUX!34ZXg zuR!jr*!;p?Ro$?QUO0v%g!Ou_j89_fP=?G8x!Aa-h zCCiqqcCunAslJ(IO5isOoD!J)(aT;-lUmZH^-I<)cR%l~aQn4OmT+1To6`B&W=u7|R zhEE^ZP4TNsxAB-s-EipItr-6q&v&9dwzO-(Fm8k3aOnNZc+bsM~ zjdw8Q0vBZe2k}nm6t4y{kLO?u;w^_qCR~*Q?m5o$64+;XXamM$`8fup=KBWZRNDml z&W3-gzUQG2LyOf%J%PTTV?R|N$AW731zVu+CU~Ukdn-jBhQFk~-(x>jUju|1_yt>_ z?+JLM>e~%{v>)r61s9a>@7Pb(w-v%t_yt>_?`?Rb>dRT|uDhuZj~SBs_-;5=-!OEf z1j2(Y(8qVlsrss*k9MOz+A%2KpicVc>_cB^Cw&K}=)(}7)HkD(z7^272;spNl&`9j zzUmZx?5{!jYCGxUHAGFIOz|il+ezO-=nMKwPsL;XPwS+Q*8qF#e^wsTOv zt2*i9xxNhH!4}kaV<&yhDf;l4SW@3T(if!P0DTuE0?D;KC0^sEw(mOVt3pNDzD00B z`FO31zvPyQ!gUP_1>Yd-kDcP>m*agN?h}J8i1!EVq~?3i8ng%EG2i}hLB2aX>3e>n z=WPg-fq_2$RxMRu!3FMqk@||^0)4wX>03AnV+m{%Y=J&ro2TkKANs0r4MTmUaDl!q z=tn^s>ew*_*GpO4b6XG=MZ8pfTQ7F^wbVBNF3{Ig`YM&aV2!ucA}=?m;{rTfxSBxee)5zRK^q>nE0PFkDc+iHHYtH8TyjJx4j4IH|)H%mPu9 zTlqpz#zQ1ilNm22}g{Mzotap$nOEz$J^PR^whB?j; zpWg13PqZZ)zs*0*8`^c7U;EDSkJU`C#Sh}!3u;X%G%hJc&JVBKmJxdHp@yGL>(TC2 zl(l~^Bl29LbVtM63m#f<>9p*ZMtVmiTv@WVg%VZMcJBImXl}b1?XUF`uk3nwKEvMK zl|V1y9%F3Z6~_NDKwzH{hoh?Fm~hOiqr^AZ$HKOJRwfHFee!MX&_z z;JG>`njd^>$&4uNGBTdKyd=~1 zlxTagtyj6%{NN)?Ht*U{QtWM9$dsS#3J+L0?L%93uROz+JZwvD+PA2;?!NQsnFnF5 zBgC6bZpR-GlzMvuUpyJe_F5h=`%~$cD7{H=7^BmkB)ycY023$Kc*#z z@7T8GfqQ4(K6CejJQf~7tM!6C5(Bq1JPMoq5G|e4-qCK?!6E~SR#=O?zb!HP;RV-C z3vFwD@WO_7cRgzDvK#$-!=3Ma6gkTq8r}BZM>$|iBo!{Ht<&Q%4iOXJ(;s=gDuIvc8Ke+dFVou_1M|L2xJ>;rv;CIOB0hek}48 z4jw-iJ{a-C-SICnxYt~UnCN9l9zHVzUPB9(TCZ47c=@5o1B|>4`9=0GJdGit41NF; z{u29+91Y(G-wflMSQ`ovdjL{2{0RPs z#~?y9zsG^x|0BYp1$h|kz04QsF&}!PW%(`K=XV^@VR`3pe<%}G=5OTw39x}bAiEE0 z@0$>Rt=%OO=1C{x*lf;~(e*rdd0qKgkm>qcD2r)T{R|4+-}$9$(|O@{aS zth4d|lq^2(IJw(=oT;q-@O#wujK3ZT?~kyIXKgm&u_!^t%XU8}C!?Fm91c~vL!cug z+Z1mF@z5c6Dr0pwMLv>;O2fIQGCarhV@X4$vD|Z!Pe!ik=fbnR9!Ek;Mi1k^h6FLH z%v%i=Gh)kgZa{(aF(X*4p^UbM_IM*&FxFV?L=!&|-m&8)hT^|N>evY-F4T{+Xt5O~ zu4Li(-Ka}!WgluqLE>dp)g&=1&W})HtBPD`P8^S0yjZiu{P@kVV{Ek|7sNwU)l%&0 zP!#V=v9;gRwvdVVtE@>|p%aVaMnCGknjXl zG?&MDITAamXc2rvrNiPeW^{62XUod?m#o4mN;@XMwb_fUFLO!9$G-zB#!l_y#7Xf} z827ZkPOL&NnnF`wjv^Pu?#y8Z*3@?;O`Xef-d&Jp>U+{neQ&y{?@OBcSY~#ApQlj- znwlS^#vbVH#H{!=tmA{KR8D*&?eiOn`SEg=d$Tkb#Q8B_Y)jD&tEv#?z8TTOr_=XL zpLK{Wfx4ct*d>`i!cP1F8voJ~9v$c~`L!*}z1U@?&SGJV3QX}mzZFsQX2P0@*!Ob| zfihe9A0#Vp``O0yes*QD^0}zmjSGq{GFFx3DWbuX={#J!s??6dH^3A$sh^BG+-U z;^0N6Ff9`^=G9}c`rPjhJVZd3Ub~X$T=(a_ng;*616gh&ZO7b`%+!w9 z|46U)SLxOMI;eIorw_sU96FPf<<`;)#%PEu&n=-GGJWl5ilxJHC-NZhO+P1Bq7HvW zxqJMU9UIfoe*z&VE7rwimchJ*JnwWhK{ayifRJTWaFc7#Jlz60lxr)JZGxKE(_*Z< z396E7kFgvR)CH$KW}9pL`%tQ!SgbeJut2shpFV+JFZWPJD>D7xv>n~#K0=H4H3@ep zE8l({`P$*7ztMmars`4?xtpqAME8i5nG!b)d%1RC8W6}f>TnZzjZyjbB35A%LDU(P zN0|O@)I!wCSc&mh;=aAGWlfV88)!0EdJMR^{Mb4+$Q09vJl|go#>#_S@^kOvku%s7 zIn@QZ{Ma`(#PoG?QEolMhnfN>C!Ar2b+mFBkMv5D!77DH%e|bO*eK)QjiTfh@{k>E zN+_@>wiaW8T7(N%uJ&SMP1%DsIF@ToHO}<;wHrgwAF5IIX!sXwk*wHw&5mrrEYBT3hQvi8k-Qvp+d|$9Ghs$TyVIM^DoCH1;No=PKFbkY)YsL_JDp4DLMJE zDaJnyey)^NCVruf;N{xkcdE&{&dTB3AF#!zneO*F1-W0aM@%uu=+A%f;ZPP0Z?XQ& zqdSiu_&kq&7=Nbm$KrWV?k`!)gG{j-FumMNcA0}sf1P=AchU~C0y&oZ7#r&llh6R` zQGZmA=!?HnS_(Wx#QTS4iCa(&SKT3j|%#M$ z1&;{g7v$p84lg#}6uR&t)Qux+&JD3%CbI?K=;gjmg#{hgxGyk4Ve)XueUVL)Fp-h! z^9AhF#is9Ph!-l&aZNnZ9b2K&d{=?}OoRtesB}gyC(4N(U?TQ|+tT^D=g@Wsnn)>3 z94f6TteHaB{)BTa;c_e;+dlBymf|MlCcr1bIH>o%NV+$XQ zsAhC1BOmGepCI38HGKW`T@z&SY4AM-aZj=-ynYpsQC-jDn*yXQI1Fh^4v{aXL$lBC z{qwVmkA){5e|Z-(x>wqW$EH27!|5kHKBG4Kg|f@|QO}JKu7?|NJ_J)e;0gi{?E09u z&;q6;lx?0wZrl=ZTj5L_KFV`dE(r}~mEVW&TX20jT$s{O4wLZHjv;W#D*HK*%z#iv z2GS?194UY=t4!J|Ps2_X9JY=sSHc5n3#KCNzN>r`V)3kiDqsJNDi21L?}9IXlXg7Z zfQMa`pGj7k7hWd6Q!9TLQ4PNfbXCp|m9xtHAsQz~bFCbAt^5%@_)D{7mBY`YD5fBk z@kMHtqdVZsDwDR#d_)|B!`4yd-H?#BU<~r!ca{4iSznv9^v~a@auKThK77X^!YH@_ zdt8+>^P$?d@)A}#+NsJ%A*$ggf3C_=SLM^;dm`LGp30DBB2Q&zuCqXfvjBgWb}w9q z1var3T|yaqP>W=vM{j~JEkIfe`~ivhkp{L73v7Xev<2f(lzm%Z7m{%vI5c`M)GNK8 zXTt*H;JXJAzJMzm0wE8VHQnI`OrssD2OLh!9k7V7R%u|ypPO!GE7f94!0}rR(+u^_ zDxaId>=&<4nY+2h3U>DydV2MT+$4_)wsuG_(-2LL!=pTX$I1o;Z`L2IUKeQ zGn@~}>@fwCk#^r^cm&BFvPnyiM@7=j;DxfvPKED_i10_a0T-~Y)dRkttn%4B+}}Z! zSt)J_xGxda@Y}#5J{Lf61qDuS*9Wez9roTe-I-)DJoxLkWR=5}P+;CO8K0(BIXWJ` ztTJhYN zj)o_oPJ?b@6|rua9oc=38s)Vktq*H8_&y#V2xea&yo5HA;0@w$Va@AE$v@M+L9x{ z3Y%GtK(N)2VBhw8W`{Ahuluy4RSBPt!a(RKj0A7c#q1NWASEOEoEpZagb#a(GaZDE zloGrlH`8>NnY`rblsBIbqmj&Ze6-_^uglCR>U|f!ufg@@C5ic->B$)5QJo67zP6WN zZhF25&-L&)nV$A6bcN~3>$fZ5zDrNuo0;#!6FF^x$8X?<)aQ{}J~*!c)MXF<ra-`r5_B-^otwdoV^mxUfp4lZg9?C#m-**;a>?ot-VT|y=vfb z99-qge5QvjqX7TR0FyOrJ$7ig2T+c{aOW}*6@O8FYiI&f>8w|A9YSe6#D?X(k#)4h zA3D0iCWN;lGjn$@oV2V_{$OkN|FHKa@O4#H-|#v2+}`NBTkQ2n7R~i2XkHS|7C(42i*7K0^&6i-n=Om7$FjJLy8`rHggI`jU?5ccM8+&U zU|}5(6+a0L*r-So`*0h&^BknmVPY2|a-wMkI+w}A;LI(84EsB|-g$%m8Q5vr?|NI3@q>L&%*9iKateqImY-J+sOz< z%#eurF=(!WXY)3)Jv^FbaN@Zl0td4}#nF6*zS_u zY#QcdE=)fm{rL2i7=+lnG9B(4CXi0cv!=m+B2z6=BjyT>4BAW5nQqG2NJ%e+m;#i{ zkO=HDN+PH?GtZuu!8~|BpF*;7?DTY$3>}|NZuTgn0LYa&3t;Ops*y0TzRj_nOep{r zSs)h$BCf_Pkf#!)31p1b8nnQ1w!JckCG!iRKsl5gry{&M$ItO8u{2{5nmi$9FU=6s zAlI~vl{wk=7`rvYw_2G@_r-n|ECQMG| zrk?_xqUrW}2q~OnRpw~nA}<^3MsdkloXUkz_;4suZi>i&A*Lp23C6S-jA?AoCZSH4 z1^;0YgQ~%&`NvUJz`TX9Q?SIuF;I^@FgaPa zRZrj)7PMZo>$#B~zjMF@0?V5OMD!>ClJ8g}-n!Oh;>s6M2HR>%FflF2%@-dNQ&-}C zi>aO}q}Gz$jwo`VP5*W~SU$A|wvYUPRq;R7jQ?A@Iv&nDao5 zfQuwrPq;>+>uH|@67_Y($zb#gvmU?R;qRaQ;HEgNlk8|-F+?g5&`X3l5^W~TLomI> z*jh=bCv-}*nJ{3ugv$(<@Dal$>@{4%6^2W=+HeW`441H9qFy&zfxr3}-+PEgkfbOQ#63)Vnh2EQSc98Ifa#7V0)+@4 z=pEF})Lx&cFUg*{$T11Qwi=nk2y8^mJLoe;Ml*9zQMiVejELXS*gu#bxmA^Qx`{^t zNk={?7>>X!$%YG=tl}oVj7G=x1ZoEj2*y_u-7if!f@$}Dm!U}gj>h+AV;+AwI)@wRqQ^S^|8fb-{6Ep}@8d3pW8H?a z7_i^Y9EHW7EdM~;u~@nJYw8;wXpdXV7nYycHFVN&TRGn7EgS6HGIVz9K)k$mP1DMz zmbnJYYx}^$2hY2QJK|RR!cF)z^45XYp6HgY?)YG|bo+vN(>HDH9geo*m<^C02-|$8rwXcF4&I2AmJ?w(+#$o0c64hi!bC zArQ1A=h+o?V{;EWZOA;!9Zw|vyLVSO$vh>TIbA&3Ufm=3KA9Kfvt74R46>1tzy(iP;Nv{PRKEqJ%6{)w2Pg;TE@1Q?RJg(9^7$p*x3#nmi`^< zx^}0*GokW~@M2T}dpPAN=`_&(m68IJrJCY>@dM}!y>mE zKJIwka5uGaejxqAZU`;%M!C!pQCPWFd^i+d0`ugBGU$iJ+NA$3%hAh6Mq$sz(wGr+ zx!E+Am~g*q!oxHn@}zGFg_eb!YVl?VUCTLcq%#V$l{3gLC^ut$5ptJHCL7~}UGLNz zb0Ic0CMg-tsx86=9J!V54I(haXF?9Qmskd1MR>UBz; z=SnN3u$-LSoX`k6G%xI&gU&cTlruY&=ZubpB2HfvF-%j?y(bDg7lpDoLlmjWD?+B< z%R_0o>K(?hrqi#+gq>3$Z*gc$8LS7lnh1ZHQXZN(iDV8)q{5nJN+`$3AYBy7L$-p+ zl9VSbryC8xoEo!@^PK9c|5G_5a9+S9$ltussb;?8iD5B(Bqvnrpz|`FVK$;r22}!! zs5d8P*SpigRcuErx)H1r$;~My6t>Y<%!mPLFN13AN;rme8|EU6E|Fn}E6qu3j;rDy z;NMX0xLo#{6Uui!vQs)R7@F+dgpPG`b787EVds9^4hGSA_wI^@%VjlO*A;vIrf~|7(xzgw}+0*AYN7JV&?7XD< zT;Pw1#^JySDbmJEDAVxR-lU zZHo^KcJ=k5y!pntXlkt-Tsd&on%2QH;~fx&%(JUn+>gM!3a&f57flf*Oi@^-ak#g) zt9PrfVPKk!Vy_mdvkjXmQL>a>*LFs{9jkl&#JosPSfjbCCq7U&+}n-}zN(VH&c0$B zHdU&lsglL$9#30P+>#zL7BhX*z^+05Gvfoj@ouDd^$m0l?PzIh9b}I+4YdvpQywhe zH-H|-<(FSYck8L{u0d!(nRyEchg$z`{d=rds9-t z8Xk;yw1}nHxza6-@j-KyneZEm8Hf+!`pD|;>)jfapQK(kzOb^ssnO#0Q|M~$Gld?a zo|W@ZvC+Hb?A9~m!~LkM1v*H@t}WnZrXRX`xAdWanvr(lXYH4Db91bo!L2P@x&~+s z&o8CtT}!2uf}Fvj*7h@7%nn#A-rhG1w`_w*sl>QqOARgGCtd>4#?@B#wzdy-Z9_+i z<;API`&#L&?l{%XcS|(K2YR}CTZhE$L^7Miw~bC*yFOZ5IX_xi5vz(-%B6K>WyS1h zDYo{C!x^SW%X-@ThZo}_6+^A8rvmg)=WtIOu(iK^uuK{NVhc1FD#IoCVqW(%b)da- zF)q%x%^NK1iI#2cz`cMiWmVC#b+e;oTj-WU78RwhYAMZm*dc!k9sPTzm73KFmdCauQ*(^_*)AcbL)z=%VNdIJ?zzR*PmskFRw5 zdfh6YYoUPj^kCOH93}J&@%q&m1{mtd*?y+g-`au8^Q}l1|6bXFD(G}AZCyh;Q&Bn` zx3y!3rBjn;P7HldwmZ9-njlM?h*~g}Vsg__66Z9~Vhm#Zx4Wwc9x+hCpGwbp8l~EV zm#*YYWQ1dc#Rs;DkBI6C-!O-KfOrREDa$rHn$Ot%&8!*4>JEaY!c|t%uX6 znL4$gn3+RSTVu|Q*3daT`y;c}-#0jfrAA*nl(O_hE;aikazYjZEMK_E+=<-ezZ1Dh z??f8h)Y!bPsS3XvH?^$pY8z-B*slz&JmPJi6@Aw9tH|FI8-^;bq%E1-e+v8H03n9^?s{_Nw zG@2(@J(hmHcH2xdIFO&Z-bPv|; z|Mvv{#jz|i|AO#^p^JhSV?}(qy*x8|N#s&I0qfVZPVy!666 z{%Z`+Cxc2Hj+LHLKHWME$t=$@0i?71T?ppD|3!RG1x*|d zTA3_AKPA6^6jJ{9l>FmU^ckNJj+ldg)+fs^NRgjtISV@SZ{UOo$BHHhNiR&Hi&N++ zDfF}ydU^`YftxrSYes@V%)#z{AM|n9n^&jMH>S{EOQFA?LO+>8|1pIY=Tjc*%cV2w zLAo@BUX((w22B>{)-mwccBMIoj)8x2|4ybyg&t#FltkxRS1HZ;Z4CVB^GS5R_4TCu zb#f(&1lDK6@#`Z$88H( zev)FP;(W!$inWR>71t@sw{cPaET!cexS&6-^d}YN8@S+eeqeoHQsg!Rq;FTePw~f! zKUd_QsLc1S;wUU_NON}pBKLVERx6&Sc!uH*#Y+_Bo3zOPMWt_7ly5A6|A^AhD!!_S zNnYyV8}_Vkl46;nd_w^IRZ4$Au}e|D4U2U77A){)<;%BUK|iMSbBeDi;(s$zpM1j= z`JzgfD=tx7qu8#vQ}G(bn-uR?d|2@r#a9&HSIi8VdM7H*QanMiL2*d&0!8@-D)hWg z>Dv_ft~TxVnBuF7<1oO;FIAkcSf|KWp_tyGIH-7m;yy+B#whaLq4f6@N8 z6vtxhQ|=VSIf}K4jf$;`XDRMf+^2Y>;vI_LQJ@?*&utbBglqOwd8TD+tV0oTA8G2FYKoxJj{F@jS&(D&DC0UB!nL z-&Guixs>uIDwZiOR$Qa_ABx)*FHyWvaTJ!^loL~2qPSM^bj4mpzF*7yAxzA~JjE%B z)r#^BM5J$4x?gdR;$Fr5inl2qQhY}7RYe;MRn~{M6$J|wD;1Y14l3?Z+^hImB2G@% zDayA4ankszrhkhF`aZ=6lz&j^M-}e#J8Rl_i6g|M5N0%1R?+H%D+?j z_bdGa#e+o1d5nnm{7&f?m6mS`g8vR_$g{8~4N<-&h;rGakzPauJxy_@;(Q|VpP*Q$ z{8ftU6gO%5X2oqpwBrI|DZUY@_+d@|gr;Ao^cNJrto%EazFYBrBIL-o1EH^cI}r4* z$Y;A1U)KDuDZWocz91I;%$G+5JzjB=;tV3%8B>&R2qNDSO|Ma0r|C_KT|~5V8*vKu zqEM7?2twW^ntl}#^ghMUD*qP6yOe*A;`fM<_ah?oeoE=XO244^DiL!3qG)3Q#&TgI z${nY4fnro~77^tt6i-lowc>Kc22EeDc)DVn;%*|^{}Eyl{95rUP5+#xe_82U75`KD z@~uGVali6^LWG<{MCkvl($6dXvf`UWlzT@pg0(5jjUuAlB&CZLrzy&}08wr}X<&`= zPgGo`xKYzLDGm_P&fUbx@N2~nY5K=C{j*A6r}#zXe_iQ26z?NK&I3f~`K&P9=uE{NBKVV)E>a=*;)RNzRlH5{0mWyC z(C2kU8`n^zM=KT(F_xo>B}B9@rs)fnUZPm5{B=qTA>3bEQQhbq!cDzBvTHtNP_leMd#BnA)mk2svaia1|m7b+osr*Gs zS1Ybie!bGoil-{SL+MV%vxv}V8xi{NQhJ}_b&7Wp=VLq)vG#sY@iFBeCPMG$6o0S$ z*Oh)#@jc~_#4SH=ZIY=thKTY7#Q8YSDm_!NLir~sy;O0z^4BTdq_|P}?MiP|>{kBS zN}sE^hX{QxRphJi)Z<3tL|ktvew7G4zp3dDDE*+~PnG|K(oZWsqx_eYens&w%C~SM zfO3P1BZ#O!SLuAkiOMfkdX{1(5qj2W`pLuzczaN>kqG&lG<~bmXDaq9|6HYaD_*Gl zk12hn;y&enUg;YZZy}=I1B$;Sq8%?PzN?spdnDu+DV8fPR$Qfcx?;EDZpDuiVY^Q# zevydweOd8874K9$Kt%rUDgIFLaZP_x@dYCEdP(uGif<_f(Ke<>6vrrzRg5Z@D8>}4 z6c;I0E1s;lR&k@^CdDm^XDH&#ChKinW(#8I3StTp#F9*KmLg0dG*lK`rno|JwPL+u zhhnGVxr)0L_bOhcc%$OYieFc}L-9c(*3Card{prX#a9$xSA1LXeZ>*HZ-erq6nUST zG&ja1azjlbx56aWDAp;SqIjAj_qk-g0mU7PI~6}hgb}Y){EXtYigzl0OOf}bnV-8o z6Avmrs`!-RuNAo)CiA_a$W1OuXDV{%O47xO+@z8;x8)-)Q(U3QT`9SqmHSq}cBQu} za(_yuU!ZuIBDbj{f1e_EKO+5k#Tym5cP07vDRN6n(vK^0pGnd$DsoFn(sI8G$Xz8# zAEzkyy+CslZSuK)WS|Id;3-xsE?4AEl1$&MxK)wgz94^Cafjj_#S0a==_K=AqsYA^ zNq=3D8$^=+fg<;UB>gMJ-zxrIk()nqJ^8+38t-?3<~O~F#fsAuV~SOZixjIBPgGo` z$Q>goNAAM`xe+93Zs1S6O!0EX>lDABc#Gm~ir*z-{q=pthZN=h9r)ZyP}YlzZz#U4 z$a6i@!-`poxr!4MCo6IjLgrtjSgUxV;#$Q<#Z8Kv6}Kv$sd%2^9>q^6a?gF%vtNaRy3l*0su25X9 zSg&}B;%SO)idz(W6wgxRriIi`?pp${Rr>RaUsk+T@h(Mv&4%UfSNwtELy8Y8{zCDW ziq9!><71Y8SyAqTf|hUF0{M*qrgQ&9VvZuWP9z;uoU6zWz>r_9xLk3S;yT66iXDpF z1d;32vlVwMUZBYR5Sh+x!-;n(-lNEU?#cgw;vvPy6#1l>5Vw_k_~FR{V|P9~A$j_@?4JiVpAdqI{ZSrs5dIaf%ZaWqW_*=icHh zSFSi)aiQW8#TAMx73&rG*&&ucO|eChdtQ>iO>w8<2Nk*hCDX4~aLMbEnBqLeg^JwllIf=_ z#ud91`xS>2cPXB)c&Xw?6+fx?8O7@rzo_^X#jh!HV@=loGsVXhpHzHC@wbXEDZZlU zq?__-ikXUI6uBWMei#gi1d+a|B;+7-`G z>{Z;Zc%I?~iXT#x|D&M1{2v8)i}G(%yj$_xir-WGp`!dB1?8Sm8mB=kP-*sW;rK<8 z_+gX?8n}p_?+Sk ziZ3a?srZhfrPpurJOt#(^APBd)a%m%<^w;fSfc1&ug+0=fg+!?V*Zne=vO{xMXXnB zR@|t#NwHJ0Td`kpNO2Dl{&=C{CBz8+FQK@Xn1TPdDefa?;krq2KQR~fSG5g*MD~y3VIu7EoZ<^a*ySZfdHw_K zd!IDg#m^eBJ@Q-w+QF~WQ2!v;YtUQ%KM#HR$u;Wv4I=cb;dvQ)$@v`moW}DM^!Ni2 z^~-q!^+J>tSfJw@vP+akR;j;Q>E%S|A>#u1H1RF14CGjLi{oxZn?;jnvZEbrXfTS{!zYJ$dg(x zhM;!@HMSDy&vz2&?OrZ(t|hqw0n>(6jlg4hqnQ^tj4(CGIhlI3A^7DT0KFf8mYY2XM{1OfO&OKatFN5Ki_Z;N0Jnc-mZaZ=z%rB4k5~E0W4_96mGWq4b%q40%&h;Cozn-cb8KfC%3rN}EmLKNxl!F)XWQ{-j%!ts=&#ogX$CEfee-(Y= z9_$)*?%IxK!2dlnG`-4*ltB%n_(U7Kk7WncC%IdTG0iY@86uYD$xJI{xi4q-}`K*H6!Ctfwi}IY zTBVVL@4xZh`&Mb-V6kNv{uWYSdVWu_U6fV$!bYaNs^6}smaJ>KXg2lG1)bK+Q3s>=Cm31#}x}o!oGse|S zIRsfB9Jr&p?!C@@P-APR9h_}lS^M^8YkJPXQqjyRszeWo{;K+j6s32_jX!k<-S&Yrf!zB;y0VV(%FVq zEYMbLlvXT5{k2{zrXFmnJGt&Rn{KNcU*jB_ZMB_oW$nH?(@Sib#>yVkJ_;NT7N1tL zudcf8m3zKb_w~9j)$Ol)Go`JM9s1q#r%GEL)OGmaZFP@pYo9!1#hw8DkkZeh^#{>{ zhosGX_Yr5y(p>4wY_xauQ(sTZderUDSJMg}vtfjf)=WQW%}7VN5z?z~-g}_)&uQZx z3s@toKVCEDpfz*s!Esit@u|{rhXUyNm!2=Jc@CGtU#fiu9`Re0wMw5o^!w){HP0XX z)$f3v6fBCi01=h?kEei}B3aqn! zz2{zzJo@B6O219ZJ6aw(m*z~%&2`p` zjT$%#PD2*@#W$ew#S zhp^P&Fy`NoGJ9_iTBv)ERVsA@^AB3H#vhDsf35VmL)OeZ)SvUzm1()`L-_6C&WF<` zJQ}GfK^i@mb`KnmTG>8b4tGA17C@d?4*unNydPTqshSrLS*0&yT$uiS=1{=8toB*h zX6atNZZqDd+rTOOApksf2NhX=Y^pC04Dy7AK_{uj;GO^49*;~JP^hxz}K;E&V5nwj4rtjI^PW^n?c@n8n| z7(nRwaeO<<38Y=k@?6D-*5rSjtYBz5bMZrBp>^YK5LVhUmXD;(XVG9dcsICd_^wjq z3}_kQ^+Py#KYoL^+KA?kS;6>=NDJrZY(#v-lVseEs=_6?1B^F8V0c!}MT~!eg(`CQ zF}?^k2u{hI0G4A1nm~;V1*an=?LRV42Q&SHU|H$QA<9mF3N-}M`MQUbemx6jd>V7DMU#`7ZR`bd}irSZJQ5E?nd`(paXQ95#F5j!JL?Br3kNO#eLLI(33R21o% zAWu^iNAf;HvRBEBJl-se^c9%YEIhdhvR}#Ey!+?}XKCjAJpQLQGEnG1= zNe@Xy(Y#)^WN4fr3-d;x8Ij=$MysN{*I3cEafU3;<3F7vXREnN@@`~B+s7NprFnc6 zEV84Zo{msFEAM1hbk0O$%Zj`M6m_naos&D*Z$-{4F+~^T@jHl-of8bXC@;pmyYvI_ z)$p9zH1!9dazW(wELI?w0Tk@pR@@m_6IR^An~&$pG#&Fi4v_o?Lkye^Ul3VtS{#zF7TA$xE?x#v4< z!`MhGZ5Gb~CTL-pUkt>Rgv4U3c`}B@0oi=36ITwbEMy}4nr;1Fw zKk2nwTx?&mi+###_a$uEr<2?L8L!=Islhcxl*)F0luq?c^r?7O?my$c`%bvpg7JTI9 zNv0chOx)rrEFJX~ucI7lc&qwM26Wg!9VW9?Uv>ED?Bghh&zt1)f+awmelTJ)N{LPn zChPRWWSxGLtkaK^b^1xNPCxZ@`Y>vXJQRJA^%ZC24b!GSD==iH6&yhW9dxME*!;-j zBMw5DycbyJ=Q=Q~yg#u4PiV7(dCe^H3r&sW@$s<8lLf|4GV-R<22bf2%gW=!OOdBF zHP;H>%fi2Ox{+&aN#yW|wVRG?3})&z=V2w67IWOrSVuoc47kBk+L_ zAR%}L{r)cw<74MU-W~R`@Q65zwb6X`y_U! z<(@N2va2|9Y+EO@((FIdDgk>UmBKWc{XHt{*pm!hfj00_nK4at18fBQ2#BnV$Vhuc z0OlRn)nP@_ZMPZOGX67cRou>&bId504rEJ1GHtgdasrHuw%w*=%aF>l-L_a`c#AHQ zZ9Ds*RaPW2-geGFwWgj4u3Rhod1foHC;v_y-OesyBPQBWGjg@wNr`%8HkoYe{DIv| zA`$G1`3Z_HK?5Ttc9EHIt!$Zxrnt0ZEwe*Bdt~omEipUlW_^fsxjosOHjuRjFgCAy(WJDI&&f9Dtwi~k|$J@nbZn4I-V?7#K z=+c34*mNy&f?Z;ggX6frTx5}(9L|26?OALWQ5Nh0`J7U+awAJ^XA>G@w5+!CIzdot znQfQZ8GD2dX7hQiNR2&upU{!)53!ZCcE)W&XJq5m1}n1M&XMOfinFlpqomQaA1Y%N znf5v4@CTgxrICDFG}FFSoAJ>hXY)5s1wX&j-)wc6FPt+Q_ zkc8KdYwf6^gV}#X*GJa5=@DbGdV7LN&&cL~P$La)dX}+Zqdn22=Nbz(x%u<6d51XC zY>zYP1!x;*)~p*M$Jrz5aW^uXufj+26RX)PSYVtt9J05v!l)e@tv>$|6ps|z6W>6- zKyjAoVr-7iu@xxJH4T_=hd6)&#mlp8U<6yXUMF;O_5wC=1vaLKi37!($8qzu$SOPZ zpwL6(diuMp$a*_O!#l+n+SZuq9CaIP=LT@bpG#t+olD(qdxFjXj@u^$GQ7`kmq0Hb zc1tD}dRL=$NUr2}%}c?JB20V@-DNKd$TdO-7;OlX`j~_xco;!mokLf6weNiIZAEqj@+ed1)Yj7V0kAsa$S6!54F_;3XM_d%@g`_~i(= zZ@UODR*XT!<`4VIt_@_CtONH(5T8ewavBJH-oBG?3O-C~Pi3280bD?!Q9Q0fco4y! zfpd&$ax75BCcgsi3kXv=rK8MZ7O@)&7QvxGt|2)aVH!dKCqgh=$h-pKVuXntv37Yt zzOVZ{7{5hG*s6lIstTk{8tu2$0<{%UZ1o-ry@P;5Y=w@*J$O?#ecv zKS-5^;0`>Bx53Hk5DD;SHG(}ikX!N=|5W#q4 zvGK@XfWc?NJ&$w_qJQlvfwbE&6ui+JdIDUIUZQy9pHS!}1RM#Ee8rT_{{zY%+aqN} zU{s*w8~AsVcw~fDoEpd}gw`b^@n5PaGVtN^C{qfL1E&jAixew<8||Np@&W$LLa<9= z<;?MLDP*__Vaj+AFyAzSSn3OyHtjs0rA|V*A0eyFcTJ6@@&Ykh>aXDb0l^IVyucDO z=#AmV8pG9~$Ey)M!v(jq@#6w%zef{1!-e;#;fP|mQ&H#?<1Puq?K5Tbi*x>-;d)W5 zTZ&F9fkDJ@x3T2-Kn`E1Em;okMab|$gp&CnZbszu2vKG$YygK3cK;fo^glopQ%n); zAU_Le$XOVy6f+TX*#ra;!>6+=5K1Y*l>9J86-)A=8~HD|eLPCu1a31jHnQYrL7a)m zR)oTDfZ*EcQW6J1>_>!O?UqqUAwm93MX*mCn}^oOD7+mx{u9BBLZ$}!Q_5_sof$uj zn#U*XIbewXe8B<@n~Q!Y&1Zw;VLrP69?}f%k09+qgp!j$JcGzD5vFXWpVUw3Av8=m zhag_V_jT-u&uciQ-$x1iCY)@H*F?j8Gt_ib!A)y=FTYy$Hs4Mi}3@4-7s? zo$#If03>Dv(x%`hQo?tl;BwFr#dmnw{wM+t@g1HQtp%oRehbPT`;_@2iv3=SPU5?l zJX_*(^!OPS$Z17WO7bwKf)Mx~GL=L?@R92ZgebEW7J<_Vstutq2I6W&u0ojgQ*>sO z;`mdAU_W4IucgR8AmwIc`4U3OuR$C@dO~DJNPmsyyK??@s7vCh`nu(vLA$09#I57l5KzH zIM+Mgwr6ur83MN(VIrnR%YMfm%_3Z---_Tlf^!&I?f2}o7|MH&5aOCu)=ofigzux! z0|+=0j$pAn-?Q`ij`Oh{flp}vLW&kYg@%YD@CP=w>_8x+$TNUtjDv}qII04+*2oq%u`6oMZ-h$3E-!?%IUCKIK}A4Q=LBj89h`9V`Q|1^|6c9XA1vHeoCI1dd;Zt`F3Q6;6| zeiIpPN0>5)ZLOcOOq(1Ia&c5Xnq&TuZPttqhfswy>yRx=;|dw{hwNn>^cAu?JY+Wr zA*;eeb~}m6_!i54*v{kCR+XFwAF=b8V3p@#;?zF2tF?adJ zeA5*(lX~8Ye^GxMg&ZzNX17)fhf=C4=&?wql&V8M!Ybz(&;ANZGR@&BR!V}ylCxW@ zB$bJ?TW9;?bG*2bjshZmuF4aF?}bsg5KH}pD4{71z89-l zdzYOfE@5AalE$-k*^5}I_~dSNCf*gX_t<%IgP{CzQcX5)L?NHe#hNMEWG`SzSSmRwSMn+ux3W+YZ{vaS3*D z&{R;xYa?8$n=w9T9+iGM*E?NsCJOAtUCN1;HCLvSmzPlcXE6^wi7=1T#Vayfl;C2z z0KuBiSIO)zj1xZqEw37!&WrgkQHo5!UpR?AaS52a5JbusMap9!e~Pd`rmGwCow1K> zC}Q(s?F1_R(Gxh$oe$nQ2n)ouF3+N8eFyY6$>aam?2ifWZ=nAoJlbz>7A-yzSGLC? zEb=Y@S(ANr&M4F&*Ocp#dLn{c1pXe8XGrjxa!MA;BScvwaZT9@)~N_`O&Md_0tEM( zaz9e8Mi6nMV30Hf5w{4Dc?eNvmut#1LB$c|n(`V%xVMq4Uno`{Ca0 zSnePPcQ7*R7p6M=;o{Z3rW{~=BY(^_<>Gq8?}p(E(IzZm0{CY}*$2RTP&$Kj%x(za z$!GLQ1+Gc=aI|mMaluvkQuf8>IqZvS>GsXbXxnNzNo|&M)Us7{(>A$Bu}n@&9TK;e zG_o^Rs5GwhMA{0Uv=t)FNI20aVWmpAkv=k0C5(=$gqc+deP%ADK1M>BPeQqofJbX! z&KoD$^c-hN5!^qpd6K;dq8$EIp90kBlmln#lmY@nm_av&i?1S0?LqOCPFC% zl(JwM{q1oxxC29oFV4r$b6{MJLUcMX7Z-s?r~9h#8UiEUH7>W9?*3;aeAi@#7nYq2 z7BAB1niRN#vpEmutw!bc^AIQ3mYtwBBh>M#({7N9RPHKu4MO4~6>T&NHwaB!q&|r} zPaue(R}uLm3ngEqvSffiQqsLh&CbOg83egVEoB5jE>cfIq>cnHQn@YFX$Uf`DI~}r zSt_46_HHymhV=k)^dp#I&D0=&XhioSwS;m0h!%2@x`HPy9)Cu-2*vFSra+Hf;NA=B zYX~JD1~CTLb=na2 zi6Yo~%{Pm2{)i^H=9|Rx#cD9DOPDm)&Rs&&@+KrVeriXM89szAdY1%G7|TE$j}T>< z#0i5tB@H9U34@cdb&xXkCM5So`T~N8E5Mq0EP{wzg~$qoD6`86;~Y>!2y()>84+$G zB#o!o0Dqb(x^r|cw3Ws`iyXY?XBy8`hd&#bO>aWp&G?)A(G$kSi1UQe2qEs+-3uPa z?pYqsf6^%XG?F=jk)7udD9%+Pk6RG_0tIYdL6=+uZZSG35255sAU=x7xg_oY;UK(C z;(kQx5Edd79>mX$2%kbIeVUx#?OgDe-x3QAVis6dMXnh z7D14nT8hYg1kX*y>A_%6eH+?JPi+HZh)lU%%!tFED1zHlRg7<@N~WhK(a4vRxt&a` zv$xa8wBCnN_EH3~>*o=F$(@i-WDe0w_lUab0 z84|%-dXz*^Z)To7ErWS*tDi!$a_sbUlnfo8PA*>c76m}A%vpd2j2Y`=;3i6r?PN*; zsK^4jC=hWqW`R7FAWa}+tk$3fjA*G!gto7p%eW=ls9RjU76Ep-&K>oKD;sg)X*u?gvrU= zbZ$=&O}E!WNZ}l-GDiy+dD&Puic7}gR4#c8R zOG;Emqs^FzWNATykCl?g6+xodtW*iw+OkW}x8aejBzD>P_(agikJmt#@)$bS_yNs} z_9H`uUt?S&!=&tM=_o8Y{T9YO0%Z23&QXErcCWuiYJcI0&4in)dFQEc@f+pMKZ*>u z&lhOz{`|+IZI)@^=AUtl7kcFw--!7c2 z{VHNbAM;vmOpGbQ&x*ovmdDUDqONPJ6&}ZJd7U^H`s~EyZWqrxDQ0oRe5g9pwJ>K* z9GLD9b_#NhhdAb*BM&*xS@i@g8St-e+>Yjki?V35t$LobSl%Qcq6bcO!p8@F-n!Oh zS~doe#b8@a2_|Mb`B%A*$!XtPwwmgxLTW9^?YIg#&<@NG-r?XnOAa;;>JnB{iNhy;2Dq>Q z!4==+6W`<)-z4I>tU?KbE50dVYpW4_rlAYoNw962fC1LPuQ}{2AcK#IE|4P^zem-L zEo2?XRKb;C{_S^E^Ce_7Qq{j}Fe8rY&_1@+kSN^1!dDqCe&tBb-kiwZoXF0Y95}Pf ze$!r9AIRdD&8o8JwU{zd8WqT2hv>B?NiUePat3jIXr#N3T88rzM#Tt{n1fo=0 zHPX=NMEM4{1h`0(BQ?9U)Ku4;$nG@>?Xas+kbzCw05rK1z5$kTfTK3Y6`HKITcjEh zXy<0~DVm()Xu6>(=F(eaG$mvtW)2FGf0`|nJoSWX1U4m+KhYFBhC~M6OcSu#pJoVq zlKo7&xEy|_XK+6=VOHc1B5;Bw&N1-_;HU>DOL!51ego|YX3*6WW*IKQs9#T@SYJzQ zDPgku+RR!RFcIO`9KP}HF==mepaAMkF@#P;ZUscY zp-%#xat82c^_z4763{BbB8k=$mKZLfTB7v?iuD;9=Wb;lb87XhiiO%BgKRGPYRbP`vaIQ!@*$6W9* zHVG8Vd9ME2lnQFR1jZ=OcTNE1JB+vnzqkewXFSjI1=iDWZ9<&aK6CzI9VyNi28+S( zsCFh83_hlDtubI8RToxSCndZpQ%j^6BT>TZF5`lUI*w8z#YzF0$7xsJ3e4s$H}h0P z!ma#FuR>cEo2EftN82)QrpUBxE>{_RHVH`IY8N0$jsSjBI+{6*2be78ml<7Wikdhl z+#`;$;J`5m`3Oc3V_ZZdfe=MVt-UPwU3p72#iYL z2rx!vi~}7B1bS$)wcYClB>bBaSkmti-9qnVbw@cyf(K;?1rlv0R3I3=7*h`>P*}3U zC@lvi#1J4XQKp_Fne!#Mn*l72D1Oa>vaHUOYhY%k1+2=1pMj;`JsT1-OTI#WM*U=t4MMqNfl#5ljOaV|m*;1;2>{I<)Ay( zAh-r${GT%=Yx;+#L>}M4%8s-V1*wgEc@a%y_nRe=-Lr)mmQ|kghE7C{Q5q82y>qNv z%L1>!hD3o4EU*^=RwTGqMARHM>tXOMAvH-LB1v-hV~j+b2)Tw!7;CurmBT*^{;Opv z!1#e#UUdk4tohHV!>xIA-E@@uG9N%9hB|@5d=r>oPcxe%@!ynXPRfPJ6_{H@8;t_^ z^^PE7Jm(YX6URDPjz=1FB9uzBi7>-(2`ubeiTGReua-3yFx4bE7A31iJn5Z zUZVAc8w{6l8-h8ZF!pDYfZrpIYH%=o2$aS$4c8{*Hc&2$n*>DU@b?!P)d>Gpss)TS zjYv`K(~xfJcN>$e4U&@ziHTSUCsDUV>iHA}Dv-m>f$Ir-r9d;mlwF@F+YF|6F!NOi zw&ly=opEIbgmfPC@@fQ}Xb3|R-M9x}YS_pc4jS&mfWs#GEWmUbhoBz34;PT7%pPzs zCEf%0u8BSgU?Ff{0kEw9lpV0ggJXuAH$wc(dL+wX%Rlw!A?_QT+&Rf>hsnnblkmgf z%b7?-EU+3s9SH6Tjqy%|bQr>08_)uGq%zs8M-kkTjI)hNCE4KTe3=+$>yt8pb`LQi zb?QbykFaLi5K-1g`G`^~r67u5Inbk0mi=zZidUJkrzFark|^t)4^2sCHQ6_OA5l}4 zbQP=ecNIuI>Vf~B^{B?bVi;s+Wk;GN(H_qh$cH15-EWCRcCYznInazEMtZ|-h#E^Y zB(i%xN!dI;fM5!2NEFz>0)Ie&mlIw=NHU$xdKglc0|CisPRqBLcs{^?c*}Eh?*>y@ z5t7X~XeMxh0xn^pM4JhV5I7apU(47MNvJ2V8s8N?C?39ANKPfoY9N@J))S2Q27-yM z_t(@QHPI7MlCVvp>j~!>F2U6A%}Go!UE$srY0L!A$lu_X-zf4;@eRmw)Wei(@|SCp za;y;30bw%&P1AHOW0xZ!fk4?N0TDUaKR8sw2%pw+PKa}cgZ*oWZOkEkqO{P`{ckNMnV01=jFoqj%@>l%dH5dMtd z9-PRl5tbv=A+Qa!gDZ#m??L!3!b1oTBRq-lEW#@Y?!lfuTDjDGcOxI={RzQeo_#O} zf#a6#+lp{LPwW~xX}GN%8_Sms_H7wDyLBL5 zUc07gWmC&sgXOh-V6E(J?;h@mTkQ)s^$+xI9cb-|Zt3cd4@OJ3FPJxd)7IYMXzM^v zG~Uw|@92nkM2GtNy4yQjyLvZORz#~Rsw&FPnp4)(dPd*CCgdyY?dy$~#ar9DHgy@n zn|fN?JGl@3e_I8EJFpGE+kQmc7I{3EVfnY}ZN~{r7~NfMu}%{0J%e3?EnC~$LBb`N zxg8d2Y40)VEgkVKt;5|zEkir{PzN@R1OcZv0{RB4K;qwQ6wt?f^sUee zD?~rDoIlxi$jJ!?oF{hSy)Fl@2Zq97JCth$f_5xa8m;lj{JXb=h)DHetzpwq$?LQgZgraMy?Ai&4ChG; zoeytO2U+T>`4Q&JVLvXVgM>!bLsVgCgiU$}%8Zy6T2=vj)W<>*XIv}>t2krr&@yBK zGa6dvR76ABlf^5jNOsscIZD-YMIVsopv-t_)E{XRZ4~8v41L5_y@l5v*{V>;xp;RJ z&Y0s$q+gbm!%r$h$AzIy2odmN^qeX7;?RgDG|?FuqxU+E8<`1tma0D+Q#>cq!>~ix zxp}uOIh-+YOcUF>=qME~ak|mZWvp=SKP7BQ*y)V2M8KgNcmwc#u`lL>ABY>=ZQEjO zjK=NumQc9V^=8i*ob55VLl)fuoOpgH)plWW50y|8l-#l7CW8d++jd&#bGZiC&mgL6?5J^CZ?|0jC*3#x3k z^T;lA$4CdSeuqY2RC4-B3$I20JKHwGT%$1wE`dsPkqjr!aav%emj`!k`RASD3W!&) zcz)N>+#-Sj7>kCMI4|sy&W0K17%$SIi;(u1ru|c{gL38!FzAlRhXZg?*f+cwUh}$4 ze;*Cl7@&#s2xqWpNL&Wa5}pTNd4H!2t$%;eWlKBEnP5pQRK|h+4_rU&{1OJs2v6Y5 zGBL`L=UfQaI6{<68qce7m!kjDazp8;Z{*3L9G<|+oVR!KEFpvZACF&CFU?Wrd~VmG zMG*2A2*(USF}^8)lPh+~fX_I`**G&ya_;4j$_Y*4vPLm_T;K2GkiG-au zz8hfCF;Vbx*hx9Gr`SW;oVhwkB-#CZT_vYpS+ zZ0y3Q^8y$d&aJy~T7|;Ke1AQmAC}9osYRzvYpq(N>^iA<+SMh9>d8q(`?t_uM;yF zhkyKN7MdM)-ck!)?6c52u7#dHriFft`srcW&NV(0B^Kyvp$x3bC&r-qZ=vAKuydW9 zCAqql`R_?^ZRbLF%5Gvtu^q32n?s=X1W{^4Ie?Tt19#DSX4_B=_WU{(t4k1tZ9{noo!o&`p%5^4qC&#gI!yDaVvpeTj=Fi5Vyq#2D|!t zQNFozAl}*`bz3V3R}P%DrgiYlcn5?b^X#gYA;j>B#1-4{6}`Id)~$n0@gY;KDT(j> zH4gXocJ*$x+VI6b78Rw|wVe@f$CW#)=<10N)D8Ex3k8Ku$x~XphE{CvQfY|_kZTJv zTjs-z3BLQ0Lb0Q1xUpaZ4Tw@_#s_-i-B$lVSKmO_(2kb2)-z?W>IeGTVGH$u zmGw=HkmebBHQL&Uwr`7D{2U^>o!>pQ;#*p<4R%Wl8(ujNEl$WZeavKOhigenl)mih z-O`5w+6lC3J&d}no1bj#8Qj{krE7rZ73UPAGnqCWYHdHW#e9G<&c?QgcUL5}ot0Ba zd*3kJwat`NL$Y&w;GkAZ4STUqoGhs{Khx>A+^|g*GFqB=SM3mVpXw9xer%aSus0WiqBET;b_yNWj*cv z!;5hP3m#I|QvrIYbGWAs*xKJdSSGCou>}OgzvV%*8wHgB-3Ct9|( z12+!0lvPE`*3FKVZQ)QD!cZ+6#Bc%*T9_P+j>ZjJTH?K}ZQb#fu7R^G+$S8cwy=A& z=e7*Qq=u9$tWYAeX zXSHaygmYRH#+#K90{@v2n zHN*jkoRnyBn%iONjOY`9iPKLdxosV-r_G0Gd{5N9>uK)#mJj$oMF!W$l$#j%-e9JB zK5tK*P~CaW47KjA9%LA(;7=ugs`xY8^Uy|VM#7qA3h}xjJ{TX^Cf;itx<=<@nahC9i8DANKP&cP+qXYZ4eRyENbT=s}V`kZYcreD3%OqVpI&PXdU*ABWZf|-)em7I)Z&U2ke zW}H;d7c2G0dpnvoqzcfna^y(>Mv{}Wv~P{BB-E2#5}j>MZ9V;F6!JEDVlg0dg*vyM zQxhG!3}*;5(ll3U;*{LoifMgFXT;PKq%=eI;VHqK4zvR4OPFFPeuRXZy6MNM4a+8TZqp@%!ngxMI58PD<-YNz3(>S)!62VQt6c``f%)@=Kegj6aS4iLCiw+`S2W6jj>)Th-OwX+j9ufB*qH3lO#t z76B1t-$7Ot6^Dc*KqMqF37aCIqN1XLBBG+A;<&&l!{9E0J1S}zal{>0P*HKmZM?tV zsb6(E4ZQEX_jBL>=iXaT`PTECbDr~@rA{qfbt*Aox$zy_(PieZlPL31Y4~g9pyQ-r z-3(HiL7gBJ^5;Rz&ctS**W@natYAXixCVBLKh17pH*?bM=5`CawYk_ul*nR7w)jlS zw|_>7_$4knl)sYJPww!yx~Rp01l0?QcGWPeOe5FLw!Ad~k;Ov;X)k%rFtPICu`AzP*qhJaTRL5Kemq zku=fI4X{4qW75Z4mmx0mV?V~%_97{{fp77}#ElUP=J@#uKzU~;kIEY=} z|Hjte5rOg9w|xku|My^Zm-?|CD>){8vWZ`}k0g5u4IL{rCVpy6{M4BEO=99VG4Z`$ zB>I;bBWK0P+>7fL$2&V>|}1;nWXvpTdfVPypG7bnM6RBiz{n8UG^5D@n*}Nu=jE9*<6K zWZiDeeO0Xg-%9uO#Vl9SW7CAg1w)pP@u!Gqh_l3Uv0A)Pyj0}=$n-agcZ>In zJH*$-cSMd~Om{@|+pf%62dQr@a-5>vTkJ0m5l4yRMUGpHf3A3?xLUkHyhY?V#rXG% z4~U!soBAE%%i@RPw;~@vXS`%FOYA9*7yly86&H(FiZ_Y(ijRmdi2o8l6@L&pZxr)S z5i`Ynae#P=c(!<+c)56+_?Y;jxKG3fiA_E~i2=VWr-|8OcX5z-x>zP&Dc&G%6`vAo zMSSepDzI#Y@CB;+^7F@hOor*VC^bLxR&kg3 zrTDAZAmqkN7u$=y#9`v8Vu?6UTq$l9pBDcqek6V;I-GbCezg!gihae=;+f(+@e*-^ zxJ7(N?EEfo+i!|FA={K6L5;p^qh^7>@4;Z`5_YOUl%_XzZabZ zH@vl&C!Q>xBK}1z7ng`viw}r9#Mi_R#Banu#l|?M(62V)M3Ix&Q(rEgPvW4nRJ@q% zjY($}exu}D#5<(FU-DM*aT0cRk~rS%k^C>o?~4aW*#A=enMAtZ70!wBDW`}{#Viu( z+KJty?8hn) zATAS^i&u%O#hb)i#XCvZ*(`aB_^7yDe4gxz8FNX*-zWXM;-?}%BS3$@6MqtaBayBF zE(RFhNNh@W#ePg8yuI|D#GYawagaDn98DtKWXY$C1!A!{mqb2Q;(YN^gD6dqWCWo<$GKFSUeye7Jm@`5N({`FNceq?WPWdfGQYh*-bEt+d&LJyq<|NX!5_6^RLL$GDr5`Mg z5yy*DNW?o^a-mp3BEK4Op?HbHFBh*B*NXR&NO!-uRotQQXT;rNt@xJsp7@#gh4?)Q zyGO*|#ROb{vHVG53lj0#h}mLSv8UKaCei@~7h0BP@~*{gq$q4AmMLou_K9iT@^l1 z@-T6fcq)l>XNbkpmy)o5p7cw^%fu_iRV3owDESugui|~;KS=oVi1>u~io*AZ{0;*B zd0#vrekuMS9uYb72JHsLc(Db^`Ve!a?;>^+`-_9c;o^94rZ`8e5EqlMzf8Pbyh^-} zME`i0%(kq@#T^QNQQ>b$eoK5``Y$AZE&fcx4nO0-`fk+Bl~YK_EyQfHH;#8=4-)D6 zkcc-*GQZwH{Uq@(WN-9$ajx`L;(YO9g@jsIMnfRsjKS};o{8RdP+)JcAevN}{Mk2oq@F;k&u59V#A)GuJ{#+di+-WRs6RY%0xJ>MH0j$67gG$Sz;%# ztJp{ECk`WFXS8^VI9=gIVwLn4i#Lc{#An2PB+jYc759_y>ud2lk)KkgJ}9PpWW#2-l11J4;ueTa?4R53#gi~UL18BAjT7%%-~ahg~lmXL^7EqQ^s zOk6HrC9W245^ojnCXw%Eaf|qr!k-gg75^!|BYq$r6c33%lCb-$_-`>W2YS>`ikLwn zUZ&Vq>?ZaS`-&sPu_VfWHVJ!$;yGfuxIjE#Tt*_@)sn9jZzkc#28C~yevA01xLtf+ zd`Wzbgx!6T-xWU{FX$%Kaub+4%Zyax1pFOri+`68U{4`8&x@d+1Rgabl8~CbkkY#g1a0*n@=KL6V1wlS%kJRpEt_7m3%AIR4!r z-Y(uHJ|O->+%7&PzAU~bz9qgV9uU72e-wWaom@BnI5AmlBDN8;MGFh>SNp{T5r;OT zM>Ip+vNG%;qH2viNE{}P6(@+r;%xDJajCdUTqE8sZW6bO4~e@-+~3?S?iKfmhs7Vn z-^4$~pzWrQ7t_Rav4?1Wr$s&|OCBta6(@+Ni)V=?VyRdu)`-`NYen<>E%Lct@+Q&z zehd9Wk{=VF75Pzf*28P!>*4|NOYz^L&*#QZ6`P6tZaM9A7f%%XizCD_;w14ju}YjT zULam5n%{+C{~F0_Me{o`^tVgCOWZC#CGHY;i?54siigC*;?Lr5B3_R*%}|8yG8T+JnU_i{HVz96f+<5yFIu|@>}A2;@9GLq7!t}#ff3j{Jsx6og{Y^ zdx?F;LEpPeac+D}>tfO+h)H5Iv89+LwiCOE-NeD-aPbWBY_VLd63z1o@b_}bt3>m>0`!|C zKOjCNJ|;dTJ}2%LYsCYidAxX@g?z9@k8-b@sM~}{F7{lXH6TpY$!GsJBVGxo?;(yusB>C zCz=n1!tPm;r;7{4#p1={W#Ve_dU3sIp5K7I`y}5l?hv05|0TXHn&&%^?i)Iih*K2l5KZE5+-?8^l{h^IQ+&Znc_L(MdGF6RpM%Kow#27t9YOI zlxUvwLB6{s*NSh7?}#6XpNYSS=6N5a_cwO^4~ebBOwl~&gLs`K_YixFr;2BYC1R;~ zp14H3M!ZhEO}tawCO#rQEAA4_b2{+nE6G2IN5nrx2N%3-mv}KzY%6vU^F{N#4&t9A zd4M=vG|%fGyijt9SSD7A3&iuqi^a>t4dO;|i?~fR&*dN=^IQ&Sp2q>-SNIp=*J2|+ zuY&MoF*AZ@*Cfs%z7u~D{}BCreg)wnv9Xvcb`CPmxvdM=D8i%yGrs#@gDI3@gL%2;*;WY z;)~+j;``#a;*X+vP6zq%MG2N8S!^Qaik-zCVsFtrpM&kOlFt?k#VT>WcnR4Y*9+om z@p{obr-N|woDR5M`lrNQqIo_C;jc@6Q+!|iNc>#pNU^LZ77HxV<$u-IPgBz6~jiKE1E;uLYJSS>CPmxvdM=D8f?Z=TBm*GRukyiL4Q zG|%lI-u;rF6V3BF(AP@dE50LsAbuvA=XVg_Jg)=d)ihHN$zl_+o!C)4NgN@M~a$B5&_)5J5yLa{_VPh28iB3>@864!{E#0SKOMDx55wx5#xoVZ)8 z6+aR`6MrP}`^qn(&F6g}2gGc#y_hF<7f%%Xi$lec;&^egc(!PsOF}-gC6|d;iL1qR z;(GB;@ow>cajW>KxLtffd|CXb_%HE2@k8;Tct~_wy7dt!CW61R#EiQC1e#9iWU@pbV{@k8-b@sM~}bl?N~ zN1WJLOcgte`C@PJByq4fTpTA(6sL;Q#0BE{;zi=6;#J~maheeyk9iWgJJtM z$*+s%c`$6hFZomPbMdhFgZP{Hr)ZuN!*)EMmjaVT^V}HZ&XV)RK4L#{h&Vz#MVutg z66c6j;(YM}@j`K(xL&+dyj#3qG|!E}zego+7x#+$#1F)e#V^FK#UI6AMDv^&?56NJ zDX_WNO3V>+#R1|F(L5)Hbf-u@O*~UH&x;|vMDl#`Jn>5L8u3oiJQs#^<~cC%3F%)E z_lO^fpNT>Ku6cs{ja}ZC!C}H&--JorpU5R~-kwk59Iy|GW9dK=W9�`As0hxW7i? zK3f5a`*E|$4(=B}c%OeE<*s?<3HqSk_*IQv64i&YeZ8X$crVf5Lb$;#5LkNalN=f z+$i27ZWgzQ+r&r2C&ZoNvtq5dSKKGQEAAIR5f6%o#3SPGqQ&2TQ9kotGHBjQ&cNjl z^`QCP8_bX#7IVa0v9p*j_7n$-L&cGz`JEhg&F|#k6zL1ZVsW-uCN2~gi_662;wo{C zxK3Oz-b03QA5h#PZWA97pAdJ6yTw{@uehJY{_u%-P&_0a7Jm>i>4}N&7eiu#m`-B9 z$`He1j+iTU7W;_(#DU^aae_ESoGMNe3&dh^wpb=EB(Z-l7MF?3#TDX8ahD31X6%CZ>xSVpufK z0l=<#-yGvxPwK%wVn1=9I8>Y^Tr4gVmy4^!HR3vPy|_W# zC~gtAiI0d+h_TNT)Jnfs+)rX$|3o|}n&%9lKP>qNkpsVZenKf-aT$qzv0Pk1qFz>tPjMVTdChzZD5u#!Q9jczQLdL*Zzzv> zZUW`lgShnHL0tO#D1R4(zoYoO8T{O<-_OkNO7N>Me}{lSHt);BkH;E=$R8#xUq2oH zVKYZ^E(zN`CHEoW&jiU+NcdGIxsrsj<&syB@N=`|EhPLk$0g+VE@k*_jysTlrySOC z26CFl#at3{XA<>oju((eQbxJVaRIV9E}(qoH~`rk2T;y6N^iz{l-J6uUNpC+a0XaY z<#M*Sg}G5{tUNO(Xx+)G8X!N@DM{COX=_*-7ud(tt zXECM3Ekkj2D^Vf?vJ0@NMWR4Zy;>9b50z;QI zPyy4D5C8>0eh@x z+QU#DvB&39qwVp$W;4RQ#k1!_q-cA4qwFWP!9?tx9b=E@8)FgfEuMd8#Mt{C_PqAME&YhS1u^z` z9x>80rg#*qW9%iIUsqln<|6j4i?PS^farbG^Y5A%dudVjaF~qP`&*2?%`mWr4r%f1 zasH<0_RWa0$1#QR*gm{&!T-qk!g+&oAbX2v@7Wl8IZ^g-OC)0NQ`z&<7sFnsTu*ZI zqV!>mz0R<=42IZW+FSgmf%i5`Yvk=+KHzmeiaH2csSggH7#EY)yvWHL(L}EE|uzL2|#@Jg6d+Qje#q%#S z#@->=<1>l0htr*iz1}hQ@=n7u#_Z5qJbOK2?ESvoz4t)!K(?tM-Ohx4h>-){N&y?XTuhkNwO?*U*bh+jHv>~MUNBjSsV zmZ_8Qtj%&06mZ9lT+CgE&YkP8bryct$=)+?cI5~w{QH5O!}A}sa)%r3kUdt1LA65` z`Z~3%%^KHfuGOi3tuK2><(#rXh3J-@f_u{TU*6*F*%|mjs~x7QXi<8_;Gf>I3tN;f zFPPZknS$np>k6#Sf!h5ARycpVU3hW9GX=AYt?-cp&&)>ZT7PMa(v8Ds3~f<((d?TF zE}Ct1jH?Zed%a*z*=q+F`{{xY5BSH;Dc*I!A09aPy#o&ZzJ0)yBDbaSFntfbOW$Lk zG^EnCX~X8qdNzHvR`~UT$`St2R(Nvpug2ET#?}wUmeaaHt#6?f{;s1{TRCFtLSLUD zgQpJq<$x9b`M{9}1Nb^?Gu|J}&8@XNwA*KOYFWEscFWmTZffmCajo}!G`mg5mTy^^ zzhn(5u(BsvzGW9yK3~a_I)y_DFDZPXu+jdHP_hPlGOU$Z4aTgSYUPG%|NQyie_FZr z9@NG5Y|GC4;J~u1aOS$HOZzh9TjQ5)C7~rcnR4cuawgVV*$tOnxzNgaCocHL%B*B# zEyY+1TS?p98n93U%S{bjfm*!+c?WA>M@=rvS~r!}KR@7%o?QIa0e?>M;E&PXtk1&_ zK0mz2IP1Z(GOKgA)^3+wT2X4BlwF7k1NMB3wz6yQfUQhxPO+6`Wgjd{@8*`E(VmYN z+MQb0&a7lgUu|}&)# zYjB%^Ouc{4Ib~LO&G4d9c)F+6{s`Lvn4rm0WrwdQ^5{a_NSGms@;PkXpE= zfb~~2{Mmw*rNzVVKagE`N5O{$KOM*}Ei1m;NFNoH72lz9B|Yf+>FjaFIHOyXByG3R zhkkSW5Xzl|2mY6Dv9qnLuyxj8-@R_^pY}L6Ti5w+w$|C#tsC(TY^{3mo9_e5tTUKfn(ZIR3c1AUUDH zhZz2xkpD0SME^_p6X?(k#~}+}9_x5IN`~kiP;C}`w0^^%+G^#j0`tF3{_=ZowAM`&4ZJh1cq5g0p^aP}! z{~7%C^VOnYTFNkPUxu(?dg@ecH+Y+h-;q@?Ck>;$<*$IjVCU3Jxcws&<)`83ZTZKd z_)gozmQXpi?<`0`e=dZ0yC?oO+=@7s|4eMgZA{7n65?zYG4Ad}zHQYAzk1;B8~9^e zenyVF&l$*Q0e=Td&nNO^suABTu@Wc4`qISf@pnn$0F>+kz94HYPOQM+^AnTd;dzZY zcORykUuyYzH#qJ!XBOb+%#v~cYRnA$-y&Yzr)E1TDejL3O<;*n(pho;&fEpyj!iPY zFl)vAnc*O;*I>xb3S(fXVKe->%&TUDn za3uL*iscd$l7B@<3RPsdp-C*06{?h&mOKZQ7&=#x)059ZuL)IUx@E{n=F1ejCs zC&S54vLrRlT`@Dc0Wu8DYw3EGm7IkN2+eQqirLAHnRbDyD<^p}Gg{cfwVazAV2KuG zn7e)1os%mW`Mg$cUFIi$L!ZxA+MZ3SE3MGt95-p71Bv0t*EahJ4m#ewK) z?OD}J;c`ak!6as2s`{ZwRR`$j!|C;^`jPro{b>EFek@YeAFys8Z}~V}U{xPvg*?&1 z6%&#RSjO!tR8sOH*3Xj?(~^%cbcZabC;vflXT~eWRCD-!Eu#DR+EVBuhh+$jMvSCT zXjOxYv5|~#1z4fgS=@urVVV?H&9Xw*X1g`!xBUNLitC)S5H;;l)MhxeHmN&|nZn-? zDZJ@t>+1Kj8zY6^$l~2pzwkFl3eQWY(E2P|W#KPpo82NYAvr+t)-1Ojl9HFR@V7}! zOXh25p$(ZVi7z`HrM(7Q{^yzIc8A-cJ}{e<6uP?sYtwjrPsD4}WcSu@vil-lzrY@_ zsdc@*V{^o7(`0{(G}$$<9=cz>CjpMImi@dN8G67Og}|n?!vVD!Pf>mJ>4gS=fuqK! zUG;r>vA$0))%WS;`abQh@6#(0pZM-t=+*F-W;aVnz6lJymf?ztmcIpSsn(%SN$H_~ zHQ*~%$;+AMP3ATe^;St zmj5Fre$U}pkdzbJ-(W0yg(>`p^$Y(|{lb4-zwn>bFZ`$V3;$W9@M+u~5417wiDf5P ze*Pd7I_U5{v9txqIU)3Q(hkpqZ|Zw+xV{J9Mm#VB*LM*QOwav3i)Ju%yFK>@i6QiX zsR;02ia((r9d0M}3>|53F}js0_Am7_{k49kzeO@N{qXllrW|TRf7CDbzv~zKPp{Z1 z->?mEG;w#IoRoIleQaC9T5ihQtQDW#ica~mJEy!#XC1q>E9avOeDN%mchN)m@Z%l` z=?S5Dy8$n(n)6uG(DsUvVg`W(TaMc)Gw5j}Pxhslf+X5rNm5Kn8rxn`Qp_%uWP4?? zQhPJoWZPK_@6tn|7Pd1R*}D0(^z2$GT^TLIZu6Px=yu9T7NV6McK2N6+qy1aGo-Y! zHHi3WI@=ChN7Dn@M|12fcj&cJ%wW{klTFkvcHl`yy`H(`*Si*cqNR4vCfj;BHYd$-d_JNL%P6JI4+7H|MwOLjAnpU)90b*GV&aK=bW4OxH4 zj9J9nd?TzO|3LGUDP)<^ucDrRpyzlU^e;F1d#L9hXgHJw{d`9(-ew*Rx&J`Jx5QBS z!)+%y0mbM=H%Hi+?tp2ftfxHEZlj}Z%39XLC{GThEaBcc+74@gwbH|(v9_~5Vrrb7 zNg>jXr`TavMvbsbjrYPsZjDW_Te{&1DP3rKq8Faz*5D+&l^dSs*5G6>etHTX3${X2 z?B;HG2Fk{fHGN~Kncd(N+?Y<%fL*(6~*&`5p$b?yV1cB`Ke z&zGI#HgPw1-|}UrxdrHL2izYvho1$yp3vAU@@_kqMLq=WL9DI*0l|L7PGFKmteh4jQe-EI7GJaDK8BZ(A_vk{WYQFw z<8>FTSWJ=89=LJmrcLJyVy4K{`uK;p@w_4rMKVsUfg&G7L0VvgPy8C!?bq#;oZq13 zZvdxbZ5x-y!n92zOp)8Om7k1h?PaWo32oO96WiWSn2zu|1~2SL}^;V*e@eTo&x@uVArcG~-ypLZE8<6Z)lQjDQ6r_GfxX(_=c^cY>5#b+L+rG-O zPH6j1q{uBpP+X59vrt^ZSoa~S&3C6VcpZq~_Ph|}-SZ;|X~zpUC{N=36MJI2K?s64 zVkkTx&O`eLjBE7i*+`{P{F-qaF7r{EpO5un)AXAJWv%BqZW9 zIauoIXM&qH{X}GR{Q8-NWF;nPHs5NhUq83lNjYKs0LbTZ&cm9M24OWeIEd$jAp8{@ z8!7yb#M`mK8$oS5BLvlWA~CV;aAH#1$;9Ng1q8ZN(4U2xX#YMd_-fqX=OM)5@RVEF z&1hI79q!`TgJAAd9pc&lBv|cD-?<7Y8Rl>=Hz}d1O9`Par39<}d9<_A%aePDNeN9| zN(gl+C0On6WlDY?-FV9mWl}=Jl(~i7O{hs|JV$^L?qe}L!4yM+)qW~XUICNbLmak& zF$qnbGZ5;Wkzlp2Wz}7dl#J-`oiLLU8g@tH47S+|a|?TznCybNg+0wS`=49Ah*amK zCptE8nM^IiH@dA7u(&9|2(C#OYFuxb+$SUgERJ68&@aT z;DVdAmhS?~{tgM<0c5P*g9#6zAH9#Yl`$~RHE=5Y7=g8eIij9oH#TNEUCWBdmk+c$ zR#wl#LEW{QZ|BqMP9)xf)wSBqwb~Cw=*+bi2SwDsWf$4%WbW8R!f!#*h;cFY*LsXO~5PfIzMMprXLZW_+Mxf1d+>INaDy=fBrD8H-n z0d;1u+;lGc>R?LT!fXA&|9mJ_wz8@)1Kg~ zF%ipFu8RtT)4;-2~4-^sd5V zVD=Ra8Mp(=$FX)tGV3*Z_U`9nwrsgFh>&KeNAnLRX>F7=ew`3xx63t8}j$v z-P)$)48H>7gl|wQo5>trZw=w#;2YGNgM&5fQ~Yr*Ot%|Da)yn;nBbf*sr^cX`QNbH zm=WLM6n*@XwiyheOy5&u)t(?D$wm(Qc2a z2!7dZLFaoL33}u~^H#KGv~53!VsQ2CO@+h>$jl^UuO*MM?I+RBT;pEzB_MD%0=fG3 zB_WV=ZgCA=Z!>N(>X2*P3nngS)H1Vb)g~k5$!IXHzBf`W#B7_G+R#aCnRse28AC@B zjQDb_h7C_{=pc@xP1KLSspTa0ZFo|{6B~}gNE&F2Na$V!<+vtRdkhDmb{3@uCm6&x zvD+uukQ)Y!oZz=lZpiqq9fmZ@h1m>PN;DhTHyWD__>q)qH*8oJ69Y?~1AWkmQltpb zBPvqmqEX-|oN7CX(H5E@LC7lt6EwB$QK|HzStk7B#761L6DFu{t`w0hTJ&_CFp2>Q z{ZQnVdG>$=;~A9g6Go*b+bQ{)8S&cp?xZhWcI{N4@#UBiN?H<+(gWd)xs#pp}KT!jSW;pyLw(i z(LN7#hpJMMkSA&bGxM4HGlj=K7+nmUvPIj#X2S)q_zVCqx?A z_;8t>P_LDH)ia9ty`hM7HF?#?GtIg@(G{+v@xJwq$Mm3+q6)HhRZu@at`!(z3C4@?;AsC)X)4 zgqmB3QA&)^b$1Qj?CC;mF4VZ?AamXAqsl=ATqPJKDjN!3VSHBpZQ)219=P0v*AMu) z%9xM}+cyR=(Jly+1TMFxOqWz^1; zg5<83|MSL-IAJ!l^AfBJ?41PNa~p|UZcdYlw0HR+cfLkZK0mR@)0Jny^0mRry05;5WbRls=0wMn=^2WYvmNfj0%99r# z|4n(_bnf5iJz^tN*s+hfM|f#Y!v;!6OvUQv#H|@_08xz9vF1H%HrBd&;wG$a0&cB$ z0|;j924JIZ@zFVN$-{Wr zf@O5wOpC0Y^B(s0HexHsg$5>iGho&do0!)c6z~tMQ$tfnz6vFd!a^&dm^=?{l zBTkrx%>t~bP}UoZip}|e>q<;7@iIi^c`1EXH{&{!avO*&b*@gXbFN;u_93p<=s|Zo zM7DFcqdNdJ-l_ZqFN$qVF>3RNqjnf83SeaXVbAnqWqhcQnXG=#3nOOqnV3(N#-5K$ zi$8B;_h%#{fRQD-C?RNJC5_zYZr3XcU8R%g8XN)`1)KQmE;NND9;+K`3S&Kj6$K_9 z!-~-?fpil`)dTKhL){lEip+!s?k4_57Pg){%1N zE$Yvu*#4`DKbg1>tIw)QqJK}j>Ro{AYP}BN9#j6aqMU6r5oV`w7n)jy6+0}k&TLL3wz%55GE8Jq+%iPQS7=NRV<)E3GOW@4hvBgc zTOWQAUCic0qB~Z11ml*Pk6^#R4o94c)s4fg0;~uim@Q1=uUXjdW0(K^T-{wI#(Vk% zC!_q0c8sA><(mr8EuR<3ZDmSzO_rj-jpA4_L*&rKg_XjEO~xf`{d*5z2)t=JvCr5GE>i?hay1hSR#u;ycBP4>jf-MJ6e zeptPQVXQo_UOjyxHn_5mXv8VITmHY2&W{3wq6(3KZEsDA(#LN8Ps+!( z<+9O*Ik)8|+nCE@7ym2$pFfp4))wsQKKr-CMi`LIkPO+*%j@d?pIkAos;I;o+PCY7 z(wdR;W^~1D5M8P(X4WhytSad`WZdLYlc%5Hvg?ovs793+mCY+Iv5NXmtE{S+RaH1Q zJhQZ{q&l3tuurcJ(`J>=3l~<+4VTQFQBqu7QXH;f&I0rLN&=a|?@RbMlJ+wG65kVeSmC{MhhH6w!GKtM1j= zB8-bhEGwOnH`^16P$AQc=DLcaxz(lB(`OYGK|~LkUR*M>a9&x>^qNJLCDqVjoS$A@ zQ;gT%AfW5H@hhskL&OhK%&UU&xW@%fwgT*Bmh*4h4mhcPpYzNT8;`T^gcuCkfo7J^ zZ|4O%1P2G4v_NBM?94!db6z-%lOV(ytQ@~f|-G&V3R=OR#0&h1!!$H1F4Wq>OeB+ z1heE*SEG-2zCrFNs1tNnED1-9vG}wkHVp)t1X@5B?|fy4!*Ir_48!4j>2O*g0U<$Z zy9SzJGY~w(6xa#oM+c$6MRp+FX%!BHoT6|ZGD!C_h-Fh_&PlPEYdsg2AlHIrAE$${}Z?4gU;_Tn(i!Lf|A?L9}rOf zOHf;Gjrnwr`qupsE12M>Z10!?Xz2~UW$al>F*Yj~TL zitfa2mv8z~M>k?ar(Hh;woOH=CFf+e#CEG5IpgwB)EBHU;>HD?v-+_YF zx4SvmW8F3$9d_~W>j-V9Dg9ixR&j7HzUYIcK|`H&d-Y-|2)|ncriO1>NxCmr4zk8^l46`iQ$us^1@I1?wywAMrq>gSjsYVN2e;|xfMp!aL{JoO>7BAb4+o}_|U`i z=nmsinsLVL$Fa`z2s*#XnM=k*ay& zSXDC+2U^>$nj=ffVaalJXy^Ja3SUh?fgLQA%@TQTOy*wyoAyX#Lz#Gglx z(6Y@AI@iDnh8VKQSNm2+<7^woi-oKlkP!hqda+cNnWJW z{MGYh>v27qhe7CsKvJY(&}yyGgC1K-ztDf&Q-*GyC;QxrX&tGUTaV>Vx1jTPx$|07 z#ccE3*?2s6nyAzK4cWW(@-K}1u@zHXQdYQVdL`$jtE!lRcjL+n=ayJ=ORB32XO&Dp zcV5Z75(`u2RaI3~O~CYYb4zMUs-T!sI=7^1d^xT!@RIgCGyR;>AaGL6LKBXO_vTev zGs_BRRa-?frt_^RnL4FnT*=&uszq+(ahRQM;q+>2`t(^PHPa_d8Z~avfCXK)pJUUkqM0S=#HeWqqD0@3X3t>-=ulv<)!7bpdIHHej>|00dEJIvYc9udH3d6 zGcaQxt(dgqXPi?~gv$WSo#N2M8&zFr$S8)-D=$Kn>C+MEs2HfJx)}!%YbGLFrZG$g z(Vif5PDxdHNtuOf5xm4{oUKFwt4eDYO`lO%&2~cZYvxrWD(V{5JG~N@XjWNiH43|+ zyrgP+jVY})&#MBk4`Z7KF!5X$%d1Q3sTc^gVh#x{<)>)g*7G9O=rQ?f0Z?pjiC0KSyfVExt(mf zyWhLTG+kQyb1E>(xWkSyV&*z!YqKY!70`jLx#vzdYIhf9l}$l^aT{`!*XHBLKoPx* zaLUf86mDOy>x#(2bc*WI^GZqE4Nd-{oM>~tV8Zv(@U$)Ew`#?qeq16?SiH{iYw;LC@V3;fZ4^Ef>vi*3kplU z>`hrFm!Jp13Wr+P8f($@%+zDiys9d9cV~b}Zd$c&yz_Q2)8uSmc!%K$`FSIvsa3Nl zc;i|0UZb{%j_!`}-Y5{Mce87;3z)j~@-^icSE+8}4lJxDqaS02RI|UHQc+VnlSd>Y zjlvjJ?G-+{vWK&an^Jh~>v~>NUOf3UFYiGZ(2&K^BOofN?%1R5;Q8-~UdOTKR=Rru z?=#gM1Ixj#FiY81iZ~bSR!_67E|(NJRI-QN`>q=dnR}IIgUyuEEJb zMG+2xURgM5nF^hRIP+0<_fTxyaO>8j<-lv|W@z7O?hVIj(Kj5Y>4u}rX_KampWGdP zr%szbwsb~SVb!8(BZdr_Hg4#c=%zOvLq+foc_zy#W{1Px;~i{q+$pJ=Zw~KXucF^| zokqRL?S7``n*KQ5G@h3lckx(7sCP4_u@W)9@%&|aMWs6emR46q&Wa|R!3xJdH|tT= z!xk2mn0P$hF`1MWV?VP{w7%h74&#Gx19g~p19b|j%-lf5$lj&i4b%?DAM0`Jkaw~% z*Au3d^es+IXk=ul%)-0U>DnfEcY07_!gA*lAKw_N7k6xo%sbcK-D_-`JJ^E~(=QG# z4_xBE)VYinqJPaOL!7~hq2<(vnLrDFc^H(KR+nl>V)_c){ESW;HgVjv(f+op(+^HW zKy;X!24yjx9Mj%WKIXXg{$o9VnL(NU%lLyjAyOf4ZCiFGHUqsTcNu2|6XM1-uv7eL zb`!gqlWsS+TiDUFJ0c<$wt=_!t#AMIwn`1Od0 z`^%Fr>eo6(a&R}ge*A!y4Lx&ZKk*^FC%6HtyWsA1)Z$<=u=?ratlkJ`diEtB!kK;~ zR(HW`7Eud+tdB|Gz#4&Yrf0wNaSoEG#lde<_4A82zm73Je_in*ocS$`q~UK^b&G@E zUO#<;H3z{=&%WzJIMdI@>MjZ1hM|Ms@am^e#P7>)`hB1e;Y`2R#O9y+znwW~jr!@6 zO#He&UH`Wz2fr8AkMI4)8(L6F)sBe!7Vt zj>M|-oGJ%TgV(p;A|`%|NJ=+;Mojz+6QBF2yVRA0vSYQ5iQhWLeru(3;aK4qIV(nH zZ?9V%t8JZtEyv7MUZ--b4t0VF=Z;^uIOf5DXt{HpfGr0z=&p>?u(j95$eUv1Ct~E< z7#%u!N1`#;ZqeJHsNVjQ9Ze-RVA5imV(iF zX+61xb){sEqbb;{*VhY2dqrO`Q~&gew+~E=W1VM43TbYW$dI}3Vs1T{ zb1wG+!GsyvJ@JV-iF2=eOgPJZ)cLP#Df-TcIk8f_y84qsmE|4>d;{I_oSbUmDS<&~ zLUOP>?o!Vu8RCbyPh!Lmb;nccyHh{ReR3gwxI0c#&!bvA4iX$qd;>N1w{jnEj2|r- z?+9`<@Zk^=CNZ=dVu)qm^I>Q-GTwB>sfFdkfrz8GuOD9Y6Jf&0h+_HrT4rzeorE`< zq=(9cqezBu(Qt}*hB!+s7puh!#Y@FC;*H|n;{D(2N0C2dQ{Pm~6^DqE z#6q!BTq<5I-Xd-m9~WN|Ih_jgIVk=t25~w>J?9S~bHpCvU~!^YE?yvBC*Ch^7k7*A zh+l}mh#|k5Uo)|tc!D@aED@KBtHe#>W8#bAKJkF~lgL?FS-vzeTjZRI)EA1C;!^Qy z@fLBj__X+==*JF3JE>xp*i9TLju)qkHR8qMwc_pK7IBC8n)sb)W8Y@JoNJzJBj$pVvTsQc&&K5xJ7(k{9HUD#)aJcnu=}3p5hR3vREWmi&uzS#GN8%4q-X=i-$$b zP-yh&Vy@Un94Sr}tHq1OTgCgux5UrIU&IC&Bbk45v4eP`I7KWLFBU%+;~To^TZkRS zzT#+ckNBbZt!QHaX8svsXOZs&Q$JRmCYFhd#g*dU#O>lM;(Ov(;vXU>SD^h?;&^eI z$Vn9#zKF!&e}Q-**~hZ3RQL^&Zx%O5zgaS8JYf7sN!WRk#6jdW$$KTgD}G8M{^#P4 zB+~t=@P;^+QBD%m#4w3;Ibv7ody0L;VG185PA5^$GBO_%N{BTIU!w3UBwsCFC;e@b z?-Vzau=95k<$PN53zANm`WmDGcim0_F^ZokHY(j zlSq_vI@tx!TZyw2&iM)$et~4pVZeMYmHt}EYsK40*x5*;oDWJi-zQ_arGJ@(o!7*7 z6mP%6zm@!x_^TL9a?{0&O-SU&2@%NFVkd=n6^D^1$0V{N-cu3JRCuw%D<#*6i=iZxin!Vdrlo%KfC|XC%KY?j_;R zKJgP0={{HZuaf^19h{3YyfKM%sbXvCv&HsePlfjpPa#pxv&bCuYq3b-a}~Zw@&)2W z(ytabh!2R5lkjJUxQm4SS4foqeaRn7{!;vbM7krQKh;gwfJC|$lG}*cVpkIBdWa`W zKSUfMPEq(&u}~}#%f%}3d~vDx0Ezl~ReVSMTnynh2*aC*+2UYvym+>_LcCtQL);?1 zCcZ0vA$Gw92J`7Fju5Aag<^$xk$8=Gi+CT2edm7hap_+mQJ*i1wbH*UdB6Cn^xuno ztJQ~NBPJ*@OeW!HD-!lH#dgwnm)uJnKqCE6674-v`cuVeBL*g8{pEyeTDJ1G~s(7~arIP20)zU8|(Kjy^FH`txg|C;qLA*=)Et0p1 zk4pcngJXJi{p5hs34_QCx&Tv6Q0d1@o-9t4zDV*cv5bWMN^ud1e3vVHg}7SbYf1FK zJ0#yNdAsDN#plIW#e?EkB+~yP`QMTgTR@L;rHC0M{K^#DiYF-iL~)4pBgwvKN9m`D zC1R;qPWH8|1(MGfmy0XJYe?k3g+zTkDee(J5D$sJib14d{1maZ*hxH594<}~XNuM0 zh2k~h9pV=88SxDg`^H=1dnD@dOYs}=i1@o0$Z*relYKG&5s7qd#10D26T6E;6h15`8Sz!|pW?gXei65$%=HOwLm1+a;9jqY zxngIrhuB*jBn}hDiW9_QakhBAxKvyvt`YARH;G%ths0fEKE7Qh?iKfmhs49;&*E=l zBJXP|^W^ZdS2Tqv6RbI_anaNs)WZxinn z|0e!jd_;UgtQGf)oY=6Sfe z9|*RRoGEhlWQO+_hl(S`N#beZ*&-+6WI9f?O!A{QBr^V+* zPI%1o@pr{fMDyKp==t+4!~J4Iv9Z`(Y$fK1xne(Ypvd`*X^#^mlANTNGyExWm$+NxWV1Z4JuLn#{wDI=is3;qNi_F4p>HX+S|;#=Z- z;wR!k@jLM+@ek4F{ZZI$DDpE?l$(kzM9#2Fy}3UNj*vV?cMRUIy@gA1^xcHp- zqF5{L72g*>5;^4<^9zUxVv^WGY%QAm*4XYKncvf6`d(sxagaDh950?Go+)xZWTsyz zE)_2puN1El*NQiZX5v$9KPdSL(cB-$_6w3<7Waz#L{7NO{C^hz6dm5*#&*1zC^iwB zi(xTG>@4zg9Zb(ToJmflN=_0_6VDb4Me~Uq#4D4`DV~|m+?NNhkbJes`J6eX-79Vp zw~72z55u1pUld;vIrTHczZAa{e-inMHpBg5L$R@#N#Z)Kt=L8ECUTx=hL01??*Wjf zN}etjiF3tsMb0VB^sB@h#GA#t#Cydp;x_R~@oDiz@fDHtQ`7!8;!onQqRrnK5FQW{ z#3Zqq*ivjOb`Uw&HPa6l$B7fgsp2$|-{oQabHsDSc_Qb@X83jDP2#QMUE;ms7Li}# zVLHyKO}--T759nz#ZN@ex6Sy!iw?$E%5frR)#mkb3o%P1_5=;!Y!h#wL;T{h)RF<0y?n%|2MZhkKUIhi)& zpDOZeLX-=|*`oRV2>NQt3qH=6u{tzd^iP+$3%l9}>5VPl>z4-6E%<=Jodn z;sNnXkrPuhys_9+Y$0ZfZN;u)53#R!vN%i}B~BJk7yly85Y6vM$o~?_E5%jf4dTt> zUE;ms7IB;SxVS@nQG7+*EAA6H(KgF(erE!YNd8@PaBj-*IMMvR1ljz)1h$pFgNV~C z%h$_&?uN&ebjmocg-Psdxg_G|lNe`kOT*Y7NTS_GlGvXokl6pHk~z3OB-`sbppLly zrQ8MQ6(o!;BYWTviTrRmV2DjaWNnxv;&!`{J^yff!pLC}SsK~+3nQH+8-F49lRQuy zDvlJ#il!WhKSgo@31^DM*G)4;y&?R@t}A}JS_eoTD(7i{LS-5U`TR;Xr4cUK237Em?4J695J8F!F>d= zkJwKfD4P2wh&Ms<6mhCJO`J`lADR0oV5Q_5aiO?aG|xF99zT`EbgRTQ;sz4^aie&T zxLMpHZWDKk&x*Um-QqqH{rX*TzxauGP&_0a5q}pgpPSw<^1B1vKhnf>ksn8+J}l;l z`J%aRgK%@-2J9#OND}+mSaE_lMVu;{`#Oj>TXLCLDb|SQeh$V7b3X@ML464IEv_Qb z|JR7-eovC__kbIy$2hW4yobd8VV?T}w@^lZ+a^9jVtjc*+(~BPdRyE@q95%RYe}^G zUU46Z_I_8~Polj(5f756uS4Qt67}$dxP$G4a^gh`Q_ib+e+K32<_A$8^IR9oQ;s++ zM{i!Y!vBj*hwP)H141MH6Ft@k{UUe zgsp*+hm!DTg5)VA{3?)KOv1lql9!Y4bDiY%B>Xkw3-a4Z8Gf7b1hN@V;QwL8H{(VQ ze%EJxAUuggd2%G@k|$|F^<;YPUXIv zn}@f`^7z7V=?uKJT#erIA1~L{B{xTkRceBLCV;C27eG9we ze;cne;>6~g2A#L~wJ{2ejyDqWMlTZR#T$lg+!8S30E2U;x_)5SvM^kjc=W3nYqY&X zkjG;67SCP*6w&rNN7;)*7~|32d03N;W(9>tfiM%ycn zvWH8Oh`j^Yj<%PEjuVE?TRi_hh9cVD;wXDb2=n~oTyD|!dct0=XH4-Z{(&{x-Wu3r zJF))o=uX65MvT2_$MG*6@uKamkFv-9>iO3##@^!N*y|EwZ)21_Jem=)H#)}Ndf3ZB zxVL!q$9Gkt{o5R6FCCFR{|aO5?L3Y>{!SNd@Bd=&P2i&{vcBP}-b06wq(cZ0pwpd= z1VRXeU6usGu51D>!*mF00F{g@E~ALcgUdK5j-uiWio4^6qK<+aj=N*W756A=+y!w# zozeIIKUMd32heYx_j#Z1_kQp9-d~@tI#uVKI>B@&A#g6 z*gfQJPLs!e;^=qr9`Z5~QS4;a(h=qR`sw;TmL`v1J~{IE-adZrRgH*`(mJLScl{pn zo`pQVgT?j|1vv8f{=dURp#?=aB8rjra^koicKnRmRf4@1He`D_aa=#A=c5>%m+wq6 z-8jHWm){vUJQPudd(+kQ*vpA~aSwSu3@$4`LwQiamG{XW@*addcd&-nk;m^E()BwF z^43Es%HtUC=*M-t!-HV;;BdVA!hywJP8`?y>GF!tF!#Qc*B9W(3t`+L55swd8Zc&) z(O!%r@VRX|-H`_v%h7w%MJ=5Zz8Q2Y$Y?L7%c~G{>H4iZ!>sYB2bOEDems{OO}&LY zyhhD5_tpkWl?9Ukse3hpj?eN2B4jLp>1G3%Cgi!-^0}~4oTi`S#cP!v=q^}^XSQhx zICT7!Y!U6(R4p3-13S@yLuv-r46dyiRvV30Gr;~2tgaq1WC-F153GS{FIxC>wav1 zxFIw(d(&&p<9evR!MA>ihEi8-`f`{5OjQ|Z{c=|}Tu-a_0QJs_EdeX%-=#Sag=&h$ zr>}=6lgDiy3vg>p@&F>ApVk??zTim+@rL~T!o?f%@jfyj&Ra|W{wM=aNmfq;d9~*^z&iPT>~MkKWGz5oxQV3|J`kdGmO>-U+(2tGBl9{;Cg{swBF+&PF9mB{x+K@oV~dn%mlAW|J4JR*Al zV^ zby?J-n2XIl44Rp{ka^rWm`bxu3JnE{e&WbX?`Y7?%oO95;pKLr0_*YrOn$ExQupqQOhEc^IqY z`!YA*(!|zwY+{$Y`F@8ra7D*_U+L!iD{8PVMybsAIYh6rXlCw1RQk^`Qw7<%{PHjO z7mMcQ@&%mW`Xc7U6E8qU`@`qs>m0$Wz4S-2gEwTb3`OA^U4^BhZt7T3H@gbo#j3ca zcZWXlS65-Fs9W8N;>qB_+iaW3gbtsxu-{-dZuh>2$UMq90XlT8hQ~vlp2+yl(do&K zIz818sW^h`&cp6#g9bFNODF@yhze#-RXnYl+(ndb`)nx%X%F*@m`PLYD(D;XTK za|bZ+RogLC?h@AgHk&P9Za2^dU$e2H++B=)z0kCi%-ja@zG3@VcJ35b%bPYfPx&U0 z`7N&($wZ2SZ5bcHBNg&)$IQRiG4tCyX8!$-ng5_;=09{Z|1N8^m%qw3HwwaH- zoY%59LX*tk7uoYMh>8YZcGO@;M-6tm8pv?DU@1>)r=h}38r_zr}ahmWG;+RxWK z^1oygF4i$K;Hvy{D7wVKg|xr+&m(D)sZ{BxLz>H?8=(7`q6TSoFs8llfwVh}4yjSCl|i%I1xKotBY$S zI+QO(HAMHkTZ)$B+AGi{;ESk_G&we^aStt+4=a5p67aXI#yAWoy9ILzjECecFM%!^ZQ(o1G z`|_Jv7j?P|PnuT;0uZE!T8?^Uk`11Khb#S6C zG6SZ{f0g(o-N)d*{2N&glN~&i{|d7)MMq71rYeXAr)h63?iG!w>AHxBTaWw0TDRc7 z{Eyih4{+i`ro?9Go+dsspNrDqOea3ul;AAg%f#oI5}fVO7vyuJB{)ZSH}QqY8%NfH zYlGc%MmrVyoB|7U(UTygLg$mAyW1V|!;Ft=|Mj-b|AB3~NcZB<;)!RQDu(T0_AO65 z&tzbz_Ok(FjkX3GAsTi z0&jqS1EBZ^AbLhIj+9n<9^Qx5lTdbgZpX~B-ZW*I%+8f5V+R610+`Islg#!*AyfcT znFWE;2M3P1K~`QVx>oH2gu%Y3FXyC5sh z7d4h4ekq{1nz@`&%#VDT*^+Y*s1Lv~-*CNTFKryi0M=#M3^YQ=|5(beO739g?r*vH zVux_;pT=eV!e#uboKJl9(1_cJ%Ax6MhVhjSQ?5g+m@v1-?f{fYBN`^<+L!s7g1W8H zGju%~+Az$`x+o=$dv)(n8k>rdR@9f$GC;_Oi$+?^NJELHq*dsd=5+MRs}byRPJ^%P zkBP_QoCe=7fjF;w8a$hA|4Sj(>zoGP@9)Ii)8MJAA#hHEuhP1JD+EC3p|1b!hoJ9$ z=y_OAp@VYh6adUQX7u%t2syc&!qU>>9xtna)Xqf`UQ~-$v2k42q8%K{ zz<}jmRL231>c4dTXZZddy~be<{J*C|?REUrf9`ZB^I*X}9qPa9Kqx-La8I7XPPTJ6 z02bUlS)&>UW8fGWp5YV>XdENMqiQmy;Dn#!a2AgTD`0=E!y*Ts7aJrEf$7#HvQ)fop zi7>DPyk&Bl26^^4O=ATPHZUhxv^ZxGMD{+4z+d5Lv)yRZ!_@}VdudY<@NTx+JeH=- zLyk7xe@UAQU2U2iZBW|(OAbaj${ZbF&ph~FaM*#ECr&%#K?`2yy(ur%^tJ#gSZcgDc49w)HZ*#V-1SerM;2JG`_0Mv@q=j5XsVP7AnwfAu* zfDp`a%ifQ}l#{~R4X8=g25L^HMQ}+G;JsbXR zUgQXY6lN`mI{|g}l#%}zP5S?m9e#kbP2c~wn7kL;uIV=K^U@a6ToXHX=+ncwcHdVx*Ye~353upheD7`JJ+Oz( z?0~$Zi47ooOBRrKe4P84j(04)ZwT$d9}@Z~_|3)pw$!yR8}0oO9d$`~2b8*KlRf>S zjrH`)tFT9wc6sFhh|d?)z5^#nzab zkct-k0N^Y?mRT;!2fLGLIP#Zz5vfVJHmyy!-)l(%W7hlZLaF)388NJD7Cv$xiTh-h z7VXSX7f&x!&gnLidH>0G{#nW%WR-W=bXiJtSsET}HkZ&vQ4O-;Bdj~lx((KyW8H(S zdxUk5w(hakJ;S=^Soad^UT)nRtb3bv`Gk=AJa1h-a3}tmb$46Whk|E#wsm`3m+zo5 zoR7um?q^+YRukuYL3G7dGF-8h4EJ|7{Cw+PWZlcG%eR!sx52tMS@(A9ZnCa?8v?p# zEY5cb$tSjv;reh>Ph4!Sz!e)Sa0lA(vDQ7nx(lp(lyy(F?)lce!n!wESH6vbyeBOF zigiD*u6)CSc(I8BH;O$0>NCW;ViOs7!s5%Udzy9STNlLhU0m|rV%__#`;>LXh6&=o zu(;P}=wsHcvhHN-&bO}E9s%D87C+m%msod$bvIh~5$nEc-5;!*fyY18ufV!x)*WJ9 zzPrHqL#!({k%3=jaj}UE`~izUZC$b5fpD?a0e80z?~D#cd4<-kwC)J&PPXoR>o!|g zY-oV*3X9)l-TSP|cd;n<73+Rz-LI_M8C&y`u5~M|JHon?t@~T+uC(q&)?IJi+pYVc zb)U2Do7Vlzy8pDU*r0%3c(^O+Pqyws)?IAfldOBLb;TwG_{1gz+(&G9t99SC?oR7w z@@XOH3avZTx?-~d;m25frFAd1?lsokXx&Gx`+{{px9-2JTZn;_>8!Nw2J7Bs-L2Mr z(Yo8MD>eYYcQT(+!adKr^36Z+O&0&Vbzipb2iE<@x;SE4_`6!S#Jch=KIF``xO{sL z`~-`iW8F)wd%bna}Y=BZvJRDITOsVpXJlyWYu}F&B_$rInSXaK82cLW^ z4?6i)9BNq|6=iLt$U+&@1_gB`>eaw zhCgZDXRW)<#*2+*(0@f7`u;?hdB+4vH$WHhVp|#UJuTkHx?)=y;bL1Ebc1a8D7xSu zM;G~&Z{~r|wfF+-9zhp;ORX#4$b;@w8-D>^;1^ly%nUFiFxb;X7<@H~_)!v|V-KkH7h?p(U)V+UJTzG+AL%{Km6y1-Ag?x{9>6u$8}J=T4aE|h%Mx-VIGn{{_s_iO9^Xx*Q!%X3`F-`To(*5x-k4Cljqy4BW| zbr0}~7U!dP#vf{3K4vG*Z&c`>Y~9nW%ctF}?@O$^&bsTZ%Lm_#zu&r#SeH+h8UCzw zlh)<)Zr1yD>waq8FRjZ*-;D2U-8}0SShtUL>A7+uI6W!r(K4#c~E;70UrGwmzhv`wG% zPfyM5(_SIwZYb?rQaP#b|9*>FhN?mJDJ;X~8f;z1L35Cc^-Mqe3op_|>9Lp3x@;F% zGO4i^zoXd5F9c2+0@G^%q|2KFyd2zC%Uch8U-FhAJY8NgO&*qjuDtW$r#$C+44#9S5qmjt=KxQa zw+-@W=ZyNHTeWBSatQ|Jdf z?_0ZRpu;Ah!d8&TWV+b^rkPe;YFaVY>p0owW}Rei@4~&@vU89F*1P9xXhSUu1@1Ai{-wgclpjr-8#0pWul7y z6W`bAsq+`NOnjI_4&nn6?`^GL-X&Tvwq>H0ujjnI14++v72R2(k}VVGFa2k`iv9pz zRUQ(0Kj?jxo@6xs@LY!{JVi%mFHx1=)$ zD>i9-|Mye7#LG8^y=@*cTf0pDd_+cbNM(=Bsq-g$EX|yp*Q}x!)Tw0lmP3~MD#Nd3 zO!ifK+I-b(>%Ms*Z~g^!-zRq>&tJCtqMzF|ud6!vy=QTGARB$ZVqjAB?VjvDt!Z)S z(Z(e@uKM;!>hehIyGvBx%+{i%s&7VeZJlRZplvPE^gNoeSPxQtcefU(>@92SK1Im6 z%_f8#9}4Y`_O*3irqR9u9olXBJ2n+giJa^~u2po$mKjS_`47pti+d0HdhXV_8|uDp z-P(+AA(Lk`U!Fj@C7(&C_|}AucU8x>%w7^LE38$O{?;ySmp7x0_svX()ob&vuKVuw zZxVMUZcDtK@Wks|RyVe`SE5tzO5B;X@@OtZkbw`m)yQYMft_$$hLEP z^jM75Peo}mqF!HoUfs8^ecagGSlOt`V@ZEwQCl3f9Z&X9JyB0Tw(6qEbssk_L44Q7 zrX^Q2FI=c9Jjo(eIIuOLVy`DWH9C55%ZA44Egv^7ZLDbQ)%a=q2Mb?c{F}y^Jzi`4 zpq-(WjU`QO*7w0elnk!jo64tq)sc%;zwu~46>qEx_I?R%=$vEjS-<4@VEM~I)o1Jj zb=65##g?Qh%9BkCOO2$ z<(}5RNdHoQ1MIAP4Vj;}dlCC(yA$?J^8I$U)DPP!Z@VqS zmQB9i_0n_3w(8i{yUlA)(YlZL0c3;U+b$@U7;{Q>r-)`uqT2E->SfP@~v^}!?8KhXh z+*9dK`YNlEL!{+-ljJE^ySL0;qN;W$4_sU{XlV=kbzQQ+sp+!Q>%Nqh;BUOEdG=ka~yybw9>x<}6MN6xb) z=Xo~gcS^~BByFW@(?jhUD2F%NgK6dPURpU^A?0wHv?G3ztXh}b{2$jgZuxs7!(%<@ zPu|L*NnP1D$y(0dQY+=KyOrbIH%Slr`RpZ^H_yaK_!!RwcYgCmCaWqaQI{LMd`7ABpoZ-n^DjZHXmIm&frPw~F1;d`07#%}vsJVydv_b(D>#bZeraWqsq9 zyE0blqL6B8yt(O<KDZ$ppip6n|<=A(AzNGF|~T-h3LTGu`Zj~kvzoR_#faaViar3vXt zw=93BeMP*lGP0g+-@b5_lwJMu>P>@?a`l$%ky{TPx8#aonB&k{$K11W$s55jFLkP$ z-KzS1DdPo01|a043;d0V4xZ(s!MmF%&6XT|pz2UUd+v)M&5 zn?0R~#?GF51=FiwdKWcgm5ceclggQQ6Y_0zOd>rjNaK&96jmpg-oAPm*FHMlWim^% zdQ*CNG9N6@R6d;Ytlp9;31MLKiX|%d&JllR|Wl3^P z<&!q%F*oL+_K~QY?TKp=>k==w$CpRd&Ps3V!_AxnezYU%t?fqVn_RAIZOD2zj*#}@V(xs+UGg@ai>b>PDKzhaDxBpd6#d-JozEs5(AZ^>F_ zRbyMbr}FLAX{enylD!9gG^nHqT-c^6PDx(UjE$q#i`q5>Rr2h%7n|!V)wVO*mM$(|e0js|C@Hk1O*5yKowe|+Xw!#H-UL%QB-rWYsSQ_#dZ?TcIcUAl7G11- z)to1;TRJ$Vippg~9Y1we!_uY|@k4x{Hq|#&E*v-Ywx+0x98S8NHWe=@yS(Ab2EAq| zG+Y&*)sVz!JR!+gA8yzVX;sBh<-cRc<_8)!Hr&*(9&P(Y)Z4Dsw6aP5u3Zi2n`C*k zqdYL~x1Q2|OnX6DOFOZw)}raq;i$_S&WcldK%}ek9!c+&o;4@7&u&n$$qi-{Pj;=o2KUDF}pe#~$Mb;ic$>)wN zSDrO>?f26Bg}r$1;P_iM`FoF-wcxncsnCA(Hr`8(M!(oPck)IRJ-<%1wrqK3V-HWH zzVfU}rW4)C%_RgZ? zxXpPh?-%B+h|F7N)-ow(Z|S%S`Jy#|Rmd0ZKCD7M6%EWf`V%{raJ{Trf3)kd@7jzm zp2`fY$5iY3HjV#_+RSRSWy=Y&D*H}WWnU-TWYw}B{Riv*m@~(!){Sk{Vei$GYQ09* zEsL;zO3ky6&&6F+Nns7|cCN-<6G{wsqgSR682(6oJd_pv?d3X;BfQ;UjEoU%PJd+7#Qx4fB9 zC3Bko)UIM1u>LVMO&-JXVe5wFMJvpmzyhpjhV8K)Rxd4YUlki9E8_XKeJ+!=q-s5` zJ-zm}Cyw058gqN%J=AGVGTxz-7Gia`5UV?^F;F^9fbEUb+exF7PTbDcuBgqO?Rlup z-|VrfP{|qXS%{s9b%nBPiA5dmP1d&u!1qGh$~;e2<~eR#Q00@PO-^W4ee2&~ZyBG& z>gvp6?m25oX8l=MCGzgBe)*uvA+6aXAI17>O>hX;UuPe4&zVbJ2o8NITsIu6@bl_d zE>!(JpjDMc$#`t#p@r(=pnj=t$tCqGmquhQHt-kP^pHK;v~KeiFRF{;zRe5whjykD zMmpal3)MFCuTEnxs>@HR{+}bYPc7cwswzJ~{F8f>QERIoCDaNkqv7qXx3+7P$&)gN zoVm1RQ}>0BES_3eVRd_R@wE+&<6mnWxA-7{>RX!(qh3twRTU+zI$j{FoAk9!FFVKf zaMx(g+6Fn0+H|CClXW0_f~t5;M$OOD=DT)T2R>!%CVNw0ii+o*?CIxkowbDb^z83^ zJ1lz($GaVy_HUSA=f5+jpLxe^NAXVlPi=Q&<~upLA$UsbF3ft%U%P8@_2Ls3t2Lah z?!=t8tW^y-DycB$s@Ch<^vI!=hi^M2xTtlcI#y=9-)n?v;n=3B4R^_`x2tLYsm^>?->`1s z(xZ{L%FeAin%%YwuG!65L*RoN8{gTc2d zUMDkVojYT`mW;%!G5hP%)7w8iO0B6pT3w;n)Hmq0uN}!6sc%@jsJ{2waSv}ywim?J zn)&UjGB3$;y?-0m74zB;z-*e;dX`9Db`L*JI8cuADhDj5)J+ z{kIz@?=e^2+pelE!c1v&OwE+5(q_stZ2S48IdX5?ULLXS#T`txtA97%>(~ZMda>)d zVhg4GXw%-e9IvFq9UO-a_bjwDwgP*pl(v$se8m=OQh<-A)jQ7pz`skkx9%p*Kw6r= zM3b)9FVXxva{jlcaY~zZ-E3*ItiQK)_wSbV9o9yi(+;{~m#{$QlCGFbA~Kgm_A!^R z?R(n%NYRHbdB+xx^*^+8r2p<0+8^ssx3OGTY%zP(9a_yV3;dkxR{Rh~6IpFuZ_UT6F zg4pAKmBgz9h;i-H?FOrlb_D#ceL9{A;adO>ziXea3!Z_%KHZOg1g6`kOG4#z`*b{p zEMV=^o!<%mbo+GOkb1z{r<(q5&@p@Iy@fnhIy)rFB9Kn!${qlJZnVG;r&h4U&wnA#HsL^kf6h- zKt)gZ8u-28R)qU9=YZt&&KDuX7@#{HdIk^kGF3-Ta~2QP3nxT}!AMJoKgWM4ya_30 zgdf9yr|^sT4~O5we`a_m{yT^Hs4Xkp1^->bYf!k^;RLEWCwwCQbHf+nKN7wU|9Rof z`0pBi5&!w&Pw?L@d@Uptgn7nx_wcv)?-33`LeFpy{1=A%;lEdyZ(R2dPlLZtcmzs1 z8omrIq$qqFH#fq3=Pn+86aU5GUHC5vcS2c}hKulD7Ur9j<>A%HWZ&?5{8xnUz<*`< zVf^j@Xvm?lEz}FrBS-J%O)~H}3QVL!x zu}&>Ko^>qZ!#u}GWqyqYb9(0cka1e(HAv<6nO7qC)XZ1$e@Z6bmpZv~9a1ytP68f$ z-a8Qdz71$Y!51YNUjq~gewxcBm|Yy)k-;+pb7nzAaHnJWCFgDOer1h>`Erts-PL;| z9GK?GISsWN{Ms6%%FLNh;otN$vDrD3P>aEDt-Z0loRb;*T`xJIFqnG zyfCK+iNCkDtfI-x*n3Dr(A=egT&ePC4?2v1VF^9+Ggj`#UITs*3@S-=8uZ84gnc5N}5qIPXDnxb}X zG3NN0*aJN$KzkN7t#E}l_b_N?&VJ0}7MrQ;oNJiJ2Q8YHa{@YD$hF0oa|+Ri3+IU_ z+F~q%s=hnX-$EsrP+^wPcrWsWHjx}HOOc(?;2#xxs@4k4KkX{y9OC^KA{HdVwB3v4@XA{U1ibC zoLbhmYmhPLR%YHc$e8mor@Zw=%!x6`*c(3I3v5tVd+E>44&9K!f)s^agN&xOT!V~8 zVb>s|^!Jc!kkKgY8e}xJb*o!jdFXzjbc2j6VBdMn)$QIFp+mRV(Q1x>4h7Zl-~*_y z*;yX(vSy&)6B%DS`nkp#jef3iMx&o=oYCm#8fP^6xyBide$To3@zk@BYn(Bs3(Ggn zIOF3i;H39h=#X6ydL@GfopW}P$2HEFa}K9e*EnO&GM2AvoH6Gqwh`AjW6n&LhijZM zCySMzW}MN>{`{6#A(`yrP+P`(@JQvn>zMa5nRksdn#{Y#8BOM0mRjWZezT;q&J1J^jC8NXcPjHUta zict(kc+-HtwkS=^eSyS$i;-C98!!FYgG0MBx}%>;qONg9lc;N)(In~`XEfP%jWe2T zyT%z!wtwoF?Vp`&M-JwokTT8~d4{EsGR_#uBo5<@R0_r!BRAy&hjB)O(>UWH$Xs3p z=Q|i@JOW5=W(dX^F9idQGlo*e86z_1q>M90o@Q%L8E1@eG7Y7SGs=t^N*QO2$Y2QL zjCM4{8=&k;IWQ}T#BxI+7-#$mK9f$$IAbKAnMfIDjNHnG*2^`{Xwpp?XN<_ml4hI{ zqG6n|FIs-2f(;zT8I1}mB4ZMaGaB4SEnu8+4oR1@UaNG}A>GKLOEb=xTOERN#&dN!eyamL6-mP5)oW8^GmYf8#EV{SAA z5(S57d__$R@QamEmgGaib9 zc8oKIV4RT!FUA@3y0BNkI3pL(wlRzd5!!(d{{6+^>tw z9YSX0B;pS^@!64u&@HstiO-Ad&kStQv5lfiL8O-B-h6nSP&ExM*ylozj!)Pm1V(mx=d%~f&&ErX@`9YPI(5*Uy zx5Q=^xJ{RvT&hS58^-P0nnL$QGFeJ@=%|Uuki&}Jr2Ps-ikVxiZiXJxe%20>7OR_~ zr?j6%?uieM@O!_|)6RX?oX8od@X)im7Zdly=SO%pbm$+t(BOwgMsremUKj8t*Aq`f zjwgLm_Z%kliz0t#!+b$Uxmn|hH%B(H)?Rey@x=%)Ig{HjdI8(3*Qa4Ghtxj4g*h3h2$xqYyZR zfqG_d&N4tJ2|B9}?}GH1!AzougM1;`em4>SxO{2{na>FZ!w_E-o@9apavZ1c)NpJ! zqT{_0yb3YrnAm6&xFHo+HcA-W1-vsYe#!=WBobw&e5*3!ZzA+6_<5s`?TjsbF($yH zD1BZquk<4XZv=7&p!7{3Pr&ncB6vGUy$uf+%4J^z(KE_)1)P~>S#)NV^`wJc zl|r$StB>EK^!OE$J_$|86vYXqDB>uNJ^;5Uyy`v{#qwYL_Uq8Jah zIsmS;qS$7*3ub})SBios0?ZTUlD^Pe3PAo@(fZV2UfC#SXGYm%H&-XJkdN3SS4TpI z{vA4kX%j~T%UHqu;^_i_$!fF7>I(??2f)p$PpzP`OM^iT0d7_UiXX}`t8^u+UxV!{ zK*y}EH{1pLf%{jo%Fm*L0Mzi@}3=Qg^5tvpOQD$dBFqnnc z^3w{V+!h91DU1TJ<^yo0)%#e(T`&dQzfu_e!B{EG(c{sGO<~L@?{9;7Gm)RtKOq>e zW~s4&(p5l~!*dKEMzZ)d2)P;9wSf5TK=`%#D}eG|4Pby$TK+kOb-}JP0QVv-cfU()ezyf5Wm`iV0IU} z(n7ug+b#euX(3oa;0m#pX9Nq51h=#h27Bbo8T4#-kd&zadZ4?!kG$bk2NWXznH@bR z*tryMT_|qc_X3oD2O4f_*8s}8AO=NKNM~kQUpgq7fRBBnQ1fhZJvOa?iXm|#`1ESL zXlF7%&SZWmLXQBXWu9O4>iS@?KWNi3f1k}fUCI0fU^@?hE3JDZ4R^s}aQ{m8xEhRq z5$2Mi&>kg)%=4eB>WRV5Wm8NR=h?g!>Uxmtnqa2p{xUC;-p#;OC^a)Y)CBvn2;M~K zOMpJtv#AUU%9eCN0NokjX4R*bQ`sTGU;zZUSq-QaHmh_cs{_E+AAl<@t7{E+!5nb^ zN>+I%QYXwMhaoemVG#p}(j$UdrOOd~2q@+O%JA+HtM($B@BaE)w&#jqu9k6`ZRu3d z=_inC)n7ox3vYAcU-t`oWAv2WX1UQA4&ty`xJR+AqlAZgI>lBPEMu`fhS1FbQ*6CV zu_^HXgut|78_SGGgTVtJAg$OY*-e#iY;Nd3(g1kuM}H9Fjfe2$!6qc zk76rEu|1FAdQgl3l)b?m&nWxIX1q`zheeyt+9ThKK&tP>`-`TzWCdk5;V$!80F&=7 zCf`pY;Bi1&zC+YJHyE4<0crWpw)v(j`F;m%Zvi^yyWDUWoC)q<$u}RFd?m~!-|n67 zeB?W$AD*v-FxLXgx&lGIV{~M69EPQtR(s^TA4v7>_y)z~8|OT*zULrx8o=aRn|!ZE zz=eRcd?#6yzF=?+1f=Dg<_tI-(v^JQ0JiG@9rMk5X>b>u0`6bQ_hvBOFU%#?$jlz~ z9YVhA5&R-3o(Gg2VDf#aoA1To&|d{Q4iCIL*EjTb^8HnyLPp38tjz%?-@5`bJj_SH zTtHgB*HZIu1HqvXke2WDHs5q5-^;*u6rf|i?=##5$AbG;@_iNfiTqviO0?;@b#)6OfigJ}lKA1%f?5o0i39 zn?<^k#c#m23(zr(D-3tRTyXzN7CT`S4goOJUAuP{KMQ1)-D`67n42pemZM({WNAKy z>T>npHmDxJ8&tUf4UUZwInVKS1zfpm-Qiw$tSMCpX{E zB2+)MM{Rz04bN48vY|jQqK&6Bvuq|E8Q6ZJ;+q4h+kzPN5s;Se6+rdIKrkBu((=6u!OS;Z$@g>cJOjWb zZNPZf!vS}}G;se)zTX2`n{bp|zIVRw3FMak6~W(uf_8t(?lbxRyPNOBF$wBx0y&y* zhw$PM(5W*5m&0c!vTMu`Km$M(fIfWQpf>~}WH}lEM*`CFJqZcv>jJ?L1f=DA27;My zx{~j+!NwO+aHX~VX2V@D9^Aju_OAdVXAX>ZFZSQ7?cWsWT>2q`?*zrIfU>WEpuT^m zBklYoAAFZ$=wprYVmp5Ur24`VWKnK|FAHQd_FII01xU+cHkG_Q5d5@b77J_^=}H#! z(WyT)rPyE3@QCe7AwKnSD4E$+i$NdUJ=MS0{rot5yhn3N4rKsKmW1BFSjI7$Ls8$CuOZP|c_n`O&P=9iNM84|~Is(x9Zy-V7kd1U__U5Y{K<9G1Q_nID=v32yP6IiuCb^~JQ|p

1EzHHjK+i)!R9c1Ld0?prlokPb2cA~} zHF-c{q>Yb+uM%@jH$Z$6kXzx|K;%FmVMzUk$U-1D0$B@)F9XsG;}yrO_^Cinhvz6F z=K|Rc&lf~41u`DP`Dj3V1CZn3IT|qNZD=}f0g4F&L_a;#6MD9vp5;4nSxvDT^ofmo zOX6mDP9TM@27xCuSPk-_I1*!&+G(SY!`{LogzXP;gCDk@L9fGeC_)NR3{2oe{Lg|} zfkE6NWJIlv2#sgNKsBfnigyVjCff+et)x|?vBm4A*pnLq&O|2YX8XY zRP3a~RjhC#gq+e&;HOxVJ`j;2?ZiQ(iY%gPpP|S&M3T_U1)_*VNJ<$XDP=68YPnL@ zF^7{&Ea5~*iW5kR(;}+&#m?X?0w!`tdRi`wXrd0qfaDwpU|jdKws_2QqdWLLUb7 zl1Gm_{o@$89OL8BfL`(da;JYb1D`;^7C;{voWJtR;GB(Foi>`xgPC1^8Ki3vPz4yt zl_4)IJs?1T>(7jyKLL*#5u_F8BJB_4{vIloZbI-uV3`Rhy$Q%=@ceLXqxkmrfOAoj!$9kkfBN)CI#@~e zd#A{B>j@3QG>j)Qvk*3V7hCl^X)Qpq@q0`O1DzUd^XC(}8EM=IkYzkrkqKUC=V&c{ zp3wRMrjilga6Wl7O(1pH0zN&u#%WTI`fJ!wK1S$U0Mk&mnubz~hX#WHW;TD!G?cUk z0(xwG`!h>kM{Sq}!hhWADE*2*w{$mxr-6SGp!72!N5ZoN5ci>I&Vc81BH2JLh3680 zR3C+SMIcK+Pt4DTx>9|&faE5CsXoT~q?*yrl%8fDf5qrm{1-)L`7r~HV$oh|OG2-K zIJ1zs)IXSGfLX{~>X(Q1W+8K#DFT!AwI=IBM<7Lgxj|GdZKmlX9HDPes66aC!as!b z^$>Z;b%cL9%hw2PwnF83*J3MY^!M!f6Vs|`Fm(5z-irr06*9@x`{T&^g8=i)XtF<_ zqPd0f1IuK@HE6B+Ctt*UB$EjZD-f-F4n=*-((NbTQ0906LZ<*segEu}f#FjGyb2g9 zdsfPy&1HZzV~l(#Q~skPDV>Qy;UkEwli&mkx5Dr5QHyH+4#7+CC}9zxswcVuIuuyB zjjii^{?Z8ux(sn^0HwpykxC#BAoN~9jP&tY2zd+GYk=shv_-=%B2iA>uuPVB$-dJ7JDoMU=+Pi>!p7CfFpcqIhQS?-JJnAD7C3Qe_ zn}^8R0MXh-sOTvOJrNKi{U|D0bpRxu3K>2l<4x@^U4WpgKy^8wXbd{Q3dCb*VTJGV zmL80dhYRa0{g!jo^Dhut|t+L!%&(Uo9um7{CAgAt2g< z;1@yg44|Ae?}Ntt{{&b6&eyqKPE`Yo>T3g&l`R^*VxLh%5w@GoCL_ zX!%DrF*5i%H&GDjrh{fGpz=jC zgim+%2k&MAHy~;ugeL%okkKB+{}V{q-5-3*Av_U8$CFUnD5E{wPi^sM^sO3iMfy99EW*bCyp=P?PG}Hr3(xaU??Z zY0cUgB8mLYS0%4=4*z2&B2gt;a||(&(|naCvOgE1V;Jcl=0uM14V1_cS**-h+5oo- zW%5^)j=l}VW`K(sg|;E|S%4Yf;x*;-QG+Y03a2?d__ig^#!L6cLo54 z$N=ynq_qN&c@6;k!{d?vIq;F^IPl0I@KF?-IRF*SMlKI#wBlj&x`)s2MLMMw2>uxa zKLSd71L<3Y;SCTY*{C518C8eBB8T5+_`mNgtWBV#DNJGg13YIttZ}kF18gfGP7&T1 z(gpC6N;?4_kK+G@0F4Kk{iqWA9iJNHlU4{B?Gd7DNa*(k4|E9ogQ${(Rh%0b?NQCl zV89oYnjMeGu_REnJ9!)VvaLvc9YmN;@`|aap=BV_uNp*+hN(TJ@Ar0fACqAOmzlhL zq*Lqndq=X?mq7eNfT{HdjDWu);93UCBc#n0zL$kT!tYvo5`hCM$dY)N^e5& zzYzZ;04?wMJWyPj*7DXNln)kk%P2>OE4{<1!&rn?1A0kvS9)jij`1c0YycR=))~b< zK)}m@=-nu1t^z!&jaj|Z>z@v(rCSlae=+7IK#Vc*=Mi!WuoD6CH-KCX&*gx=96cvN zgkS#W!>6C~??m}XCB6!hmjPzFWUL1tHi70Jewi-6K-?#QQcjoIC72fhF;d91ISg18 zK&H*p;W?2Ar_FoexeLH+(0Kf@!zQ4-8AU5s*dRNo);@-!*2jCz?U=p~gl4=u-jtmg z?@sVWI4}+6YvcMvZ-it+kM9Izxi=zfvw)8u^^88hE5a68X-G+i9WL>L`#F`e$UBUc z@-cLL7huZ&FjM{|rJw?+(aQo5G{alqK7}bjCD~c%lP&TK=W29pVj_K8fIO5&sn+{t}R$X!YFy=pO@l58r?m zPu=x>P{NeVM5jyqhY#Vk-aPj~L9SJpJB~)-TJI2YPld#KfKhme5%32DoXwY#*-t9a(Mx8 ztS5&&L**geI2$8fqRx{enkR#rA&5++||FY zmlWWwM&K@lwgdY76=eE0olWiLmgC(?Kp$B^+-?+m z3<2B=H)G@-CU7Kgig}^?2O(gi&Xb$i0D?T+bH>L|2);|_MY*2FV20M1AmtM}xOgl& zKG)RTC;uEEYijlYtyYl6uBjt^@qq`xtf?6zgTqh+4Ft&G&#iimpM9 zCyB`Q6uDIg9~g^duR-t&Ao&L%#+dl+2>BM+4nTY}kTT53eE{*NfczGoLjZQZJq8}H z;{VA2{g@8EKNeEC=sq7*=aSN$w{&XWdO!#LpsC>mXLP#;9*=sMx^33Md59Fxy3zp>6}P16v6|mv?RkymCt*>-;S`SVO(=G(*3`>F-*$EEbDt zS=Z`Pwk$RLCw8TCHCi|C%}nIEx}UUeemSboGj%?c-)I5pCNa{p&ez%BF@>?Z2jkAr zVa;Z!19gs|GxR|2bPU~*i{O>obdx&07)*MsZKc`ZJqY>GpVy=0rIn5|NuG<)vjKf- zp{cIZ*`&A$0XG1oWw9H3)DE;beVh(%MY__m9!5NOu%%^jU57;#i`urV_Yn0qz_cvJ zNXrWJ10_IO)=+o`5Mj$&2G3FeuKJHqhc)mZEj;GZd7r{l^8*6o0Z?8As>?|!&44ss zp`@bvSRKqm4rGel0-^^qnjtP7X;@GC2%Yz42f9N+GoN&o z@8j>11@65TMo)fsH~e>*U@K<(;zH82uVO8uryAeA6C%Gy9EXVsQup6W)Pz}Vv)|Wq zz-y3Z_=Aj-4blpKkVdE`>H|64?Lfm`>hkZ95mSxllVANVL|@D(e)Fi`tr5Q@^X@ch z{sAAIiTWTmp_d?tYa^q`OBF&fQNK$`k06d!Z{i-2L>i=BJ}S+!VP^)Ywwl3w(tIYj zDN8n$HE-<=+oo!YG^F zp}Vk*bVmM#qeQ-x*B^z)l-D1nyc(p_t~Pyk5}(^+zg9M?HMjnZh=iy(;b|t6kS*y6 zvOk@eDOJH!!1O}t#|<*~Ei!$2(*5k=6RbGCOmDf1TN)S*V{b9;rhw2u4~}ng^f?NMbDp#%oC1x-RM6_bOR@N&!u5XQj->; zL3@sez5I#n`S_Dc@*F>km4q&F1S)w_ZU97wTUAP2qQgfE(WGt^nCLCzp?AC+ofx=L zlHe|cXO!jUS40!ICFmJ7g3B*8KK`bp{%!cY9Cmow^lW%exJ}X;wv%kem2Vh&4{nTOy|84uR{SRV~o;Yg1q!hgx(D73P5}{ka!h7p974#3dpFN z@mEF8-ogtGxq}ckzA|wEAjZ&9!b_ev5%dp0oV+szpb`MMrgMv$*K)uq2-AO@3L{s@3r@O3gnhdGgxG6n_Dus1GxndBbn^0yawz! zfb6RbtVJFGvPCn53aS|*&ur10j;K=rvPE+pJXZr^q%d1Fz_tQJlppNch)}W>H3P!1 zp+a##=*(B3p4p3Lh-^ibfI2Q5Ra}0u@Vsgj>3`H-DZ&wm9VQghxns#|JzzS5^uMM- zuQdq$J+Pwy@%2D6!n!#K?=F2#LI#?B&*$LS2YvHCJTjMS8TnC7eEcN)3tUeJCqYym4gg9!0 zqK3?7%Eab!KS!PSAmVk3VazD%6sImdP<;6S(P@9`w3?jIriPl-P=gqQB;_i(Sw;dL zLx#IzQFuQ{7!DAHo8b8^K-i?)oDb}5fQ(wy&nH@^k>> z?QVdy_qXAB9S|eg9=n<3_gQ|^RTOrv{^_um0*MjGDqUq7u<-!tD(Aqn62MEk%3OG` z=TCLeRbboCb`^$5SGgY4*9wP>IL)BZp6N^a|I1jsW%7vW>TPZ0V(AVzw5UfB&xiU>fSS5g)>Iw%XzD?i4_D9 zA4TYcfEejh&nqbdQ#55b&nw>tRU1HNOO8PfOAR1Ukwa1H06Hm^L~X4nmK|YqxifM1;M%DTA@Q33SF03pp&f$c|Lg~ zBE5?Le+B5zeA&Z5AkQbCMS@Q=(I}r(-3^alj&;`G`@8|PFnRR&0SGwM$fL*2puw#_ z;2!`!yE+@fgf1K9mjy60L6XsGELCzIJ(eLd4v_9rj+P8B{s#ltUEZc3c9)5u;q&jx z8pJr=<#pQoP1p`1{-`Ne%7l0UfQm+H;Hx6q)K-%j-4^yap z9cUS%jsS?fcj0-12ww-vu7lcu7|G>zpfSLP1LSp}7I>Ng`+glL0e$6tk{dvB9pKkq z2P#K21`TRuUI&ui#|g$GM|tZSPf$AC!w_^oK!%AO@O(yuy{{|!bQeHuHtN^U@BLxf-*{C5ICKe<92y!t-hKbXFoeBUShY3tO&}=OEFig~vkHf?|L|sZM zQFIYJ9+e;whKU-!av|D21&Y4|L_yv@ehi3_E;T%m*JpU0;h_r>2m@qz;7p{|3d**_ z!$3s!2iUDw#K`b)AcDA2Bg4Zv@SH}3!^4B{+z&`y)47wui^al?6TRPDs3{M85EB9% zz;(NxDWYe(TM*`&0Rz6sK@_45dK0MlbmT7VO_9~EE z2{1*+SdUsC0F8aLbvNSf07y<>f#(H4j1-d3pMZT0kbF+SZS#Hr$>;CkISGJk8lSH5 zq8iNUcq-1+@mP8~Yk(J>8^ECNW-M=w^bDy_q=ou?7>-k)yqA9hVCs`GqRe{;qGb_L zCNc?40wBsvg=ZoF*EDVm^P*TP^FET3mVFWkjwc7-)mabE737%45uaB!fb@Dk)Z=(h z<@vmb7f<(1f?O{<2-5h@e?D%FL%cmM0lt)CJclBYfkHLYa}sFfqkzY4#1tFheiYD#2+lPNYy{+1et|G&LISR) z^94b<5RrprvR-;9%5)||M*xe3xy+A2tQ0gr z=Eq_1R0E_0C>J-k_#Xw(&0SVNUnzlQAUO(PN`SFmp+PfO+P*G}L{I_=YLzxW9xkEF z8G4W3%#W)eXeB`A$9v$pn+WH}R(PHQ#7HmmW9A(6X@Ja+l;v0JDGT#sHCrNI`x}J# zfq)oeWGI;gtN{Q%&W}q(hx^Ef`SC#Vc`(==4hpUSROO}kV;4jBGB54`$2|!`c&!8c z5p?>s-&j5W2JCi#69P7TDl5D#{h&zrI9K`f(IoK8MHI?Q;(rx6n_ zhnPZeW{RgXK0+4{(#`6s%Q8vR#V_315giVvICDCuDKHErlxySt!^7l?*m$6mBaF|p z8cP6{NfF$ZrVGpmL&(Vy8Ml3ItH7- zx{iSzs-m7%VQbX3!0}cK3eH-o082Qgq;l~r`CQzFyd5T`5d&&;Gs`T%M7XpS?g6ub0)jLmXJI_z-m5rz?) z7lviP@5L=Pehim;UmW4Fk4>il;8S?l_Am6wx=qcXLw*whk6c*5YKH~fg$N|T%JmO_ zF%>X+??D?2q6Xt z2(n!k5f{QLAcE`&h#HcBsAvojAW9NQ!XkAqF12c{#iedg_o}T+tyPQb&sw!^Rf^WF zxYVk(*0xry)%yQ@WiH!*Vlmjn-5nTRBGE ze)SWkFxE0J7_xzyvx=w)KCSU|_k=lgXY}nCrW}F)iniXF7My1)c_Q}fTn2aAY&(KS zSlbzNO|k*R^eBKX=I6IIycuJ&o9$;edjqq>K@F*96E3^i8#38NgCsD6h-F|re!er~ z5lQVOcTQbcB!?+rqPaHdGW>hlReL#iOj!uz5KsZPqu5kp02_>x95P@rW1Y=RJsJ zMqVPvgV;{(5>(^AtQy{$!G{cEzP@K+9mh&Z9*j}>{v>}h4DKPOxK=)R_FDj(2<8&} z-?w3~@`Zr!)OxY`gSkZ7$)~_kVdX@Nldp&4W-BKib#glRoBqg$ph_nHxH{B>g>#&+ zY!*m2%VQyQvkY4&Z!E<#f}dlKdEt?YS=nk-xoR+{#Sk}`807>DKxF3smUVszd}N&E zL?P5h1cLvwnpu!k#(QpqPlgXR3t;gdaP!F)*c6*J)i2+v#4wh~=AzrR6y1n!41#2Q z7wf0oOJcc~tVP(1uvjICS77t-4Q8*<62Bol1xg-38B_vEJzYv(gvho^xnF-|Y)qbW zh@Nt#G383B+!L^g;4n|2QcsD}yCNkH^OPvfD1kQ{)`ZRhvd~$uXuGZ?+Z!X_jN;vp zBjqgR8o5c^?kAcmb7(RSl0^n0Yxq5UljAiY#xd~_EZQ|M)0V@{plUH04c)-Z`CeJW z{&nlj45hi5rX21KrMbw~7{r(HhLY)YRxRHQC9et1-_y`Q@+;&r!JMOc0G6X7HaNCI zWamp%J|u|s3_f2lt_tE+*f3b#xM3?C@4|8(0FmKXV6&4qSU1(*p(#ZS=tPV(&Prl5 zEZVp~$yL*CEp#o0#Z>~a)HutDW37}}25Y0!b&(AqE-}t>;&Lk`sB3)Vf+#Z6;KEf5 z;S`y@fi7F*Y`@6a8(1WB^e%7U^UOhTpq)NWhSG_ zOh%QNjG|qCTP3P8X-&nf5>=VBQ5{h+RKTw#gN`vum$TR=>%^yLsI02X@Yd^S(`2Go zW}@e+Nj!R0CVDRA?F8={DKj?{eQu_%b8W7fPd+COgvD$jSP^z_CUJQtan#d6g5}U+ zIl`wgR)NuQ=Nyil78_yxOE!2JzXCSIU*6l`;usHvGWj5zE$gz+4p=S@9JtH|ZaQ-s zt1EvkEEoWboCvSt`&cPqI}>kFY_?gYrXnGgHiq@)koSt{53iTZ{%nL5ddXNND~zUr z&BY46)@DrG0A9=lib$9@Cq=fnVu1S=O3w6Zb`6V0j)ZOAD%ZSrZDb4ETjg?sKkS3U4{@h)mJ{z=De<9| z64ZkSC4kzX6v&M6cX1~p|F0-RP3;?wTR|xNFTn1MRjk}rQ==+IqG9yuus6bT7P=_@ zw&=$}wOtgi1@QXN^Gn$yt^o8(sqO;j&+VI*{E5yu#o%zRFO zRmq!;{#k9$P^UkGSw&xe^p?qiagd9P;%UI)>2k>(gPNQI+j<`O1|!1Hm&KYx=cTZi z9E437O-4wJIRl>;z#n@-?m*KaxkP~qP ztX*#CT4Mu<6QTgRPOaB2XVP$> zR)n2da|j+SZ3XG#&XWk{5O!-RCoZy5;u7QZ9wE8B#7r;v@jOK^Bj!GP16|b8doDzS z{il^=o7qG20Y;ZO(}5GLa~+`i!(|M+lrcCsF-94KyRJ87U8k2pJj?{mA#CoI+fVEL zv{?`}BQ=}{+qzONM(awojhdA?DIT#57Uv7X=I>XDHM8}vfGf*z&MtyQTEb>y9#CyI z6`7Wa(xwoR#h(n@CRkyQ8jQy>A_3gnm;y*JP>GJlSxLmERE|DCB=>!ZFP)ZYoGFF_ zcz7?$lKwF#Cd)+fgA zwt*=aH5oJq@S2FRk0SU{7QxsyQY@p*3SY`xxhTa~qSYKHJwS{vlKuzJqr!nk$HVLbZ+B4!->%^xq zj6rQ%k6~BV{)j`1MVHveI4cR8(tARO3}!dj0kEh#QD&SKgiTOMWwsb;v?Veia` zh5Cd|V3sVKpmHmuuiFUtG=^7p^RGBYbzlqCSL8P?1Sc$)eu35%6gY>vIF_W>~BV zcHMO9yb9+VCY*g?470C* zWdkGbL%>tkiBDswGV9)Y3_BhcAPy~tGjXhORuVR4G@SDxqR9*bGisJWr0vfpsH8Fv z8)-D0;WO!2%c!{+k4i@ZxFa(Rt}(Ev95Kl_qx`&|XUjAcqL@?Fl_qW#!9GJj<1;e1 z!gUucGA5`*c8mmd+G1l6Y<;X4_>9b3aM=-3MSKFAore)YhJRIr?b0kg*qmIlNj53m z93QQkB7{H&LK@+;7?}jK#43}&ftikW9y&O<3b6Do5Bm1Y-$361uqi7s z-zR4KWn#F(O>PMBjLSPO7_os_Ga-7+rJ&f0Z93i!fHeqceE_6vMntJ`RuE}eXmP>@ zIvQYYd(g$~P;-3dFkK+SWGC#bDkrAEGK1M0=vod7)d`zdvdN*-6oS@7p<|Ji6SPK7ht(+4I8aAp zNBXc@X$phc0|C1V%_hde;$q|kasn)3OaV9;;Uo@$Wejq$6%$J(CT;mqgQ6|jo>`x+ zdwDa@g>WP+78q8XMVqryMu$r3z^RQWtT@XyQRrYjAwL>eV4Z9O>S3Z}6v|qhtWx&1 z>l(zx*rZO@putMuVm|0uR*zAQ@X^ok)DYzHGqN@m22OUV*=vfDDNMQGiikU8;i6B+d6z%&0QL{9&0=X7AmruE!K%oV;F;mF}BT}k+*FZ#<%7)K#k=@ zBr|oLhH~lVMA@O)2Qi=~QD&S~#1L59d2~%O0p@&hl5tiMH(Dw2sFf0rTPd-{N{QF4 zl=#R>3F?Wm>7vQi(Kf_Ap8r><$w?msY1o0VW~Q@|*d)foR$!g8)_{1Tf_@t^iQvg3 zf(MD6G3iFa=kUbWyyuHkn{em%@5qv%^xqj6uT~ z+s;8oejOHRiI0r43ZF9Xg4+nQmk<7sVAk>he@7&H3Kq@x48SO{?zH>!`8LjHKo1*d z1dua&FT^cVzT=+YwG7^?kL z*u^X-cMN-K=Wx>)B$`Zg^X)a8l4H~u7HQ3Vz$S@*lhUTHAZ+SN>fRC7Thf^cvtW!i zc&}m(@ve@MfU%58+zilqPrXUj&Rh+8w}JIOLX zH|Sk9hj$0ttVsA84#P9>_E~4C;LV!}QA@WR!{a?A+n!{EFW z+z9KnfeFEfH6=hAHiefM1z+s8fo_iMj^-@~B)9~b&|`gqVJvGHtcy!G%gq({0?1i- zuCR@e|G1T~Cm?^el`x2{VFR!m%rhso#XzNUR0d90Hk=zFxzkFS^9$CAPivx#is82z znPY*?$h?zfCD8U80h>{ICZlo)tuYxf16uY+8EA8<$f#3cBWFHK;Y`E27Nwgx{99TL z`P$1OThSf0O)UBjTEKLNd8)FxS8YUk+hA3h2CFiedLKRD<`RhUNx0VA2)9jazNBEg zEz^1l9y@4xl`6v?uuabPW*0C67EL#EuyZT(7{?Usq8!r(Qe(0+#V~Mr!s)W~CT0;5 zF~>2m?u&ACPk_zZDpEy^M(d?+7YSWjgd1ZUmpLth%~s=0NMh>)w+cpd4M9yAjST@9 z!g4Y*2G!zv&T?|+VYiAk2&ct-Bu+8TO2VehTqi(8(*U~`7Ka|pJ_SQf=9sbw;%^t7uXT7sPZ8|xpm^x7?x{I zA%Luj?L}vH18h`$8pBd3ngxp-SPB*K^mH*=&p3=OiP&a}M;u^ssvvCsel1uTTT}&H zS;h?rENbilo6YP@HWiu1$V$uNSy0qvt~!yxFNUqf#wVv(caaOXUje7oYY96UIri)@ zoK2)noJwMdac(miiy`LtLnjh_jnmw4*#wotAW^HqWer-L$}mQxJmXQNNC0=1VOK$4 zg2Op`5N1Y;4Yyxmrkf!l-iL3Xg9-gQ!^=2qD3VISZT=Husde`!`75T7kA|}q!ysf# z@GG!*^di#6Sxy{ar3AlYYqh7V$^?`XEXI3i%U#rIrli?~EomMx)Z{XouqDm&OPalb zC9Se?h&zpQ9&w+Q61Mz#xyyCZ1*ZH8V!3h7A-W(r>r}CUE?e&k!d14yQ=wuN0$8?9 zLDxO7m=FZDumQ-oRg*>ti^3kyW4ZbJ8fbqw$G~zgW63t#D*i9N$6?V!#8%_1BHn~$ zWby+mCO$FFa>6!Bl~V-D$@Bu*3V3;w?^*+w(fMqS(_oWqj(+)6gY{)JS5vwX!x#j` zOd5V=Z2oH{GepKE*%_Cd4e{Hss1)%>6RTy2S6<2a$ox`RM7a)N@o;)tlpv8YdCn0r z4VSbq|A$gqlxAyDN-esWd=K|ZE?o!F8Ae=5SS1e6C~>%_1ZS8rJefF?hJzy!P1TiT zi{ig*vW%s$6q8M`5EH8;_HyouM4mwe8ZGF|@hsT9;JfBOEU^}JyWzxFX_@gfd;{~p zL~&T3JLG;G+$uL|ht%+BNHI^2DGns&Nfl@ylJQ28X>v8SnP1d0+W>lK{PBmMGlt}A&^B|*iRqbJ2cw8N8s#kCCGr{t)$q(fxQ~X# zy0{o%W5CH|w_7K12W(!jd?5L(6%(5!CfPb!&rf`DR0TP6#b*By@GvY#0|y<`K#Wgg z@)E2!l|gG;5#oBns818-_^_9W8724Y)E7aj(O0X+yGZzv$(MRtli(pm=M^Ut&6}|i z@JxZ_*Ex8pK{wA?xgNQx&COn1y4ftb;4rK4s?N|Sb2l(w9z+qC7GwB?z!QSTrn`#8ZeZMl^4rjD`*y&pJKJU0O54PumuI5qY7RRtc_mk+Q?GKn*-XZ~*r%koxjs{rR9XEwK!%^*|L&C!n7#!V+p!pzv^#uepl zv$2yo=Q`tZ#X93M^_Co7dQ*pEVcl&6-OFI{tr`E)o6%^!)P?Pw(4|GVF;*?+bO9`q zY+ntg$%p0cLtbv(B|r{y3}ncXSO9A`8M;n|MF6ob3ZRSmd3wVqQvhN-5k;`PN+lUT zdq;sFW~RfBt(I_FRBXs-QQ>P*VZKyxhD|}756c27y#L2h0huv{VhVH(OE)#kx?ak6 zG5yN5jf5_(vO^>q=2QlY_MohG@qSy{BiNPez;iiGdbr%3xrZaq~8j*&zBO8t^VBV{^c zGg^ryF|jip<>2_C>1tulCUo|Ye}u?JrYW^BV4+^9Ofm z(&jV6f%5kko!`;P|4-@in-?oDp09!+Tri@?ROZk)hr@?#wKwd-HXIp$nCo(<#aMncB% z=;DyM;z4XoyuJmHas^-=T>mp7^QC+p?0K-xP`u(OI>Cl%UBP~GG2ythO%2>Z--@G z4EqSy8P;!#>>*AFR>86?_T?(rhhZOqWgd^iJ^}0U`UGxgu7YDnasBu%o$Gh!XMgvS zoe$DpBKs8h46IuZ;WTS;=0IEaP1OdnxQj*gIjLfPDehnNm0y zeA>2i>L%?CMQ(&Fx3P)eq3RpLO*24V|Ec@9R#@h{+W0~>i z!fr1ssZ+^SDq>Fze+}n%CxX!ShSP#bMnbjFrH|ELw80MFI3S@qH@o>)J2V@@wABSao zJLAiqaYB&&y#@AVS-=>|UWBEbZBYn)c!5iu+;5fWz>QFQH@m&?RY=D=M`=wednQ^8 zH|-^`*HMmm&WwPg3U)s1(Xb3>|FFIf!9EPjdcF($5v((#;qcSB`0;og6O8u&Ec0`Q z`Z!CyHp50~J^fr9N0~;<@;FaO67=*b(+aS&siw*fi`E*cRCJuVO7uhxwG~8Po!gg zXgD8fx>`if-96;z8cD-C)0A37>E_G7CdSL92Ni94P<1nB0Xs zGI-Ysyz9LmyG&lK2qOrDtJ%b6s=wl7$Q5#f)UwLNrkI!g?ECy{L9^&B|ph)h)*_uWg1b#NQ)sZdp{< z&;n1s%b>A&7`#cFK_lZ~%OAU7W!6=a?#{sGE7c8bUnMieleruo~UMD7!lU>elxSW4$a=sDX$*JOGs=uvb zI$6Y;_Org8P_b=Ua#X6Pt98+ys&J(0-EGuh-!vKiYa3N38_liCy~1aXH|q69x$TU~ z`=r8KsgqNAg;RNuQ~8lXmXK`QDH(R|oZJPG+xATE(l1$%>XQsp9g<0EoEnnsZP+1M zNcOQcNcBz@(2E>wXljJX;Xj@>nHY)xZv{Q}bJcpV9jn!;vl%K|SDHHZGJ|Em@Y2Gx z5x=$4v{9G}H=+^tONHq0)Bx0aUp4B!$qwP4TadOiKTP%x&kN}+9n5S};h#@PbcVQl zcroi=ih=abNog~9Lo{7iwtDISlTk7?*hDkX0-STgsBDuqa&yrQ0WxT~c97I@uo~oY{kuCB2iw zQf0}}5OhI>l3<(E;ACMcF%xN<66lVwJw|*1TlWa3?ptQWcj}xxBGn$*@1E?%3YS5N zJ)uHT!ixnDYg!XNPc2S!!UifDy17B$D02C9KHylB7m{#WKdC zF&YzTJ3RJr&9J!*YuJg4X}9n(E*zauRV)~{qt1EB-NL7i=SWS3ccN+0{9{pzu(2$e z+|@MDlO}~}9>n6e!8w3V zlhSnHK$BD7WVaN$rA;ba$JpU_xgM9In-a;w@T!y3=$2Ol3|=Hmg_oUdYXc?n^HO0I z7xqEyCx{UNE!&6bdL`TTMN#8AagboF^-7IRc4HnBlDjIZV`ur3ofw4ak_sP!s7)u7 z_CMcFQc9EU$#>WT# zhIX6T6wn~^Q{iKUc6|J7C8z6r`0efnKLu#(r?q2bZ)`7_jjhy<8Z5wTq1tX{pZk*; zUv{6Py5Bi5VfL?2+4R`xP|q{anjO_z93SJ$l7m>aea(!+XfX-iGzqxjrNWy|POyJY zMKzLLOsuguRiF*I1+ekZF>J-Y$%1h6Y7}Jl?rz~dZYrd3`l4c~NgU@h$0kd|Yq5qx zzdyE&&7n+~8sQ2wd&wxSV%^f%IK#JE(qa8r6gCt`M`kfThuRnzeb|7d;m^^2ScP6h zF-AW-IIwC97|y>rc&53fm!~mHEez}hmnGN!~iHCq7}W>lp+pcvvi_uZfV1$KjnPD zS%7ON21H?5vKTJq;^sGUnTb%UWwY;sI0bB`!7O1WoBDJt-{^M=LvH(!k4=RQGm~w? z?#7o)9F0?M*efwP5C1tlksRk*Ho2Hf*zz@7V*23%1JJ30H0yE+GUj*)jI zMqcY1OJ;4Y`Emnve8Qf&C%EzX4)iuN_PI5ju|IBuhr$V|_BFzTj63mgusoG$3$ZL~2$gGS>tc>QYZiBco za?EqTDGU!x_y1p-G;S3`p+7H3j7N+8mWRWvj!K2+@%-8;xo0Uu+J!fp!!y><{8aen zi3uD$!z=R4cKs}-B~QvcakUShh-LL<8-JYX57+o%YPb&ZFW}6DlUV|7%Y_<)ITfN7 zNj!wXwceIy_pHGXxHGZ za|dfv4Xy*>)ljuK++YO1So7P5pV$WFX{dc_dNSEHS{iU#!07Ii z+#AH>5S{K+fI}4geK8Uf$uwIV3*SF6D$pOFLjU&RJd6$;Es9YvpOjZg2OVbyM;UR- zhN=2UZq|4%3YpWzLs%DLGj`kQ#m;$)HQCOy6;?e=!UwUWqg0$v7H6MN5cUL$!VI-@ zaEzOSH=-SJ@H9G(>A}-lf`^cBCE8@yoFedR2bfy{S4pNKEwA*?z#(W z#0PPE-9N--{eZ1HRu`=5L-4GSPPQGu+L;G~wzz)o!K&d3Fied{i(JA2!gskk;g+Ub zY}?CyJ~7)m9;Z{`1h1WUG$wO2@|S5~o*IX-iKj94j+%IwYlr994q01x?z*69%%xc} zF(lTKf3`VrFD(eK#woi)_{uhSXJ&*AF_&tk<|rQDUBAAW$%fd%=flEqBedDxT5gx< zzOF6q=N$m2Ltk9K7UICwBU#89(Z?*>JX`Snk-K^?3?DrSE4J#i@nmcseNB(wjk57j z#!J37H~_ZAEy79jlF5VI$imGSZm$(96~1P-&gj@VIGMW#%)WCO@=k@H*(5oRF9oU2 z$->^r(q2$A$MGe(Gq;o2(w;}L#i&nvv<0ui%^Mq@ZO6H57H+1zrQ|ek6IP71)kc&| zwfQ7}Au=)zf=7Noq%b^^E!+B)CbnIq>w)PPB}<0m?E&pNGx^)$=)*%x7+!u7<|=Ls z!#7dd0no7>W_kEBjue^1shLnV6W95=qW#ds z@{Zu=VzBbKh2enb6dtKc26KoEfZ&I%jSoDG|66uL&bgbRPzl#eym_*_!rm5TL~p1z z6eogdrs{k=?UL-!gVVFGlzJXlfr;=&_cjvS@ z2HD!1TmOj`dxM#_?Gv3$$sMWgAiNj``XupcKAG;#h8>C=zW*iXDzweKVt5TBt9^K| zD$YrZRi#6Z|5Vj`vFb}&uXK)Xefn@F zbWEErbP-G+a+#VW@pxRpq4`aJmLFxvY5Lf~{n<0&cw8MKv|U&_(_YdX zV&W+%xoam}aN!L$G{Hqz*fxzp51+mE;x!YbdxUsR6O(y<7k3*n>&|`p^u?C|`VL4Q zfbcS0JQm?)N{G85wu8z>gV4#g=@Yr|jAq}#uw)(;3+#gs;}w@-Z=n~?A-Hi#Vo>v? zD5K&YxY)d|IKu2x{ZJ>2TGgt3s?&sIKhEDZrb_wwSY~fso9M(BNy+fpWTJCww`4zt zPR7U|iHHBrJSdgmGUueRyZ7$d8)bxD()RXk9yA!jPBka`&bFly-V1&nXGezPFpfI& zx$g=*cO=5M1MH*NhJJR^V$<;@Ve{DR9#7)!sC}#)>be-6*a`1oQN5DzIPAZvaFp$F zzOCYePFGZ#%aUF{VN|q*THLnVLdMrvNYjm54qlq;{~+pkAZ`_ zuvTGz%mS0aICB|PG#1;?V6!nGuR=WF=cpexD!d@Uy0Ca=UNN_!Bi#hKv<=#UZ@;fH zZ@*td&PQfn_46JukM{;sbViwEdJmIvH)t{tg`!LNT@X{5H5eKkw!>1h%rQ1RA6H&& z`6{Y=_y^n{^$5SuS2dYKFa`^<_#=V}@gf5)df{3ePPm19E$89wZ%1GHAPf~RLLEAX z@0$0beONd1UKIiB5m3ls9=>)whg<6hW3*XOcm{5(_tjT##=CC#0j@YqH(X}!Z{J3* z;EhZ4!iCw|)v8zOXpUPvE`Q})NVM(CP_=!CFAilL$iM7GSp1RMT!fhk_8HFXW>jK3 zgKqDkk#_j7$+2uN8u`-WgQRH{^G%XTu2nuamx>si_pjo{{ZL!nYEMeF$86TMHVdDP zsc{i-@Eu$(=|UU&bsw8bo1?;o+ibR9czjT84yVDMLcG4$0g6)|$o zYGW@IugqL3@-p!Sb1uhgBiwv;4DZGAkGO3)B)WuuF)H#(kz;f{##a0Ab~^yLgy*IRAiFY7^qSOvwq^#U)cqAowcRkHz)q zEbe41W85}xnNZPNQCKRxpF`hum5G}BX%9i>1Zi%4?!y9vOZQmP)*cjHQq#Qmo9o%L zO-Hz`0eS7zs||O%p5U!wcsZt>{2sE7y*B=SLbqRsx&`b)^R)*oVArqY0`_7dUmG9F*T%`rTjOYh9ceaL zT+lXg7w^pb=u`Mr3H$xM8_W}+`~Kc<-1qlxL!X=P?>&u&Pwul}YMi_Bw|9XXU5q#J z#W~z3e}J2A40w*B!O7`J(b?Pz--c`Auk%)T``=ISzE;6*y9qkE#e@eZoRm6-_uxAq z=qi54lgA}qx%0j=6<*4*_SIeheVtdunSGB}#dr;You?>#1S=$8+rwFB7RcvUW`D5* zH{}K4t%Zm@KHP-H{xV-VacwgmuN`fY=q^}IW>%Sx@!n#G8*c8~T`U`qpccHjHJfjx z8@o@ND}=9bA79BE&O&|zwJ%n-oqgNZ`XR&I%X0v)M)Pj-l5GbUgFCts-TBvTJp4X@ zD+P?p$1nwV*bQi(5Kl=sjGLpAYQ@Qjqi`X)MXdhlvaHMY=oD$bg%|(k5r)o# zy7RgJM81SA3V(_3o#D%F`ZCfyRG=gsyQq8xFERNpiT9MDJ9GS-d#O@d#~?gnRR$ckS3-xgVc7Q{3C5gWYo#n$kTwnJY4G3y7>9jmc1Mv1^uvQkgL$HKO4|pN1NijW9Z#P_k_YU;FA?^{Q!rm1=;^mx zvL|PCJ4ULv(&zy=dY z;Zzx~C(XA_(bL^h;Wg|>Tq|=?#rSH=Z%<+Te2h7Sr%1DSyb)j^Zu`*4LlbiPyZP@3 z+vdd0gAewFoQ?%-Onl3hz4h%iEyMTf*Ase%cz3#>&&O@=vHF83Q<2BVE`=2Ne^5O@FkhF9JE?m_e)a4-xK;bt=!_}^RBIqf-Y|HTTp*PHu0 z&41u&z9pCD`{RoS()t#Z=sl>0FBhR*j4ILFjh}m} zY|5ny{=dq4sq&tu%0*6Few<66@>KW(>GQjm z%-p-i>2pV``ur{Gr{~yDcDVCNtSH`5(Wu$twayQ6X@gO7i?sQ*r_F6no9kQE<`f*3 z?Mm>=Xw+YExUuHGx6}8bzxilJvFJ4Qdb3Bbcb{f6bsz!VY zv;+H$NTbhlX%v06<2I{NzeuBBxuxs5vw7&xOpaiN9)9qn9I%{(7RD z_us|7yv@ANy!|&C***8JT*}}}mX}DGw>@Ppb;{h=sxt3D87$E4;;Z6YPBptgM>i8s zc&gl#OBLKwTq{*xYR>Ex*E>~iYgLtxT2bXisFF6zqtzw)j?iyyNAdfd_H=YbE`{)g zhTEmkQ(oKr)G2gzs|u~-|JuNDwJ?0yyyCX67fx&8mCP6I+<%`fOYXL@4wPBTqY?k1H_X17OBsy#C#B3!JY}AC%6zL;Wv+xW81eYOCOQqF z-FPJVsZ-6WGTSZUr|x2w%%RaJ`}>L~ThwXCk&lRmL;x|W)bA#zN zGt${STjI^r*j&-Frpo-+_E~k!E#)g)0#8)lRM*&4*K&Md|MPow6aEMM@xh|nWi`iF zFK?<{g}5$CMQw9!)2iA|D6u&TDHxvsGxs5+wh;KoHOm(|W# zWE8i_jQX}TE10n;XhF5B7dO?e2xc_TXj*Y_P4jVRv+8POIeeH&>l$==-IAq4k=pkU zi}{Dg{3GB$$h1<$%7%uzh9$9Zc7vbaDEQI;hb}m_b|HSBAZT8-Fd7c%P&BaX`I<$G znh@F6V;Y;fvbF`asjo#>ncf_&^OIU=MAyLYU*01uBnr3 z>MC@p$=0mZ>J_y$%UJZ#U@@}ID!6iG^YYpTM)p)?8G#wE)zzq?4RJA} zUwg2>fH9cA-(YKm-+I7ULw~9AXqtoVfSt|p8f&j9wTQbET^W^dNbPD*=}EQA8duxd z;CHJh_IuY8`S>tcA_X%dQKUId!Y>%PvdILKThf%oJ~~t*=?W6hC<)t!I>@ z?Y(Tcu~c9kUR9gb1?aO%vy?Avtgpu+T7zXDIk4@Ajx>$V3CH$2l>LMTXki(R&DC|; z17>DpT|;16uX;gEGh?td%&Jq@u(;7=<(i7CYL*seWKCXHThkQOH!rDPT-Ss#V=^;K zPD};FLjhLd>gKw&D$MRO<@kjg6xOnIW&HvuVaK;o&UvCLH#Yk#i8egD*evFD=a$`A zO>+*hDQK9c#^a6pcJRbzldF5Mz$&N_#eS{F{$s}^e$NIKubzY`wgK%_F!gD|Am+A< zrO?*d>=)ZMBe#S#==|y#4RtMZYnYKMo9(`@zc`{P%yyXBK&s6Ea7{I%p=M!A9fnM! znWSdBUDjCRt?YJ3@#|_AT-)wZQ!#~^WgHQWn2CN0)B8{*IPj1;>B&P!rH2kF8&)>d z{65jpp+knJ2R7B3KHoDvsD9z{mE-WsJ{XXL>W9E@IvT85zOZ?a={9&4L&=sw_#LBh z{P84)HZ5E_4!>};YGm`E`t+bBi|~U=iw6x$4?1*sdeCBSU@bU83~I&>3^oTi>DXn& z_DKEm>P0mzHFgiOCm?OR^((6D7n-G_wxMRhvfApprWFBxVW}xt%wfN6J~x3(c! zp=f}~X4SEi2*(pQiOgzi&TBIoaK7W+H@7`Dcw&FQ_Qd{{?TP(e+Y|d6 zw6I5m1LU zw@C##Egkn zBUE>>KfZNF=Gnce8Z!=4yADSvoww}ss&t5m=SX zWSP9Q*64yIqtYEiFcfU{I3>N_;q0qKwd1lm4a@2pFdy}>>mMS_YRB=7O@C!mlb!C& z7ORk%+(tvQ)HN=*>$h3`^x%NHxb@0Lx1+;XzXiN1foU6QOrZ#40_k`K4;IBcv zjPV~o?atzFAy(q=9#%2}$~uLtWZ%qvd;ZjbM3VXhu_T4XnfJ>g{CW1yMLlV*!O)R<|E{x<6+dP|Q#UN+I8 zGU_G=5vPM$pf;3)^WY1I_n3ZV#%yv)XE zPBhi7eVcOc=dN#DmS&Z}aWeCCV2^)h2D?0TXNPXy=49#*bF(j?Y3<^HrnLu(__*>0 zBA#RY(G0IYl;QQqF}(gDhSxv!!0VrO;MFO|wv&H`#LYx>Wf?Uj=it-^oZfM>H+9Xz zTC*KQ*M8Ekln*5a~H{kT)$pX!yFhTj?f*_N#Kom|}YtW@?GfH$kJ`Sb36oj-#=D-ZbHPaVT) z{ybM!_|)S5XSMxS!P#xTotjcSI!o8fO-!CiemF3rkKl$HUT_zO|$r|g*W1Bh9nw;h2nlt=a=v;Ef$DG+F|JLNK<@3K? z?$_7v-+89pOy6(QM*k;up13zMuHo-q2Z=s#CP!8dIygubwK=$LqGNt(qH|)`uxp}Q zVz)#ujFxPJ=@yy%;4fc99ystDzP=a!?BpSTh1xSAeuz5zPf6ql9T3i3xDVtZ+&3Y9 zsX9A;GT0pv7@uoR9>Pa~1+doOm#sY$25n;L+n7H&#`J9WJcRouL{8b|6$FPO0^@Uy z%JWKMx-e)Pi{Ca_3OVC*y~#tkZ$kV*jqLmjgHsTJ@khYsA)NIY0&5Nb07u4zsC9Pw zBK@goyU*nzoavdDHU5fBeT!r1i_M>-V)5WSq8zGLt#!We%(Y#zc{emSf)92FT8Ld)#*rRL8PG5s3YJcKiSOO%AQ z%9s$nn4P{$fS(;O<=gaKV(HoI853gEWT)?H{>%!?=h~cyaF%~bl!T)!V?zAlr|k5* z1w&N+4TzS9aHhW|O0rwzq9eqp%1+-Sn5Og_5uS%|rl&5}a1>@th(9`&oxWFaxYDx` z@(|ASpG8S}MJ_tRARSAe4vtlNo-gtc&h(~1N%(yeVmxG*-`B+FpSriEuX7lN_`{Fc z@%slSAugkT0Go$!miL~C%@3}U8581%hoGM;OeA#h($2KO+|ZpjiBaO#I9$a8NA% z!Lj%U$KoFxi+^Y={-H5_csj_KFqj>SKRXsb`!6|%L1ip{Wh{R5SJO=)VK65ae@-m^ zoQyJXg~4I5_=m;f9~O&$cr5)?oDxj}KPM&*%7#!NlO~So%w|;!h5)%kobR?v$S=myW@K!Nc-% zPISb2`ndP4oOv~~g7R<@=A08~q@*f$j z$nqZ*d^5{m9juq%)~6=8PX2kOJ`01N!S4*)|Iw`UwZThS{w2YCS$@o~CjYB>{jJL|OJ%JTk9|{5_qd)U`pTbPliFN! zy(2!@2>qAF=FOKGInj4;W24EhHztnxK8`7YUw*W4v)*#J7h7&ZdVcd|37f=@cKiKc zqeC?O{Wl(wP_JKYT7Ou1xkvNV$=nQ4s@OM!H3(f~O$FyQ)4+K$gVRZ%n4SJkkeQ=S zl0A0)DU%h$y}PtZnncJvYSGNRwRdTG58FE^as<{;l4~hhK=!wHGcf0bV0mDLxQzQJH z2;WQj^UwRT96pD#oKHnQ!!q3k%Ez_aN%FgX;td=7sRzjTFVc^Cz9q7qS>7=u>bZ_w z6a<^(-$J6^?~5svOMUqKPknk&57f86^chH^kRc@MKY~R4P5H>zunqc8`t&CY<;UfS z@#E6ia1Y6siWm$g9j-MDCyO{H8$TY$4e{7)*eLF*{BTb`I)L67BvoKuv%6K9DP;ym$aaglhe z*dm@Ho+JK1yj8qkd`f&pd{6wRSeS41>n83gP7$lbMPiG%PULe(9_n|k$mb0DpA}yd zKM=nVi<359PjMe{e{rUGxVThYEuJA>C|)D}M0`m6wYXLMTr9#ul?OfhisQst;*sL9 z;_)KisWaWh;&tLr#fQZg#Sg_!Si707w>Uwzj-(;y`hfI8B@*){19|7mL@4KNTMq zpA+8@|11__4`jP`69;%~)w#D9ow+u3r{;xuutxL8~z zo*`Z={#d+Ed`f&(d|zyfgO{nFI6|BvHi{>U-w`*6w}|(PPmAx0pNieu+j348H;T84 z4~Wl*Tg4?fdr-gC;+f*b;_c!?;+I*5J5q2fewzPL<0K|Dv? zBt9X&EWRgxCKlnq%JO=MQ^mu?C1MNtGrSiTParo1!5Ip_K>mxw%Ot;1yj%Q*!XFc# zC6Vt7B+hs5$p2^gKNf>dw!D0?ghaY7B+~66{~&RwIF5v#6UAAQSBP`Pg$iFHE*D$G z6U9@-Ye}@*?c^OnaHn{W!XH-nGxBd1Um+3y4H9~PDF3JO=apJ{I}&>DB6cV52!cK& z(hZY;v^Z9rP9ohb@i57c5^Kb7kkJ1u@-{qoiRY6@f2qQ+lmABX7Ri4u|NY{lB=UKR zgnqx1|M&9$N&JXJ{-25|9PpX0h(x-c^7j+>5QmdUH%go&`E+rXc(}rk5}QfX^Az&O zI4_H5Df|M3Un&1p;`NgMME;+Nn=j_e1d$v0zuoQLiGg3yF9=#I(4NI7}QVju+>O^TlJtdJ_4s z5Z8()iIuZr(V{=WDziTpk%(eA}vt-q9nzlXRx z3H|mI_a%{Te}&JIze1cVE+CO^vA9C=)#5kAvlM=gc%k@xaTAI9KStgV1W$^;R`^Q_ z|AYL06h9;p|5Fn6X@>`N`a6*DcN6=P&~tz|j6}TA3ZEhWp<<<2O(NYwu|e_{agBHZ z3H>*a*9O7W;`Job-=^?;<$q9oMDl0l-y;5wL_WVKQIC)0|4jb;-K@MliTpc=JxQeN zr|=Q-j}Z?LXOc*Fh0zft~MN$7pI_!x{~`b9VydUjr-+2UJ>~Bw|K8#VauepQIEB1C2xcn$ zNcn5TT5$!5bSuSEBtJtuTfA7|mx;HLsKs)Uz`Qe|P!&iTjYycZfKaM7&7~uay6A@hGv5M7nx$jpQeZr-|QD`1iyglBnmM zcAyr+QoYE+q8oB=#neZg+)`lz*%^L7YV* zUAcIqB z_ZISBC*CCaJ>nyhKQ2B;BER2~(EDBaKal?uF@ZIo#-S@K-$v*Ps%zfIxy%KxDFh~&@8zeW5ViF|%fLjRBC z|4jb;zE<9zg#I1Go+Q%sQ}_t^$A|}rGfAX7L_A#bYH^{sT;VO^*(BCy~!9B6__gx?P`sU77X){a(CabzpO*i5aVv>@-V{F|*I_)9NY`d}>u)c15POnH*GJq( z@?qjg@j!(iBvwg&gm|>LOl%TYiRY83-xcJkLGT0dS`y{oqVS)~f4}&!@M~e_aqT-nEWHf@#180mRK$xE*>Q=7LOI1#ns{|;yUqM@w?)s;+5jnBPh2dXE?yvR6mJpl6<-$L5kD5Y>|@LACk_?M z#iPXI#IwbV#cRd8#9xTdiGLNp5Ze#3<&74niWTB9;>qGU;-%u<;v?c_@oyr&ifa11 zSnMN~iDShh#iimZ@kio4;xEO`;_Kp{$$f+1Z{o)!=6zzYEx$bpe+RLPs677DK{5Oa`WqFcd@s)w>VVXR~%2GT`I(CahZ6$ zc!s!Myk5LRd{BH!d|7;l>>LDt5FD?i{h)|AH+Y3 zACSoRAM$@LrbbwOib#a_BvEc3ae(B5vB+B`b{P!sQKJk|de^TMUmHZX)50ZZ%|A*q|VghRb>su%mi@iydyE_Rz zMu`&?K1Do~M7%i)Uo82tVvEApiYJNRQTX@7D~M0s~eewX-=!XH)mZzX?4d`sc) zi64lGk;o7AONzUY(6=**a{5X>Kpd>_eZ~F6nF>Edtdx9_STCL`o-bY`UMk*1LZ4g2 zyCuJ0{2y_%!e0 zXs7Qe`4)>E#eO8}w}&`X@=@ac;w*)ii$_R)42kmVC0`+~A<=)| z7B3O66MsT>41%AD4@>?G34Jz;zm@#=^1ma#FZrkP|4VGMzsh4P@f3xx6VDaDD_%lEubafX#Ye~_-nWU*Oa2B4J^vuS zC;2Dxe3R<-bb2Uh<#F|1)uu7Nrf61rFKV6(H&Jm9m7l;ic@@*lJ@9C0%OFU2V zi{-yeyhgl1yiNS6_yCD~9}yoHUsCw*#CIhBv-mf$5PJ>Nmypn_4~h2JUEE9Z;qs3X zCx}zTgT>k6d=mLC5EqN9$p`SgKXJWywRo#|ulTt5Tk$>dA7a5In_ma=Fsuh+Hxl*e zr|`k@4;M#EK1KfN;%pN697du&mP)=%T&eJHDEv&xzb#%U`IYitCEg_7BHkr#5+5Z| z?i1qclD{Q>Ao)k)r((Owww}9)-ALrqQyeb&C~>0XQ^g}CuNLbhuNS{5`I+K(CBIO- zN%C97yCuI@d`|M`#aAT%ki<9$r&x9ndy9LEqr}PLY_VEgCax9F5HA&P5bqQp7M~Gc z7T*#7DYltv_3A9{Ar2QOiie6vic7?1@nrEF@k;SV@lNp}@hR~o@lWDE#N;%!m)J`j zB90ZOi&bKc*eISLo-JM?-YEV|d`$d}_`3L^*ycd1Pd9OZI9i-49wsglo5WMZ3&aiL zZQ{M+6yFvU?qV6aC;s0aiSj2( zK2ez@5DF6_rwpxe~`%M3ljAxI>`DvlJNH zd_v*Rh%b?d_nN}rm;A5d=j48Pk1@+KNuu7J#9hU-*k2q(BHeItlsHA<)5W=x&nKa0 zz2qyzQxtxN!oMf^#o|VVUnkxu{#@briw{fw8}U`~uVUW8C?99~lvqfjo?XQr;_l*} z;#d;tCXvv;Qu4#ZW5h*b9f^3WNu)bbJXJhjyof}+>q+QwlX$D-_sRc|_>AOlh<_oG z|Hty@A7b+<5IcyS#ob7h*H8XE#33Z|87Ynt4-^k3k?v>`dM*%;6C1@A67kL?k>9!U ze_!EOh}VfXinowRcbEK|#9xSyiCakI`!b36?~5NR{GVd#P#dpEED?K>(7%s3RPs?I z@|!OCEb&N%*C@O}@+R>c3O`jmUA#!)mx>!Czg4_jd{TUw#2I6&_$CQGKbHS<68aUE z+ju1;{N2R9B;pSc2b0KeUvWQinmCI@`lCpss}YY?_;Q7xDEX=4+2VQP#o}e+MiTk{ zQ2rl@caqR&lfs{r{MX_Z$$uyR8{+@R-2cZ}HST}>|5|&`OtaflP1CNXnrfPvYWh8C zVyKvYsZ>lEgq%vhCi*eeLizxx^|^ia?Y8%QU)Q?UYhBm1uC?~sKjxZmOs%(%<*CDh`bMyUspU;fZMO~i?Xepk zgQeIH2bo&$3{!E=A$=apr{aYyzm(A%;ymInK;24%q#Pe|u%je>CHO7v~i3bS>DssHCZY4WBFf5k2AIYbn<86#pGW}`Wjqh zD&DOu|2z4Q;2K%v9X3SpGBlzhO$bmaBc~SRWf=Q&VyCNw>u! zEXH1@w$m2};2A7G8^@D>K2F0+aGt5{-Awv6(#uWNa|N!(C-HfF5nnMCr<(Lu{LoZA zKV|to^7rHKUkH+J$FAl&mEXQ+j44#Km z@j|===i>sr8UKcN<9+xDK8|ZlwQDQ>fVIvH@-wjs9)*K(6jqtjwZCwVss6bRZ^Ya1 zPF#*F@Hta){%LCezeWB#_yK-|J581Qp7c-n2ZpuJtsWVs;$~qZY|HWvSd1mu8~fwQ zI2_M56?Y7d$5|}D2(KjnTD$>o$9wR8T!l}WYTqlS+VMJW#clX8euiI~T5mt;1DJYt zP~WJj<&90nZH5I{h+VNe9%pL3zN80W8J6QYrut~3nk9xNY1ei@$5 z@=9}-zQ@4XEWaAB!&~q+T!zc>QCyABnu@!@RJ%5l|1SQA{7*^m!tYJ3x1Z&abCh1E zzc<9jrj|E16}L0_M_~^<0SDp`9A;|0QKsUICq0AZ7vSYAzl!BIlm9oohx`?!SK^cS z48DjjW3{R6{@0wXdDZZ9mhZ#;=>J9K)c#a#U~0W4=JUF~C4IE1&)<*3emD?^;b}Mu z$Kn*6j+fx&crD(5x8a@W>1OjUq+kYSVj*_KZg>pp>mRqi-nuw-Y2(x-f^!0%hZ@>0 zUtQ^3fD7?1yceIqr|=bg9si4;;1~Ec{$Z}sap(s|u^#4QTkL|}uphd4y%fj(FM!26 z2Pd1WJ+Bhoyk1JrA-x!v;5~RhK8mYxEv`d1pO@nOoAihHG48|t7zqXSse`%b=9yER z4y3zaZ}Tzzt_FwVFgzcp;RSdx&c_9K6WaX37WXc^7oWxF@n85VZo&8PzxWBddA1aH zFKIsBD*FDk`(9KJx{5h6xrSFH(%}=KE zLefjk`#f(MuE3S(<}1_kO{Cw(E%+gRjJt6U+P@02_$k;Jn_)*R!oD~FPsZUm&b&w8 z_hThi;X+)5x8a@m06v7Pa1FZo(iHy<(jVccxDWSZG(D(KJ#2(cv7@&#nqJ&K#~4g4>DfiVJiH2*;8J`PSK~(9gm2>({LEaW`Lysm{1NL!gXQ%x z8*{J?w#Tm69S7l19F5~}7G8wc;f;7NK7cE66~1WRpx;H|Tlfxsj$h(;_#>w4g4EW} zz!+v@JM4tVV;?*f&%g=hb)I(~&cF-sI=m78hPUHNT!m|K9lnX1@e}+Uf5zXib{*B{ z8jVkEgiY}%JQ{mpZyb!L;OTf4PQ@8`6<&*X;N7?ypTu>z0pBs_>v|Obi=W_6_$&H# zgYv1^2%BOD?1H7(4^K7c>3bO*g=6s&yd1B_>u@nH!F%w2d>Ws_H*qt%c?z}t&q@D+ zzhg?hpnmDt)V$2|T3{P&kA3h&9E!v6Y#fCX@I1T>ufWB)1Rugj@p*g^x8QsDC4PfH z;xFjtOT0vX|D-pB_IJ6Bu^HxLTkL|}@C-Z~&&3Hi4QJxTco|-U*W+K&&Bv(v+(Y_) zd>9|Yr|~&_5nslS@KgK>zr~;NHw@Pg`k@wfz%F<+9*4cLKMuuVI08rFSe%GcaRy$5 zm*RX}fQxW3K8maH8C;LA;+yy`zK2+FuVFV>4`v9q=eT8jr_5coLqBr{h_8 zE>6HHI2|v-OL0Cfz!kU>*Wg;*h@0?j+=3tAN4N{W!te1X{2zuI1pU+;TVXqN^IK~C zb|-x-_Qw7=6o=uNcn)5USK@VeBi@R4;C=WYK89}oOV#HY((7>}Zo;>53x0qf;eI@T zDY2j*(lHAgVGGQ|_ShNw;XphEPsOuw6jtGEyd1AYH$SG@>E_3j%PhaU{vQLb#8tQr zx8tX{3%|kd(andcc>g1v-Y|&o=EYQg1JaGL1?FKp?1XNf%&}!f){x{2enJ1^pAlX4n#s!lUtc?1N|G zIXDh0a2mRKG`s8nYmmMSZ^JwBK70@##nreL*WpX(=FwFA|C0U$zre3?AMVFLFx)uk zhir87W-49*=|b#+-Ebfd!Bg=J{0p9o7vd#&1zwFe;LZ3TK8&mJNnD2;@LhZ#ci>L^ z3ctm)?4aGXu|77$=GY1gu_N}v-gr8mg=26$PQ#gaHC~6e;BEM@+1&FU!}VqpeUFH4 zzD=dwe4FwM^1ntmzozo{ll}w4%Ck7Nu`V{mCYXx_*d33>Ay|fI;Mq7CD{&rPg}34z z_y|6ZFPl16U&GD#E^fyixC_5R{p()0zMF4TaWY6}Vq6NJ zScS9k3cMO`z?;#{zp3rH`8VbLP5V9O)PEWqb`k z#Eucg8oRyCfFRi@MmJBVmQN&o30{s@<8^o!-is@6CBA?! zp_`{u@!dR~@>BA6;Wzj_{(^3vPL+@8Tw>!p8(U&Nw#Uxc9goE!Scd1Io3B%GXOo_T zH{i{9A3lgr<8!zL-^2gnC-?<^jr(vvMp^{ztAlyi20LL_EI~Ivr|LhH^e{XZC*Xy6 z3Eqf*#oO^NTxm}7yj8ds*WotYj-TQ#{0@J_1L(C3;?~Bx*btlGG1vq9;ECwwCM?oQ-b2PL+4_b;|q6|2w*QI+gzf>3^ENJ?~%mCT>PIFQ=CONcsSJ zxxsq1u`V{mCfEvFV@E8)66}e6aR5%jDOiQG@d~^eZ@`=JcDxIh;|g4bYw$UI0k`0L zxEuH29~f>G^hX9}Vms`F#aM!+*bfKeDL57P7C0>I!;$LwkuEMABIs6yC ziq*IkcjF%X9sT^EUYX_uowqRubFmcr;b1%kPsg)x9J+Zt6?YoxnRqc?hF9UWxCj^H zL+IxBRJ=8$*W!!#GJb|%;9lH^zu_MkDG1u{=J&LIAf1gZF(2K0pDO3(`;^_uKNe5M z;dmyVgKoZ0tye+%61*I*#_RB2bn|{{y_KX_;nVmWzKAcQoBvbmeL(sf{2qV7-!Zc_ z;{lstODw^j*caXWpW4pJq=(~~cn*%k3cMVz#Ov@z{2Shm%Wye9jc#60ZGRK#H}DHn zpY?u?ZXQsj_mlnu!)=0cwXrU?#&%eQ#n=N+z!UK#9AoOcknuPfEAe8y46nj#@qTpk zf-25qq@O@HFR1c2kbVW-JfX_}i1erU6aI>R+n|1_*bG}@M=Zj@W~%3%f~VtII1Vdt z8oK#GwcZV+Z^k9K6d%NgaWy`Pub89sdroxog(~gl3za{R@8%0t`hTQDg~56mn2C+i z%^#}rtx3E2LzONfU5uyWSvUsA;}o2ZvvCeyh1cR=@m9PG@5P7lG2Doo@NL|J|3Npe zsOtY6=^ya`>R+O}er$k^u?6PgG1vq9;E6aChhYUy#+i5_UWQkoo3~W;yn*yGT#o<1 zr|}*9H-3m8<4^c2`t5^ureX$WVq5HhN8!=v=0R2cdXpZALvR$1#Y(Kg>+vSM4Rr=Y#5n2kA@k8SZdJRaSAwA!D8NRPzPI1$gs zi%q>oUWQlWb@(tohEJlK$5!iYAiWXa!gug}{1A8HSNJ{tgukQTIjC1YwnaA&uC{v= z>0_}M4#2@U98bs5I1bOpX?Ou%jEivz-h=n!!}u7k#dWwHci=Ak3isiDbo1}3eW_i7 z_BX)Bn2&9-J06Q}o?We9PI@Gc#))`7USjH8dO2Q$*Q1+fSIgZzyK)uzYtYTJtNa&8 zZ^Adw&9|%N{~`SY{*3>_P*G5i2-d;I*bMWq4fev`=;qhe_T2os@>KHAz%e);-F&(# z=jPLuw~&7uF2m*c2tJN(eqF7%j`U0T3ciK!;0O2-evV(_5BM`?cMbX_2lKHlcE+Rd zI6NNv;~*@D{St#>8q z>+vSM9q+;i@FDyMK8?@gi})J8glkgb(0DxC-68ziQ9xq~FH( z@B{n=-Mqgl|266F(9QR&{K(NkKV)JITVp#s4v)v7=CPhP49~=K@KSX1{i@so(hKnx zybbTg2XG~>!l&^$d=X#9H*quW#eMi2{())71of|tG0eu6n2+tTGj>NeZ?M`|O1dAO zjib=bBdl`gk)DpTa1PGJTktl#8}Gwsa6N9sP53r$!T;cY@mu@>LnZ2uUiy0ztczLb z<{j4Z<4O0&L0E?6_!m4EC*c&V!r6E^UWwP?jrcdb9hc#9d<5OR#j591q@Tr)@iW|m zd+}%d4O6wRtUug*#WIt044Yv~bn_OgTzk@8u{-v}QXGJTaX7kpjTPq{(qr%fycn;< zYtYSato0U?egq%KwYU!dg|Ff#_&I)!-{F2dfZ^kU{;7pcu?4oqcGwGh<4Jfjj>U;s ziB;(4F;@F-B7G~~f%oDAxE9ypzwlN3FMfhw;MeH&2-=r|Za!me-_2(%+mPQLyJB}7 zf@L@YN8(tVh*NO}UX9nGo4;7w{Tt~A@nL)pU%+?p-}oVZjQi2eQ>?h*p6pMoi&^OA zD^|JYq&wqL=;kNxufHd>v>b}Va6C@JO02?b@djLsOYk1NAJ^hK+=!dd&1aFX*XlR__#SjLonucEIDY51xu=;B=gYbI{FStnFMw`g*(@@56`jF?6$jx^9FLQ59$tly<3I3Od>;RW zuVOWB#Sic!+>Zw^+&id$Ev$=K*aVwn0TyB}?2Uua&4a9dFDE?$r{PSz9IwPX@g95{ zpTm#wGj#JHYdd>M|BSz3W}l#aF>Hn{u?@Dz6RYQrJ zW7rH^Vms`F#aM!6SdM4oD4c-j;XJ$w-Tckk{vy)1;hlINK8VlYdVCGt{LYH=g{4o{ z`(oUO`|%G9pBR*DjLopUS*G7R;L&&-o{YosOgsl?;01Uo&cg+`5bwf!aSg7;7w{$g zH~t4d#?SD77#a|?BZ74>hS}H>^RYd4MmNv2`eP93GAzflaTHF#^YBufhd1J1@$Y82 z=10YU;M4djzKNgU=lC^#hx_pWHqfzU@f%|c%)@rr35&4=2jeL?5=Y}j=2@P1DbB|Q zxD1!$DqMr>@t;_2o~!Fy+>SfY&1tQ2oiUnARU9cPW!rnL#hv4aW7P|SdRsZp%ug9D4R&?`VtK7Y$AHYX(HLgWBFSg3R zMEVtc3*G$KTK*r>|HaSn3v}~itK2@)bp{9hRUeyRb8L<6(9Msn^-D;XVn3XK=iv;z z058KU(9MIbcy1nSxrF?sxExpDDqMrl;S2aOzJ_~nFYd8r_ z@ptrx2K^GjI@l1KU@LUtH(qnNVPQ#fv2j}7fT!{DKgZL<} z#%FLnZpCf*5q^q$a4-IjzOE^3oVa9E)zA?df`7PWnoG2p`2YxE5c)mv9?y$4_w=euLj*ty6>c zxcRlU{VdXrusOEE5_I!yt9)P51Mp-Vj%T5pe_Q3Jk)DYQa3L>&LmeuF>aFPNcgc{?66F$Z(88yZ!%};cQAM--htiLbINJM{Z{6eS+Cg-=mvN^nWhB-T z?wSwJ)OVB%OnvvU$kb=DOH6&Hv&>ZR6{fCXSDCtoS!-%z8%&);H<>!ts!g?No2mEj zJIs;#|4HU3eg13edT+luK@Yy1r1uHt6t&;fc_`b|{?0XLtNrFBYQK4z+HcNN`_1`k zzj=+?Z(gV0ADK6({pKRI-@JwSM{ia8Eq%M%Z!T5)&3n{-^FFoTd_e6t)%X?WBWl0- znA&fyR{PC0YQOok+M}E8w-nSqld*SYj!T!zbW1+K(ZxCS@iM%;vN;5OWj zJ8&oN#yz+n51?oLuKK26I%Z%d#xU2^_%Fah?1&}U6HC#}Z>#m(_>$%1kHC>wfs?Tk zt8g~Dd2bbG0qKRf2p8irQ^)CYT!AZb6|TVzxDhwu8(587aT{*O-M9z$;y&Du2QWPp z)Gq@wF@|nlU7cUtyt=Z`@?}RX!Jb%({jki`d8r&n;7F{%$ykY1I2-5ST%3=Ka4{~y zrML{2<0@Q(YjGWJ!Z)xQx8e@miMw$R?#Bb@g@g8|U^-@?o5#1e&L@_ZxmbWjSd1mu z6HBol4#Xi?hUGW{M`8s|#!9Th**FL1;(T0y3vr3rU-L}hGF*f!UaYxmbXO=;kL@95+9)EGEAMd*VPGf@N5a zqj4No;AE`CDx8gTZ~-pFMYtH3;8I+M%W)O1!L_&!H{eFxgm2(B+>SeNC+@~QxEJ@K zm&*RYbj-kP%)wkNz(VYZMd;>N?(cb}r2FAO9D*ZoB#y>$SZNN_>o3m6IXD;Rqnm$O ze z-p%tY3(4<@MOcib*bfKd5G=!T9F60!0w-f7R^eQnj|*@iF2cpQ%+y8La$JEcaTTt? z4W=&EHsU6H1FLZ>Zo}=k19#$HQ`g)3a6cYEuU4=;1=BGDvoQyAu>cFPBNkyXmS9gD zXzDtD2$o?vj>d6Vfs?Tkt8gyP#|5|$7vW-DhRbmUuEbTi1~=eF+=OpnHEzWn<^<2% ziMw$R?!|rR=Eqj~1Ejt5VEq(K#|+HG80KOD7NVO^TXBm>7o(e3Tlqan4>a}uY6zBL zIgU285gKOVsJNYMTa%)}UGV-6N#M=Zi(EWw^Q5QktHmg8s~hZQ&(XX6~4i*9~!?S}=V z7vdsZj7xASuE3SJ3fJITT!)+R4XnnkxWk;T;{kW$9^8xj(5oGkPr-D|z)Xx`Hs)Y1 z7GNQE#1ia@rPvP#;t(vuavY80umUIJY@CC0aXv11D{v*Q#dWv=H{vFI z1Gky_oMb!hz@4}k_u+m#fL=6MKLs-}hHjp8#dq_h+vhRl7hn+;6~hpZ{Rj_p86km z;7;6)dvHGUUDO4Y%VC+=+W}AMVEkm|j=$^toyVW?~GpF$W8= zBNkyXmYVlzUPBy+L$D0XaWsy@3Y?6y%?ETngL82{F2IH8=Eqn0rKFePa$IGu(EL)k z7T4hh+=$h<6}RDb+-*Lh-=*PR+=u(|0J{10RX#)C71(;27{griF?}9~h1d~`uoz3R z9}dJJI08rFXdH(XI2kLk3g?=ubw0rbxDXfNVqAvHaRsi#wWdCNUWXfSBW}Vsa2syN z9k>(sn)+OSAMVEkXkXaa@)XR(7-nM*7Mgmm+!2dRU5gfDiK%l{Pb@XHt$sMr)b~F_ zu*__z&qr~D*+j3WINH?rLgTQ)Y~^{AvC?d<*H@fvw$t%|bInfLKe)iu=hh2xk=b3J zPv8<$pYJZkWu`vAU5+bEeNMR&SDF2Fyx>}Mp!OebFo)>5@>!_@ojow(c7`{g~j*VOk^`*6QGQt#K$tFN@)&!%9yd9IEx%ry0WD~8$TB)wi^ zt~o`=8y1?=wg0im)OA%cmYBL8>WQVMu7CRBKvUN*L$J)$^+!35Fm=5!5=WbQ{U3)F zre41%W2Je6_CL-x7is_FTvM-q^KpTx*RO@R$kgl4Vq9YC^ewe~-5Gj;rL#~r4Q&z<;|ue6T8y7u|Hj=OSG z$J=A3j8e=an& zA7l2t-#__&T>C=Jbm>AL@$d%eYE$E4C+Xd$#z%@TRsVET<0O}KfvG+&CEd?d-?{G< z|LFzaW2TwX_=TzVB1oecz|?<-XTb+I_F5apu0q zQ`)m}U#5OFmCi9W?%elvO1tmt)OqfEIi&~Ma*e|Z%DeC1G#+P@o@0*G=M$tCni`)g zNUt={RlP`WGc{iKlHO-(+@{-csO@E#8ovdk3r&sVQquj*S?Vv+qfPBZ_dS%>ci%&4 zd@p19a#Q2neSf6o?)#&8>MxeN?}z4lp8GyX%iZ@u8vkC3)>Asg)NznaI>*%UP(-@e z)SwwidWfmxV>Ib;rjC=@r01AAUflOBitoN}(O_G_@|C8JpADql_b2!1cNV1G_a!=> z-1i|`-+dplLj9*>#Py%4<13eRfvMxHC+SjC$6GmR_dSLN_hi!UdkY>7a3kU+dJWF;!aaZ~?Vw?D?loy7&l-%VtcPHe*uNMMvId-)Xa^s@{jK(utGD zOq|(w^7t_`{;vXRR>IAmuTXBSiCrz6)^$3ej zoi(#^{M5v{C2lpTTN0{WHf?5A*^Fsp$JdOVgoQ&UY2hqY)s2Cq1#Sl%Zo#S3W*lLa z;p3-FyCAXe6G9HxP`$`)>~Ou?Z~Wvj7nM&Md;Xv6GJO2RvZ}F^5Ue! zGrmRj$YFXtzEm~+KbHRaunlVa=Yu95?@xO$zTi*CXM91@u^G=k+}@5aQ>By7gYiX& zI}yc~9d>{O4LaoiI%=E$;c4bI+YI{(@kK2RW0c zjZI9BnK5SS#PNFlvDiV0Kj%!IG*w4Muv9N#UgxpXrc4 z+l?tNe$yp`yPc%R#QN!S(aQ)HxyN|96P1eSUg~o7p6CqU)kBw4o-PmUlE|gcm5K4n zmCn{Zev8MuR2hloCM$ioa(1nqSZ==3Il9Mh@p#WGBeC2vr4#!wUhY}tC$^(Bp&hlg z%*t8+eyDq5ysb)?=pMhtnGmlyDPBjVb?IJni?_EZDcU)7}wD@fIkpOYxdp zJYIQHyo!W))<5z7o1GNT-m4rgUR6@O%7l2065=gRif8XxY^=p^@%k-FiZ?qUo{iUd z{hm#V7t;Z%OYfRnJl<1D@#ZR??FT!Kn(7{p_n)MA_TFTfmd9`Lc>hj{w@C2{RmkGm zaT1UBb5gvKwm^CDTRh$mN%7Vu#A~6#@pxJ4FIzo+UK^>CK+0j_*=H^BRCJI?P&Drdi8INb5JCaGMp8gJt+ev7xm-a{wWZ-~nE zix-mda`s!)#Qw`oXm5MUS^K|Fif6wm$UMyc_$Db{q2k5IbH{{ubu@kx>u2AA+jio& zc>UTXm9y{0EnfTzs2h}DkL0%Ueol&)oe)nSsnyi4i7JrT-o<)R%hmGu zEndHddXm`Q!i0GGn6f6GeRiA}&;F-w$zl4>J}XL$SE6{c703FocS5|=lH%>r$t73I z<2NmKw_!=~RwKZuc2O-6WhDz z2=VHxT;llKn-FhULcDHC@$7%n7HE0=rfRucm!x?66XKn!5?0Rk$Ka%R#Yc!YASs@A z*1_?7T0*?>N%2M;A>Npzc4P-!WULCu$DjS?JTcxv#Vd#hqnst} zH|mMU$H0X8ji;QA=VO!NtvW)y?n&{=65>rvh*zEzZ|f1_l_kX+kq~cELcB`iDa+mV zE8hKoiKl|Tu$28aKC!){70>2}vDc6Db&vPooTPYnUZC&&)c5h5^{w4*Oe$BcK<}Rs zEVIXWx$Ba)oB5#cjV=$iJ5}Z4+r29(-a}jTJxDxALcH6P;#L0YyT8-4c2p+BTa^@V z-n)9gplgZvEgsr_)1TNcCHnGgS+k&iGZNyxm=tgNE`48H8jp6cz0W7b+txVb{+`t8 zSCtU&E#egsVqsg~Tdi|>{ATsD`};}ddiC7JWgq;&kTYf;hBM<1P=+r7BByU=ZP?aTWQInnpyk!;(AHQTrCWNva>OD%R3 zdc*V=*#6+`iz^3gIMK3doz&psP=~bYaF4TE+|t|6J-bhK-^u~ud@r}bj9+&pmPxM; zcL;CxbNwC-W>gOFb4&aDw#&=?g);u&Z58pD{@@Wc&nuriedradI$Z2`@HXGO!|UKx zFWWJE$fp%~*L^jSa zQWJX*O}@8z&W`-^rcV4kDR=6Q`_Fr9;)L@O%brvH&BO`%4y0D~4;4k;6BS)6EcX2T zZ^|D0Q|sYJ$(~4a0zKT|^ zX3O&Tl=G^O~Fz?c3no2Ip;_bav>@x_72U`)(O?Nkzj!+4OZ7X! z+%dLhu9s6}+Pz1Ge@llxwKj+IulymlAe5g!qsR-d+PgmF(m(C;+g#A+$Dj^hdpqKF zi43lMa=U9!su$EH#l;`px3o#+ddu;PQ@vol%qK0KxjtfJu!r9v^uD#La)4KuTJ0C^ z*Oq;a!k>19+-UsXZ7cIh&D52fuQBUYX9n@|$If`+hf{808FGr}|euSuiBk%fC3K!*QEG zKF@2{t9sLT4L)8tmcJa0j-c^0PEqz;f?Lu0zq3NbEwygf7SDV%!^GU^>*^g#_ zI{L0Yk!ru&r=zV^`BS_OjW&N;aaW%oH(UMlXSm*=uJ>K+wNI&@n|J?=T7!qDp5@l4 zT)$+%rxl;?%Jw$7+P&b~Yjqo5J|g$@?wz}L)|>ONm-(b#%R7XtYY%ENy7r(mM{DYV zYOlS&*~`sbA8jArmNv+?^z*K0Uf4bRepiF?uKt#P{N_$=c8tdReXi^OZ+}R=((916 z`P&~dWoUEw6z`+C>(kuv9UT8rZ|;*SHP34owo4N24$Xd#Rf0e>tHoV-#mBy zw?D+@y;^Ip{;7OzMfqu0w0-KfY%kr_!^UkiFWXBcwd;_2+4yl=Q61b?tS;55I#ao* zSAI}y|GOc@{5ihQ63YKyb&tpVf33`1uP`Ovm(_FEd$|X8`KovPJQ=S~yp5j9*z(_K zSMu^uby#QCx$CX%@iK?+tFX?xe=fJm_FO`r)~SvTKJ@skRdalxO$C*9<|KhE%bNn?v#lNPOJ}(-e58d+gs=gudm0C3qrKC>Mw{78+ zT21uo8ct7bp_lz|ddds>P%WjG9#Y#iwl|+)KdrV_Pq81cr*_C5=d#lCblDINrP^N` zq};4am(*jMTxLr`wd@Z$Qf4V+>d@?)Eh{DUSu52?YowmiWVy?#HBldBh0|-K)O9oOLxIAuxT9|TxI!_ZKa~v5-S){ebO81p>|=d)BMP@dKP_9S539f@UxmKp)*+Y6s=op zgr8-_Lis7t@v3#LGyN=EHo0!RYc5_+^deh6Dqg<8t799sg>`j3plcjg%X;>A0kuZ^;g(vE@~C!1 zxOH8dBO>gFUQh!v)6<7UblK|FA5%HWOCOpS)Yi-TNqOlfw+xagT2-w}KPCLFR&9`) z(oS1QA6|Eq3dQbJ|9P>d+KwN4L5oAN(aH|T?$E$VX=uNTy1Y?iUF!Yu#~+t_DW5Ah z{j6}lip1ko)O}84E7s1%xlZN%SR?J%^w_alD-s*1$J((o^camz&|^kymLBWG>=&(d zW4GwBUTmTUM`r9z)uDducZJT1*>^Y%VjV1StdAZW#?I7ZqgbUL8^;Q@R(5QY+SDZW zA3ZjW*=M88V*k@)PApT=n#a1USuJ8kdfqbjt?HH=yH+E-Rcx6a^I}iyF+cW}9t&ch z>9KX}fF9e#8mN73V=t)wg|W-k8|`8@>9Kw69zAx5J+8-&v5=0dPO-Q3ymRa$J$8wO zRlX>8xoX}uc8zLyRLnl(?G}4nkHxW<^w>T2o*s{meWk}^VlCB6B@Lf;ecN314Epw2 z>)X4-=POKF%9|?K*neM-*-xm1m(obt>B}=;QBJ()U#{C$A!1?G+-qp>jxKLFTXnmv z;rq(IwBZBFp40GkJzmn#{$AwbM&q=FU|S*eRQlWD&lD}Co7#~6l^f`p1?hVu)ml5t z24VVlt=s<}o z^q-mqOJiBT+tQz#+n@>MXJ-x4*3$RqbQ7WcoGkkxdipQTgTlF9%Eh*&U&AL@DXUoR z?DV#NMAtH2)K#(2kJmBk>e$YYS2DWMR&DRcYZ-O5?BK_%8GT=4BfVpMd1mw_t5~P_ z@|ai8-q@#i_QN0B#%+^LLK;Z@A`>%T(vtd<#(9y7f*r08ye#{Rh{&W?LDJ89UfGfJ zNQSbeYwgJSdBM`K>)puYyr6eevI^9@ktxkAs&!`rZ7(vFWJcBwbxCAePOvmHE6XBR zl8j~DuJcl4I)$^d-c=(aGg<}xm*YA+GPA{2trN=6&AP*uRy7Hdt+K{zgOORyf?DNe zJ*7bsxu8jq%+DHRg)gK#3$o_fhGsVnA{S<@v2`!XxkLjll;6?q^T@@`gMD7)_IczI z)-A3-v(k&qDF{|A$@*ObE^;XYqGy)<%}3-ic6q7B{$H%CKTwr(B2Q-823%J^Rnyg9 zS%*BG9n_PqUVCU)KXYhTKU>q)OKfA$HTzzTv93PVx_VvHAeoVMqqT88jmpd_(Y7Pc zlZ<8A9|1>RAaZurJWFoKNfDv^CiY^bE=jS!B#m4Vwl<{C)B2g|kwuYb^(5;S9l?>C z^X!<`Ayt3OjEP?4ulYfb*(q9UL~aTHRYjZh*Ph6Y+?qK_^>K~At)}sAoGqcXH2(IQ z#=mC`xZ}{q-+8d{R)eK^7S$Tx#`eQqBr~$U)ZmWX%}YpTR$puUJtSjUV=VHrR@M?7 zwrcImdX_TWhRnTTd!9Z`B{DN3e~(yix>~QOskPf>4;{M895W6{^kz++F0nejmHVAG9Lmqg`bkFK&Iyury%hUv&q#IH>Xexs`FA8$ z#j`%Jb>8C;@v>gAk+_X!rDT0?tGv(B^sM`AaQr7H7%UlCO>I|wz|oeOHPQCXc9zDx zl)G)Od>FphwpS3@5xG*Ea*hA^(8hmqXyZRUwDCI+ZTx44HvaRP#{X)2Z&yoelp61) z{9<+89j>o*lbdvA%ZPlFX*KE>izE9Z&#IT)Mt?bUqrV=y(cfw|>c-)LnvE9OZv6ewX8-Td zX8#dycGSN0k9hG{kAmn`X-fM(r?tZ9Th=QfzqwUPC(P)jHmbvZiy&R3HrU^U*L%kr zn&OAkRjhVKq_!V9Mm>>XuQgFW-i)X_31s+G+>g3fn>z7yDC!zg*N?Zvy;Mf(`SGSi z-B~cxkGI9EXBUQ%`hNIL+iZHIsUN;an+>+pEFRa3ZnH+@_$~Lif$m3(tjC-CxjS5% z?Y20$U3W@p>2nfEvC#Q`>T4GINj*dg{Jh}Q>qXtksC7K;igxl-f3%{{*j76Gx$&Y` zTi12*Yp!l<7e(^?@LUc0j2W}0dXYAMEvvm<)z4C&M%wzVtP^$0i`w5MMGE6viA5i< zBS+T^LFnx0>sG7we)Axm6K!S7JNVf_IydN8T{8qPWd+e}+v`PsEo)LJztF3l89B-i zzox20d)Wcq&Cj!hRmHWUINpksj49K-NO!-${l%|p=DO-=zu6ksm3CgU^J!YjhF~C+ z_+jhpj45Mg>!1Aj`N6rx%NVOSagpQV=}?A#6&~r~7X*t_GN$P#+mW8}#c9!1)}CH| zp2bpsD88LiYR4ic_~DzC7u2%UZ+Mq0;6>fpwzr@0q)Vqn?GLabef)ZF1_7eIti$^H z8K1dyM)WC#i}dpw{Or=1x`wq#uK%<#+eBmkam%p><=BKEX({)+{8udB9+YF}>$H^T zT>eLvZx70`Q(0Qd7ME|^$hPB0IbZ3yI{!pJJW!(}T1Uyq0KZjm!t|o{cfXN=eoJ0$ zqc&ejWKcYv9zD;Rb&{XU3D&Ef8yVtbJ5)&3-)D&kfQk(fT%|PKhs14|=T3 zZx$@ih_1GAFf6`2Gw8wLe)C{?Ea<^g8l}@!$ zn|msfeek-sgB`m~Y7U2}z4wdc`lA1{j;&CBENH+n zeySZnq5OW)XRJZT`>FqS>GJ6BcDVKTQ;$|3hVn;eOc_7LiwyQte|PDsj468S89B{Q zwT=(x&-cB0KU?pf?uYFPyJ>qn1V{KWtGn;(46xb{+nUT`(3I+W+v|Gj^ly z`f82eCP=5*K6%OyPgL!quUHeG_PKcSqV^UevewTJ4$G8ikyYTC`0h`So@GsV*5|S~ zBRbU5&&8K#MnAJrye_^x7A>{IdcB_)42SIK*Vg6F$IoLqR+SBY_-@u}ZrjePsFs%E|oTpjZ&m6md*_C&pZSw*%6 zJ0SftZr5a9WZV)~Te4At9z zG?ZaWZAq@~EvpKHGT{XZ;y)g$byWi`Sx0W_BISnXL|d78Z!5Q-iuVatX*oe@je7kI zHCQ+M1+ANZC%GY8q+`bmFUZJyOqpludGLK~!7KT?GE~yH2YvtV`Kv-1d$o4nf3#$( zGA8TZ+LrX{q=z;wTj?CAC%!%8*pnwhb=n@KT)(XC35Fs!_aD=)@Rud@z(5t9XT|&I zo6Htl)P4TFK@U8j+s&oK)1IhXy@~dughlGBp{?|hjgr1S9A!@)4ApHrTDj`0DTeE-=^9=B;)K4kSoY&) zzn%I$7%hJb`f7=C?dQw~`^vLg-xjL%57qi$U!^P8`pR^DwN@+H?~!#o*jKs1y4hcA z-6Qwan_BI4w`yS{^}(T|Wl1Qb%_Xgphr}Hk5;rPW&Aiia%`CKLE=X$T4h8lz8^?#l zwLvpeGog?ji>8FweC=l9Biij!qu8-x@H#G6WtGK=5A$Z-R!Me_sGpWR;7+{ zs}{EYQ!^Ka>a=<2PtCkhdvjgT%vWhD|HFRbGhx$8*3 z>|o;-S&6x!S{JI&!N%EJ5Nn+28aGu>ChM--!F{+&8Co}cwbngyH48Dng4gog@9q zVAs8^+}CxlXpM#>ogUuX~v+G8;V-y9A#Xr`@vS`T204?YW2|i2U}UFT-%4HYvnCk>1N$^JJ`z7VBPFl zTKCAUv~&A|Zq+uE@{*6kNukURbCmmn3fL!|Z5Jq^CjZTFO|}`t{8N*fyhBC(i*zgj&4b@mm2e@3WQ6BRw!y1mM^)|sw#_KVuawsK{GSwkz3bU zt95j%wz*$*KJ+Yeb||CmQ$d4Xs%el-E#>!5YEW;5@K4f@bbwX?utBS= zL4!iIzC5Hsc9ygTnXW+>YbE=W?L!(=9ju#Opv@e)K{si&8{DdGHvg$XL)D<2L4&@l zY0%Af)o@HG!?#b_?N*@seR}RU(+h12n+nk{(J4nc_UGU)>VB|6v#nA+LbaY#r4H_) zxirXh4f;SU*{Aio9UOm4f_1Zh{68CHe`@}XTeZy}e`?SPs#jLNs!-OprQwF$<+g`9 zgzEeD#gE;Jbhqy={E5xgAp20k?-*)eOWP_pU-y~@g-fkcokO)2s_iumN*zdpOxGa$ zv1otYbvxLg(ZRaeFKgW+?;-m;mb2Wd1wGp&54kR(I$>2Z?_@2p57VdV-l@4>nQdf- z?ZK{z{5{RQf-973CsOy|E{Qsa+V$4T`M|M21S|6-yZD@`%!#@OW2$+WGxi$lf`{`Hwiu8M}w%4uN^6WOeK4sgQ z`JuY))uawrDYu?3n^Se~aFG&!(UWpZOi|(?J-J`^R<=&(o0XGmVUDxS7h0Gt4P3eZ zsLVE7$-?c|6Pv!(g*#5&dz9|2tazS9n4^@!S4+}2! zi~QkA5BIv3Q|K@S{2p4SU2DUny*;xBcUhPhYFDA4ZkSkRD83{(=r;Fzr72x6VT7r^-UO}gKad+ABALMuS)kmjb$3HVt9hYSdhqp4Wr>RB+nW~4 zO|ggCx@(xY5ps{#xl4BjNL_ojNq4Q8JtLO^V!8HpVQ{4JmSv6Bdcv()aJ%a5jy-$O z>056_LUr%VRWnywi^|$Q6Ev)~Z9Tn}9-8~-+Nm`y;Q}UI*{>*`Zv{Gz)G*NE4t7j@ zrQBV*cf8R~PidiJo={PnrZhwMt`_qO4TfMpmuVo_c+jr#zp#VN57ki!)!*)ydVaSH z>T6 z>9AdY9X+P2OSyuswOVv=EfQY0FKrv&#cO2OG{H8Mb+9xEuiH)PdVjA<+x1u2t`XdJ zlw%peno1m8lZ4mpTI=v{<7?WBaZp-W2TPOix_ur}>2Knt?UgPlt*nEkNqF5pvs!(v z()Owxwu3Y%t*nEkNqF79wad;u@zOSsT^p2R=k24%9OahU>H6p~-Q05*#Py*Hxy0MH z*W-y2Yg#H1@z>yG)#w4=D?P@P}hTew^m_4Vb=-3r^v5_xB9(d{a8o9?RWhf4lMPkehAXHS~? zsV}Q^hdo;ImnDPwvr^4As%j zg%|2v-@2bNA5~`Sll0^{D>htDUe%MMf?}bn9Iy51%6m_FUN4*E*Z(Tponw4^!9Lzy z67F$VgkCRu9n&kf>lpuTEk40r!qqS-8J_vb~6wCD$ItXIt03cTqy#Zt`wO$on68 z_a@}U)MShIzFQWWG}XD^sM(?8rq?oS_Lm6rJ35@;g=fu;nKHt<_|xw zXT4K(=!TzZ;_px`!hNQxe0Y)a?E}reQ@!xx!G7%hQ<@gc%l5ac;^E#e>9i2OB%a!l zs?;n}{Vr07l#StLez@Ci#Y}nEZ|TlyVY?_PKA=NC<-Pccz}`2c6vPYIu@V$$LxJvp zQH@hFdh3E7CoTK7Sv9l7pM$l$fO_Gj(rc#ckW}p`)}juPgsoExt~7SH4!9n}2b9~!7OB^R2U~9EdAF!#19he?3YA#)Wqx><>eXqHau2;$ z>=5pBf->E8qdn+tiRX8!)v3EOkGJ*hp`T@TPHmm#)WaYoiOIrea# zJzQuH^Yt*u)^(jzp_T2G)2-KA?d_s_E6dHZm7h}T3ElH7h)pSM(+lTWFi%IE{aP{4 zf;Lp5f$n)0yrZ5J>fX|tXd4O5)q{VVAFZnjwz7n4=E*8^lC5OTtkjdqmat~tswZ}_ ztk;o_=BE&rF$3E-@P}?R}JiC z@UZUaIo(`uiDzZ_VKlqD%L2ld+ie~by~#p>|XmMOJ&v4J0jg{_o+R^ z_b*ag=IS2xhWh^CbRFcnM;80_RdT(a+CB7AL#2A_q-FQooh*v4XLhfBoTUaTp51E~ zS!$BDW%tliw%$V3!|tJVmgI%$HK>6Vt=mcgx@ZIS*sNPeM>P>u3oBNi0IpINF^f&qWHd2!Ek?VpXh06Bcf-fp5fY< zduVR-4As0<)IUut!D@CqWMR^HZld%{Y_~<}-&s4NrhBb%C)VscH)h?Q z8_-#MuBMdEXEkfZNB^LjF&7`{dL=tp>eis+2HS4!nQ={N-T0YDU)?3;dEU@NbF`;* zsp_sVjF712{Y1~>a#clVju|I^-?fLB$Wdw(Z8 z=MWGX10rQOKtx0ml7K)h+Ax(FLV{E+8Z$v6naseTh^VO4i%OMGsp7?}R&c1L)>`Y( zs?|CaEp@6@FQpDutF6>>m7=}<{{OZ9>zs8qiMRH9zUMyod%pc-=eOTAyz5=VUTf`j z_K*>jIkzAKJ)xP@_>P&>WaczpbU672%rf%%7$59L1272NRmY%&0}`)&{z(HE(`JY| zGlIp`b)r2aCSwnSwhOlNfTkb_{--vYYL>%s9Y-SwtLhZO9+prmHDm;*q!gt}3_gCA zM;SR)=8P99a|Ze6vi#qeRwA0Of4HS&m^A{mIcQ04ez8THs$XoGLBaMxi1j&bHB}uQ zwpB#_{KS$rxfboJEGzg+M#**>Z)WO22|+`wM=G8(FbujL+Maa&0)-T$ZhZ+#+_@D^ z<-f|u|DhGM`|}Ixe_8?sCP%5$F-$)lS(6O%&z+8;tERN*EF$iHX9+P2J33>-T6>%g z9JZMY!Jvc~jor2Y9!CQxoA6&W>^nm4tAZ>pH)R+3|OO5(@(326A5>9<-@}#jZ@v{B6cV1^BFn8n$|LvC1 z3*_!O;-W%Z4oIVr61CaPesOE0&GcecU0F(9nV-3TpzN%5w9Kn#YPHo##kENZ2WHDO zquSx_&Oc?&7tP(_8op?TLaA^z^b1;oKE-o$hkvDi4v~i+GW+-4?uw~DnpkNE{%mw? z4xL1IEv2*QE+1Ge!6A3QMVQ|z?denMLS}f5$(ar>N;xUNAp-R~Gor{5>y2+>a<+sLUt45Ha$_>T^OV35PDWGEYGksoML@>I)2?J;rg znL%N4oP*(iPX?kE-yF^mk>4D0lRZuV+DgGwu=`!288BHOUd}Vj?*Y|t%nZgQ)5W_@tQo*C+}O?9^`j$#kj1hkBm3_I(^UCA@fd_aboL>s4YNwB zww?H5o~XIlF?%FNVmGr&9x!@zRtYC=-LYdqg#|&-K+GVCf!Mp^wKZ+QkoI7>nc8@r z0o5X4N_(mggpXelhqsG3LeMp>@F9B}&4M?};B@JUAJIQ*!eQ7|Y3Y+C}m8k2J#Ova9~b!rbQ5#QEr2zyw=gPXr`n1DWl*;lJz zeqb>%)w&XwW4BeqqbsckvDqA!5KI$F@IQUSDP%XciZ7DrMF?*b-GHNi!UU$wj7-9g z9-Cn4seW)|Hijy~&YHkrPAHULDH*1%upZKyKh+l-$7DB;0H)|@KFY({?iUS0^nh=| zgjmF!*~0VY9`@?jhA2%HIy*qKVLcOCY)8OCHO+ws3G6VDQ8w8_))Vy~)?%{Yh*n~H zwPJgm4Ro@xSwbnOR2agJ^2T_bYa+<>>ePdHWjS)62b7kbx zTC_ctR*9dMop;)_O8m4^gHn1rPJOALl~PZAsjuG7JI5H?N{M3Z%!)s~gcG)ufn~mi z5;JrChYBO5;fvZ}E%0slqJ@+x70!lE=1neVoz0tA@hqlJqPr68EV|3b0UTJ1*kJ9} zUCfQpxjS+I=eEhhn8QQY&d11|9W^(eC)|q7f0u45JkNJ-wHBr~=V8>2&g6vRIX#ZE z`tR;>;Ze!On9Q(=GonHE}mv?S1zjS9=U4(rDc9(w~8utX~ z`VYEsYs1b-)N1VOu)B>tgWJ0~N09coopZs?Hs&qc^Q2vF@8!9(mt&q2*jaujV*eWU zIoKCt?`&iF(LT4)Mz_bLX|Ab9elo z+)q8cu*KaO@;pQ+-u!MP1|4!h%FmK~X{8IpgyhD$Z_dS&DFZjZKX; zc{LT4bxZ54;-wAv3K+kZ^M5UY);0K8kIO#}T#k}%&LQw#=H!CwXEWG6c z9OlGvZ(eLjJbXQnM_!yhaLfqz1_^{bBD^^zXJ(;+#6~%F-^paGoYNkC^tto!iTkRh=_@nNwpef!#|qg~X8(xY~Xm&Cfqa}Yj0mZ4)A@uOp5*qn%m zJ@aGTs4Q$t;4`U6zR>CTS(p(k>I(~E0}&u&SZrW#{I>}exIoV!P@xIb&j#w33Y65e zm)XyW6~=qTjv5{-9K!$cL@bO?iNz5sjEB7^$Hw7kP-56nh{KR8Iv2!-8x|th8L{|9 zu^7y!F=6~<>(mzOG6hn;m6k=MFg`8TpD`0A<`FoI#m|l%Z8Q{guKitHYjn~8F z#Cn?M-6ecEm;;}l;T;#SPRGIu*3dBe$ND8=Lld!{`T1z`sOJ~a5=>pTK|03N#8Ff| zq7dzqA?6mCoFTnfd|Xym>`P$_oO9xnvog?DGmPf|G>lY++b5e~?F@!OI4OaYx`$_H z;LTac(Frblzd}O|`{HcsigZpG6ziIc)P}{_Cc^kh)+kz11RecieS5}6A}473z0qj9 zCSn;QSh(jVVn-rE*Rio9heAeOU?C5wBzu52Oe(SQ@!qk%!(zEs1!^WUgTW>`V_xu2 z&qS zY3yG&Ifip1Kh`xIkdc|4R&bZwT4X&=M0I4ujv5)8$ZCm?M9qZfPDbXsXTYXAzpzcQ za7r9)>`@e6-0LvH_{hG=?DUOiu%6H>x(xQVE`>3kmq(+`+y2X*_QPW+=$P%YV)4Sl z*Z>5|PQ(U=YmhtkfMItPMqq z@Z)vt1f^oOa!Y$1=v+GiRg=aV0`tw{upBwExqNml8kQ}HgJ$4WL5vK)hbU-= z2t1S7G#O3AP*?9DnPg=xi}eT}L&zh-pPZkcm}4c}ScO78&XvF&wi-;qKig5}kBf&F z!ysncrkkl`apjP+}p{xFxj`)vL8PuA}v9c6J?JiJO-{Ii$EtDP-B?=*|QGg)MFyZZvy z-d=)Rw80H_20d;K>X$U=o{kI}91m}lL2r5n-Q*0qzf*%gOd9lWNrT>C(L~OGq0XS^ ztwBd64Z5`>gNDY#J7v&Iotnec`) z;p6i<>%zZpYG^FR{`=Q?7cT4?e_^~h2l~A$9G?8!;G8`9k8w@=8qdVHIx_K?c=&-# z+~S$|CuiaxIyLd(q=`R(iOI_*nz$!v;%mN%JA4z9S9jYz6JP1b#L@BapiJE8nRv*V zxVKXipH7;1H%v@Um^rQQV5*GyP^>qmG6^$14JrDiy~oeWJvJ+7BjNWkSBcJ}O%I$L zU+(mBxVxhqVtm;U+VSPHwW;ytQn;C+{`pRG_);>5tOU&PX|1s*L+|^-)gSrC&J5{`I+LTR`)Sz_|>$mtf>wf`BmYR`+}yHhKl+La4BtD zZNHtI`o#FcvT}ZEyc}N$uc)lA2^#Qm^or#*<>$25v{Q)1Z)O)Vw(IC4V`J`L|Q12V zyb()IeA9bl&FZ#bWlc+KT~lMQ`1JDmP1Wu7HM6T>w~LSOYU7LGTv;h!Mw`8Y^|E8X zQ(ca4Lbr`8LhMvY&Z=8pTNsgxBJ#M1JRY*@uD!9bu5o$9pY`HukoqS=Mm;U8JiDd} zD@j3HZ415@UtUpN-2%%|+U3>-!EE8TH&#We#(erbT3M`RRTR|EScy+jn=fIT3|a%{ zHC3%Z^C??0t=yJWdHM9Rsh)lKZhbv%C=8Y%)j;hJ>TG=bHFTHV!%DQZtpWvaGGekg z-!`>HY-zB+)XxQX}?GxQDpK=vu&+; zC#qlR(@IX6JssJ%m2H{;md=sQ@~N=hmWyp<$cNgm?Gj90J*~F?n%z3P<(&Byt?ZIg zryh&&gk*<~BADHX!DeMeeOl*OZfejrax*T}H&s+8W6fwZL!B7{s+#c2hF_{_^1-okN- zyk+cb+c2o*wPHj7*>vqmVzR%iDL@G{)HPPL)z|`WXfCg=XshtYjD~Z{8~7$&(>hJA z7BschEn5@dhnkjP8C$9vF-oN-m@>O`S}D#c^w*6wt6Xi_k=&N5>3LL(XE))cymm@r zDx8U%j>L8#JRi&;X6h9KFlxQ6y*1#kBmoRF!I2dWBK0*j%`9?j>uh%pEu5Row(A62 znktcS>dePEnO2pqcyvmck%!ILv^O^=K%j+~HK0yb*%Ym<^U!kPWy&CEM%46?drD=~ z${ITs`4a-q*&iD`XMcF`oc$5PbM^-b&)FX*JZDWESY&DCfU~q6<(d4o%l-m5wk7r1 zx+-KHwS!tgSUZVq!K`CVpb40Fa-vWU?_5+P=1fgicUsrSzRUJKwwh0AWa~1MJPir1 zQPU322WmJ)DOZ~_ovNJ)wFa1+l+-ji9Z;j8JrMig)_>qxrMfj(YjJM79jTtAOl=d^_j7MCuZ$C1+5>30dpvN6gZ5@f$KdUj(4&drtRN-)^8CDO(q z(?F}6+Bv=U3exLnlA{T2^m5_VZ2%*bX=DpSxtR*Knh9+k#$wG1ZI)J;sgwB$5z}x^ zw9A{>2d}EAODoYbH#(xYOJ|hLC|xpRdO`B2FesZf&CDp0y^Spfn@65)%`J6JEp=^c z+|XwOnmIsKdrONwHyIFxZHm=cU^@w3!mukqt-wat5!hgMJT);~5-1j{-5+yOZfvZr z>^e-Y(=Hj@IOxV@Txp~RX|~IiRq#sY(Qn8*{Zsv%lu|_RCa2> zj?c8*@}_3nWtUBxTE3VaUaF0HlS}p?rdQ?+&TAv6baOVlvy;}c#L{dw#jUQ`40g@- zC{mtc=4ws@jvXA`NU5&L&C|S^ zG1ImB3QS{o#&H_ZWUtIH>rShkhrKO7(mKEXusY}b} z)m64sw5(Y=bK10}^QUuUO`ZY%!RVd36>}7r;ekUQ-X7!Bbn*^d`CNEMe7|QT#e!q z!5^m~`r|W1znww!8yQ4@9ERwRzYy8Ja6YB=AZE^7*V>|ml-aE_R#(-Sz5sz+3b`I) z^3J{+?=P4(?Zm_=PB5q7PMR6+PMXCiOLHd;=A3zHchVf!`7G4lsfVv%{T&aJukC@i z5V$*=c+&`veVKPbeV!Z*PnmZ*x{Y^BP3h5VL)InXrI}Y|Ozkls-G37TPU(@eA$CdD zrQu~JL;^vQ+ZY^8O~p5Nr8`gFfjFf{_6EdCvypLl=b_tp2c-+=>*gJlo^5Gyis3i4 z1Kqr{#@FrJ;Jfo~N2JLdrG>AxdJl8wU7;O?XZinkxF6=V#5?%{v*NcWE|YL~@R01H%xS)8l9BQFa$UI}$%-2^EC6Pc}V%w_rZpnZC8ZTf~0< z{$IxL9*N)GzCb4#zk4J;wWhWZvD4GZ!48CC{4v-w;m`7Cd$n8oea<1y)^v+mLcupl zJ0dea`?pN^GyWDE8UOkGL~0AsThl+a`Mo#w502;`91NBIVnoV>KjV*2D!_Y&y)6ue zM&b|ke5pSX@lQnjhe!N}oAh`t*=@KxFbr{)rI%-}3D2^$TPh~y5WeZ*N1E`d_K{vX zAzDs)_)#W&!YarAR7^UBc)BJ%{OCyd(aD%L{Fq4iF_G|WRjDn+L$2xi^CRK&Q-N_5 z;`xL0@CA|Z9Enm}hzG9H!xx$Gsa8^y3XG!=Eg?Pp_=x^gOJT$?m=Fm+ArhXC-let> zkBFt~pJc)pCxc8%9`PVV@0lL{#E5=AlaSg%JiM1)^C@`2oLQ%I#L{y3=x{lR-_^5}ryr($~6A9qAx z3X+oZgQL^r0(_R;`X`LOBJ=eJhPU>N59Z6?$`gVL$+JxON#?^4Dg9{gE&j@0?qMq& zxNO6|KB7j*%=wMaAcfvJXYIURo4|CDuyL)R~zO%h<^j*BGL%UqliRWP{_^UyF z9C!KbyXorDcS5>aV8I1&>PSC^nakq&=F*%w!S$qB`QULXu~0Uvq7m1NElC~FX^YD#g+p>R+jE=kekAeS>|%s- zaKHb1X{T-y@EzSkSaK;KxpLrsvNNIW#jwAaV4Tw(Y#^_Zxa<5>wIna9ZKHJoYzuOd zX}eB{tjzii#07DU!^vtGPDJXdW*%`g4bXFQYZ`8mF=em6*rB=QIYGd4Jkw*gWWyHw z^kBDE`_vP8Cj7}Zk#pp35N;Ol7oQW~5)X;J&@nJPzdlKFUe5H-B9UHqhHJMEW_QD* zgvFFs*{Arrtqy_(l-C47DS0lwQUEgk1`_c%lIPi{`MSZ==sVwDLwCa?iF`Vc?xPeB zU1QMgf|Sg$yW4s@|E1n}lrIc|O7bH6R3q)!0-65oQ@dSkU;ROH^v)cQo$i;4Fpl9e z@p+M{3ST0gMR!ags!7Cic8#IjMfHOzY=Sc0wPuL-Iv8RqXP9r-I5LYQ%dX76l2I-u z{iE!oi) z6J)Lkf@8>fyc$sMoc%D|6!B}~V(~O_x!5S8$(eW;iYQtmZxO#O?hx-5pAcUXeA2$_V9mw~BX&yTtp%hs4Lkr^M&P7sXe_eImaS!2Eq6ek2|g|5MDu+<@V_ ziM_=B;vjLjI7-YH$BQS5#p2h*d19$}s(7YYEuJm%yLPl^rN|FiQNBprC|)UU5w90- z68Rx2#=A?rM|? zFY@Co^q(hwLp)ntBW@JGBi<`MBl3F{)N?=#(Z^Een}x|?VuAQoae;V-ST9~AZWA9A zpA_E^|15IS&vbfJoGA-*WSDgIUDw*;u~E8-mSG_h7( zCGzVw41cY7r}%*QwD^k1FUBzZ$6_4Ie3Xw9`MO}rGev&jfpU%5Ch{8(bl)uADLx=R zE%GA<4EK)sH!*=RlJ0z)F?q5$UtB7#5YH7a7q1uZ5FZua5kC>TU}8c2M~QqLGv!I* zLa|(I68T9mhPz6OGu z@f`6&F&lFYrawUBo6;#y63fIYu~ocSyhgl5{DJs0@h$Oyn1u^q>dz5##qr{Faj|%* zc#U|MxLbTj{6y@6i3;@}B_1nI6laQG7hA-O#H&Sqdx(1O5g!xz#5>*J5dS6~*~hvM z7W2e2#0K$v@e1)q@w?)~;_t^~B6)_mfJFE* zas}q2lItX&Bc4k_&pPpP67jB*|4ov=Bi=6lkVL#6i;v6wY4KTcul(N--;w)&h=;`g z6bIx$FYV_`cjfk^HHcb+pyfl|;SW`&USAmb_ZLkc6H~#BY&^ zcdh()NWM$lB|bzV-Xr2KhyjOgagx*id@mLohYUM#B+ zi<89J;sUW$Jd;HJE5!!6w}>mni{*crxLMpH-YEW?_zM#4<`wc}eB4UhC;val|6|FA z#4usQXOqbP(UOOV!^M0Ob{B~!$$ct`cx94L6_<*&B;wVJtK_~`TrX}DcaX@(z2s!{ z$KpdI^!!}@&r5z;d`<4}NPb`3PeM<(VODQn@k-PBt9-aFTO2)BnBf?4q`&g7i+{8 z@d9y^c)j>t@d5EE@ip;1@iVb&u1)tSain;HI9*&Mo++*nSBsa3*NC@?_li%7FN$x7 zACgC6{weZ@2ez~BB-&LkvA^6$kX>b|DO1v+z*OJjyOYG6?|b48NR-RNl7Ax}5PKeL!;cnE7Ww8{>RBe9D_$wyEZ!$R zNh1BBTf*D#RcMWv0c1a{Fb;){GRx*xJTS8{!#o?Jn}f% zLB??3hd7R`!@7i6EcY2C+D$2mHKQeB6^U!&%<$xn@GgF zUA#wpP<)z18+um!6d!}k;ih=asqNQ56P=8IpE|5wFX z;ym%|2!1F2fkZz4Bz_`(DrTXs=$|e2C81}4I7rMBi^K`yRB)z;tk?rOSBq=KOT=%ATg0v6&Ejq1F7aOR zC*ot`ZgG$Js<>BtSNuTySUe!Z;9U#Zx?ro_li%5Pm8aLd&U0{|0)I7GSS=@f%w}b z-$`Qq@O$Eq#0SM+iZ6(~$CBZHEAAH$h`gtg{$0fZWJwU1`y0Sq$>#nB$mTupbxd)-xJaxL&HW1qcdq1h;#J}`;?3mDAh=DuTQv7I!2NN_ zPl>OIzZLh32gEQ2ebX^si#^4@;;|yWrfR|!iTGBjk!Ol?#iim|;yGfwc&T`~_-%3u z)-}ZMh_{Q6isrrvgxf87kNB#%SA1K1PwX1E`i~U()g-1jKs5JPKpr7EPb?HCiIc^z ziF3q7B0r2vy{+OZah-UPxJleBUMJoln)@}N=XS}vM05WJ+@F%XTl{zNcj8Cl$70Ve z)~>$dKyiq8jChYJMO-Ov6gP?6#aqOC#rwr4NvtdVLVQ8| zwfMgHp=j=VLHI+Gdv&w%bHp!+L&X!wBk?I?af&!YH223~o#1rJV5PWBY!q8WbKeZY zZIt|N@ka4(@gDJU@hQ>VPjfWZ6)A&niSLU0#RFpe2!uml(@pG6;{JmXV z$m1l>6&H$2#M8wJu|{kZTf{5GZ;4yQZQ?EB4)H$m0r64s330c$NBk{$41WI+-xog= zGcYlwe@sk}qcFaU$BSddFN-IM)5Te0sklTuL#z(7sc1bed2y{41VttKNADARl4^V2a3bQW5h8e+JU)G3E{`feWo~9EESiCXOM{3 zB)L^wE3OwW6V3fi(7%mxK@i*|?iBA5cZ++(UyHAaZ-~Da|0w=hJScu9#(UWGx{1BT ze&Qg}+-C*5%zaki47tw}OT@2>-w-RsWnzP9?#qIn^CVv&ep9?c+#+rjw~M!k_lXaP zkBU!-yGhi;>yr10?}+bQZh|OY~c%FEH zc&&K7XznwEJv$`dE#4#kSbSJ~j>L8O^Wv-GUhy-L54JH}7x4(OkJw*Kh~~aEgg;*L zSnTSyJ5@>kRLbmH0;@f4ICd z%oe+gy~G?bK_Y*-;wTYWGVYT|2*`qAu?W+R3=<6(i>Hb(&A8W+1wl|RHj8cIdU1oe zQQRbM6}O2uiMNWoNR+Et4+8I({E+yRxLe#Kz9{Y^Q6F!K?}{IY`^5udkYU4{^&`Z~ zmdt1GsmH7zfoA;(OvpV~#Mh8bxG`dZI9@C!QMl8^S>imgL|iPMC02{IV!deAnb5x0 zQU=$H8^n#`Cef@vA)Hx%0&kN0t>P{c?et#JtVcmM>rvn%a(`UhBfcoUBEBxZOQOAh zAnq3rhzG^bM6+&%@ZGuI1NIVg!~|J__AHupEXZRd7l`AO~ zE$}S*gVkcKSTC*?*NW@K4dP}J=kXRXx*m3u+;0_kiT8^4iw}uUk?0S0i+jWu#aG1F z#dpOI#Qov{G2l8APTnjrTkI~H=Z4^)BRL`Fie_C6{$nIhBGErh7K_E{;w*8VXr3EF z_@$E1601e?+z|ZRB(E0DIvm_LQm(}PXyRtkJU;~Yt&+EiJH@-iUE;msBP9CM$Hk{e zTwCrI_mD^8_ZjgO5@Xcs;yx1N$y?&PB*v8w#Qh}t-vi=7a##?2CbA>vc#|b&lcUi7 z#a`qX)Q4!ETPi^RLm5nv7>{yA^Bfb#r7@Jj0&+6055!3%`q9Z^F*ybOkvNN-j^}^G z5)%EyV$nPgg??ZuW$-K#=V`TAOX9q$7n@0(Pi^9A66eQSaXpFly+PbaqJ3==HaCSTeVKJ;))QsaPX@~y^scuG zW)kH+w+o2!HP8K^eEV^|0p&Sb>kjisl;f{Rl-~>8!0AEoeO|w!yry;sQ9dt_EFaj! za`~7Hz6xYVfm3~bGn3{y>h$4fTjICR4V z6Mhy69g8KKaU11OExDFNd2Eopkwm%NDtRY~@_9t^<0Q)I70IuYqckspeIHOpxdp5b z$XO)HZ;<2!iEv!x23(}vW|1X1mjwSx%{vGRa5C3=U zt5*T!w=}UnSXqVn<2$br^U4TYSKez6+?xA29Rx`!F}2#HbF8|i zvVFOI!(_fauBfc!M>R|VTbKH#DqqCsEgF~Cq{TnnrJi3il}5CnAfIob#@md2MfOeW z`FLMvK3_vzSBZD#x1yi;2RGa%9-wOrFie?^{lCAVTQb`){AV}}duEG}bp^ltdO=?^+*uzzuseN!#Iav~92Ymi&20?F?LF+izV*;I z3vSfc3%k?T70P^lo1t$E{N3jC#SqBX_Z0MvLLlnH(oRxeK|~*~k62%BbNa?a^zHY` zF9(60eY}qYf64Rc@lNb3jp#e*>Fe+5Ca5u{SnU3M~PP-!x5O_sBdCKAFp?6oic@^I4+{^EU!Lt6^{D&E;HZ0 z-O$HA)@@9e+x&>W^`3nr;m>f?$Mp$c-)Hd1g}d9FKF(A9{BHKjZxjMMeVo7g`Vz5@ z^>M!I>)Yz-8|~@4A);@3C;G07=)1|&$Nt0F$Mq85zS>Uo?TYBz>FLY&^gSQZx2Y3- zd|!*7-(8+Q)}OPF>o2~2yPz)tf48~%;ChX(?|x4o&sC?-y-UuWm%KlP_2o9FkJq`r zzDJ)(_|raIo+kBi zy@}=LHonJX3>%a-=eIecZxr;EB&X?nt_;cj6it4T1TXf}N_FuSX;L?w*HdPT}r0r|;p2zLFo?Yk%sShTZAoI+=?@ z9k=0{duIpv;JTXMPA5HpdP5mf-waRR?<4val;Rm-tZTTgT2U>^ce-%RYz zzK^7jZH`-RHSW2N=%dcRMZ(2aT3sd*{xJ;qZct3RZ85@a!8z?Vmv!FH=jV6SV}V&q zr+u8`G92sUC>$W^W*nOB-PP&yCYKiJB@^VV!8nZsIIlhjJN2QuO`6b`+!kMiIfLim U^sxv$=iuF+;o5*ow7GEq8>=eRQUCw| literal 0 HcmV?d00001 diff --git a/CMSIS/RTOS2/RTX/Source/rtx_core_c.h b/CMSIS/RTOS2/RTX/Source/rtx_core_c.h new file mode 100644 index 0000000..7192a1d --- /dev/null +++ b/CMSIS/RTOS2/RTX/Source/rtx_core_c.h @@ -0,0 +1,51 @@ +/* + * Copyright (c) 2013-2021 Arm Limited. All rights reserved. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Licensed under the Apache License, Version 2.0 (the License); you may + * not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an AS IS BASIS, WITHOUT + * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * + * ----------------------------------------------------------------------------- + * + * Project: CMSIS-RTOS RTX + * Title: Cortex Core definitions + * + * ----------------------------------------------------------------------------- + */ + +#ifndef RTX_CORE_C_H_ +#define RTX_CORE_C_H_ + +//lint -emacro((923,9078),SCB) "cast from unsigned long to pointer" [MISRA Note 9] +#ifndef RTE_COMPONENTS_H +#include "RTE_Components.h" +#endif +#include CMSIS_device_header + +#if ((!defined(__ARM_ARCH_6M__)) && \ + (!defined(__ARM_ARCH_7A__)) && \ + (!defined(__ARM_ARCH_7M__)) && \ + (!defined(__ARM_ARCH_7EM__)) && \ + (!defined(__ARM_ARCH_8M_BASE__)) && \ + (!defined(__ARM_ARCH_8M_MAIN__)) && \ + (!defined(__ARM_ARCH_8_1M_MAIN__))) +#error "Unknown Arm Architecture!" +#endif + +#if (defined(__ARM_ARCH_7A__) && (__ARM_ARCH_7A__ != 0)) +#include "rtx_core_ca.h" +#else +#include "rtx_core_cm.h" +#endif + +#endif // RTX_CORE_C_H_ diff --git a/CMSIS/RTOS2/RTX/Source/rtx_core_ca.h b/CMSIS/RTOS2/RTX/Source/rtx_core_ca.h new file mode 100644 index 0000000..a599516 --- /dev/null +++ b/CMSIS/RTOS2/RTX/Source/rtx_core_ca.h @@ -0,0 +1,1129 @@ +/* + * Copyright (c) 2013-2021 Arm Limited. All rights reserved. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Licensed under the Apache License, Version 2.0 (the License); you may + * not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an AS IS BASIS, WITHOUT + * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * + * ----------------------------------------------------------------------------- + * + * Project: CMSIS-RTOS RTX + * Title: Cortex-A Core definitions + * + * ----------------------------------------------------------------------------- + */ + +#ifndef RTX_CORE_CA_H_ +#define RTX_CORE_CA_H_ + +#ifndef RTX_CORE_C_H_ +#ifndef RTE_COMPONENTS_H +#include "RTE_Components.h" +#endif +#include CMSIS_device_header +#endif + +#include +typedef bool bool_t; + +#ifndef FALSE +#define FALSE ((bool_t)0) +#endif + +#ifndef TRUE +#define TRUE ((bool_t)1) +#endif + +#define DOMAIN_NS 0 +#define EXCLUSIVE_ACCESS 1 + +#define OS_TICK_HANDLER osRtxTick_Handler + +// CPSR bit definitions +#define CPSR_T_BIT 0x20U +#define CPSR_I_BIT 0x80U +#define CPSR_F_BIT 0x40U + +// CPSR mode bitmasks +#define CPSR_MODE_USER 0x10U +#define CPSR_MODE_SYSTEM 0x1FU + +/// xPSR_Initialization Value +/// \param[in] privileged true=privileged, false=unprivileged +/// \param[in] thumb true=Thumb, false=Arm +/// \return xPSR Init Value +__STATIC_INLINE uint32_t xPSR_InitVal (bool_t privileged, bool_t thumb) { + uint32_t psr; + + if (privileged) { + if (thumb) { + psr = CPSR_MODE_SYSTEM | CPSR_T_BIT; + } else { + psr = CPSR_MODE_SYSTEM; + } + } else { + if (thumb) { + psr = CPSR_MODE_USER | CPSR_T_BIT; + } else { + psr = CPSR_MODE_USER; + } + } + + return psr; +} + +// Stack Frame: +// - VFP-D32: D16-31, D0-D15, FPSCR, Reserved, R4-R11, R0-R3, R12, LR, PC, CPSR +// - VFP-D16: D0-D15, FPSCR, Reserved, R4-R11, R0-R3, R12, LR, PC, CPSR +// - Basic: R4-R11, R0-R3, R12, LR, PC, CPSR + +/// Stack Frame Initialization Value +#define STACK_FRAME_INIT_VAL 0x00U + +/// Stack Offset of Register R0 +/// \param[in] stack_frame Stack Frame +/// \return R0 Offset +__STATIC_INLINE uint32_t StackOffsetR0 (uint8_t stack_frame) { + uint32_t offset; + + if ((stack_frame & 0x04U) != 0U) { + offset = (32U*8U) + (2U*4U) + (8U*4U); + } else if ((stack_frame & 0x02U) != 0U) { + offset = (16U*8U) + (2U*4U) + (8U*4U); + } else { + offset = (8U*4U); + } + return offset; +} + + +// ==== Emulated Cortex-M functions ==== + +/// Get xPSR Register - emulate M profile: SP_usr - (8*4) +/// \return xPSR Register value +#if defined(__CC_ARM) +#pragma push +#pragma arm +static __asm uint32_t __get_PSP (void) { + sub sp, sp, #4 + stm sp, {sp}^ + pop {r0} + sub r0, r0, #32 + bx lr +} +#pragma pop +#else +#ifdef __ICCARM__ +__arm +#else +__attribute__((target("arm"))) +#endif +__STATIC_INLINE uint32_t __get_PSP (void) { + register uint32_t ret; + + __ASM volatile ( + "sub sp,sp,#4\n\t" + "stm sp,{sp}^\n\t" + "pop {%[ret]}\n\t" + "sub %[ret],%[ret],#32\n\t" + : [ret] "=&l" (ret) + : + : "memory" + ); + + return ret; +} +#endif + +/// Set Control Register - not needed for A profile +/// \param[in] control Control Register value to set +__STATIC_INLINE void __set_CONTROL(uint32_t control) { + (void)control; +} + + +// ==== Core functions ==== + +/// Check if running Privileged +/// \return true=privileged, false=unprivileged +__STATIC_INLINE bool_t IsPrivileged (void) { + return (__get_mode() != CPSR_MODE_USER); +} + +/// Check if in Exception +/// \return true=exception, false=thread +__STATIC_INLINE bool_t IsException (void) { + return ((__get_mode() != CPSR_MODE_USER) && (__get_mode() != CPSR_MODE_SYSTEM)); +} + +/// Check if IRQ is Masked +/// \return true=masked, false=not masked +__STATIC_INLINE bool_t IsIrqMasked (void) { + return FALSE; +} + + +// ==== Core Peripherals functions ==== + +extern uint8_t IRQ_PendSV; + +/// Setup SVC and PendSV System Service Calls (not needed on Cortex-A) +__STATIC_INLINE void SVC_Setup (void) { +} + +/// Get Pending SV (Service Call) Flag +/// \return Pending SV Flag +__STATIC_INLINE uint8_t GetPendSV (void) { + return (IRQ_PendSV); +} + +/// Clear Pending SV (Service Call) Flag +__STATIC_INLINE void ClrPendSV (void) { + IRQ_PendSV = 0U; +} + +/// Set Pending SV (Service Call) Flag +__STATIC_INLINE void SetPendSV (void) { + IRQ_PendSV = 1U; +} + + +// ==== Service Calls definitions ==== + +#if defined(__CC_ARM) + +#define __SVC_INDIRECT(n) __svc_indirect(n) + +#define SVC0_0N(f,t) \ +__SVC_INDIRECT(0) t svc##f (t(*)()); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (void) { \ + svc##f(svcRtx##f); \ +} + +#define SVC0_0(f,t) \ +__SVC_INDIRECT(0) t svc##f (t(*)()); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (void) { \ + return svc##f(svcRtx##f); \ +} + +#define SVC0_1N(f,t,t1) \ +__SVC_INDIRECT(0) t svc##f (t(*)(t1),t1); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1) { \ + svc##f(svcRtx##f,a1); \ +} + +#define SVC0_1(f,t,t1) \ +__SVC_INDIRECT(0) t svc##f (t(*)(t1),t1); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1) { \ + return svc##f(svcRtx##f,a1); \ +} + +#define SVC0_2(f,t,t1,t2) \ +__SVC_INDIRECT(0) t svc##f (t(*)(t1,t2),t1,t2); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1, t2 a2) { \ + return svc##f(svcRtx##f,a1,a2); \ +} + +#define SVC0_3(f,t,t1,t2,t3) \ +__SVC_INDIRECT(0) t svc##f (t(*)(t1,t2,t3),t1,t2,t3); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1, t2 a2, t3 a3) { \ + return svc##f(svcRtx##f,a1,a2,a3); \ +} + +#define SVC0_4(f,t,t1,t2,t3,t4) \ +__SVC_INDIRECT(0) t svc##f (t(*)(t1,t2,t3,t4),t1,t2,t3,t4); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1, t2 a2, t3 a3, t4 a4) { \ + return svc##f(svcRtx##f,a1,a2,a3,a4); \ +} + +#elif defined(__ICCARM__) + +#define SVC_ArgF(f) \ + __asm( \ + "mov r12,%0\n" \ + :: "r"(&f): "r12" \ + ); + +#define STRINGIFY(a) #a +#define __SVC_INDIRECT(n) _Pragma(STRINGIFY(swi_number = n)) __swi + +#define SVC0_0N(f,t) \ +__SVC_INDIRECT(0) t svc##f (); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (void) { \ + SVC_ArgF(svcRtx##f); \ + svc##f(); \ +} + +#define SVC0_0(f,t) \ +__SVC_INDIRECT(0) t svc##f (); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (void) { \ + SVC_ArgF(svcRtx##f); \ + return svc##f(); \ +} + +#define SVC0_1N(f,t,t1) \ +__SVC_INDIRECT(0) t svc##f (t1 a1); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1) { \ + SVC_ArgF(svcRtx##f); \ + svc##f(a1); \ +} + +#define SVC0_1(f,t,t1) \ +__SVC_INDIRECT(0) t svc##f (t1 a1); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1) { \ + SVC_ArgF(svcRtx##f); \ + return svc##f(a1); \ +} + +#define SVC0_2(f,t,t1,t2) \ +__SVC_INDIRECT(0) t svc##f (t1 a1, t2 a2); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1, t2 a2) { \ + SVC_ArgF(svcRtx##f); \ + return svc##f(a1,a2); \ +} + +#define SVC0_3(f,t,t1,t2,t3) \ +__SVC_INDIRECT(0) t svc##f (t1 a1, t2 a2, t3 a3); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1, t2 a2, t3 a3) { \ + SVC_ArgF(svcRtx##f); \ + return svc##f(a1,a2,a3); \ +} + +#define SVC0_4(f,t,t1,t2,t3,t4) \ +__SVC_INDIRECT(0) t svc##f (t1 a1, t2 a2, t3 a3, t4 a4); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1, t2 a2, t3 a3, t4 a4) { \ + SVC_ArgF(svcRtx##f); \ + return svc##f(a1,a2,a3,a4); \ +} + +#else // !(defined(__CC_ARM) || defined(__ICCARM__)) + +#define SVC_RegF "r12" + +#define SVC_ArgN(n) \ +register uint32_t __r##n __ASM("r"#n) + +#define SVC_ArgR(n,a) \ +register uint32_t __r##n __ASM("r"#n) = (uint32_t)a + +#define SVC_ArgF(f) \ +register uint32_t __rf __ASM(SVC_RegF) = (uint32_t)f + +#define SVC_In0 "r"(__rf) +#define SVC_In1 "r"(__rf),"r"(__r0) +#define SVC_In2 "r"(__rf),"r"(__r0),"r"(__r1) +#define SVC_In3 "r"(__rf),"r"(__r0),"r"(__r1),"r"(__r2) +#define SVC_In4 "r"(__rf),"r"(__r0),"r"(__r1),"r"(__r2),"r"(__r3) + +#define SVC_Out0 +#define SVC_Out1 "=r"(__r0) + +#define SVC_CL0 +#define SVC_CL1 "r1" +#define SVC_CL2 "r0","r1" + +#define SVC_Call0(in, out, cl) \ + __ASM volatile ("svc 0" : out : in : cl) + +#define SVC0_0N(f,t) \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (void) { \ + SVC_ArgF(svcRtx##f); \ + SVC_Call0(SVC_In0, SVC_Out0, SVC_CL2); \ +} + +#define SVC0_0(f,t) \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (void) { \ + SVC_ArgN(0); \ + SVC_ArgF(svcRtx##f); \ + SVC_Call0(SVC_In0, SVC_Out1, SVC_CL1); \ + return (t) __r0; \ +} + +#define SVC0_1N(f,t,t1) \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1) { \ + SVC_ArgR(0,a1); \ + SVC_ArgF(svcRtx##f); \ + SVC_Call0(SVC_In1, SVC_Out0, SVC_CL1); \ +} + +#define SVC0_1(f,t,t1) \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1) { \ + SVC_ArgR(0,a1); \ + SVC_ArgF(svcRtx##f); \ + SVC_Call0(SVC_In1, SVC_Out1, SVC_CL1); \ + return (t) __r0; \ +} + +#define SVC0_2(f,t,t1,t2) \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1, t2 a2) { \ + SVC_ArgR(0,a1); \ + SVC_ArgR(1,a2); \ + SVC_ArgF(svcRtx##f); \ + SVC_Call0(SVC_In2, SVC_Out1, SVC_CL0); \ + return (t) __r0; \ +} + +#define SVC0_3(f,t,t1,t2,t3) \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1, t2 a2, t3 a3) { \ + SVC_ArgR(0,a1); \ + SVC_ArgR(1,a2); \ + SVC_ArgR(2,a3); \ + SVC_ArgF(svcRtx##f); \ + SVC_Call0(SVC_In3, SVC_Out1, SVC_CL0); \ + return (t) __r0; \ +} + +#define SVC0_4(f,t,t1,t2,t3,t4) \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1, t2 a2, t3 a3, t4 a4) { \ + SVC_ArgR(0,a1); \ + SVC_ArgR(1,a2); \ + SVC_ArgR(2,a3); \ + SVC_ArgR(3,a4); \ + SVC_ArgF(svcRtx##f); \ + SVC_Call0(SVC_In4, SVC_Out1, SVC_CL0); \ + return (t) __r0; \ +} + +#endif + + +// ==== Exclusive Access Operation ==== + +#if (EXCLUSIVE_ACCESS == 1) + +/// Atomic Access Operation: Write (8-bit) +/// \param[in] mem Memory address +/// \param[in] val Value to write +/// \return Previous value +#if defined(__CC_ARM) +static __asm uint8_t atomic_wr8 (uint8_t *mem, uint8_t val) { + mov r2,r0 +1 + ldrexb r0,[r2] + strexb r3,r1,[r2] + cmp r3,#0 + bne %B1 + bx lr +} +#else +__STATIC_INLINE uint8_t atomic_wr8 (uint8_t *mem, uint8_t val) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint8_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrexb %[ret],[%[mem]]\n\t" + "strexb %[res],%[val],[%[mem]]\n\t" + "cmp %[res],#0\n\t" + "bne 1b\n\t" + : [ret] "=&l" (ret), + [res] "=&l" (res) + : [mem] "l" (mem), + [val] "l" (val) + : "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Set bits (32-bit) +/// \param[in] mem Memory address +/// \param[in] bits Bit mask +/// \return New value +#if defined(__CC_ARM) +static __asm uint32_t atomic_set32 (uint32_t *mem, uint32_t bits) { + mov r2,r0 +1 + ldrex r0,[r2] + orr r0,r0,r1 + strex r3,r0,[r2] + cmp r3,#0 + bne %B1 + bx lr +} +#else +__STATIC_INLINE uint32_t atomic_set32 (uint32_t *mem, uint32_t bits) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint32_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrex %[val],[%[mem]]\n\t" + "orr %[ret],%[val],%[bits]\n\t" + "strex %[res],%[ret],[%[mem]]\n\t" + "cmp %[res],#0\n\t" + "bne 1b\n" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem), + [bits] "l" (bits) + : "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Clear bits (32-bit) +/// \param[in] mem Memory address +/// \param[in] bits Bit mask +/// \return Previous value +#if defined(__CC_ARM) +static __asm uint32_t atomic_clr32 (uint32_t *mem, uint32_t bits) { + push {r4,lr} + mov r2,r0 +1 + ldrex r0,[r2] + bic r4,r0,r1 + strex r3,r4,[r2] + cmp r3,#0 + bne %B1 + pop {r4,pc} +} +#else +__STATIC_INLINE uint32_t atomic_clr32 (uint32_t *mem, uint32_t bits) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint32_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrex %[ret],[%[mem]]\n\t" + "bic %[val],%[ret],%[bits]\n\t" + "strex %[res],%[val],[%[mem]]\n\t" + "cmp %[res],#0\n\t" + "bne 1b\n" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem), + [bits] "l" (bits) + : "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Check if all specified bits (32-bit) are active and clear them +/// \param[in] mem Memory address +/// \param[in] bits Bit mask +/// \return Active bits before clearing or 0 if not active +#if defined(__CC_ARM) +static __asm uint32_t atomic_chk32_all (uint32_t *mem, uint32_t bits) { + push {r4,lr} + mov r2,r0 +1 + ldrex r0,[r2] + and r4,r0,r1 + cmp r4,r1 + beq %F2 + clrex + movs r0,#0 + pop {r4,pc} +2 + bic r4,r0,r1 + strex r3,r4,[r2] + cmp r3,#0 + bne %B1 + pop {r4,pc} +} +#else +__STATIC_INLINE uint32_t atomic_chk32_all (uint32_t *mem, uint32_t bits) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint32_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrex %[ret],[%[mem]]\n\t" + "and %[val],%[ret],%[bits]\n\t" + "cmp %[val],%[bits]\n\t" + "beq 2f\n\t" + "clrex\n\t" + "movs %[ret],#0\n\t" + "b 3f\n" + "2:\n\t" + "bic %[val],%[ret],%[bits]\n\t" + "strex %[res],%[val],[%[mem]]\n\t" + "cmp %[res],#0\n\t" + "bne 1b\n" + "3:" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem), + [bits] "l" (bits) + : "cc", "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Check if any specified bits (32-bit) are active and clear them +/// \param[in] mem Memory address +/// \param[in] bits Bit mask +/// \return Active bits before clearing or 0 if not active +#if defined(__CC_ARM) +static __asm uint32_t atomic_chk32_any (uint32_t *mem, uint32_t bits) { + push {r4,lr} + mov r2,r0 +1 + ldrex r0,[r2] + tst r0,r1 + bne %F2 + clrex + movs r0,#0 + pop {r4,pc} +2 + bic r4,r0,r1 + strex r3,r4,[r2] + cmp r3,#0 + bne %B1 + pop {r4,pc} +} +#else +__STATIC_INLINE uint32_t atomic_chk32_any (uint32_t *mem, uint32_t bits) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint32_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrex %[ret],[%[mem]]\n\t" + "tst %[ret],%[bits]\n\t" + "bne 2f\n\t" + "clrex\n\t" + "movs %[ret],#0\n\t" + "b 3f\n" + "2:\n\t" + "bic %[val],%[ret],%[bits]\n\t" + "strex %[res],%[val],[%[mem]]\n\t" + "cmp %[res],#0\n\t" + "bne 1b\n" + "3:" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem), + [bits] "l" (bits) + : "cc", "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Increment (32-bit) +/// \param[in] mem Memory address +/// \return Previous value +#if defined(__CC_ARM) +static __asm uint32_t atomic_inc32 (uint32_t *mem) { + mov r2,r0 +1 + ldrex r0,[r2] + adds r1,r0,#1 + strex r3,r1,[r2] + cmp r3,#0 + bne %B1 + bx lr +} +#else +__STATIC_INLINE uint32_t atomic_inc32 (uint32_t *mem) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint32_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrex %[ret],[%[mem]]\n\t" + "adds %[val],%[ret],#1\n\t" + "strex %[res],%[val],[%[mem]]\n\t" + "cmp %[res],#0\n\t" + "bne 1b\n" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem) + : "cc", "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Increment (16-bit) if Less Than +/// \param[in] mem Memory address +/// \param[in] max Maximum value +/// \return Previous value +#if defined(__CC_ARM) +static __asm uint16_t atomic_inc16_lt (uint16_t *mem, uint16_t max) { + push {r4,lr} + mov r2,r0 +1 + ldrexh r0,[r2] + cmp r1,r0 + bhi %F2 + clrex + pop {r4,pc} +2 + adds r4,r0,#1 + strexh r3,r4,[r2] + cmp r3,#0 + bne %B1 + pop {r4,pc} +} +#else +__STATIC_INLINE uint16_t atomic_inc16_lt (uint16_t *mem, uint16_t max) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint16_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrexh %[ret],[%[mem]]\n\t" + "cmp %[max],%[ret]\n\t" + "bhi 2f\n\t" + "clrex\n\t" + "b 3f\n" + "2:\n\t" + "adds %[val],%[ret],#1\n\t" + "strexh %[res],%[val],[%[mem]]\n\t" + "cmp %[res],#0\n\t" + "bne 1b\n" + "3:" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem), + [max] "l" (max) + : "cc", "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Increment (16-bit) and clear on Limit +/// \param[in] mem Memory address +/// \param[in] max Maximum value +/// \return Previous value +#if defined(__CC_ARM) +static __asm uint16_t atomic_inc16_lim (uint16_t *mem, uint16_t lim) { + push {r4,lr} + mov r2,r0 +1 + ldrexh r0,[r2] + adds r4,r0,#1 + cmp r1,r4 + bhi %F2 + movs r4,#0 +2 + strexh r3,r4,[r2] + cmp r3,#0 + bne %B1 + pop {r4,pc} +} +#else +__STATIC_INLINE uint16_t atomic_inc16_lim (uint16_t *mem, uint16_t lim) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint16_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrexh %[ret],[%[mem]]\n\t" + "adds %[val],%[ret],#1\n\t" + "cmp %[lim],%[val]\n\t" + "bhi 2f\n\t" + "movs %[val],#0\n" + "2:\n\t" + "strexh %[res],%[val],[%[mem]]\n\t" + "cmp %[res],#0\n\t" + "bne 1b\n" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem), + [lim] "l" (lim) + : "cc", "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Decrement (32-bit) +/// \param[in] mem Memory address +/// \return Previous value +#if defined(__CC_ARM) +static __asm uint32_t atomic_dec32 (uint32_t *mem) { + mov r2,r0 +1 + ldrex r0,[r2] + subs r1,r0,#1 + strex r3,r1,[r2] + cmp r3,#0 + bne %B1 + bx lr +} +#else +__STATIC_INLINE uint32_t atomic_dec32 (uint32_t *mem) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint32_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrex %[ret],[%[mem]]\n\t" + "subs %[val],%[ret],#1\n\t" + "strex %[res],%[val],[%[mem]]\n\t" + "cmp %[res],#0\n\t" + "bne 1b\n" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem) + : "cc", "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Decrement (32-bit) if Not Zero +/// \param[in] mem Memory address +/// \return Previous value +#if defined(__CC_ARM) +static __asm uint32_t atomic_dec32_nz (uint32_t *mem) { + mov r2,r0 +1 + ldrex r0,[r2] + cmp r0,#0 + bne %F2 + clrex + bx lr +2 + subs r1,r0,#1 + strex r3,r1,[r2] + cmp r3,#0 + bne %B1 + bx lr +} +#else +__STATIC_INLINE uint32_t atomic_dec32_nz (uint32_t *mem) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint32_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrex %[ret],[%[mem]]\n\t" + "cmp %[ret],#0\n\t" + "bne 2f\n" + "clrex\n\t" + "b 3f\n" + "2:\n\t" + "subs %[val],%[ret],#1\n\t" + "strex %[res],%[val],[%[mem]]\n\t" + "cmp %[res],#0\n\t" + "bne 1b\n" + "3:" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem) + : "cc", "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Decrement (16-bit) if Not Zero +/// \param[in] mem Memory address +/// \return Previous value +#if defined(__CC_ARM) +static __asm uint16_t atomic_dec16_nz (uint16_t *mem) { + mov r2,r0 +1 + ldrexh r0,[r2] + cmp r0,#0 + bne %F2 + clrex + bx lr +2 + subs r1,r0,#1 + strexh r3,r1,[r2] + cmp r3,#0 + bne %B1 + bx lr +} +#else +__STATIC_INLINE uint16_t atomic_dec16_nz (uint16_t *mem) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint16_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrexh %[ret],[%[mem]]\n\t" + "cmp %[ret],#0\n\t" + "bne 2f\n\t" + "clrex\n\t" + "b 3f\n" + "2:\n\t" + "subs %[val],%[ret],#1\n\t" + "strexh %[res],%[val],[%[mem]]\n\t" + "cmp %[res],#0\n\t" + "bne 1b\n" + "3:" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem) + : "cc", "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Link Get +/// \param[in] root Root address +/// \return Link +#if defined(__CC_ARM) +static __asm void *atomic_link_get (void **root) { + mov r2,r0 +1 + ldrex r0,[r2] + cmp r0,#0 + bne %F2 + clrex + bx lr +2 + ldr r1,[r0] + strex r3,r1,[r2] + cmp r3,#0 + bne %B1 + bx lr +} +#else +__STATIC_INLINE void *atomic_link_get (void **root) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register void *ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrex %[ret],[%[root]]\n\t" + "cmp %[ret],#0\n\t" + "bne 2f\n\t" + "clrex\n\t" + "b 3f\n" + "2:\n\t" + "ldr %[val],[%[ret]]\n\t" + "strex %[res],%[val],[%[root]]\n\t" + "cmp %[res],#0\n\t" + "bne 1b\n" + "3:" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [root] "l" (root) + : "cc", "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Link Put +/// \param[in] root Root address +/// \param[in] lnk Link +#if defined(__CC_ARM) +static __asm void atomic_link_put (void **root, void *link) { +1 + ldr r2,[r0] + str r2,[r1] + dmb + ldrex r2,[r0] + ldr r3,[r1] + cmp r3,r2 + bne %B1 + strex r3,r1,[r0] + cmp r3,#0 + bne %B1 + bx lr +} +#else +__STATIC_INLINE void atomic_link_put (void **root, void *link) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val1, val2, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldr %[val1],[%[root]]\n\t" + "str %[val1],[%[link]]\n\t" + "dmb\n\t" + "ldrex %[val1],[%[root]]\n\t" + "ldr %[val2],[%[link]]\n\t" + "cmp %[val2],%[val1]\n\t" + "bne 1b\n\t" + "strex %[res],%[link],[%[root]]\n\t" + "cmp %[res],#0\n\t" + "bne 1b\n" + : [val1] "=&l" (val1), + [val2] "=&l" (val2), + [res] "=&l" (res) + : [root] "l" (root), + [link] "l" (link) + : "cc", "memory" + ); +} +#endif + +#endif // (EXCLUSIVE_ACCESS == 1) + + +#endif // RTX_CORE_CA_H_ diff --git a/CMSIS/RTOS2/RTX/Source/rtx_core_cm.h b/CMSIS/RTOS2/RTX/Source/rtx_core_cm.h new file mode 100644 index 0000000..086b1e0 --- /dev/null +++ b/CMSIS/RTOS2/RTX/Source/rtx_core_cm.h @@ -0,0 +1,1213 @@ +/* + * Copyright (c) 2013-2021 Arm Limited. All rights reserved. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Licensed under the Apache License, Version 2.0 (the License); you may + * not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an AS IS BASIS, WITHOUT + * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * + * ----------------------------------------------------------------------------- + * + * Project: CMSIS-RTOS RTX + * Title: Cortex-M Core definitions + * + * ----------------------------------------------------------------------------- + */ + +#ifndef RTX_CORE_CM_H_ +#define RTX_CORE_CM_H_ + +#ifndef RTX_CORE_C_H_ +#ifndef RTE_COMPONENTS_H +#include "RTE_Components.h" +#endif +#include CMSIS_device_header +#endif + +#include +typedef bool bool_t; + +#ifndef FALSE +#define FALSE ((bool_t)0) +#endif + +#ifndef TRUE +#define TRUE ((bool_t)1) +#endif + +#ifndef DOMAIN_NS +#define DOMAIN_NS 0 +#endif + +#if (DOMAIN_NS == 1) +#if ((!defined(__ARM_ARCH_8M_BASE__) || (__ARM_ARCH_8M_BASE__ == 0)) && \ + (!defined(__ARM_ARCH_8M_MAIN__) || (__ARM_ARCH_8M_MAIN__ == 0)) && \ + (!defined(__ARM_ARCH_8_1M_MAIN__) || (__ARM_ARCH_8_1M_MAIN__ == 0))) +#error "Non-secure domain requires ARMv8-M Architecture!" +#endif +#endif + +#ifndef EXCLUSIVE_ACCESS +#if ((defined(__ARM_ARCH_7M__) && (__ARM_ARCH_7M__ != 0)) || \ + (defined(__ARM_ARCH_7EM__) && (__ARM_ARCH_7EM__ != 0)) || \ + (defined(__ARM_ARCH_8M_BASE__) && (__ARM_ARCH_8M_BASE__ != 0)) || \ + (defined(__ARM_ARCH_8M_MAIN__) && (__ARM_ARCH_8M_MAIN__ != 0)) || \ + (defined(__ARM_ARCH_8_1M_MAIN__) && (__ARM_ARCH_8_1M_MAIN__ != 0))) +#define EXCLUSIVE_ACCESS 1 +#else +#define EXCLUSIVE_ACCESS 0 +#endif +#endif + +#define OS_TICK_HANDLER SysTick_Handler + +/// xPSR_Initialization Value +/// \param[in] privileged true=privileged, false=unprivileged +/// \param[in] thumb true=Thumb, false=ARM +/// \return xPSR Init Value +__STATIC_INLINE uint32_t xPSR_InitVal (bool_t privileged, bool_t thumb) { + (void)privileged; + (void)thumb; + return (0x01000000U); +} + +// Stack Frame: +// - Extended: S16-S31, R4-R11, R0-R3, R12, LR, PC, xPSR, S0-S15, FPSCR +// - Basic: R4-R11, R0-R3, R12, LR, PC, xPSR + +/// Stack Frame Initialization Value (EXC_RETURN[7..0]) +#if (DOMAIN_NS == 1) +#define STACK_FRAME_INIT_VAL 0xBCU +#else +#define STACK_FRAME_INIT_VAL 0xFDU +#endif + +/// Stack Offset of Register R0 +/// \param[in] stack_frame Stack Frame (EXC_RETURN[7..0]) +/// \return R0 Offset +__STATIC_INLINE uint32_t StackOffsetR0 (uint8_t stack_frame) { +#if ((__FPU_USED == 1U) || \ + (defined(__ARM_FEATURE_MVE) && (__ARM_FEATURE_MVE > 0))) + return (((stack_frame & 0x10U) == 0U) ? ((16U+8U)*4U) : (8U*4U)); +#else + (void)stack_frame; + return (8U*4U); +#endif +} + + +// ==== Core functions ==== + +//lint -sem(__get_CONTROL, pure) +//lint -sem(__get_IPSR, pure) +//lint -sem(__get_PRIMASK, pure) +//lint -sem(__get_BASEPRI, pure) + +/// Check if running Privileged +/// \return true=privileged, false=unprivileged +__STATIC_INLINE bool_t IsPrivileged (void) { + return ((__get_CONTROL() & 1U) == 0U); +} + +/// Check if in Exception +/// \return true=exception, false=thread +__STATIC_INLINE bool_t IsException (void) { + return (__get_IPSR() != 0U); +} + +/// Check if IRQ is Masked +/// \return true=masked, false=not masked +__STATIC_INLINE bool_t IsIrqMasked (void) { +#if ((defined(__ARM_ARCH_7M__) && (__ARM_ARCH_7M__ != 0)) || \ + (defined(__ARM_ARCH_7EM__) && (__ARM_ARCH_7EM__ != 0)) || \ + (defined(__ARM_ARCH_8M_MAIN__) && (__ARM_ARCH_8M_MAIN__ != 0)) || \ + (defined(__ARM_ARCH_8_1M_MAIN__) && (__ARM_ARCH_8_1M_MAIN__ != 0))) + return ((__get_PRIMASK() != 0U) || (__get_BASEPRI() != 0U)); +#else + return (__get_PRIMASK() != 0U); +#endif +} + + +// ==== Core Peripherals functions ==== + +/// Setup SVC and PendSV System Service Calls +__STATIC_INLINE void SVC_Setup (void) { +#if ((defined(__ARM_ARCH_8M_MAIN__) && (__ARM_ARCH_8M_MAIN__ != 0)) || \ + (defined(__ARM_ARCH_8_1M_MAIN__) && (__ARM_ARCH_8_1M_MAIN__ != 0)) || \ + (defined(__CORTEX_M) && (__CORTEX_M == 7U))) + uint32_t p, n; + + SCB->SHPR[10] = 0xFFU; + n = 32U - (uint32_t)__CLZ(~(SCB->SHPR[10] | 0xFFFFFF00U)); + p = NVIC_GetPriorityGrouping(); + if (p >= n) { + n = p + 1U; + } + SCB->SHPR[7] = (uint8_t)(0xFEU << n); +#elif (defined(__ARM_ARCH_8M_BASE__) && (__ARM_ARCH_8M_BASE__ != 0)) + uint32_t n; + + SCB->SHPR[1] |= 0x00FF0000U; + n = SCB->SHPR[1]; + SCB->SHPR[0] |= (n << (8+1)) & 0xFC000000U; +#elif ((defined(__ARM_ARCH_7M__) && (__ARM_ARCH_7M__ != 0)) || \ + (defined(__ARM_ARCH_7EM__) && (__ARM_ARCH_7EM__ != 0))) + uint32_t p, n; + + SCB->SHP[10] = 0xFFU; + n = 32U - (uint32_t)__CLZ(~(SCB->SHP[10] | 0xFFFFFF00U)); + p = NVIC_GetPriorityGrouping(); + if (p >= n) { + n = p + 1U; + } + SCB->SHP[7] = (uint8_t)(0xFEU << n); +#elif (defined(__ARM_ARCH_6M__) && (__ARM_ARCH_6M__ != 0)) + uint32_t n; + + SCB->SHP[1] |= 0x00FF0000U; + n = SCB->SHP[1]; + SCB->SHP[0] |= (n << (8+1)) & 0xFC000000U; +#endif +} + +/// Get Pending SV (Service Call) Flag +/// \return Pending SV Flag +__STATIC_INLINE uint8_t GetPendSV (void) { + return ((uint8_t)((SCB->ICSR & (SCB_ICSR_PENDSVSET_Msk)) >> 24)); +} + +/// Clear Pending SV (Service Call) Flag +__STATIC_INLINE void ClrPendSV (void) { + SCB->ICSR = SCB_ICSR_PENDSVCLR_Msk; +} + +/// Set Pending SV (Service Call) Flag +__STATIC_INLINE void SetPendSV (void) { + SCB->ICSR = SCB_ICSR_PENDSVSET_Msk; +} + + +// ==== Service Calls definitions ==== + +//lint -save -e9023 -e9024 -e9026 "Function-like macros using '#/##'" [MISRA Note 10] + +#if defined(__CC_ARM) + +#if ((defined(__ARM_ARCH_7M__) && (__ARM_ARCH_7M__ != 0)) || \ + (defined(__ARM_ARCH_7EM__) && (__ARM_ARCH_7EM__ != 0)) || \ + (defined(__ARM_ARCH_8M_MAIN__) && (__ARM_ARCH_8M_MAIN__ != 0)) || \ + (defined(__ARM_ARCH_8_1M_MAIN__) && (__ARM_ARCH_8_1M_MAIN__ != 0))) +#define SVC_INDIRECT(n) __svc_indirect(n) +#elif ((defined(__ARM_ARCH_6M__) && (__ARM_ARCH_6M__ != 0)) || \ + (defined(__ARM_ARCH_8M_BASE__) && (__ARM_ARCH_8M_BASE__ != 0))) +#define SVC_INDIRECT(n) __svc_indirect_r7(n) +#endif + +#define SVC0_0N(f,t) \ +SVC_INDIRECT(0) t svc##f (t(*)()); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (void) { \ + svc##f(svcRtx##f); \ +} + +#define SVC0_0(f,t) \ +SVC_INDIRECT(0) t svc##f (t(*)()); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (void) { \ + return svc##f(svcRtx##f); \ +} + +#define SVC0_1N(f,t,t1) \ +SVC_INDIRECT(0) t svc##f (t(*)(t1),t1); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1) { \ + svc##f(svcRtx##f,a1); \ +} + +#define SVC0_1(f,t,t1) \ +SVC_INDIRECT(0) t svc##f (t(*)(t1),t1); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1) { \ + return svc##f(svcRtx##f,a1); \ +} + +#define SVC0_2(f,t,t1,t2) \ +SVC_INDIRECT(0) t svc##f (t(*)(t1,t2),t1,t2); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1, t2 a2) { \ + return svc##f(svcRtx##f,a1,a2); \ +} + +#define SVC0_3(f,t,t1,t2,t3) \ +SVC_INDIRECT(0) t svc##f (t(*)(t1,t2,t3),t1,t2,t3); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1, t2 a2, t3 a3) { \ + return svc##f(svcRtx##f,a1,a2,a3); \ +} + +#define SVC0_4(f,t,t1,t2,t3,t4) \ +SVC_INDIRECT(0) t svc##f (t(*)(t1,t2,t3,t4),t1,t2,t3,t4); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1, t2 a2, t3 a3, t4 a4) { \ + return svc##f(svcRtx##f,a1,a2,a3,a4); \ +} + +#elif defined(__ICCARM__) + +#if ((defined(__ARM_ARCH_7M__) && (__ARM_ARCH_7M__ != 0)) || \ + (defined(__ARM_ARCH_7EM__) && (__ARM_ARCH_7EM__ != 0)) || \ + (defined(__ARM_ARCH_8M_MAIN__) && (__ARM_ARCH_8M_MAIN__ != 0)) || \ + (defined(__ARM_ARCH_8_1M_MAIN__) && (__ARM_ARCH_8_1M_MAIN__ != 0))) +#define SVC_ArgF(f) \ + __asm( \ + "mov r12,%0\n" \ + :: "r"(&f): "r12" \ + ); +#elif ((defined(__ARM_ARCH_6M__) && (__ARM_ARCH_6M__ != 0)) || \ + (defined(__ARM_ARCH_8M_BASE__) && (__ARM_ARCH_8M_BASE__ != 0))) +#define SVC_ArgF(f) \ + __asm( \ + "mov r7,%0\n" \ + :: "r"(&f): "r7" \ + ); +#endif + +#define STRINGIFY(a) #a +#define SVC_INDIRECT(n) _Pragma(STRINGIFY(swi_number = n)) __swi + +#define SVC0_0N(f,t) \ +SVC_INDIRECT(0) t svc##f (); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (void) { \ + SVC_ArgF(svcRtx##f); \ + svc##f(); \ +} + +#define SVC0_0(f,t) \ +SVC_INDIRECT(0) t svc##f (); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (void) { \ + SVC_ArgF(svcRtx##f); \ + return svc##f(); \ +} + +#define SVC0_1N(f,t,t1) \ +SVC_INDIRECT(0) t svc##f (t1 a1); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1) { \ + SVC_ArgF(svcRtx##f); \ + svc##f(a1); \ +} + +#define SVC0_1(f,t,t1) \ +SVC_INDIRECT(0) t svc##f (t1 a1); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1) { \ + SVC_ArgF(svcRtx##f); \ + return svc##f(a1); \ +} + +#define SVC0_2(f,t,t1,t2) \ +SVC_INDIRECT(0) t svc##f (t1 a1, t2 a2); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1, t2 a2) { \ + SVC_ArgF(svcRtx##f); \ + return svc##f(a1,a2); \ +} + +#define SVC0_3(f,t,t1,t2,t3) \ +SVC_INDIRECT(0) t svc##f (t1 a1, t2 a2, t3 a3); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1, t2 a2, t3 a3) { \ + SVC_ArgF(svcRtx##f); \ + return svc##f(a1,a2,a3); \ +} + +#define SVC0_4(f,t,t1,t2,t3,t4) \ +SVC_INDIRECT(0) t svc##f (t1 a1, t2 a2, t3 a3, t4 a4); \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1, t2 a2, t3 a3, t4 a4) { \ + SVC_ArgF(svcRtx##f); \ + return svc##f(a1,a2,a3,a4); \ +} + +#else // !(defined(__CC_ARM) || defined(__ICCARM__)) + +//lint -esym(522,__svc*) "Functions '__svc*' are impure (side-effects)" + +#if ((defined(__ARM_ARCH_7M__) && (__ARM_ARCH_7M__ != 0)) || \ + (defined(__ARM_ARCH_7EM__) && (__ARM_ARCH_7EM__ != 0)) || \ + (defined(__ARM_ARCH_8M_MAIN__) && (__ARM_ARCH_8M_MAIN__ != 0)) || \ + (defined(__ARM_ARCH_8_1M_MAIN__) && (__ARM_ARCH_8_1M_MAIN__ != 0))) +#define SVC_RegF "r12" +#elif ((defined(__ARM_ARCH_6M__) && (__ARM_ARCH_6M__ != 0)) || \ + (defined(__ARM_ARCH_8M_BASE__) && (__ARM_ARCH_8M_BASE__ != 0))) +#define SVC_RegF "r7" +#endif + +#define SVC_ArgN(n) \ +register uint32_t __r##n __ASM("r"#n) + +#define SVC_ArgR(n,a) \ +register uint32_t __r##n __ASM("r"#n) = (uint32_t)a + +#define SVC_ArgF(f) \ +register uint32_t __rf __ASM(SVC_RegF) = (uint32_t)f + +#define SVC_In0 "r"(__rf) +#define SVC_In1 "r"(__rf),"r"(__r0) +#define SVC_In2 "r"(__rf),"r"(__r0),"r"(__r1) +#define SVC_In3 "r"(__rf),"r"(__r0),"r"(__r1),"r"(__r2) +#define SVC_In4 "r"(__rf),"r"(__r0),"r"(__r1),"r"(__r2),"r"(__r3) + +#define SVC_Out0 +#define SVC_Out1 "=r"(__r0) + +#define SVC_CL0 +#define SVC_CL1 "r1" +#define SVC_CL2 "r0","r1" + +#define SVC_Call0(in, out, cl) \ + __ASM volatile ("svc 0" : out : in : cl) + +#define SVC0_0N(f,t) \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (void) { \ + SVC_ArgF(svcRtx##f); \ + SVC_Call0(SVC_In0, SVC_Out0, SVC_CL2); \ +} + +#define SVC0_0(f,t) \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (void) { \ + SVC_ArgN(0); \ + SVC_ArgF(svcRtx##f); \ + SVC_Call0(SVC_In0, SVC_Out1, SVC_CL1); \ + return (t) __r0; \ +} + +#define SVC0_1N(f,t,t1) \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1) { \ + SVC_ArgR(0,a1); \ + SVC_ArgF(svcRtx##f); \ + SVC_Call0(SVC_In1, SVC_Out0, SVC_CL1); \ +} + +#define SVC0_1(f,t,t1) \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1) { \ + SVC_ArgR(0,a1); \ + SVC_ArgF(svcRtx##f); \ + SVC_Call0(SVC_In1, SVC_Out1, SVC_CL1); \ + return (t) __r0; \ +} + +#define SVC0_2(f,t,t1,t2) \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1, t2 a2) { \ + SVC_ArgR(0,a1); \ + SVC_ArgR(1,a2); \ + SVC_ArgF(svcRtx##f); \ + SVC_Call0(SVC_In2, SVC_Out1, SVC_CL0); \ + return (t) __r0; \ +} + +#define SVC0_3(f,t,t1,t2,t3) \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1, t2 a2, t3 a3) { \ + SVC_ArgR(0,a1); \ + SVC_ArgR(1,a2); \ + SVC_ArgR(2,a3); \ + SVC_ArgF(svcRtx##f); \ + SVC_Call0(SVC_In3, SVC_Out1, SVC_CL0); \ + return (t) __r0; \ +} + +#define SVC0_4(f,t,t1,t2,t3,t4) \ +__attribute__((always_inline)) \ +__STATIC_INLINE t __svc##f (t1 a1, t2 a2, t3 a3, t4 a4) { \ + SVC_ArgR(0,a1); \ + SVC_ArgR(1,a2); \ + SVC_ArgR(2,a3); \ + SVC_ArgR(3,a4); \ + SVC_ArgF(svcRtx##f); \ + SVC_Call0(SVC_In4, SVC_Out1, SVC_CL0); \ + return (t) __r0; \ +} + +#endif + +//lint -restore [MISRA Note 10] + + +// ==== Exclusive Access Operation ==== + +#if (EXCLUSIVE_ACCESS == 1) + +//lint ++flb "Library Begin" [MISRA Note 12] + +/// Atomic Access Operation: Write (8-bit) +/// \param[in] mem Memory address +/// \param[in] val Value to write +/// \return Previous value +#if defined(__CC_ARM) +static __asm uint8_t atomic_wr8 (uint8_t *mem, uint8_t val) { + mov r2,r0 +1 + ldrexb r0,[r2] + strexb r3,r1,[r2] + cbz r3,%F2 + b %B1 +2 + bx lr +} +#else +__STATIC_INLINE uint8_t atomic_wr8 (uint8_t *mem, uint8_t val) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint8_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrexb %[ret],[%[mem]]\n\t" + "strexb %[res],%[val],[%[mem]]\n\t" + "cbz %[res],2f\n\t" + "b 1b\n" + "2:" + : [ret] "=&l" (ret), + [res] "=&l" (res) + : [mem] "l" (mem), + [val] "l" (val) + : "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Set bits (32-bit) +/// \param[in] mem Memory address +/// \param[in] bits Bit mask +/// \return New value +#if defined(__CC_ARM) +static __asm uint32_t atomic_set32 (uint32_t *mem, uint32_t bits) { + mov r2,r0 +1 + ldrex r0,[r2] + orr r0,r0,r1 + strex r3,r0,[r2] + cbz r3,%F2 + b %B1 +2 + bx lr +} +#else +__STATIC_INLINE uint32_t atomic_set32 (uint32_t *mem, uint32_t bits) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint32_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrex %[val],[%[mem]]\n\t" +#if (defined(__ARM_ARCH_8M_BASE__) && (__ARM_ARCH_8M_BASE__ != 0)) + "mov %[ret],%[val]\n\t" + "orrs %[ret],%[bits]\n\t" +#else + "orr %[ret],%[val],%[bits]\n\t" +#endif + "strex %[res],%[ret],[%[mem]]\n\t" + "cbz %[res],2f\n\t" + "b 1b\n" + "2:" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem), + [bits] "l" (bits) +#if (defined(__ARM_ARCH_8M_BASE__) && (__ARM_ARCH_8M_BASE__ != 0)) + : "memory", "cc" +#else + : "memory" +#endif + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Clear bits (32-bit) +/// \param[in] mem Memory address +/// \param[in] bits Bit mask +/// \return Previous value +#if defined(__CC_ARM) +static __asm uint32_t atomic_clr32 (uint32_t *mem, uint32_t bits) { + push {r4,lr} + mov r2,r0 +1 + ldrex r0,[r2] + bic r4,r0,r1 + strex r3,r4,[r2] + cbz r3,%F2 + b %B1 +2 + pop {r4,pc} +} +#else +__STATIC_INLINE uint32_t atomic_clr32 (uint32_t *mem, uint32_t bits) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint32_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrex %[ret],[%[mem]]\n\t" +#if (defined(__ARM_ARCH_8M_BASE__) && (__ARM_ARCH_8M_BASE__ != 0)) + "mov %[val],%[ret]\n\t" + "bics %[val],%[bits]\n\t" +#else + "bic %[val],%[ret],%[bits]\n\t" +#endif + "strex %[res],%[val],[%[mem]]\n\t" + "cbz %[res],2f\n\t" + "b 1b\n" + "2:" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem), + [bits] "l" (bits) +#if (defined(__ARM_ARCH_8M_BASE__) && (__ARM_ARCH_8M_BASE__ != 0)) + : "memory", "cc" +#else + : "memory" +#endif + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Check if all specified bits (32-bit) are active and clear them +/// \param[in] mem Memory address +/// \param[in] bits Bit mask +/// \return Active bits before clearing or 0 if not active +#if defined(__CC_ARM) +static __asm uint32_t atomic_chk32_all (uint32_t *mem, uint32_t bits) { + push {r4,lr} + mov r2,r0 +1 + ldrex r0,[r2] + and r4,r0,r1 + cmp r4,r1 + beq %F2 + clrex + movs r0,#0 + pop {r4,pc} +2 + bic r4,r0,r1 + strex r3,r4,[r2] + cbz r3,%F3 + b %B1 +3 + pop {r4,pc} +} +#else +__STATIC_INLINE uint32_t atomic_chk32_all (uint32_t *mem, uint32_t bits) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint32_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrex %[ret],[%[mem]]\n\t" +#if (defined(__ARM_ARCH_8M_BASE__) && (__ARM_ARCH_8M_BASE__ != 0)) + "mov %[val],%[ret]\n\t" + "ands %[val],%[bits]\n\t" +#else + "and %[val],%[ret],%[bits]\n\t" +#endif + "cmp %[val],%[bits]\n\t" + "beq 2f\n\t" + "clrex\n\t" + "movs %[ret],#0\n\t" + "b 3f\n" + "2:\n\t" +#if (defined(__ARM_ARCH_8M_BASE__) && (__ARM_ARCH_8M_BASE__ != 0)) + "mov %[val],%[ret]\n\t" + "bics %[val],%[bits]\n\t" +#else + "bic %[val],%[ret],%[bits]\n\t" +#endif + "strex %[res],%[val],[%[mem]]\n\t" + "cbz %[res],3f\n\t" + "b 1b\n" + "3:" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem), + [bits] "l" (bits) + : "cc", "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Check if any specified bits (32-bit) are active and clear them +/// \param[in] mem Memory address +/// \param[in] bits Bit mask +/// \return Active bits before clearing or 0 if not active +#if defined(__CC_ARM) +static __asm uint32_t atomic_chk32_any (uint32_t *mem, uint32_t bits) { + push {r4,lr} + mov r2,r0 +1 + ldrex r0,[r2] + tst r0,r1 + bne %F2 + clrex + movs r0,#0 + pop {r4,pc} +2 + bic r4,r0,r1 + strex r3,r4,[r2] + cbz r3,%F3 + b %B1 +3 + pop {r4,pc} +} +#else +__STATIC_INLINE uint32_t atomic_chk32_any (uint32_t *mem, uint32_t bits) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint32_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrex %[ret],[%[mem]]\n\t" + "tst %[ret],%[bits]\n\t" + "bne 2f\n\t" + "clrex\n\t" + "movs %[ret],#0\n\t" + "b 3f\n" + "2:\n\t" +#if (defined(__ARM_ARCH_8M_BASE__) && (__ARM_ARCH_8M_BASE__ != 0)) + "mov %[val],%[ret]\n\t" + "bics %[val],%[bits]\n\t" +#else + "bic %[val],%[ret],%[bits]\n\t" +#endif + "strex %[res],%[val],[%[mem]]\n\t" + "cbz %[res],3f\n\t" + "b 1b\n" + "3:" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem), + [bits] "l" (bits) + : "cc", "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Increment (32-bit) +/// \param[in] mem Memory address +/// \return Previous value +#if defined(__CC_ARM) +static __asm uint32_t atomic_inc32 (uint32_t *mem) { + mov r2,r0 +1 + ldrex r0,[r2] + adds r1,r0,#1 + strex r3,r1,[r2] + cbz r3,%F2 + b %B1 +2 + bx lr +} +#else +__STATIC_INLINE uint32_t atomic_inc32 (uint32_t *mem) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint32_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrex %[ret],[%[mem]]\n\t" + "adds %[val],%[ret],#1\n\t" + "strex %[res],%[val],[%[mem]]\n\t" + "cbz %[res],2f\n\t" + "b 1b\n" + "2:" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem) + : "cc", "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Increment (16-bit) if Less Than +/// \param[in] mem Memory address +/// \param[in] max Maximum value +/// \return Previous value +#if defined(__CC_ARM) +static __asm uint16_t atomic_inc16_lt (uint16_t *mem, uint16_t max) { + push {r4,lr} + mov r2,r0 +1 + ldrexh r0,[r2] + cmp r1,r0 + bhi %F2 + clrex + pop {r4,pc} +2 + adds r4,r0,#1 + strexh r3,r4,[r2] + cbz r3,%F3 + b %B1 +3 + pop {r4,pc} +} +#else +__STATIC_INLINE uint16_t atomic_inc16_lt (uint16_t *mem, uint16_t max) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint16_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrexh %[ret],[%[mem]]\n\t" + "cmp %[max],%[ret]\n\t" + "bhi 2f\n\t" + "clrex\n\t" + "b 3f\n" + "2:\n\t" + "adds %[val],%[ret],#1\n\t" + "strexh %[res],%[val],[%[mem]]\n\t" + "cbz %[res],3f\n\t" + "b 1b\n" + "3:" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem), + [max] "l" (max) + : "cc", "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Increment (16-bit) and clear on Limit +/// \param[in] mem Memory address +/// \param[in] max Maximum value +/// \return Previous value +#if defined(__CC_ARM) +static __asm uint16_t atomic_inc16_lim (uint16_t *mem, uint16_t lim) { + push {r4,lr} + mov r2,r0 +1 + ldrexh r0,[r2] + adds r4,r0,#1 + cmp r1,r4 + bhi %F2 + movs r4,#0 +2 + strexh r3,r4,[r2] + cbz r3,%F3 + b %B1 +3 + pop {r4,pc} +} +#else +__STATIC_INLINE uint16_t atomic_inc16_lim (uint16_t *mem, uint16_t lim) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint16_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrexh %[ret],[%[mem]]\n\t" + "adds %[val],%[ret],#1\n\t" + "cmp %[lim],%[val]\n\t" + "bhi 2f\n\t" + "movs %[val],#0\n" + "2:\n\t" + "strexh %[res],%[val],[%[mem]]\n\t" + "cbz %[res],3f\n\t" + "b 1b\n" + "3:" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem), + [lim] "l" (lim) + : "cc", "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Decrement (32-bit) +/// \param[in] mem Memory address +/// \return Previous value +#if defined(__CC_ARM) +static __asm uint32_t atomic_dec32 (uint32_t *mem) { + mov r2,r0 +1 + ldrex r0,[r2] + subs r1,r0,#1 + strex r3,r1,[r2] + cbz r3,%F2 + b %B1 +2 + bx lr +} +#else +__STATIC_INLINE uint32_t atomic_dec32 (uint32_t *mem) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint32_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrex %[ret],[%[mem]]\n\t" + "subs %[val],%[ret],#1\n\t" + "strex %[res],%[val],[%[mem]]\n\t" + "cbz %[res],2f\n\t" + "b 1b\n" + "2:" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem) + : "cc", "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Decrement (32-bit) if Not Zero +/// \param[in] mem Memory address +/// \return Previous value +#if defined(__CC_ARM) +static __asm uint32_t atomic_dec32_nz (uint32_t *mem) { + mov r2,r0 +1 + ldrex r0,[r2] + cbnz r0,%F2 + clrex + bx lr +2 + subs r1,r0,#1 + strex r3,r1,[r2] + cbz r3,%F3 + b %B1 +3 + bx lr +} +#else +__STATIC_INLINE uint32_t atomic_dec32_nz (uint32_t *mem) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint32_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrex %[ret],[%[mem]]\n\t" + "cbnz %[ret],2f\n\t" + "clrex\n\t" + "b 3f\n" + "2:\n\t" + "subs %[val],%[ret],#1\n\t" + "strex %[res],%[val],[%[mem]]\n\t" + "cbz %[res],3f\n\t" + "b 1b\n" + "3:" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem) + : "cc", "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Decrement (16-bit) if Not Zero +/// \param[in] mem Memory address +/// \return Previous value +#if defined(__CC_ARM) +static __asm uint16_t atomic_dec16_nz (uint16_t *mem) { + mov r2,r0 +1 + ldrexh r0,[r2] + cbnz r0,%F2 + clrex + bx lr +2 + subs r1,r0,#1 + strexh r3,r1,[r2] + cbz r3,%F3 + b %B1 +3 + bx lr +} +#else +__STATIC_INLINE uint16_t atomic_dec16_nz (uint16_t *mem) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register uint16_t ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrexh %[ret],[%[mem]]\n\t" + "cbnz %[ret],2f\n\t" + "clrex\n\t" + "b 3f\n" + "2:\n\t" + "subs %[val],%[ret],#1\n\t" + "strexh %[res],%[val],[%[mem]]\n\t" + "cbz %[res],3f\n\t" + "b 1b\n" + "3:" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [mem] "l" (mem) + : "cc", "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Link Get +/// \param[in] root Root address +/// \return Link +#if defined(__CC_ARM) +static __asm void *atomic_link_get (void **root) { + mov r2,r0 +1 + ldrex r0,[r2] + cbnz r0,%F2 + clrex + bx lr +2 + ldr r1,[r0] + strex r3,r1,[r2] + cbz r3,%F3 + b %B1 +3 + bx lr +} +#else +__STATIC_INLINE void *atomic_link_get (void **root) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + register void *ret; + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldrex %[ret],[%[root]]\n\t" + "cbnz %[ret],2f\n\t" + "clrex\n\t" + "b 3f\n" + "2:\n\t" + "ldr %[val],[%[ret]]\n\t" + "strex %[res],%[val],[%[root]]\n\t" + "cbz %[res],3f\n\t" + "b 1b\n" + "3:" + : [ret] "=&l" (ret), + [val] "=&l" (val), + [res] "=&l" (res) + : [root] "l" (root) + : "cc", "memory" + ); + + return ret; +} +#endif + +/// Atomic Access Operation: Link Put +/// \param[in] root Root address +/// \param[in] lnk Link +#if defined(__CC_ARM) +static __asm void atomic_link_put (void **root, void *link) { +1 + ldr r2,[r0] + str r2,[r1] + dmb + ldrex r2,[r0] + ldr r3,[r1] + cmp r3,r2 + bne %B1 + strex r3,r1,[r0] + cbz r3,%F2 + b %B1 +2 + bx lr +} +#else +__STATIC_INLINE void atomic_link_put (void **root, void *link) { +#ifdef __ICCARM__ +#pragma diag_suppress=Pe550 +#endif + register uint32_t val1, val2, res; +#ifdef __ICCARM__ +#pragma diag_default=Pe550 +#endif + + __ASM volatile ( +#ifndef __ICCARM__ + ".syntax unified\n\t" +#endif + "1:\n\t" + "ldr %[val1],[%[root]]\n\t" + "str %[val1],[%[link]]\n\t" + "dmb\n\t" + "ldrex %[val1],[%[root]]\n\t" + "ldr %[val2],[%[link]]\n\t" + "cmp %[val2],%[val1]\n\t" + "bne 1b\n\t" + "strex %[res],%[link],[%[root]]\n\t" + "cbz %[res],2f\n\t" + "b 1b\n" + "2:" + : [val1] "=&l" (val1), + [val2] "=&l" (val2), + [res] "=&l" (res) + : [root] "l" (root), + [link] "l" (link) + : "cc", "memory" + ); +} +#endif + +//lint --flb "Library End" [MISRA Note 12] + +#endif // (EXCLUSIVE_ACCESS == 1) + + +#endif // RTX_CORE_CM_H_ diff --git a/CMSIS/RTOS2/RTX/Source/rtx_lib.c b/CMSIS/RTOS2/RTX/Source/rtx_lib.c new file mode 100644 index 0000000..7066316 --- /dev/null +++ b/CMSIS/RTOS2/RTX/Source/rtx_lib.c @@ -0,0 +1,770 @@ +/* + * Copyright (c) 2013-2021 Arm Limited. All rights reserved. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Licensed under the Apache License, Version 2.0 (the License); you may + * not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an AS IS BASIS, WITHOUT + * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * + * ----------------------------------------------------------------------------- + * + * Project: CMSIS-RTOS RTX + * Title: RTX Library Configuration + * + * ----------------------------------------------------------------------------- + */ + +#include "cmsis_compiler.h" +#include "rtx_os.h" + +#ifdef RTE_Compiler_EventRecorder +#include "EventRecorder.h" +#include "EventRecorderConf.h" +#endif +#include "rtx_evr.h" + + +// System Configuration +// ==================== + +// Dynamic Memory +#if (OS_DYNAMIC_MEM_SIZE != 0) +#if ((OS_DYNAMIC_MEM_SIZE % 8) != 0) +#error "Invalid Dynamic Memory size!" +#endif +static uint64_t os_mem[OS_DYNAMIC_MEM_SIZE/8] \ +__attribute__((section(".bss.os"))); +#endif + +// Kernel Tick Frequency +#if (OS_TICK_FREQ < 1) +#error "Invalid Kernel Tick Frequency!" +#endif + +// ISR FIFO Queue +#if (OS_ISR_FIFO_QUEUE < 4) +#error "Invalid ISR FIFO Queue size!" +#endif +static void *os_isr_queue[OS_ISR_FIFO_QUEUE] \ +__attribute__((section(".bss.os"))); + + +// Thread Configuration +// ==================== + +#if (((OS_STACK_SIZE % 8) != 0) || (OS_STACK_SIZE < 72)) +#error "Invalid default Thread Stack size!" +#endif + +#if (((OS_IDLE_THREAD_STACK_SIZE % 8) != 0) || (OS_IDLE_THREAD_STACK_SIZE < 72)) +#error "Invalid Idle Thread Stack size!" +#endif + + +#if (OS_THREAD_OBJ_MEM != 0) + +#if (OS_THREAD_NUM == 0) +#error "Invalid number of user Threads!" +#endif + +#if ((OS_THREAD_USER_STACK_SIZE != 0) && ((OS_THREAD_USER_STACK_SIZE % 8) != 0)) +#error "Invalid total Stack size!" +#endif + +// Thread Control Blocks +static osRtxThread_t os_thread_cb[OS_THREAD_NUM] \ +__attribute__((section(".bss.os.thread.cb"))); + +// Thread Default Stack +#if (OS_THREAD_DEF_STACK_NUM != 0) +static uint64_t os_thread_def_stack[(OS_THREAD_DEF_STACK_NUM*OS_STACK_SIZE)/8] \ +__attribute__((section(".bss.os.thread.stack"))); +#endif + +// Memory Pool for Thread Control Blocks +static osRtxMpInfo_t os_mpi_thread \ +__attribute__((section(".data.os.thread.mpi"))) = +{ (uint32_t)OS_THREAD_NUM, 0U, (uint32_t)osRtxThreadCbSize, &os_thread_cb[0], NULL, NULL }; + +// Memory Pool for Thread Default Stack +#if (OS_THREAD_DEF_STACK_NUM != 0) +static osRtxMpInfo_t os_mpi_def_stack \ +__attribute__((section(".data.os.thread.mpi"))) = +{ (uint32_t)OS_THREAD_DEF_STACK_NUM, 0U, (uint32_t)OS_STACK_SIZE, &os_thread_def_stack[0], NULL, NULL }; +#endif + +// Memory Pool for Thread Stack +#if (OS_THREAD_USER_STACK_SIZE != 0) +static uint64_t os_thread_stack[(16 + (8*OS_THREAD_NUM) + OS_THREAD_USER_STACK_SIZE)/8] \ +__attribute__((section(".bss.os.thread.stack"))); +#endif + +#endif // (OS_THREAD_OBJ_MEM != 0) + + +// Idle Thread Control Block +static osRtxThread_t os_idle_thread_cb \ +__attribute__((section(".bss.os.thread.cb"))); + +// Idle Thread Stack +static uint64_t os_idle_thread_stack[OS_IDLE_THREAD_STACK_SIZE/8] \ +__attribute__((section(".bss.os.thread.idle.stack"))); + +// Idle Thread Attributes +static const osThreadAttr_t os_idle_thread_attr = { +#if defined(OS_IDLE_THREAD_NAME) + OS_IDLE_THREAD_NAME, +#else + NULL, +#endif + osThreadDetached, + &os_idle_thread_cb, + (uint32_t)sizeof(os_idle_thread_cb), + &os_idle_thread_stack[0], + (uint32_t)sizeof(os_idle_thread_stack), + osPriorityIdle, +#if defined(OS_IDLE_THREAD_TZ_MOD_ID) + (uint32_t)OS_IDLE_THREAD_TZ_MOD_ID, +#else + 0U, +#endif + 0U +}; + + +// Timer Configuration +// =================== + +#if (OS_TIMER_OBJ_MEM != 0) + +#if (OS_TIMER_NUM == 0) +#error "Invalid number of Timer objects!" +#endif + +// Timer Control Blocks +static osRtxTimer_t os_timer_cb[OS_TIMER_NUM] \ +__attribute__((section(".bss.os.timer.cb"))); + +// Memory Pool for Timer Control Blocks +static osRtxMpInfo_t os_mpi_timer \ +__attribute__((section(".data.os.timer.mpi"))) = +{ (uint32_t)OS_TIMER_NUM, 0U, (uint32_t)osRtxTimerCbSize, &os_timer_cb[0], NULL, NULL }; + +#endif // (OS_TIMER_OBJ_MEM != 0) + + +#if ((OS_TIMER_THREAD_STACK_SIZE != 0) && (OS_TIMER_CB_QUEUE != 0)) + +#if (((OS_TIMER_THREAD_STACK_SIZE % 8) != 0) || (OS_TIMER_THREAD_STACK_SIZE < 96)) +#error "Invalid Timer Thread Stack size!" +#endif + +// Timer Thread Control Block +static osRtxThread_t os_timer_thread_cb \ +__attribute__((section(".bss.os.thread.cb"))); + +// Timer Thread Stack +static uint64_t os_timer_thread_stack[OS_TIMER_THREAD_STACK_SIZE/8] \ +__attribute__((section(".bss.os.thread.timer.stack"))); + +// Timer Thread Attributes +static const osThreadAttr_t os_timer_thread_attr = { +#if defined(OS_TIMER_THREAD_NAME) + OS_TIMER_THREAD_NAME, +#else + NULL, +#endif + osThreadDetached, + &os_timer_thread_cb, + (uint32_t)sizeof(os_timer_thread_cb), + &os_timer_thread_stack[0], + (uint32_t)sizeof(os_timer_thread_stack), + //lint -e{9030} -e{9034} "cast from signed to enum" + (osPriority_t)OS_TIMER_THREAD_PRIO, +#if defined(OS_TIMER_THREAD_TZ_MOD_ID) + (uint32_t)OS_TIMER_THREAD_TZ_MOD_ID, +#else + 0U, +#endif + 0U +}; + +// Timer Message Queue Control Block +static osRtxMessageQueue_t os_timer_mq_cb \ +__attribute__((section(".bss.os.msgqueue.cb"))); + +// Timer Message Queue Data +static uint32_t os_timer_mq_data[osRtxMessageQueueMemSize(OS_TIMER_CB_QUEUE,8)/4] \ +__attribute__((section(".bss.os.msgqueue.mem"))); + +// Timer Message Queue Attributes +static const osMessageQueueAttr_t os_timer_mq_attr = { + NULL, + 0U, + &os_timer_mq_cb, + (uint32_t)sizeof(os_timer_mq_cb), + &os_timer_mq_data[0], + (uint32_t)sizeof(os_timer_mq_data) +}; + +extern int32_t osRtxTimerSetup (void); +extern void osRtxTimerThread (void *argument); + +#endif // ((OS_TIMER_THREAD_STACK_SIZE != 0) && (OS_TIMER_CB_QUEUE != 0)) + + +// Event Flags Configuration +// ========================= + +#if (OS_EVFLAGS_OBJ_MEM != 0) + +#if (OS_EVFLAGS_NUM == 0) +#error "Invalid number of Event Flags objects!" +#endif + +// Event Flags Control Blocks +static osRtxEventFlags_t os_ef_cb[OS_EVFLAGS_NUM] \ +__attribute__((section(".bss.os.evflags.cb"))); + +// Memory Pool for Event Flags Control Blocks +static osRtxMpInfo_t os_mpi_ef \ +__attribute__((section(".data.os.evflags.mpi"))) = +{ (uint32_t)OS_EVFLAGS_NUM, 0U, (uint32_t)osRtxEventFlagsCbSize, &os_ef_cb[0], NULL, NULL }; + +#endif // (OS_EVFLAGS_OBJ_MEM != 0) + + +// Mutex Configuration +// =================== + +#if (OS_MUTEX_OBJ_MEM != 0) + +#if (OS_MUTEX_NUM == 0) +#error "Invalid number of Mutex objects!" +#endif + +// Mutex Control Blocks +static osRtxMutex_t os_mutex_cb[OS_MUTEX_NUM] \ +__attribute__((section(".bss.os.mutex.cb"))); + +// Memory Pool for Mutex Control Blocks +static osRtxMpInfo_t os_mpi_mutex \ +__attribute__((section(".data.os.mutex.mpi"))) = +{ (uint32_t)OS_MUTEX_NUM, 0U, (uint32_t)osRtxMutexCbSize, &os_mutex_cb[0], NULL, NULL }; + +#endif // (OS_MUTEX_OBJ_MEM != 0) + + +// Semaphore Configuration +// ======================= + +#if (OS_SEMAPHORE_OBJ_MEM != 0) + +#if (OS_SEMAPHORE_NUM == 0) +#error "Invalid number of Semaphore objects!" +#endif + +// Semaphore Control Blocks +static osRtxSemaphore_t os_semaphore_cb[OS_SEMAPHORE_NUM] \ +__attribute__((section(".bss.os.semaphore.cb"))); + +// Memory Pool for Semaphore Control Blocks +static osRtxMpInfo_t os_mpi_semaphore \ +__attribute__((section(".data.os.semaphore.mpi"))) = +{ (uint32_t)OS_SEMAPHORE_NUM, 0U, (uint32_t)osRtxSemaphoreCbSize, &os_semaphore_cb[0], NULL, NULL }; + +#endif // (OS_SEMAPHORE_OBJ_MEM != 0) + + +// Memory Pool Configuration +// ========================= + +#if (OS_MEMPOOL_OBJ_MEM != 0) + +#if (OS_MEMPOOL_NUM == 0) +#error "Invalid number of Memory Pool objects!" +#endif + +// Memory Pool Control Blocks +static osRtxMemoryPool_t os_mp_cb[OS_MEMPOOL_NUM] \ +__attribute__((section(".bss.os.mempool.cb"))); + +// Memory Pool for Memory Pool Control Blocks +static osRtxMpInfo_t os_mpi_mp \ +__attribute__((section(".data.os.mempool.mpi"))) = +{ (uint32_t)OS_MEMPOOL_NUM, 0U, (uint32_t)osRtxMemoryPoolCbSize, &os_mp_cb[0], NULL, NULL }; + +// Memory Pool for Memory Pool Data Storage +#if (OS_MEMPOOL_DATA_SIZE != 0) +#if ((OS_MEMPOOL_DATA_SIZE % 8) != 0) +#error "Invalid Data Memory size for Memory Pools!" +#endif +static uint64_t os_mp_data[(16 + (8*OS_MEMPOOL_NUM) + OS_MEMPOOL_DATA_SIZE)/8] \ +__attribute__((section(".bss.os.mempool.mem"))); +#endif + +#endif // (OS_MEMPOOL_OBJ_MEM != 0) + + +// Message Queue Configuration +// =========================== + +#if (OS_MSGQUEUE_OBJ_MEM != 0) + +#if (OS_MSGQUEUE_NUM == 0) +#error "Invalid number of Message Queue objects!" +#endif + +// Message Queue Control Blocks +static osRtxMessageQueue_t os_mq_cb[OS_MSGQUEUE_NUM] \ +__attribute__((section(".bss.os.msgqueue.cb"))); + +// Memory Pool for Message Queue Control Blocks +static osRtxMpInfo_t os_mpi_mq \ +__attribute__((section(".data.os.msgqueue.mpi"))) = +{ (uint32_t)OS_MSGQUEUE_NUM, 0U, (uint32_t)osRtxMessageQueueCbSize, &os_mq_cb[0], NULL, NULL }; + +// Memory Pool for Message Queue Data Storage +#if (OS_MSGQUEUE_DATA_SIZE != 0) +#if ((OS_MSGQUEUE_DATA_SIZE % 8) != 0) +#error "Invalid Data Memory size for Message Queues!" +#endif +static uint64_t os_mq_data[(16 + ((8+12)*OS_MSGQUEUE_NUM) + OS_MSGQUEUE_DATA_SIZE + 7)/8] \ +__attribute__((section(".bss.os.msgqueue.mem"))); +#endif + +#endif // (OS_MSGQUEUE_OBJ_MEM != 0) + + +// Event Recorder Configuration +// ============================ + +#if (defined(OS_EVR_INIT) && (OS_EVR_INIT != 0)) + +#ifdef RTE_Compiler_EventRecorder + +// Event Recorder Initialize +__STATIC_INLINE void evr_initialize (void) { + + (void)EventRecorderInitialize(OS_EVR_LEVEL, (uint32_t)OS_EVR_START); + +#if ((OS_EVR_MEMORY_LEVEL & 0x80U) != 0U) + (void)EventRecorderEnable( OS_EVR_MEMORY_LEVEL & 0x0FU, EvtRtxMemoryNo, EvtRtxMemoryNo); + (void)EventRecorderDisable(~OS_EVR_MEMORY_LEVEL & 0x0FU, EvtRtxMemoryNo, EvtRtxMemoryNo); +#endif +#if ((OS_EVR_KERNEL_LEVEL & 0x80U) != 0U) + (void)EventRecorderEnable( OS_EVR_KERNEL_LEVEL & 0x0FU, EvtRtxKernelNo, EvtRtxKernelNo); + (void)EventRecorderDisable(~OS_EVR_KERNEL_LEVEL & 0x0FU, EvtRtxKernelNo, EvtRtxMemoryNo); +#endif +#if ((OS_EVR_THREAD_LEVEL & 0x80U) != 0U) + (void)EventRecorderEnable( OS_EVR_THREAD_LEVEL & 0x0FU, EvtRtxThreadNo, EvtRtxThreadNo); + (void)EventRecorderDisable(~OS_EVR_THREAD_LEVEL & 0x0FU, EvtRtxThreadNo, EvtRtxThreadNo); +#endif +#if ((OS_EVR_WAIT_LEVEL & 0x80U) != 0U) + (void)EventRecorderEnable( OS_EVR_WAIT_LEVEL & 0x0FU, EvtRtxWaitNo, EvtRtxWaitNo); + (void)EventRecorderDisable(~OS_EVR_WAIT_LEVEL & 0x0FU, EvtRtxWaitNo, EvtRtxWaitNo); +#endif +#if ((OS_EVR_THFLAGS_LEVEL & 0x80U) != 0U) + (void)EventRecorderEnable( OS_EVR_THFLAGS_LEVEL & 0x0FU, EvtRtxThreadFlagsNo, EvtRtxThreadFlagsNo); + (void)EventRecorderDisable(~OS_EVR_THFLAGS_LEVEL & 0x0FU, EvtRtxThreadFlagsNo, EvtRtxThreadFlagsNo); +#endif +#if ((OS_EVR_EVFLAGS_LEVEL & 0x80U) != 0U) + (void)EventRecorderEnable( OS_EVR_EVFLAGS_LEVEL & 0x0FU, EvtRtxEventFlagsNo, EvtRtxEventFlagsNo); + (void)EventRecorderDisable(~OS_EVR_EVFLAGS_LEVEL & 0x0FU, EvtRtxEventFlagsNo, EvtRtxEventFlagsNo); +#endif +#if ((OS_EVR_TIMER_LEVEL & 0x80U) != 0U) + (void)EventRecorderEnable( OS_EVR_TIMER_LEVEL & 0x0FU, EvtRtxTimerNo, EvtRtxTimerNo); + (void)EventRecorderDisable(~OS_EVR_TIMER_LEVEL & 0x0FU, EvtRtxTimerNo, EvtRtxTimerNo); +#endif +#if ((OS_EVR_MUTEX_LEVEL & 0x80U) != 0U) + (void)EventRecorderEnable( OS_EVR_MUTEX_LEVEL & 0x0FU, EvtRtxMutexNo, EvtRtxMutexNo); + (void)EventRecorderDisable(~OS_EVR_MUTEX_LEVEL & 0x0FU, EvtRtxMutexNo, EvtRtxMutexNo); +#endif +#if ((OS_EVR_SEMAPHORE_LEVEL & 0x80U) != 0U) + (void)EventRecorderEnable( OS_EVR_SEMAPHORE_LEVEL & 0x0FU, EvtRtxSemaphoreNo, EvtRtxSemaphoreNo); + (void)EventRecorderDisable(~OS_EVR_SEMAPHORE_LEVEL & 0x0FU, EvtRtxSemaphoreNo, EvtRtxSemaphoreNo); +#endif +#if ((OS_EVR_MEMPOOL_LEVEL & 0x80U) != 0U) + (void)EventRecorderEnable( OS_EVR_MEMPOOL_LEVEL & 0x0FU, EvtRtxMemoryPoolNo, EvtRtxMemoryPoolNo); + (void)EventRecorderDisable(~OS_EVR_MEMPOOL_LEVEL & 0x0FU, EvtRtxMemoryPoolNo, EvtRtxMemoryPoolNo); +#endif +#if ((OS_EVR_MSGQUEUE_LEVEL & 0x80U) != 0U) + (void)EventRecorderEnable( OS_EVR_MSGQUEUE_LEVEL & 0x0FU, EvtRtxMessageQueueNo, EvtRtxMessageQueueNo); + (void)EventRecorderDisable(~OS_EVR_MSGQUEUE_LEVEL & 0x0FU, EvtRtxMessageQueueNo, EvtRtxMessageQueueNo); +#endif +} + +#else +#warning "Event Recorder cannot be initialized (Event Recorder component is not selected)!" +#define evr_initialize() +#endif + +#endif // (OS_EVR_INIT != 0) + + +// OS Configuration +// ================ + + +const osRtxConfig_t osRtxConfig \ +__USED \ +__attribute__((section(".rodata"))) = +{ + //lint -e{835} "Zero argument to operator" + 0U // Flags +#if (OS_PRIVILEGE_MODE != 0) + | osRtxConfigPrivilegedMode +#endif +#if (OS_STACK_CHECK != 0) + | osRtxConfigStackCheck +#endif +#if (OS_STACK_WATERMARK != 0) + | osRtxConfigStackWatermark +#endif + , + (uint32_t)OS_TICK_FREQ, +#if (OS_ROBIN_ENABLE != 0) + (uint32_t)OS_ROBIN_TIMEOUT, +#else + 0U, +#endif + { &os_isr_queue[0], (uint16_t)(sizeof(os_isr_queue)/sizeof(void *)), 0U }, + { + // Memory Pools (Variable Block Size) +#if ((OS_THREAD_OBJ_MEM != 0) && (OS_THREAD_USER_STACK_SIZE != 0)) + &os_thread_stack[0], sizeof(os_thread_stack), +#else + NULL, 0U, +#endif +#if ((OS_MEMPOOL_OBJ_MEM != 0) && (OS_MEMPOOL_DATA_SIZE != 0)) + &os_mp_data[0], sizeof(os_mp_data), +#else + NULL, 0U, +#endif +#if ((OS_MSGQUEUE_OBJ_MEM != 0) && (OS_MSGQUEUE_DATA_SIZE != 0)) + &os_mq_data[0], sizeof(os_mq_data), +#else + NULL, 0U, +#endif +#if (OS_DYNAMIC_MEM_SIZE != 0) + &os_mem[0], (uint32_t)OS_DYNAMIC_MEM_SIZE, +#else + NULL, 0U +#endif + }, + { + // Memory Pools (Fixed Block Size) +#if (OS_THREAD_OBJ_MEM != 0) +#if (OS_THREAD_DEF_STACK_NUM != 0) + &os_mpi_def_stack, +#else + NULL, +#endif + &os_mpi_thread, +#else + NULL, + NULL, +#endif +#if (OS_TIMER_OBJ_MEM != 0) + &os_mpi_timer, +#else + NULL, +#endif +#if (OS_EVFLAGS_OBJ_MEM != 0) + &os_mpi_ef, +#else + NULL, +#endif +#if (OS_MUTEX_OBJ_MEM != 0) + &os_mpi_mutex, +#else + NULL, +#endif +#if (OS_SEMAPHORE_OBJ_MEM != 0) + &os_mpi_semaphore, +#else + NULL, +#endif +#if (OS_MEMPOOL_OBJ_MEM != 0) + &os_mpi_mp, +#else + NULL, +#endif +#if (OS_MSGQUEUE_OBJ_MEM != 0) + &os_mpi_mq, +#else + NULL, +#endif + }, + (uint32_t)OS_STACK_SIZE, + &os_idle_thread_attr, +#if ((OS_TIMER_THREAD_STACK_SIZE != 0) && (OS_TIMER_CB_QUEUE != 0)) + &os_timer_thread_attr, + osRtxTimerThread, + osRtxTimerSetup, + &os_timer_mq_attr, + (uint32_t)OS_TIMER_CB_QUEUE +#else + NULL, + NULL, + NULL, + NULL, + 0U +#endif +}; + + +// Non weak reference to library irq module +//lint -esym(526,irqRtxLib) "Defined by Exception handlers" +//lint -esym(714,irqRtxLibRef) "Non weak reference" +//lint -esym(765,irqRtxLibRef) "Global scope" +extern const uint8_t irqRtxLib; +extern const uint8_t * const irqRtxLibRef; + const uint8_t * const irqRtxLibRef = &irqRtxLib; + +// Default User SVC Table +//lint -esym(714,osRtxUserSVC) "Referenced by Exception handlers" +//lint -esym(765,osRtxUserSVC) "Global scope" +//lint -e{9067} "extern array declared without size" +extern void * const osRtxUserSVC[]; +__WEAK void * const osRtxUserSVC[1] = { (void *)0 }; + + +// OS Sections +// =========== + +#if defined(__CC_ARM) || \ + (defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050)) +// Initialized through linker +//lint -esym(728, __os_thread_cb_start__, __os_thread_cb_end__) +//lint -esym(728, __os_timer_cb_start__, __os_timer_cb_end__) +//lint -esym(728, __os_evflags_cb_start__, __os_evflags_cb_end__) +//lint -esym(728, __os_mutex_cb_start__, __os_mutex_cb_end__) +//lint -esym(728, __os_semaphore_cb_start__, __os_semaphore_cb_end__) +//lint -esym(728, __os_mempool_cb_start__, __os_mempool_cb_end__) +//lint -esym(728, __os_msgqueue_cb_start__, __os_msgqueue_cb_end__) +static const uint32_t __os_thread_cb_start__ __attribute__((weakref(".bss.os.thread.cb$$Base"))); +static const uint32_t __os_thread_cb_end__ __attribute__((weakref(".bss.os.thread.cb$$Limit"))); +static const uint32_t __os_timer_cb_start__ __attribute__((weakref(".bss.os.timer.cb$$Base"))); +static const uint32_t __os_timer_cb_end__ __attribute__((weakref(".bss.os.timer.cb$$Limit"))); +static const uint32_t __os_evflags_cb_start__ __attribute__((weakref(".bss.os.evflags.cb$$Base"))); +static const uint32_t __os_evflags_cb_end__ __attribute__((weakref(".bss.os.evflags.cb$$Limit"))); +static const uint32_t __os_mutex_cb_start__ __attribute__((weakref(".bss.os.mutex.cb$$Base"))); +static const uint32_t __os_mutex_cb_end__ __attribute__((weakref(".bss.os.mutex.cb$$Limit"))); +static const uint32_t __os_semaphore_cb_start__ __attribute__((weakref(".bss.os.semaphore.cb$$Base"))); +static const uint32_t __os_semaphore_cb_end__ __attribute__((weakref(".bss.os.semaphore.cb$$Limit"))); +static const uint32_t __os_mempool_cb_start__ __attribute__((weakref(".bss.os.mempool.cb$$Base"))); +static const uint32_t __os_mempool_cb_end__ __attribute__((weakref(".bss.os.mempool.cb$$Limit"))); +static const uint32_t __os_msgqueue_cb_start__ __attribute__((weakref(".bss.os.msgqueue.cb$$Base"))); +static const uint32_t __os_msgqueue_cb_end__ __attribute__((weakref(".bss.os.msgqueue.cb$$Limit"))); +#else +extern const uint32_t __os_thread_cb_start__ __attribute__((weak)); +extern const uint32_t __os_thread_cb_end__ __attribute__((weak)); +extern const uint32_t __os_timer_cb_start__ __attribute__((weak)); +extern const uint32_t __os_timer_cb_end__ __attribute__((weak)); +extern const uint32_t __os_evflags_cb_start__ __attribute__((weak)); +extern const uint32_t __os_evflags_cb_end__ __attribute__((weak)); +extern const uint32_t __os_mutex_cb_start__ __attribute__((weak)); +extern const uint32_t __os_mutex_cb_end__ __attribute__((weak)); +extern const uint32_t __os_semaphore_cb_start__ __attribute__((weak)); +extern const uint32_t __os_semaphore_cb_end__ __attribute__((weak)); +extern const uint32_t __os_mempool_cb_start__ __attribute__((weak)); +extern const uint32_t __os_mempool_cb_end__ __attribute__((weak)); +extern const uint32_t __os_msgqueue_cb_start__ __attribute__((weak)); +extern const uint32_t __os_msgqueue_cb_end__ __attribute__((weak)); +#endif + +//lint -e{9067} "extern array declared without size" +extern const uint32_t * const os_cb_sections[]; + +//lint -esym(714,os_cb_sections) "Referenced by debugger" +//lint -esym(765,os_cb_sections) "Global scope" +const uint32_t * const os_cb_sections[] \ +__USED \ +__attribute__((section(".rodata"))) = +{ + &__os_thread_cb_start__, + &__os_thread_cb_end__, + &__os_timer_cb_start__, + &__os_timer_cb_end__, + &__os_evflags_cb_start__, + &__os_evflags_cb_end__, + &__os_mutex_cb_start__, + &__os_mutex_cb_end__, + &__os_semaphore_cb_start__, + &__os_semaphore_cb_end__, + &__os_mempool_cb_start__, + &__os_mempool_cb_end__, + &__os_msgqueue_cb_start__, + &__os_msgqueue_cb_end__ +}; + + +// OS Initialization +// ================= + +#if defined(__CC_ARM) || \ + (defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050)) + +#ifndef __MICROLIB +//lint -esym(714,_platform_post_stackheap_init) "Referenced by C library" +//lint -esym(765,_platform_post_stackheap_init) "Global scope" +extern void _platform_post_stackheap_init (void); +__WEAK void _platform_post_stackheap_init (void) { + (void)osKernelInitialize(); +} +#endif + +#elif defined(__GNUC__) + +extern void software_init_hook (void); +__WEAK void software_init_hook (void) { + (void)osKernelInitialize(); +} + +#elif defined(__ICCARM__) + +extern void $Super$$__iar_data_init3 (void); +void $Sub$$__iar_data_init3 (void) { + $Super$$__iar_data_init3(); + (void)osKernelInitialize(); +} + +#endif + + +// OS Hooks +// ======== + +// RTOS Kernel Pre-Initialization Hook +#if (defined(OS_EVR_INIT) && (OS_EVR_INIT != 0)) +void osRtxKernelPreInit (void); +void osRtxKernelPreInit (void) { + if (osKernelGetState() == osKernelInactive) { + evr_initialize(); + } +} +#endif + + +// C/C++ Standard Library Multithreading Interface +// =============================================== + +#if ( !defined(RTX_NO_MULTITHREAD_CLIB) && \ + ( defined(__CC_ARM) || \ + (defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050))) && \ + !defined(__MICROLIB)) + +#define LIBSPACE_SIZE 96 + +//lint -esym(714,__user_perthread_libspace,_mutex_*) "Referenced by C library" +//lint -esym(765,__user_perthread_libspace,_mutex_*) "Global scope" +//lint -esym(9003, os_libspace*) "variables 'os_libspace*' defined at module scope" + +// Memory for libspace +static uint32_t os_libspace[OS_THREAD_LIBSPACE_NUM+1][LIBSPACE_SIZE/4] \ +__attribute__((section(".bss.os.libspace"))); + +// Thread IDs for libspace +static osThreadId_t os_libspace_id[OS_THREAD_LIBSPACE_NUM] \ +__attribute__((section(".bss.os.libspace"))); + +// Check if Kernel has been started +static uint32_t os_kernel_is_active (void) { + static uint8_t os_kernel_active = 0U; + + if (os_kernel_active == 0U) { + if (osKernelGetState() > osKernelReady) { + os_kernel_active = 1U; + } + } + return (uint32_t)os_kernel_active; +} + +// Provide libspace for current thread +void *__user_perthread_libspace (void); +void *__user_perthread_libspace (void) { + osThreadId_t id; + uint32_t n; + + if (os_kernel_is_active() != 0U) { + id = osThreadGetId(); + for (n = 0U; n < (uint32_t)OS_THREAD_LIBSPACE_NUM; n++) { + if (os_libspace_id[n] == NULL) { + os_libspace_id[n] = id; + } + if (os_libspace_id[n] == id) { + break; + } + } + if (n == (uint32_t)OS_THREAD_LIBSPACE_NUM) { + (void)osRtxKernelErrorNotify(osRtxErrorClibSpace, id); + } + } else { + n = OS_THREAD_LIBSPACE_NUM; + } + + //lint -e{9087} "cast between pointers to different object types" + return (void *)&os_libspace[n][0]; +} + +// Mutex identifier +typedef void *mutex; + +//lint -save "Function prototypes defined in C library" +//lint -e970 "Use of 'int' outside of a typedef" +//lint -e818 "Pointer 'm' could be declared as pointing to const" + +// Initialize mutex +__USED +int _mutex_initialize(mutex *m); +int _mutex_initialize(mutex *m) { + int result; + + *m = osMutexNew(NULL); + if (*m != NULL) { + result = 1; + } else { + result = 0; + (void)osRtxKernelErrorNotify(osRtxErrorClibMutex, m); + } + return result; +} + +// Acquire mutex +__USED +void _mutex_acquire(mutex *m); +void _mutex_acquire(mutex *m) { + if (os_kernel_is_active() != 0U) { + (void)osMutexAcquire(*m, osWaitForever); + } +} + +// Release mutex +__USED +void _mutex_release(mutex *m); +void _mutex_release(mutex *m) { + if (os_kernel_is_active() != 0U) { + (void)osMutexRelease(*m); + } +} + +// Free mutex +__USED +void _mutex_free(mutex *m); +void _mutex_free(mutex *m) { + (void)osMutexDelete(*m); +} + +//lint -restore + +#endif diff --git a/CMSIS/RTOS2/RTX/Source/rtx_lib.h b/CMSIS/RTOS2/RTX/Source/rtx_lib.h new file mode 100644 index 0000000..242f36f --- /dev/null +++ b/CMSIS/RTOS2/RTX/Source/rtx_lib.h @@ -0,0 +1,224 @@ +/* + * Copyright (c) 2013-2021 Arm Limited. All rights reserved. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Licensed under the Apache License, Version 2.0 (the License); you may + * not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an AS IS BASIS, WITHOUT + * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * + * ----------------------------------------------------------------------------- + * + * Project: CMSIS-RTOS RTX + * Title: RTX Library definitions + * + * ----------------------------------------------------------------------------- + */ + +#ifndef RTX_LIB_H_ +#define RTX_LIB_H_ + +#include +#include "rtx_def.h" // RTX Configuration definitions +#include "rtx_core_c.h" // Cortex core definitions +#if ((defined(__ARM_ARCH_8M_BASE__) && (__ARM_ARCH_8M_BASE__ != 0)) || \ + (defined(__ARM_ARCH_8M_MAIN__) && (__ARM_ARCH_8M_MAIN__ != 0)) || \ + (defined(__ARM_ARCH_8_1M_MAIN__) && (__ARM_ARCH_8_1M_MAIN__ != 0))) +#include "tz_context.h" // TrustZone Context API +#endif +#include "os_tick.h" // CMSIS OS Tick API +#include "cmsis_os2.h" // CMSIS RTOS API +#include "rtx_os.h" // RTX OS definitions +#include "rtx_evr.h" // RTX Event Recorder definitions + + +// ==== Library defines ==== + +#define os_thread_t osRtxThread_t +#define os_timer_t osRtxTimer_t +#define os_timer_finfo_t osRtxTimerFinfo_t +#define os_event_flags_t osRtxEventFlags_t +#define os_mutex_t osRtxMutex_t +#define os_semaphore_t osRtxSemaphore_t +#define os_mp_info_t osRtxMpInfo_t +#define os_memory_pool_t osRtxMemoryPool_t +#define os_message_t osRtxMessage_t +#define os_message_queue_t osRtxMessageQueue_t +#define os_object_t osRtxObject_t + +// ==== Inline functions ==== + +// Thread ID +__STATIC_INLINE os_thread_t *osRtxThreadId (osThreadId_t thread_id) { + //lint -e{9079} -e{9087} "cast from pointer to void to pointer to object type" [MISRA Note 2] + return ((os_thread_t *)thread_id); +} +// Timer ID +__STATIC_INLINE os_timer_t *osRtxTimerId (osTimerId_t timer_id) { + //lint -e{9079} -e{9087} "cast from pointer to void to pointer to object type" [MISRA Note 2] + return ((os_timer_t *)timer_id); +} +// Event Flags ID +__STATIC_INLINE os_event_flags_t *osRtxEventFlagsId (osEventFlagsId_t ef_id) { + //lint -e{9079} -e{9087} "cast from pointer to void to pointer to object type" [MISRA Note 2] + return ((os_event_flags_t *)ef_id); +} +// Mutex ID +__STATIC_INLINE os_mutex_t *osRtxMutexId (osMutexId_t mutex_id) { + //lint -e{9079} -e{9087} "cast from pointer to void to pointer to object type" [MISRA Note 2] + return ((os_mutex_t *)mutex_id); +} +// Semaphore ID +__STATIC_INLINE os_semaphore_t *osRtxSemaphoreId (osSemaphoreId_t semaphore_id) { + //lint -e{9079} -e{9087} "cast from pointer to void to pointer to object type" [MISRA Note 2] + return ((os_semaphore_t *)semaphore_id); +} +// Memory Pool ID +__STATIC_INLINE os_memory_pool_t *osRtxMemoryPoolId (osMemoryPoolId_t mp_id) { + //lint -e{9079} -e{9087} "cast from pointer to void to pointer to object type" [MISRA Note 2] + return ((os_memory_pool_t *)mp_id); +} +// Message Queue ID +__STATIC_INLINE os_message_queue_t *osRtxMessageQueueId (osMessageQueueId_t mq_id) { + //lint -e{9079} -e{9087} "cast from pointer to void to pointer to object type" [MISRA Note 2] + return ((os_message_queue_t *)mq_id); +} + +// Generic Object +__STATIC_INLINE os_object_t *osRtxObject (void *object) { + //lint -e{9079} -e{9087} "cast from pointer to void to pointer to object type" [MISRA Note 3] + return ((os_object_t *)object); +} + +// Thread Object +__STATIC_INLINE os_thread_t *osRtxThreadObject (os_object_t *object) { + //lint -e{740} -e{826} -e{9087} "cast from pointer to generic object to specific object" [MISRA Note 4] + return ((os_thread_t *)object); +} +// Timer Object +__STATIC_INLINE os_timer_t *osRtxTimerObject (os_object_t *object) { + //lint -e{740} -e{826} -e{9087} "cast from pointer to generic object to specific object" [MISRA Note 4] + return ((os_timer_t *)object); +} +// Event Flags Object +__STATIC_INLINE os_event_flags_t *osRtxEventFlagsObject (os_object_t *object) { + //lint -e{740} -e{826} -e{9087} "cast from pointer to generic object to specific object" [MISRA Note 4] + return ((os_event_flags_t *)object); +} +// Mutex Object +__STATIC_INLINE os_mutex_t *osRtxMutexObject (os_object_t *object) { + //lint -e{740} -e{826} -e{9087} "cast from pointer to generic object to specific object" [MISRA Note 4] + return ((os_mutex_t *)object); +} +// Semaphore Object +__STATIC_INLINE os_semaphore_t *osRtxSemaphoreObject (os_object_t *object) { + //lint -e{740} -e{826} -e{9087} "cast from pointer to generic object to specific object" [MISRA Note 4] + return ((os_semaphore_t *)object); +} +// Memory Pool Object +__STATIC_INLINE os_memory_pool_t *osRtxMemoryPoolObject (os_object_t *object) { + //lint -e{740} -e{826} -e{9087} "cast from pointer to generic object to specific object" [MISRA Note 4] + return ((os_memory_pool_t *)object); +} +// Message Queue Object +__STATIC_INLINE os_message_queue_t *osRtxMessageQueueObject (os_object_t *object) { + //lint -e{740} -e{826} -e{9087} "cast from pointer to generic object to specific object" [MISRA Note 4] + return ((os_message_queue_t *)object); +} +// Message Object +__STATIC_INLINE os_message_t *osRtxMessageObject (os_object_t *object) { + //lint -e{740} -e{826} -e{9087} "cast from pointer to generic object to specific object" [MISRA Note 4] + return ((os_message_t *)object); +} + +// Kernel State +__STATIC_INLINE osKernelState_t osRtxKernelState (void) { + //lint -e{9030} -e{9034} "cast to enum" + return ((osKernelState_t)(osRtxInfo.kernel.state)); +} + +// Thread State +__STATIC_INLINE osThreadState_t osRtxThreadState (const os_thread_t *thread) { + uint8_t state = thread->state & osRtxThreadStateMask; + //lint -e{9030} -e{9034} "cast to enum" + return ((osThreadState_t)state); +} + +// Thread Priority +__STATIC_INLINE osPriority_t osRtxThreadPriority (const os_thread_t *thread) { + //lint -e{9030} -e{9034} "cast to enum" + return ((osPriority_t)thread->priority); +} + +// Kernel Get State +__STATIC_INLINE uint8_t osRtxKernelGetState (void) { + return osRtxInfo.kernel.state; +} + +// Thread Get/Set Running +__STATIC_INLINE os_thread_t *osRtxThreadGetRunning (void) { + return osRtxInfo.thread.run.curr; +} +__STATIC_INLINE void osRtxThreadSetRunning (os_thread_t *thread) { + osRtxInfo.thread.run.curr = thread; +} + + +// ==== Library functions ==== + +// Kernel Library functions +extern void osRtxKernelPreInit (void); + +// Thread Library functions +extern void osRtxThreadListPut (os_object_t *object, os_thread_t *thread); +extern os_thread_t *osRtxThreadListGet (os_object_t *object); +extern void osRtxThreadListSort (os_thread_t *thread); +extern void osRtxThreadListRemove (os_thread_t *thread); +extern void osRtxThreadReadyPut (os_thread_t *thread); +extern void osRtxThreadDelayTick (void); +extern uint32_t *osRtxThreadRegPtr (const os_thread_t *thread); +extern void osRtxThreadSwitch (os_thread_t *thread); +extern void osRtxThreadDispatch (os_thread_t *thread); +extern void osRtxThreadWaitExit (os_thread_t *thread, uint32_t ret_val, bool_t dispatch); +extern bool_t osRtxThreadWaitEnter (uint8_t state, uint32_t timeout); +#ifdef RTX_STACK_CHECK +extern bool_t osRtxThreadStackCheck (const os_thread_t *thread); +#endif +extern bool_t osRtxThreadStartup (void); + +// Timer Library functions +extern int32_t osRtxTimerSetup (void); +extern void osRtxTimerThread (void *argument); + +// Mutex Library functions +extern void osRtxMutexOwnerRelease (os_mutex_t *mutex_list); +extern void osRtxMutexOwnerRestore (const os_mutex_t *mutex, const os_thread_t *thread_wakeup); + +// Memory Heap Library functions +extern uint32_t osRtxMemoryInit (void *mem, uint32_t size); +extern void *osRtxMemoryAlloc(void *mem, uint32_t size, uint32_t type); +extern uint32_t osRtxMemoryFree (void *mem, void *block); + +// Memory Pool Library functions +extern uint32_t osRtxMemoryPoolInit (os_mp_info_t *mp_info, uint32_t block_count, uint32_t block_size, void *block_mem); +extern void *osRtxMemoryPoolAlloc (os_mp_info_t *mp_info); +extern osStatus_t osRtxMemoryPoolFree (os_mp_info_t *mp_info, void *block); + +// Message Queue Library functions +extern int32_t osRtxMessageQueueTimerSetup (void); + +// System Library functions +extern void osRtxTick_Handler (void); +extern void osRtxPendSV_Handler (void); +extern void osRtxPostProcess (os_object_t *object); + + +#endif // RTX_LIB_H_ diff --git a/LA_OPT_NXP_Software_License.txt b/LA_OPT_NXP_Software_License.txt index d534008..1a01b45 100644 --- a/LA_OPT_NXP_Software_License.txt +++ b/LA_OPT_NXP_Software_License.txt @@ -1,2 +1,2 @@ -LA_OPT_NXP_Software_License v39 August 2022  IMPORTANT.  Read the following NXP Software License Agreement ("Agreement") completely. By selecting the "I Accept" button at the end of this page, or by downloading, installing, or using the Licensed Software, you indicate that you accept the terms of the Agreement, and you acknowledge that you have the authority, for yourself or on behalf of your company, to bind your company to these terms. You may then download or install the file. In the event of a conflict between the terms of this Agreement and any license terms and conditions for NXP's proprietary software embedded anywhere in the Licensed Software file, the terms of this Agreement shall control.  If a separate license agreement for the Licensed Software has been signed by you and NXP, then that agreement shall govern your use of the Licensed Software and shall supersede this Agreement. NXP SOFTWARE LICENSE AGREEMENT This is a legal agreement between your employer, of which you are an authorized representative, or, if you have no employer, you as an individual ("you" or "Licensee"), and NXP B.V. ("NXP").  It concerns your rights to use the software provided to you in binary or source code form and any accompanying written materials (the "Licensed Software"). The Licensed Software may include any updates or error corrections or documentation relating to the Licensed Software provided to you by NXP under this Agreement. In consideration for NXP allowing you to access the Licensed Software, you are agreeing to be bound by the terms of this Agreement. If you do not agree to all of the terms of this Agreement, do not download or install the Licensed Software. If you change your mind later, stop using the Licensed Software and delete all copies of the Licensed Software in your possession or control. Any copies of the Licensed Software that you have already distributed, where permitted, and do not destroy will continue to be governed by this Agreement. Your prior use will also continue to be governed by this Agreement. 1.       DEFINITIONS 1.1.         "Affiliate" means, with respect to a party, any corporation or other legal entity that now or hereafter Controls, is Controlled by or is under common Control with such party; where "Control" means the direct or indirect ownership of greater than fifty percent (50%) of the shares or similar interests entitled to vote for the election of directors or other persons performing similar functions. An entity is considered an Affiliate only so long as such Control exists. 1.2 "Authorized System" means either (i) Licensee's hardware product which incorporates an NXP Product or (ii) Licensee's software program which is used exclusively in connection with an NXP Product and with which the Licensed Software will be integrated.       1.3. "Derivative Work" means a work based upon one or more pre-existing works. A work consisting of editorial revisions, annotations, elaborations, or other modifications which, as a whole, represent an original work of authorship, is a Derivative Work.         1.4 "Intellectual Property Rights" means any and all rights under statute, common law or equity in and under copyrights, trade secrets, and patents (including utility models), and analogous rights throughout the world, including any applications for and the right to apply for, any of the foregoing. 1.5 "NXP Product" means a hardware product (e.g. a microprocessor, microcontroller, sensor or digital signal processor) and/or services (e.g. cloud platform services) supplied directly or indirectly from NXP or an NXP Affiliate, unless there is a product specified in the Software Content Register, in which case this definition is limited to such product. 1.6      "Software Content Register" means the documentation which may accompany the Licensed Software which identifies the contents of the Licensed Software, including but not limited to identification of any Third Party Software, if any, and may also contain other related information as whether the license in 2.3 is applicable.  1.7     "Third Party Software" means, any software included in the Licensed Software that is not NXP proprietary software, and is not open source software, and to which different license terms may apply.  2.       LICENSE GRANT.   2.1.         If you are not expressly granted the distribution license in Section 2.3 in the Software Content Register, then you are only granted the rights in Section 2.2 and not in 2.3. If you are expressly granted the distribution license in Section 2.3 in the Software Content Register, then you are granted the rights in both Section 2.2 and 2.3. 2.2. Standard License. Subject to the terms and conditions of this Agreement, NXP grants you a worldwide, personal, non-transferable, non-exclusive, non-sublicensable license, solely for the development of an Authorized System: (a) to use and reproduce the Licensed Software (and its Derivative Works prepared under the license in Section 2.2(b)) solely in combination with a NXP Product; and (b) for Licensed Software provided to you in source code form (human readable), to prepare Derivative Works of the Licensed Software solely for use in combination with a NXP Product. You may not distribute or sublicense the Licensed Software to others under the license granted in this Section 2.2.  You may demonstrate the Licensed Software to your direct customers as part of an Authorized System so long as such demonstration is directly controlled by you and without prior approval by NXP; however, to all other third parties only if NXP has provided its advance, written approval (e.g. email approval) of your demonstrating the Licensed Software to specified third parties or at specified event(s).  You may not leave the Licensed Software with a direct customer or any other third party at any time.  2.3.        Additional Distribution License. If expressly authorized in the Software Content Register, subject to the terms and conditions of this Agreement, NXP grants you a worldwide, personal, non-transferable, non-exclusive, non-sublicensable license solely in connection with your manufacturing and distribution of an Authorized System: (a) to manufacture (or have manufactured), distribute, and market the Licensed Software (and its Derivative Works prepared under the license in 2.2(b)) in object code (machine readable format) only as part of, or embedded within, Authorized Systems and not on a standalone basis solely for use in combination with a NXP Product. Notwithstanding the foregoing, those files marked as .h files ("Header files") may be distributed in source or object code form, but only as part of, or embedded within Authorized Systems; and (b) to copy and distribute as needed, solely in connection with an Authorized System and for use in combination with a NXP Product, non-confidential NXP information provided as part of the Licensed Software for the purpose of maintaining and supporting Authorized Systems with which the Licensed Software is integrated. 2.4 Separate license grants to Third Party Software, or other terms applicable to the Licensed Software if different from those granted in this Section 2, are contained in Appendix A. The Licensed Software may be accompanied by a Software Content Register which will identify that portion of the Licensed Software, if any, that is subject to the different terms in Appendix A.  2.5.         You may use subcontractors to exercise your rights under Section 2.2 and Section 2.3, if any, so long as you have an agreement in place with the subcontractor containing confidentiality restrictions no less stringent than those contained in this Agreement. You will remain liable for your subcontractors' adherence to the terms of this Agreement and for any and all acts and omissions of such subcontractors with respect to this Agreement and the Licensed Software. 3.       LICENSE LIMITATIONS AND RESTRICTIONS.   3.1.         The licenses granted above in Section 2 only extend to NXP Intellectual Property Rights that would be infringed by the unmodified Licensed Software prior to your preparation of any Derivative Work.    3.2.         The Licensed Software is licensed to you, not sold. Title to Licensed Software delivered hereunder remains vested in NXP or NXP's licensor and cannot be assigned or transferred. You are expressly forbidden from selling or otherwise distributing the Licensed Software, or any portion thereof, except as expressly permitted herein. This Agreement does not grant to you any implied rights under any NXP or third party Intellectual Property Rights. 3.3.         You may not translate, reverse engineer, decompile, or disassemble the Licensed Software except to the extent applicable law specifically prohibits such restriction. You must prohibit your subcontractors or customers (if distribution is permitted) from translating, reverse engineering, decompiling, or disassembling the Licensed Software except to the extent applicable law specifically prohibits such restriction. 3.4.         You must reproduce any and all of NXP's (or its third-party licensor's) copyright notices and other proprietary legends on copies of Licensed Software.   3.5.         If you distribute the Licensed Software to the United States Government, then the Licensed Software is "restricted computer software" and is subject to FAR 52.227-19.    3.6.         You grant to NXP a non-exclusive, non-transferable, irrevocable, perpetual, worldwide, royalty-free, sub-licensable license under your Intellectual Property Rights to use without restriction and for any purpose any suggestion, comment or other feedback related to the Licensed Software (including, but not limited to, error corrections and bug fixes). 3.7.         You will not take or fail to take any action that could subject the Licensed Software to an Excluded License. An Excluded License means any license that requires, as a condition of use, modification or distribution of software subject to the Excluded License, that such software or other software combined and/or distributed with the software be (i) disclosed or distributed in source code form; (ii) licensed for the purpose of making Derivative Works; or (iii) redistributable at no charge.  3.8.         You may not publish or distribute reports associated with the use of the Licensed Software to anyone other than NXP. You may advise NXP of any results obtained from your use of the Licensed Software, including any problems or suggested improvements thereof, and NXP retains the right to use such results and related information in any manner it deems appropriate. 4.       OPEN SOURCE.         Open source software included in the Licensed Software is not licensed under the terms of this Agreement but is instead licensed under the terms of the applicable open source license(s), such as the BSD License, Apache License or the GNU Lesser General Public License. Your use of the open source software is subject to the terms of each applicable license. You must agree to the terms of each applicable license, or you cannot use the open source software.   5.       INTELLECTUAL PROPERTY RIGHTS.    Upon request, you must provide NXP the source code of any derivative of the Licensed Software. Unless prohibited by law, the following paragraph shall apply. Your modifications to the Licensed Software, and all intellectual property rights associated with, and title thereto, will be the property of NXP. You agree to assign all, and hereby do assign all rights, title, and interest to any such modifications to the Licensed Software to NXP and agree to provide all assistance reasonably requested by NXP to establish, preserve or enforce such right. Further, you agree to waive all moral rights relating to your modifications to the Licensed Software, including, without limitation, all rights of identification of authorship and all rights of approval, restriction, or limitation on use or subsequent modification. Notwithstanding the foregoing, you will have the license rights granted in Section 2 hereto to any such modifications made by you or your licensees. Otherwise, you agree to grant an irrevocable, worldwide, and perpetual license to NXP to make, have made, use, sell, offer to sell, import, commercialize, sublicense and reproduce your modifications or derivative works to the Licensed Software without any payment to Licensee. You agree to provide all assistance reasonably requested by NXP to establish, preserve or enforce such right. 6.       ESSENTIAL PATENTS.    NXP has no obligation to identify or obtain any license to any Intellectual Property Right of a third-party that may be necessary for use in connection with technology that is incorporated into the Authorized System (whether or not as part of the Licensed Software). 7.       TERM AND TERMINATION.   This Agreement will remain in effect unless terminated as provided in this Section. 7.1.         You may terminate this Agreement immediately upon written notice to NXP at the address provided below. 7.2.         Either party may terminate this Agreement if the other party is in default of any of the terms and conditions of this Agreement, and termination is effective if the defaulting party fails to correct such default within 30 days after written notice thereof by the non-defaulting party to the defaulting party at the address below. 7.3.         Notwithstanding the foregoing, NXP may terminate this Agreement immediately upon written notice if you: breach any of your confidentiality obligations or the license restrictions under this Agreement;  become bankrupt, insolvent, or file a petition for bankruptcy or insolvency; make an assignment for the benefit of its creditors; enter proceedings for winding up or dissolution; are dissolved; or are nationalized or become subject to the expropriation of all or substantially all of your business or assets. 7.4.         Upon termination of this Agreement, all licenses granted under Section 2 will expire. 7.5.         After termination of this Agreement by either party you will destroy all parts of Licensed Software and its Derivative Works (if any) and will provide to NXP a statement certifying the same. 7.6.         Notwithstanding the termination of this Agreement for any reason, the terms of Sections 1 and 3 through 24 will survive.   8.        SUPPORT.  NXP is not obligated to provide any support, upgrades or new releases of the Licensed Software under this Agreement. If you wish, you may contact NXP and report problems and provide suggestions regarding the Licensed Software. NXP has no obligation to respond to such a problem report or suggestion. NXP may make changes to the Licensed Software at any time, without any obligation to notify or provide updated versions of the Licensed Software to you. 9.        NO WARRANTY.  To the maximum extent permitted by law, NXP expressly disclaims any warranty for the Licensed Software. The Licensed Software is provided "AS IS", without warranty of any kind, either express or implied, including without limitation the implied warranties of merchantability, fitness for a particular purpose, or non-infringement. You assume the entire risk arising out of the use or performance of the licensed software, or any systems you design using the licensed software (if any). 10.        INDEMNITY. You agree to fully defend and indemnify NXP from all claims, liabilities, and costs (including reasonable attorney's fees) related to (1) your use (including your subcontractor's or distributee's use, if permitted) of the Licensed Software or (2) your violation of the terms and conditions of this Agreement. 11.        LIMITATION OF LIABILITY.  EXCLUDING LIABILITY FOR A BREACH OF SECTION 2 (LICENSE GRANTS), SECTION 3 (LICENSE LIMITATIONS AND RESTRICTIONS), SECTION 16 (CONFIDENTIAL INFORMATION), OR CLAIMS UNDER SECTION 10 (INDEMNITY), IN NO EVENT WILL EITHER PARTY BE LIABLE, WHETHER IN CONTRACT, TORT, OR OTHERWISE, FOR ANY INCIDENTAL, SPECIAL, INDIRECT, CONSEQUENTIAL OR PUNITIVE DAMAGES, INCLUDING, BUT NOT LIMITED TO, DAMAGES FOR ANY LOSS OF USE, LOSS OF TIME, INCONVENIENCE, COMMERCIAL LOSS, OR LOST PROFITS, SAVINGS, OR REVENUES, TO THE FULL EXTENT SUCH MAY BE DISCLAIMED BY LAW. NXP'S TOTAL LIABILITY FOR ALL COSTS, DAMAGES, CLAIMS, OR LOSSES WHATSOEVER ARISING OUT OF OR IN CONNECTION WITH THIS AGREEMENT OR PRODUCT(S) SUPPLIED UNDER THIS AGREEMENT IS LIMITED TO THE AGGREGATE AMOUNT PAID BY YOU TO NXP IN CONNECTION WITH THE LICENSED SOFTWARE PROVIDED UNDER THIS AGREEMENT TO WHICH LOSSES OR DAMAGES ARE CLAIMED. 12.        EXPORT COMPLIANCE. Each party shall comply with all applicable export and import control laws and regulations including but not limited to the US Export Administration Regulation (including restrictions on certain military end uses and military end users as specified in Section 15 C.F.R. § 744.21 and prohibited party lists issued by other federal governments), Catch-all regulations and all national and international embargoes. Each party further agrees that it will not knowingly transfer, divert, export or re-export, directly or indirectly, any product, software, including software source code, or technology restricted by such regulations or by other applicable national regulations, received from the other party under this Agreement, or any direct product of such software or technical data to any person, firm, entity, country or destination to which such transfer, diversion, export or re-export is restricted or prohibited, without obtaining prior written authorization from the applicable competent government authorities to the extent required by those laws. 13.   GOVERNMENT CONTRACT COMPLIANCE 13.1.      If you sell Authorized Systems directly to any government or public entity, including U.S., state, local, foreign or international governments or public entities, or indirectly via a prime contractor or subcontractor of such governments or entities, NXP makes no representations, certifications, or warranties whatsoever about compliance with government or public entity acquisition statutes or regulations, including, without limitation, statutes or regulations that may relate to pricing, quality, origin or content. 13.2.      The Licensed Software has been developed at private expense and is a "Commercial Item" as defined in 48 C.F.R. Section 2.101, consisting of "Commercial Computer Software", and/or "Commercial Computer Software Documentation," as such terms are used in 48 C.F.R. Section 12.212 (or 48 C.F.R. Section 227.7202, as applicable) and may only be licensed to or shared with U.S. Government end users in object code form as part of, or embedded within, Authorized Systems. Any agreement pursuant to which you share the Licensed Software will include a provision that reiterates the limitations of this document and requires all sub-agreements to similarly contain such limitations.  14.        CRITICAL APPLICATIONS. In some cases, NXP may promote certain software for use in the development of, or for incorporation into, products or services (a) used in applications requiring fail-safe performance or (b) in which failure could lead to death, personal injury, or severe physical or environmental damage (these products and services are referred to as "Critical Applications"). NXP's goal is to educate customers so that they can design their own end-product solutions to meet applicable functional safety standards and requirements. Licensee makes the ultimate design decisions regarding its products and is solely responsible for compliance with all legal, regulatory, safety, and security related requirements concerning its products, regardless of any information or support that may be provided by NXP. As such, Licensee assumes all risk related to use of the Licensed Software in Critical Applications and NXP SHALL NOT BE LIABLE FOR ANY SUCH USE IN CRITICAL APPLICATIONS BY LICENSEE. Accordingly, Licensee will indemnify and hold NXP harmless from any claims, liabilities, damages and associated costs and expenses (including attorneys' fees) that NXP may incur related to Licensee’s incorporation of the Licensed Software in a Critical Application. 15.        CHOICE OF LAW; VENUE.  This Agreement will be governed by, construed, and enforced in accordance with the laws of The Netherlands, without regard to conflicts of laws principles, will apply to all matters relating to this Agreement or the Licensed Software, and you agree that any litigation will be subject to the exclusive jurisdiction of the courts of Amsterdam, The Netherlands. The United Nations Convention on Contracts for the International Sale of Goods will not apply to this document.  16.        CONFIDENTIAL INFORMATION.  Subject to the license grants and restrictions contained herein, you must treat the Licensed Software as confidential information and you agree to retain the Licensed Software in confidence perpetually. You may not disclose any part of the Licensed Software to anyone other than distributees in accordance with Section 2.3 and employees, or subcontractors in accordance with Section 2.5, who have a need to know of the Licensed Software and who have executed written agreements obligating them to protect such Licensed Software to at least the same degree of confidentiality as in this Agreement. You agree to use the same degree of care, but no less than a reasonable degree of care, with the Licensed Software as you do with your own confidential information. You may disclose Licensed Software to the extent required by a court or under operation of law or order provided that you notify NXP of such requirement prior to disclosure, which you only disclose the minimum of the required information, and that you allow NXP the opportunity to object to such court or other legal body requiring such disclosure. 17.       TRADEMARKS.  You are not authorized to use any NXP trademarks, brand names, or logos. 18.        ENTIRE AGREEMENT.  This Agreement constitutes the entire agreement between you and NXP regarding the subject matter of this Agreement, and supersedes all prior communications, negotiations, understandings, agreements or representations, either written or oral, if any. This Agreement may only be amended in written form, signed by you and NXP. 19.        SEVERABILITY.  If any provision of this Agreement is held for any reason to be invalid or unenforceable, then the remaining provisions of this Agreement will be unimpaired and, unless a modification or replacement of the invalid or unenforceable provision is further held to deprive you or NXP of a material benefit, in which case the Agreement will immediately terminate, the invalid or unenforceable provision will be replaced with a provision that is valid and enforceable and that comes closest to the intention underlying the invalid or unenforceable provision. 20.        NO WAIVER.  The waiver by NXP of any breach of any provision of this Agreement will not operate or be construed as a waiver of any other or a subsequent breach of the same or a different provision. 21.        AUDIT.  You will keep full, clear and accurate records with respect to your compliance with the limited license rights granted under this Agreement for three years following expiration or termination of this Agreement. NXP will have the right, either itself or through an independent certified public accountant to examine and audit, at NXP's expense, not more than once a year, and during normal business hours, all such records that may bear upon your compliance with the limited license rights granted above. You must make prompt adjustment to compensate for any errors and/or omissions disclosed by such examination or audit. 22.        NOTICES.             All notices and communications under this Agreement will be made in writing, and will be effective when received at the following addresses:            NXP:       NXP B.V.                        High Tech Campus 60                          5656 AG Eindhoven                         The Netherlands                     ATTN: Legal Department   You:              The address provided at registration will be used. 23.        RELATIONSHIP OF THE PARTIES.     The parties are independent contractors. Nothing in this Agreement will be construed to create any partnership, joint venture, or similar relationship. Neither party is authorized to bind the other to any obligations with third parties. 24.        SUCCESSION AND ASSIGNMENT.   This Agreement will be binding upon and inure to the benefit of the parties and their permitted successors and assigns.  You may not assign this Agreement, or any part of this Agreement, without the prior written approval of NXP, which approval will not be unreasonably withheld or delayed. NXP may assign this Agreement, or any part of this Agreement, in its sole discretion. 25. PRIVACY. By agreeing to this Agreement and/or utilizing the Licensed Software, Licensee consents to use of certain personal information, including but not limited to name, email address, and location, for the purpose of NXP’s internal analysis regarding future software offerings. NXP’s complete Privacy Statement can be found at: https://www.nxp.com/company/our-company/about-nxp/privacy-statement:PRIVACYPRACTICES.     - APPENDIX A Other License Grants and Restrictions: The Licensed Software may include some or all of the following software, which is either 1) Third Party Software or 2) NXP proprietary software subject to different terms than those in the Agreement. If the Software Content Register that accompanies the Licensed Software identifies any of the following Third Party Software or specific components of the NXP proprietary software, the following terms apply to the extent they deviate from the terms in the Agreement: Airbiquity Inc.: The Airbiquity software may only be used in object code and Licensee may not sublicense the Airbiquity software to any third party. Licensee’s license to use the Airbiquity software expires on June 30, 2023. Amazon: Use of the Amazon software constitutes your acceptance of the terms of the Amazon Program Materials License Agreement (including the AVS Component Schedule, if applicable), located at https://developer.amazon.com/support/legal/pml. All Amazon software is hereby designated "Amazon confidential". With the exception of the binary library of the Amazon Wake Word Engine for “Alexa”, all Amazon software is also hereby designated as “Restricted Program Materials”. Amazon is a third-party beneficiary to this Agreement with respect to the Amazon software. Amazon Web Services, Inc.: AWS is an intended third-party beneficiary to this Agreement with respect to the Greengrass software. If you have an account with AWS that is not in good standing, you may not download, install, use or distribute the Greengrass software. You will comply with all instructions and requirements in any integration documents, guidelines, or other documentation AWS provides. The license to the Greengrass software will immediately terminate without notice if you (a) fail to comply with this Agreement or any other agreement with AWS, (b) fail to make timely payment for any AWS service, (c) fail to implement AWS updates, or (d) bring any action for intellectual property infringement against AWS or any AWS customer utilizing AWS services. Any dispute or claim relating to your use of the Greengrass software will be resolved by binding arbitration, rather than in court, except that you may assert claims in small claims court if your claims qualify. Amazon: AWS Fleetwise software must be used consistent with the terms found here: https://github.com/aws/aws-iot-fleetwise-edge/blob/main/LICENSE. Amphion Semiconductor Ltd.: Distribution of Amphion software must be a part of, or embedded within, Authorized Systems that include an Amphion Video Decoder. Apple MFi Software Development Kit: Use of Apple MFi Software and associated documentation is restricted to current Apple MFi licensees in accordance with the terms of their own valid and in-effect license from Apple. Aquantia Corp.: You may use Aquantia's API binaries solely to flash the API software to an NXP Product which mates with an Aquantia device. Argus Cyber Security: The Argus software may only be used in object code and only for evaluation and demonstration purposes. Atheros: Use of Atheros software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from Atheros. ATI (AMD): Distribution of ATI software must be a part of, or embedded within, Authorized Systems that include a ATI graphics processor core. Au-Zone Technologies: eIQ Portal, Model Tool, DeepViewRT and ModelRunner are distributed by NXP under license from Au-Zone Technologies.  Your use of the Licensed Software, examples and related documentation is subject to the following: (1)          Use of Software is limited to Authorized System only (2)          In no event may Licensee Sublicense the Software (3)          AU-ZONE TECHNOLOGIES SHALL NOT BE LIABLE FOR USE OF LICENSED SOFTWARE IN CRITICAL APPLICATIONS BY LICENSEE Broadcom Corporation: Your use of Broadcom Corporation software is restricted to Authorized Systems that incorporate a compatible integrated circuit device manufactured or sold by Broadcom. Cadence Design Systems: Use of Cadence audio codec software is limited to distribution only of one copy per single NXP Product. The license granted herein to the Cadence Design Systems HiFi aacPlus Audio Decoder software does not include a license to the AAC family of technologies which you or your customer may need to obtain. Configuration tool outputs may only be distributed by licensees of the relevant Cadence SDK and distribution is limited to distribution of one copy embedded in a single NXP Product. Your use of Cadence NatureDSP Libraries whether in source code or in binary is restricted to NXP SoC based systems or emulation enablement based on NXP SoC. Cirque Corporation: Use of Cirque Corporation technology is limited to evaluation, demonstration, or certification testing only. Permitted distributions must be similarly limited. Further rights, including but not limited to ANY commercial distribution rights, must be obtained directly from Cirque Corporation. Coding Technologies (Dolby Labs): Use of CTS software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained from Dolby Laboratories. CSR: Use of Cambridge Silicon Radio, Inc. ("CSR") software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from CSR. Crank: Use of Crank Software Inc. software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from Crank Software Inc. Cypress Semiconductor Corporation: WWD RTOS source code may only be used in accordance with the Cypress IOT Community License Agreement obtained directly from Cypress Semiconductor Corporation. Elektrobit Automotive GmbH (“EB”): EB software must be used consistent with the EB License Terms and Conditions, Version 1.4 (Dec 2019) found here: https://www.elektrobit.com/legal-notice/ .  Licensee is only granted an evaluation license for the EB software, defined as license to use the EB software internally for own evaluation purposes, limited to three (3) months. Production deployment of the EB software using this license is prohibited. See additionally Section 2.1.1 EB EULA. Embedded Systems Academy GmbH (EmSA): Any use of Micro CANopen Plus is subject to the acceptance of the license conditions described in the LICENSE.INFO file distributed with all example projects and in the documentation and the additional clause described below. Clause 1: Micro CANopen Plus may not be used for any competitive or comparative purpose, including the publication of any form of run time or compile time metric, without the express permission of EmSA. Fenopix Technologies Private Limited: Under no circumstances may the CanvasJS software product be used in any way that would compete with any product from Fenopix.  License to the CanvasJS software will terminate immediately without notice if Licensee fail to comply with any provision of this Agreement. Fraunhofer IIS: Fraunhofer MPEG Audio Decoder (Fraunhofer copyright) - If you are provided MPEG-H decoding functionality, you understand that NXP will provide Fraunhofer your name and contact information. Future Technology Devices International Ltd.: Future Technology Devices International software must be used consistent with the terms found here: http://www.ftdichip.com/Drivers/FTDriverLicenceTerms.htm Global Locate (Broadcom Corporation): Use of Global Locate, Inc. software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained from Global Locate. LC3plus: the LC3plus Low Complexity Communication Codec Plus (LC3plus) per ETSI TS 103 634 V1.3.1, is subject to ETSI Intellectual Property Rights Policy, See https://portal.etsi.org/directives/45_directives_jun_2022.pdf. For application in an End Product, Fraunhofer communication applies, see https://www.iis.fraunhofer.de/en/ff/amm/communication/lc3.html Microsoft: Except for Microsoft PlayReady software, if the Licensed Software includes software owned by Microsoft Corporation ("Microsoft"), it is subject to the terms of your license with Microsoft (the "Microsoft Underlying Licensed Software") and as such, NXP grants no license to you, beyond evaluation and demonstration in connection with NXP processors, in the Microsoft Underlying Licensed Software. You must separately obtain rights beyond evaluation and demonstration in connection with the Microsoft Underlying Licensed Software from Microsoft. Microsoft does not provide support services for the components provided to you through this Agreement. If you have any questions or require technical assistance, please contact NXP. Microsoft Corporation is a third party beneficiary to this Agreement with the right to enforce the terms of this Agreement. TO THE MAXIMUM EXTENT PERMITTED BY LAW, MICROSOFT AND ITS AFFILIATES DISCLAIM ANY WARRANTIES FOR THE MICROSOFT UNDERLYING LICENSED SOFTWARE. TO THE MAXIMUM EXTENT PERMITTED BY LAW, NEITHER MICROSOFT NOR ITS AFFILIATES WILL BE LIABLE, WHETHER IN CONTRACT, TORT, OR OTHERWISE, FOR ANY DIRECT, INCIDENTAL, SPECIAL, INDIRECT, CONSEQUENTIAL OR PUNITIVE DAMAGES, INCLUDING, BUT NOT LIMITED TO, DAMAGES FOR ANY LOSS OF USE, LOSS OF TIME, INCONVENIENCE, COMMERCIAL LOSS, OR LOST PROFITS, SAVINGS, OR REVENUES, ARISING FROM THE FROM THE USE OF THE MICROSOFT UNDERLYING LICENSED SOFTWARE. With respect to the Microsoft PlayReady software, you will have the license rights granted in Section 2, provided that you may not use the Microsoft PlayReady software unless you have entered into a Microsoft PlayReady Master Agreement and license directly with Microsoft. MindTree: Notwithstanding the terms contained in Section 2.3 (a), if the Licensed Software includes proprietary software of MindTree in source code format, Licensee may make modifications and create derivative works only to the extent necessary for debugging of the Licensed Software. MM SOLUTIONS AD: Use of MM SOLUTIONS AEC (Auto Exposure Control) and AWB (Auto White Balance) software is limited to demonstration, testing, and evaluation only. In no event may Licensee distribute or sublicense the MM SOLUTIONS software. Further rights must be obtained directly from MM SOLUTIONS. MPEG LA: Use of MPEG LA audio or video codec technology is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from MPEG LA. MQX RTOS Code: MQX RTOS source code may not be re-distributed by any NXP Licensee under any circumstance, even by a signed written amendment to this Agreement. NXP Voice Software: VoiceSpot, VoiceSeeker (including AEC), and Conversa may be used for evaluation or demonstration purposes only. Any commercial distribution rights are subject to a separate royalty agreement obtained from NXP. NXP Wireless Charging Library: License to the Software is limited to use in inductive coupling or wireless charging applications Opus: Use of Opus software must be consistent with the terms of the Opus license which can be found at: http://www.opus-codec.org/license/ Oracle JRE (Java): The Oracle JRE must be used consistent with terms found here: http://java.com/license P&E Micro: P&E Software must be used consistent with the terms found here: http://www.pemicro.com/licenses/gdbserver/license_gdb.pdf Pro Design Electronic: Licensee may not modify, create derivative works based on, or copy the Pro Design software, documentation, hardware execution key or the accompanying materials. Licensee shall not use Pro Design's or any of its licensors names, logos or trademarks to market the Authorized System. Only NXP customers and distributors are permitted to further redistribute the Pro Design software and only as part of an Authorized System which contains the Pro Design software. Qualcomm Atheros, Inc.: Notwithstanding anything in this Agreement, Qualcomm Atheros, Inc. Wi-Fi software must be used strictly in accordance with the Qualcomm Atheros, Inc. Technology License Agreement that accompanies such software. Any other use is expressly prohibited. Real Networks - GStreamer Optimized Real Format Client Code implementation or OpenMax Optimized Real Format Client Code: Use of the GStreamer Optimized Real Format Client Code, or OpenMax Optimized Real Format Client code is restricted to applications in the automotive market. Licensee must be a final manufacturer in good standing with a current license with Real Networks for the commercial use and distribution of products containing the GStreamer Optimized Real Format Client Code implementation or OpenMax Optimized Real Format Client Code RivieraWaves SAS (a member of the CEVA, Inc. family of companies): You may not use the RivieraWaves intellectual property licensed under this Agreement if you develop, market, and/or license products similar to such RivieraWaves intellectual property. Such use constitutes a breach of this Agreement. Any such use rights must be obtained directly from RivieraWaves. SanDisk Corporation: If the Licensed Software includes software developed by SanDisk Corporation ("SanDisk"), you must separately obtain the rights to reproduce and distribute this software in source code form from SanDisk. Please follow these easy steps to obtain the license and software: (1) Contact your local SanDisk sales representative to obtain the SanDisk License Agreement. (2) Sign the license agreement. Fax the signed agreement to SanDisk USA marketing department at 408-542-0403. The license will be valid when fully executed by SanDisk. (3) If you have specific questions, please send an email to sales@sandisk.com You may only use the SanDisk Corporation Licensed Software on products compatible with a SanDisk Secure Digital Card. You may not use the SanDisk Corporation Licensed Software on any memory device product. SanDisk retains all rights to any modifications or derivative works to the SanDisk Corporation Licensed Software that you may create. SEGGER Microcontroller - emWin Software: Your use of SEGGER emWin software and components is restricted for development of NXP ARM7, ARM9, Cortex-M0, Cortex-M3, Cortex-M4, Cortex-M33, Cortex-M7, and Cortex-A7 based products only. SEGGER Microcontroller - J-Link/J-Trace Software: Segger software must be used consistent with the terms found here: http://www.segger.com/jlink-software.html Synopsys/BLE Software: Your use of the Synopsys/BLE Software and related documentation is subject to the following: (1) Synopsys is third-party beneficiaries of, and thus may enforce against you, the license restrictions and confidentiality obligations in this agreement with respect to their intellectual property and proprietary information. (2) Your distribution of the Licensed Software shall subject any recipient to a written agreement at least as protective of the Licensed Software as provided in this Agreement. Synopsys/Target Compiler Technologies: Your use of the Synopsys/Target Compiler Technologies Licensed Software and related documentation is subject to the following: (1) Duration of the license for the Licensed Software is limited to 12 months, unless otherwise specified in the license file. (2) The Licensed Software is usable by one user at a time on a single designated computer, unless otherwise agreed by Synopsys. (3) Licensed Software and documentation are to be used only on a designated computer at the designated physical address provided by you on the APEX license form. (4) The Licensed Software is not sub-licensable. T2 Labs / T2 Software: As a condition to the grant of any license under this Agreement, you represent and warrant that you will comply with all licenses, agreements, rules and bylaws of the Bluetooth SIG (Special Interest Group ) applicable to the licensed software and documentation and its use which may affect when and if you may take certain actions under licenses granted hereunder. The license grant under this Agreement is conditional to you being (i) a Bluetooth SIG Associate member until such time as the specifications for the software are made public to Bluetooth SIG members of any level and (ii) thereafter a Bluetooth SIG member of any level. Notwithstanding the terms contained in Section 2.3 (a), if the licensed software includes proprietary software in source code format, you may make modifications and create derivative works only to the extent necessary for improving the performance of the source code with the NXP products or your products and for creating enhancements of such products. You may not further sublicense or otherwise distribute the source code, or any modifications or derivatives thereof as stand-alone products. You will be responsible for qualifying any modifications or derivatives with the Bluetooth SIG and any other qualifying bodies. TARA Systems: Use of TARA Systems GUI technology Embedded Wizard is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from TARA Systems. Texas Instruments: Your use of Texas Instruments Inc. WiLink8 Licensed Software is restricted to NXP SoC based systems that include a compatible connectivity device manufactured by TI. TES Electronic Solutions Germany (TES): TES 3D Surround View software and associated data and documentation may only be used for evaluation purposes and for demonstration to third parties in integrated form on a board package containing an NXP S32V234 device. Licensee may not distribute or sublicense the TES software. Your license to the TES software may be terminated at any time upon notice. Vivante: Distribution of Vivante software must be a part of, or embedded within, Authorized Systems that include a Vivante Graphics Processing Unit. \ No newline at end of file +LA_OPT_NXP_Software_License v45 May 2023  IMPORTANT.  Read the following NXP Software License Agreement (“Agreement”) completely. By selecting the “I Accept” button at the end of this page, or by downloading, installing, or using the Licensed Software, you indicate that you accept the terms of the Agreement, and you acknowledge that you have the authority, for yourself or on behalf of your company, to bind your company to these terms. You may then download or install the file. In the event of a conflict between the terms of this Agreement and any license terms and conditions for NXP’s proprietary software embedded anywhere in the Licensed Software file, the terms of this Agreement shall control.  If a separate license agreement for the Licensed Software has been signed by you and NXP, then that agreement shall govern your use of the Licensed Software and shall supersede this Agreement. NXP SOFTWARE LICENSE AGREEMENT This is a legal agreement between your employer, of which you are an authorized representative, or, if you have no employer, you as an individual (“you” or “Licensee”), and NXP B.V. (“NXP”).  It concerns your rights to use the software provided to you in binary or source code form and any accompanying written materials (the “Licensed Software”). The Licensed Software may include any updates or error corrections or documentation relating to the Licensed Software provided to you by NXP under this Agreement. In consideration for NXP allowing you to access the Licensed Software, you are agreeing to be bound by the terms of this Agreement. If you do not agree to all of the terms of this Agreement, do not download or install the Licensed Software. If you change your mind later, stop using the Licensed Software and delete all copies of the Licensed Software in your possession or control. Any copies of the Licensed Software that you have already distributed, where permitted, and do not destroy will continue to be governed by this Agreement. Your prior use will also continue to be governed by this Agreement. 1.       DEFINITIONS 1.1.         “Affiliate” means, with respect to a party, any corporation or other legal entity that now or hereafter Controls, is Controlled by or is under common Control with such party; where “Control” means the direct or indirect ownership of greater than fifty percent (50%) of the shares or similar interests entitled to vote for the election of directors or other persons performing similar functions. An entity is considered an Affiliate only so long as such Control exists. 1.2 “Authorized System” means either (i) Licensee’s hardware product which incorporates an NXP Product or (ii) Licensee’s software program which is used exclusively in connection with an NXP Product and with which the Licensed Software will be integrated.       1.3. “Derivative Work” means a work based upon one or more pre-existing works. A work consisting of editorial revisions, annotations, elaborations, or other modifications which, as a whole, represent an original work of authorship, is a Derivative Work.         1.4 “Intellectual Property Rights” means any and all rights under statute, common law or equity in and under copyrights, trade secrets, and patents (including utility models), and analogous rights throughout the world, including any applications for and the right to apply for, any of the foregoing. 1.5 “NXP Product” means a hardware product (e.g. a microprocessor, microcontroller, sensor or digital signal processor) and/or services (e.g. cloud platform services) supplied directly or indirectly from NXP or an NXP Affiliate, unless there is a product specified in the Software Content Register, in which case this definition is limited to such product. 1.6      “Software Content Register” means the documentation which may accompany the Licensed Software which identifies the contents of the Licensed Software, including but not limited to identification of any Third Party Software, if any, and may also contain other related information as whether the license in 2.3 is applicable.  1.7     “Third Party Software” means, any software included in the Licensed Software that is not NXP proprietary software, and is not open source software, and to which different license terms may apply.  2.       LICENSE GRANT.   2.1.         If you are not expressly granted the distribution license in Section 2.3 in the Software Content Register, then you are only granted the rights in Section 2.2 and not in 2.3. If you are expressly granted the distribution license in Section 2.3 in the Software Content Register, then you are granted the rights in both Section 2.2 and 2.3. 2.2. Standard License. Subject to the terms and conditions of this Agreement, NXP grants you a worldwide, personal, non-transferable, non-exclusive, non-sublicensable license, solely for the development of an Authorized System: (a) to use and reproduce the Licensed Software (and its Derivative Works prepared under the license in Section 2.2(b)) solely in combination with a NXP Product; and (b) for Licensed Software provided to you in source code form (human readable), to prepare Derivative Works of the Licensed Software solely for use in combination with a NXP Product. You may not distribute or sublicense the Licensed Software to others under the license granted in this Section 2.2.  You may demonstrate the Licensed Software to your direct customers as part of an Authorized System so long as such demonstration is directly controlled by you and without prior approval by NXP; however, to all other third parties only if NXP has provided its advance, written approval (e.g. email approval) of your demonstrating the Licensed Software to specified third parties or at specified event(s).  You may not leave the Licensed Software with a direct customer or any other third party at any time.  2.3.        Additional Distribution License. If expressly authorized in the Software Content Register, subject to the terms and conditions of this Agreement, NXP grants you a worldwide, personal, non-transferable, non-exclusive, non-sublicensable license solely in connection with your manufacturing and distribution of an Authorized System: (a) to manufacture (or have manufactured), distribute, and market the Licensed Software (and its Derivative Works prepared under the license in 2.2(b)) in object code (machine readable format) only as part of, or embedded within, Authorized Systems and not on a standalone basis solely for use in combination with a NXP Product. Notwithstanding the foregoing, those files marked as .h files (“Header files”) may be distributed in source or object code form, but only as part of, or embedded within Authorized Systems; and (b) to copy and distribute as needed, solely in connection with an Authorized System and for use in combination with a NXP Product, non-confidential NXP information provided as part of the Licensed Software for the purpose of maintaining and supporting Authorized Systems with which the Licensed Software is integrated. 2.4 Separate license grants to Third Party Software, or other terms applicable to the Licensed Software if different from those granted in this Section 2, are contained in Appendix A. The Licensed Software may be accompanied by a Software Content Register which will identify that portion of the Licensed Software, if any, that is subject to the different terms in Appendix A.  2.5.         You may use subcontractors to exercise your rights under Section 2.2 and Section 2.3, if any, so long as you have an agreement in place with the subcontractor containing confidentiality restrictions no less stringent than those contained in this Agreement. You will remain liable for your subcontractors’ adherence to the terms of this Agreement and for any and all acts and omissions of such subcontractors with respect to this Agreement and the Licensed Software. 3.       LICENSE LIMITATIONS AND RESTRICTIONS.   3.1.         The licenses granted above in Section 2 only extend to NXP Intellectual Property Rights that would be infringed by the unmodified Licensed Software prior to your preparation of any Derivative Work.    3.2.         The Licensed Software is licensed to you, not sold. Title to Licensed Software delivered hereunder remains vested in NXP or NXP’s licensor and cannot be assigned or transferred. You are expressly forbidden from selling or otherwise distributing the Licensed Software, or any portion thereof, except as expressly permitted herein. This Agreement does not grant to you any implied rights under any NXP or third party Intellectual Property Rights. 3.3.         You may not translate, reverse engineer, decompile, or disassemble the Licensed Software except to the extent applicable law specifically prohibits such restriction. You must prohibit your subcontractors or customers (if distribution is permitted) from translating, reverse engineering, decompiling, or disassembling the Licensed Software except to the extent applicable law specifically prohibits such restriction. 3.4.         You must reproduce any and all of NXP’s (or its third-party licensor’s) copyright notices and other proprietary legends on copies of Licensed Software.   3.5.         If you distribute the Licensed Software to the United States Government, then the Licensed Software is “restricted computer software” and is subject to FAR 52.227-19.    3.6.         You grant to NXP a non-exclusive, non-transferable, irrevocable, perpetual, worldwide, royalty-free, sub-licensable license under your Intellectual Property Rights to use without restriction and for any purpose any suggestion, comment or other feedback related to the Licensed Software (including, but not limited to, error corrections and bug fixes). 3.7.         You will not take or fail to take any action that could subject the Licensed Software to an Excluded License. An Excluded License means any license that requires, as a condition of use, modification or distribution of software subject to the Excluded License, that such software or other software combined and/or distributed with the software be (i) disclosed or distributed in source code form; (ii) licensed for the purpose of making Derivative Works; or (iii) redistributable at no charge.  3.8.         You may not publish or distribute reports associated with the use of the Licensed Software to anyone other than NXP. You may advise NXP of any results obtained from your use of the Licensed Software, including any problems or suggested improvements thereof, and NXP retains the right to use such results and related information in any manner it deems appropriate. 4.       OPEN SOURCE.         Open source software included in the Licensed Software is not licensed under the terms of this Agreement but is instead licensed under the terms of the applicable open source license(s), such as the BSD License, Apache License or the GNU Lesser General Public License. Your use of the open source software is subject to the terms of each applicable license. You must agree to the terms of each applicable license, or you cannot use the open source software.   5.       INTELLECTUAL PROPERTY RIGHTS.    Upon request, you must provide NXP the source code of any derivative of the Licensed Software. Unless prohibited by law, the following paragraph shall apply. Your modifications to the Licensed Software, and all intellectual property rights associated with, and title thereto, will be the property of NXP. You agree to assign all, and hereby do assign all rights, title, and interest to any such modifications to the Licensed Software to NXP and agree to provide all assistance reasonably requested by NXP to establish, preserve or enforce such right. Further, you agree to waive all moral rights relating to your modifications to the Licensed Software, including, without limitation, all rights of identification of authorship and all rights of approval, restriction, or limitation on use or subsequent modification. Notwithstanding the foregoing, you will have the license rights granted in Section 2 hereto to any such modifications made by you or your licensees. Otherwise, you agree to grant an irrevocable, worldwide, and perpetual license to NXP to make, have made, use, sell, offer to sell, import, commercialize, sublicense and reproduce your modifications or derivative works to the Licensed Software without any payment to Licensee. You agree to provide all assistance reasonably requested by NXP to establish, preserve or enforce such right. 6.       ESSENTIAL PATENTS.    NXP has no obligation to identify or obtain any license to any Intellectual Property Right of a third-party that may be necessary for use in connection with technology that is incorporated into the Authorized System (whether or not as part of the Licensed Software). 7.       TERM AND TERMINATION.   This Agreement will remain in effect unless terminated as provided in this Section. 7.1.         You may terminate this Agreement immediately upon written notice to NXP at the address provided below. 7.2.         Either party may terminate this Agreement if the other party is in default of any of the terms and conditions of this Agreement, and termination is effective if the defaulting party fails to correct such default within 30 days after written notice thereof by the non-defaulting party to the defaulting party at the address below. 7.3.         Notwithstanding the foregoing, NXP may terminate this Agreement immediately upon written notice if you: breach any of your confidentiality obligations or the license restrictions under this Agreement;  become bankrupt, insolvent, or file a petition for bankruptcy or insolvency; make an assignment for the benefit of its creditors; enter proceedings for winding up or dissolution; are dissolved; or are nationalized or become subject to the expropriation of all or substantially all of your business or assets. 7.4.         Upon termination of this Agreement, all licenses granted under Section 2 will expire. 7.5.         After termination of this Agreement by either party you will destroy all parts of Licensed Software and its Derivative Works (if any) and will provide to NXP a statement certifying the same. 7.6.         Notwithstanding the termination of this Agreement for any reason, the terms of Sections 1 and 3 through 24 will survive.   8.        SUPPORT.  NXP is not obligated to provide any support, upgrades or new releases of the Licensed Software under this Agreement. If you wish, you may contact NXP and report problems and provide suggestions regarding the Licensed Software. NXP has no obligation to respond to such a problem report or suggestion. NXP may make changes to the Licensed Software at any time, without any obligation to notify or provide updated versions of the Licensed Software to you. 9.        NO WARRANTY.  To the maximum extent permitted by law, NXP expressly disclaims any warranty for the Licensed Software. The Licensed Software is provided “AS IS”, without warranty of any kind, either express or implied, including without limitation the implied warranties of merchantability, fitness for a particular purpose, or non-infringement. You assume the entire risk arising out of the use or performance of the licensed software, or any systems you design using the licensed software (if any). 10.        INDEMNITY. You agree to fully defend and indemnify NXP from all claims, liabilities, and costs (including reasonable attorney’s fees) related to (1) your use (including your subcontractor’s or distributee’s use, if permitted) of the Licensed Software or (2) your violation of the terms and conditions of this Agreement. 11.        LIMITATION OF LIABILITY.  EXCLUDING LIABILITY FOR A BREACH OF SECTION 2 (LICENSE GRANTS), SECTION 3 (LICENSE LIMITATIONS AND RESTRICTIONS), SECTION 16 (CONFIDENTIAL INFORMATION), OR CLAIMS UNDER SECTION 10 (INDEMNITY), IN NO EVENT WILL EITHER PARTY BE LIABLE, WHETHER IN CONTRACT, TORT, OR OTHERWISE, FOR ANY INCIDENTAL, SPECIAL, INDIRECT, CONSEQUENTIAL OR PUNITIVE DAMAGES, INCLUDING, BUT NOT LIMITED TO, DAMAGES FOR ANY LOSS OF USE, LOSS OF TIME, INCONVENIENCE, COMMERCIAL LOSS, OR LOST PROFITS, SAVINGS, OR REVENUES, TO THE FULL EXTENT SUCH MAY BE DISCLAIMED BY LAW. NXP’S TOTAL LIABILITY FOR ALL COSTS, DAMAGES, CLAIMS, OR LOSSES WHATSOEVER ARISING OUT OF OR IN CONNECTION WITH THIS AGREEMENT OR PRODUCT(S) SUPPLIED UNDER THIS AGREEMENT IS LIMITED TO THE AGGREGATE AMOUNT PAID BY YOU TO NXP IN CONNECTION WITH THE LICENSED SOFTWARE PROVIDED UNDER THIS AGREEMENT TO WHICH LOSSES OR DAMAGES ARE CLAIMED. 12.        EXPORT COMPLIANCE. Each party shall comply with all applicable export and import control laws and regulations including but not limited to the US Export Administration Regulation (including restrictions on certain military end uses and military end users as specified in Section 15 C.F.R. § 744.21 and prohibited party lists issued by other federal governments), Catch-all regulations and all national and international embargoes. Each party further agrees that it will not knowingly transfer, divert, export or re-export, directly or indirectly, any product, software, including software source code, or technology restricted by such regulations or by other applicable national regulations, received from the other party under this Agreement, or any direct product of such software or technical data to any person, firm, entity, country or destination to which such transfer, diversion, export or re-export is restricted or prohibited, without obtaining prior written authorization from the applicable competent government authorities to the extent required by those laws. 13.   GOVERNMENT CONTRACT COMPLIANCE 13.1.      If you sell Authorized Systems directly to any government or public entity, including U.S., state, local, foreign or international governments or public entities, or indirectly via a prime contractor or subcontractor of such governments or entities, NXP makes no representations, certifications, or warranties whatsoever about compliance with government or public entity acquisition statutes or regulations, including, without limitation, statutes or regulations that may relate to pricing, quality, origin or content. 13.2.      The Licensed Software has been developed at private expense and is a “Commercial Item” as defined in 48 C.F.R. Section 2.101, consisting of “Commercial Computer Software”, and/or “Commercial Computer Software Documentation,” as such terms are used in 48 C.F.R. Section 12.212 (or 48 C.F.R. Section 227.7202, as applicable) and may only be licensed to or shared with U.S. Government end users in object code form as part of, or embedded within, Authorized Systems. Any agreement pursuant to which you share the Licensed Software will include a provision that reiterates the limitations of this document and requires all sub-agreements to similarly contain such limitations.  14.        CRITICAL APPLICATIONS. In some cases, NXP may promote certain software for use in the development of, or for incorporation into, products or services (a) used in applications requiring fail-safe performance or (b) in which failure could lead to death, personal injury, or severe physical or environmental damage (these products and services are referred to as “Critical Applications”). NXP’s goal is to educate customers so that they can design their own end-product solutions to meet applicable functional safety standards and requirements. Licensee makes the ultimate design decisions regarding its products and is solely responsible for compliance with all legal, regulatory, safety, and security related requirements concerning its products, regardless of any information or support that may be provided by NXP. As such, Licensee assumes all risk related to use of the Licensed Software in Critical Applications and NXP SHALL NOT BE LIABLE FOR ANY SUCH USE IN CRITICAL APPLICATIONS BY LICENSEE. Accordingly, Licensee will indemnify and hold NXP harmless from any claims, liabilities, damages and associated costs and expenses (including attorneys’ fees) that NXP may incur related to Licensee’s incorporation of the Licensed Software in a Critical Application. 15.        CHOICE OF LAW; VENUE.  This Agreement will be governed by, construed, and enforced in accordance with the laws of The Netherlands, without regard to conflicts of laws principles, will apply to all matters relating to this Agreement or the Licensed Software, and you agree that any litigation will be subject to the exclusive jurisdiction of the courts of Amsterdam, The Netherlands. The United Nations Convention on Contracts for the International Sale of Goods will not apply to this document.  16.        CONFIDENTIAL INFORMATION.  Subject to the license grants and restrictions contained herein, you must treat the Licensed Software as confidential information and you agree to retain the Licensed Software in confidence perpetually. You may not disclose any part of the Licensed Software to anyone other than distributees in accordance with Section 2.3 and employees, or subcontractors in accordance with Section 2.5, who have a need to know of the Licensed Software and who have executed written agreements obligating them to protect such Licensed Software to at least the same degree of confidentiality as in this Agreement. You agree to use the same degree of care, but no less than a reasonable degree of care, with the Licensed Software as you do with your own confidential information. You may disclose Licensed Software to the extent required by a court or under operation of law or order provided that you notify NXP of such requirement prior to disclosure, which you only disclose the minimum of the required information, and that you allow NXP the opportunity to object to such court or other legal body requiring such disclosure. 17.       TRADEMARKS.  You are not authorized to use any NXP trademarks, brand names, or logos. 18.        ENTIRE AGREEMENT.  This Agreement constitutes the entire agreement between you and NXP regarding the subject matter of this Agreement, and supersedes all prior communications, negotiations, understandings, agreements or representations, either written or oral, if any. This Agreement may only be amended in written form, signed by you and NXP. 19.        SEVERABILITY.  If any provision of this Agreement is held for any reason to be invalid or unenforceable, then the remaining provisions of this Agreement will be unimpaired and, unless a modification or replacement of the invalid or unenforceable provision is further held to deprive you or NXP of a material benefit, in which case the Agreement will immediately terminate, the invalid or unenforceable provision will be replaced with a provision that is valid and enforceable and that comes closest to the intention underlying the invalid or unenforceable provision. 20.        NO WAIVER.  The waiver by NXP of any breach of any provision of this Agreement will not operate or be construed as a waiver of any other or a subsequent breach of the same or a different provision. 21.        AUDIT.  You will keep full, clear and accurate records with respect to your compliance with the limited license rights granted under this Agreement for three years following expiration or termination of this Agreement. NXP will have the right, either itself or through an independent certified public accountant to examine and audit, at NXP’s expense, not more than once a year, and during normal business hours, all such records that may bear upon your compliance with the limited license rights granted above. You must make prompt adjustment to compensate for any errors and/or omissions disclosed by such examination or audit. 22.        NOTICES.             All notices and communications under this Agreement will be made in writing, and will be effective when received at the following addresses:  NXP: NXP B.V. High Tech Campus 60 5656 AG Eindhoven The Netherlands ATTN: Legal Department   You: The address provided at registration will be used. 23.        RELATIONSHIP OF THE PARTIES.     The parties are independent contractors. Nothing in this Agreement will be construed to create any partnership, joint venture, or similar relationship. Neither party is authorized to bind the other to any obligations with third parties. 24.        SUCCESSION AND ASSIGNMENT.   This Agreement will be binding upon and inure to the benefit of the parties and their permitted successors and assigns.  You may not assign this Agreement, or any part of this Agreement, without the prior written approval of NXP, which approval will not be unreasonably withheld or delayed. NXP may assign this Agreement, or any part of this Agreement, in its sole discretion. 25. PRIVACY. By agreeing to this Agreement and/or utilizing the Licensed Software, Licensee consents to use of certain personal information, including but not limited to name, email address, and location, for the purpose of NXP’s internal analysis regarding future software offerings. NXP’s complete Privacy Statement can be found at: https://www.nxp.com/company/our-company/about-nxp/privacy-statement:PRIVACYPRACTICES.     + APPENDIX A Other License Grants and Restrictions: The Licensed Software may include some or all of the following software, which is either 1) Third Party Software or 2) NXP proprietary software subject to different terms than those in the Agreement. If the Software Content Register that accompanies the Licensed Software identifies any of the following Third Party Software or specific components of the NXP proprietary software, the following terms apply to the extent they deviate from the terms in the Agreement: Airbiquity Inc.: The Airbiquity software may only be used in object code and Licensee may not sublicense the Airbiquity software to any third party. Licensee’s license to use the Airbiquity software expires on June 30, 2023. Amazon: Use of the Amazon software constitutes your acceptance of the terms of the Amazon Program Materials License Agreement (including the AVS Component Schedule, if applicable), located at https://developer.amazon.com/support/legal/pml. All Amazon software is hereby designated “Amazon confidential”. With the exception of the binary library of the Amazon Wake Word Engine for “Alexa”, all Amazon software is also hereby designated as “Restricted Program Materials”. Amazon is a third-party beneficiary to this Agreement with respect to the Amazon software. Amazon Web Services, Inc.: AWS is an intended third-party beneficiary to this Agreement with respect to the Greengrass software. If you have an account with AWS that is not in good standing, you may not download, install, use or distribute the Greengrass software. You will comply with all instructions and requirements in any integration documents, guidelines, or other documentation AWS provides. The license to the Greengrass software will immediately terminate without notice if you (a) fail to comply with this Agreement or any other agreement with AWS, (b) fail to make timely payment for any AWS service, (c) fail to implement AWS updates, or (d) bring any action for intellectual property infringement against AWS or any AWS customer utilizing AWS services. Any dispute or claim relating to your use of the Greengrass software will be resolved by binding arbitration, rather than in court, except that you may assert claims in small claims court if your claims qualify. Amazon: AWS Fleetwise software must be used consistent with the terms found here: https://github.com/aws/aws-iot-fleetwise-edge/blob/main/LICENSE. Amphion Semiconductor Ltd.: Distribution of Amphion software must be a part of, or embedded within, Authorized Systems that include an Amphion Video Decoder. Apple Mfi Software Development Kit: Use of Apple Mfi Software and associated documentation is restricted to current Apple Mfi licensees in accordance with the terms of their own valid and in-effect license from Apple. Aquantia Corp.: You may use Aquantia’s API binaries solely to flash the API software to an NXP Product which mates with an Aquantia device. Argus Cyber Security: The Argus software may only be used in object code and only for evaluation and demonstration purposes. Atheros: Use of Atheros software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from Atheros. ATI (AMD): Distribution of ATI software must be a part of, or embedded within, Authorized Systems that include a ATI graphics processor core. Au-Zone Technologies: eIQ Portal, Model Tool, DeepViewRT and ModelRunner are distributed by NXP under license from Au-Zone Technologies.  Your use of the Licensed Software, examples and related documentation is subject to the following: (1)          Use of Software is limited to Authorized System only (2)          In no event may Licensee Sublicense the Software (3)          AU-ZONE TECHNOLOGIES SHALL NOT BE LIABLE FOR USE OF LICENSED SOFTWARE IN CRITICAL APPLICATIONS BY LICENSEE Broadcom Corporation: Your use of Broadcom Corporation software is restricted to Authorized Systems that incorporate a compatible integrated circuit device manufactured or sold by Broadcom. Cadence Design Systems: Use of Cadence audio codec software is limited to distribution only of one copy per single NXP Product. The license granted herein to the Cadence Design Systems HiFi aacPlus Audio Decoder software does not include a license to the AAC family of technologies which you or your customer may need to obtain. Configuration tool outputs may only be distributed by licensees of the relevant Cadence SDK and distribution is limited to distribution of one copy embedded in a single NXP Product. Your use of Cadence NatureDSP Libraries whether in source code or in binary is restricted to NXP SoC based systems or emulation enablement based on NXP SoC. CEVA D.S.P. Ltd. And CEVA Technologies Inc. (“CEVA”): The CEVA-SPF2 linear algebra, CEVA-SPF2 Neural Network Libraries, CEVA-SPF2 Core Libraries, CEVA-SPF2 OpenAMP and CEVA-SPF2 STL licensed modules are owned by CEVA and such materials may only be used in connection with an NXP product containing the S250 or S125 integrated circuits, whether or not the CEVA-SPF2 Core is physically implemented and/or enabled on such NXP product Cirque Corporation: Use of Cirque Corporation technology is limited to evaluation, demonstration, or certification testing only. Permitted distributions must be similarly limited. Further rights, including but not limited to ANY commercial distribution rights, must be obtained directly from Cirque Corporation. Coding Technologies (Dolby Labs): Use of CTS software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained from Dolby Laboratories. Coremark: Use of the Coremark benchmarking software is subject to the following terms and conditions: https://github.com/eembc/coremark/blob/main/LICENSE.md CSR: Use of Cambridge Silicon Radio, Inc. ("CSR") software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from CSR. Crank: Use of Crank Software Inc. software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from Crank Software Inc. Cypress Semiconductor Corporation: WWD RTOS source code may only be used in accordance with the Cypress IOT Community License Agreement obtained directly from Cypress Semiconductor Corporation. Elektrobit Automotive GmbH (“EB”): EB software must be used consistent with the EB License Terms and Conditions, Version 1.4 (Dec 2019) found here: https://www.elektrobit.com/legal-notice/ .  Licensee is only granted an evaluation license for the EB software, defined as license to use the EB software internally for own evaluation purposes, limited to three (3) months. Production deployment of the EB software using this license is prohibited. See additionally Section 2.1.1 EB EULA. Embedded Systems Academy GmbH (EmSA): Any use of Micro CANopen Plus is subject to the acceptance of the license conditions described in the LICENSE.INFO file distributed with all example projects and in the documentation and the additional clause described below. Clause 1: Micro CANopen Plus may not be used for any competitive or comparative purpose, including the publication of any form of run time or compile time metric, without the express permission of EmSA. Fenopix Technologies Private Limited: Under no circumstances may the CanvasJS software product be used in any way that would compete with any product from Fenopix.  License to the CanvasJS software will terminate immediately without notice if Licensee fail to comply with any provision of this Agreement. Fraunhofer IIS: Fraunhofer MPEG Audio Decoder (Fraunhofer copyright) - If you are provided MPEG-H decoding functionality, you understand that NXP will provide Fraunhofer your name and contact information. Future Technology Devices International Ltd.: Future Technology Devices International software must be used consistent with the terms found here: http://www.ftdichip.com/Drivers/FTDriverLicenceTerms.htm Global Locate (Broadcom Corporation): Use of Global Locate, Inc. software is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained from Global Locate. IAR Systems: Use of IAR flashloader or any IAR source code is subject to the terms of the IAR Source License located within the IAR zip package. The IAR Source License applies to linker command files, example projects unless another license is explicitly stated, the cstartup code, low_level_init.c, and some other low-level runtime library files. LC3plus: the LC3plus Low Complexity Communication Codec Plus (LC3plus) per ETSI TS 103 634 V1.3.1, is subject to ETSI Intellectual Property Rights Policy, See https://portal.etsi.org/directives/45_directives_jun_2022.pdf. For application in an End Product, Fraunhofer communication applies, see https://www.iis.fraunhofer.de/en/ff/amm/communication/lc3.html Microsoft: Except for Microsoft PlayReady software, if the Licensed Software includes software owned by Microsoft Corporation ("Microsoft"), it is subject to the terms of your license with Microsoft (the "Microsoft Underlying Licensed Software") and as such, NXP grants no license to you, beyond evaluation and demonstration in connection with NXP processors, in the Microsoft Underlying Licensed Software. You must separately obtain rights beyond evaluation and demonstration in connection with the Microsoft Underlying Licensed Software from Microsoft. Microsoft does not provide support services for the components provided to you through this Agreement. If you have any questions or require technical assistance, please contact NXP. Microsoft Corporation is a third party beneficiary to this Agreement with the right to enforce the terms of this Agreement. TO THE MAXIMUM EXTENT PERMITTED BY LAW, MICROSOFT AND ITS AFFILIATES DISCLAIM ANY WARRANTIES FOR THE MICROSOFT UNDERLYING LICENSED SOFTWARE. TO THE MAXIMUM EXTENT PERMITTED BY LAW, NEITHER MICROSOFT NOR ITS AFFILIATES WILL BE LIABLE, WHETHER IN CONTRACT, TORT, OR OTHERWISE, FOR ANY DIRECT, INCIDENTAL, SPECIAL, INDIRECT, CONSEQUENTIAL OR PUNITIVE DAMAGES, INCLUDING, BUT NOT LIMITED TO, DAMAGES FOR ANY LOSS OF USE, LOSS OF TIME, INCONVENIENCE, COMMERCIAL LOSS, OR LOST PROFITS, SAVINGS, OR REVENUES, ARISING FROM THE FROM THE USE OF THE MICROSOFT UNDERLYING LICENSED SOFTWARE. With respect to the Microsoft PlayReady software, you will have the license rights granted in Section 2, provided that you may not use the Microsoft PlayReady software unless you have entered into a Microsoft PlayReady Master Agreement and license directly with Microsoft. MindTree: Notwithstanding the terms contained in Section 2.3 (a), if the Licensed Software includes proprietary software of MindTree in source code format, Licensee may make modifications and create derivative works only to the extent necessary for debugging of the Licensed Software. MM SOLUTIONS AD: Use of MM SOLUTIONS AEC (Auto Exposure Control) and AWB (Auto White Balance) software is limited to demonstration, testing, and evaluation only. In no event may Licensee distribute or sublicense the MM SOLUTIONS software. Further rights must be obtained directly from MM SOLUTIONS. MPEG LA: Use of MPEG LA audio or video codec technology is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from MPEG LA. MQX RTOS Code: MQX RTOS source code may not be re-distributed by any NXP Licensee under any circumstance, even by a signed written amendment to this Agreement. NXP Voice Software: VoiceSpot, VoiceSeeker (including AEC), and Conversa may be used for evaluation or demonstration purposes only. Any commercial distribution rights are subject to a separate royalty agreement obtained from NXP. NXP Wireless Charging Library: License to the Software is limited to use in inductive coupling or wireless charging applications Opus: Use of Opus software must be consistent with the terms of the Opus license which can be found at: http://www.opus-codec.org/license/ Oracle JRE (Java): The Oracle JRE must be used consistent with terms found here: http://java.com/license P&E Micro: P&E Software must be used consistent with the terms found here: http://www.pemicro.com/licenses/gdbserver/license_gdb.pdf Pro Design Electronic: Licensee may not modify, create derivative works based on, or copy the Pro Design software, documentation, hardware execution key or the accompanying materials. Licensee shall not use Pro Design's or any of its licensors names, logos or trademarks to market the Authorized System. Only NXP customers and distributors are permitted to further redistribute the Pro Design software and only as part of an Authorized System which contains the Pro Design software. Qualcomm Atheros, Inc.: Notwithstanding anything in this Agreement, Qualcomm Atheros, Inc. Wi-Fi software must be used strictly in accordance with the Qualcomm Atheros, Inc. Technology License Agreement that accompanies such software. Any other use is expressly prohibited. Real Networks - GStreamer Optimized Real Format Client Code implementation or OpenMax Optimized Real Format Client Code: Use of the GStreamer Optimized Real Format Client Code, or OpenMax Optimized Real Format Client code is restricted to applications in the automotive market. Licensee must be a final manufacturer in good standing with a current license with Real Networks for the commercial use and distribution of products containing the GStreamer Optimized Real Format Client Code implementation or OpenMax Optimized Real Format Client Code Real-Time Innovations, Inc.: Not withstanding anything in this Agreement, Real-Time Innovations, Inc. software must be used strictly in accordance with Real-Time Innovations, Inc.'s Automotive Software Evaluation License Agreement, available here: https://www.rti.com/hubfs/_Collateral/Services_and_Support/Automotive_Evaluation_SLA_90_dayNXP.pdf .  Any other use is expressly prohibited. RivieraWaves SAS (a member of the CEVA, Inc. family of companies): You may not use the RivieraWaves intellectual property licensed under this Agreement if you develop, market, and/or license products similar to such RivieraWaves intellectual property. Such use constitutes a breach of this Agreement. Any such use rights must be obtained directly from RivieraWaves. SanDisk Corporation: If the Licensed Software includes software developed by SanDisk Corporation ("SanDisk"), you must separately obtain the rights to reproduce and distribute this software in source code form from SanDisk. Please follow these easy steps to obtain the license and software: (1) Contact your local SanDisk sales representative to obtain the SanDisk License Agreement. (2) Sign the license agreement. Fax the signed agreement to SanDisk USA marketing department at 408-542-0403. The license will be valid when fully executed by SanDisk. (3) If you have specific questions, please send an email to sales@sandisk.com You may only use the SanDisk Corporation Licensed Software on products compatible with a SanDisk Secure Digital Card. You may not use the SanDisk Corporation Licensed Software on any memory device product. SanDisk retains all rights to any modifications or derivative works to the SanDisk Corporation Licensed Software that you may create. SEGGER Microcontroller - emWin Software: Your use of SEGGER emWin software and components is restricted for development of NXP ARM7, ARM9, Cortex-M0, Cortex-M3, Cortex-M4, Cortex-M33, Cortex-M7, and Cortex-A7 based products only. SEGGER Microcontroller - J-Link/J-Trace Software: Segger software must be used consistent with the terms found here: http://www.segger.com/jlink-software.html Synopsys/BLE Software: Your use of the Synopsys/BLE Software and related documentation is subject to the following: (1) Synopsys is third-party beneficiaries of, and thus may enforce against you, the license restrictions and confidentiality obligations in this agreement with respect to their intellectual property and proprietary information. (2) Your distribution of the Licensed Software shall subject any recipient to a written agreement at least as protective of the Licensed Software as provided in this Agreement. Synopsys/Target Compiler Technologies: Your use of the Synopsys/Target Compiler Technologies Licensed Software and related documentation is subject to the following: (1) Duration of the license for the Licensed Software is limited to 12 months, unless otherwise specified in the license file. (2) The Licensed Software is usable by one user at a time on a single designated computer, unless otherwise agreed by Synopsys. (3) Licensed Software and documentation are to be used only on a designated computer at the designated physical address provided by you on the APEX license form. (4) The Licensed Software is not sub-licensable. T2 Labs / T2 Software: As a condition to the grant of any license under this Agreement, you represent and warrant that you will comply with all licenses, agreements, rules and bylaws of the Bluetooth SIG (Special Interest Group ) applicable to the licensed software and documentation and its use which may affect when and if you may take certain actions under licenses granted hereunder. The license grant under this Agreement is conditional to you being (i) a Bluetooth SIG Associate member until such time as the specifications for the software are made public to Bluetooth SIG members of any level and (ii) thereafter a Bluetooth SIG member of any level. Notwithstanding the terms contained in Section 2.3 (a), if the licensed software includes proprietary software in source code format, you may make modifications and create derivative works only to the extent necessary for improving the performance of the source code with the NXP products or your products and for creating enhancements of such products. You may not further sublicense or otherwise distribute the source code, or any modifications or derivatives thereof as stand-alone products. You will be responsible for qualifying any modifications or derivatives with the Bluetooth SIG and any other qualifying bodies. TARA Systems: Use of TARA Systems GUI technology Embedded Wizard is limited to evaluation and demonstration only. Permitted distributions must be similarly limited. Further rights must be obtained directly from TARA Systems. Texas Instruments: Your use of Texas Instruments Inc. WiLink8 Licensed Software is restricted to NXP SoC based systems that include a compatible connectivity device manufactured by TI. TES Electronic Solutions Germany (TES): TES 3D Surround View software and associated data and documentation may only be used for evaluation purposes and for demonstration to third parties in integrated form on a board package containing an NXP S32V234 device. Licensee may not distribute or sublicense the TES software. Your license to the TES software may be terminated at any time upon notice. Vivante: Distribution of Vivante software must be a part of, or embedded within, Authorized Systems that include a Vivante Graphics Processing Unit. \ No newline at end of file diff --git a/LPC804_manifest_v3_10.xml b/LPC804_manifest_v3_13.xml similarity index 62% rename from LPC804_manifest_v3_10.xml rename to LPC804_manifest_v3_13.xml index f11aed2..302699f 100644 --- a/LPC804_manifest_v3_10.xml +++ b/LPC804_manifest_v3_13.xml @@ -1,17 +1,13 @@ - - - - - - - - + + + + - + @@ -33,8 +29,8 @@ - - + + @@ -88,239 +84,234 @@ ${load} - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - + - - - + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - + - + - + - + - + - + - + - + - + - + - + - - - + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -332,6 +323,10 @@ ${load} + + + + @@ -392,7 +387,7 @@ ${load} - + @@ -408,24 +403,649 @@ ${load} - + - + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + + + - + + + + + + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + + + + + + - - - - - - - - - + - + + + + + - + + + + + + + - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + + + + - + - + - + + + + - + - + - + + + + - + - + - + + + + + + + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - - - - - - - + - - - - - - - - - - - - + + - - - - - + + + + + - - - - - + + + + + + + + + + + + + + + + + + + - - - - - - - - - - + - - + + - - + + + + + - - + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + @@ -2891,82 +2717,411 @@ ${load} - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - + - - - + + + + + + + + + + + + + + + + - + - + - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - + - - - + + + + + + + + + + + + + + + + - + - + - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -2976,14 +3131,82 @@ ${load} - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -2993,14 +3216,14 @@ ${load} - - - + @@ -3010,14 +3233,14 @@ ${load} - - - + @@ -3027,28 +3250,28 @@ ${load} - - - + - - - + @@ -3058,14 +3281,14 @@ ${load} - - - + @@ -3075,14 +3298,14 @@ ${load} - - - + @@ -3092,14 +3315,14 @@ ${load} - - - + @@ -3109,14 +3332,14 @@ ${load} - - - + @@ -3126,31 +3349,14 @@ ${load} - - - - - - - - - - - - - - - + @@ -3160,28 +3366,14 @@ ${load} - - - - - - - - - - - - + @@ -3194,28 +3386,14 @@ ${load} - - - - - - - - - - - - + @@ -3228,28 +3406,14 @@ ${load} - - - - - - - - - - - - + @@ -3259,14 +3423,14 @@ ${load} - - - + @@ -3276,392 +3440,17 @@ ${load} - - - - - - - - - - - - - - - - - - + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/SW-Content-Register.txt b/SW-Content-Register.txt index c99d490..194650f 100644 --- a/SW-Content-Register.txt +++ b/SW-Content-Register.txt @@ -1,6 +1,6 @@ Release Name: MCUXpresso Software Development Kit (SDK) -Release Version: 2.13.0 -Package License: LA_OPT_NXP_Software_License.txt v39 August 2022- Additional Distribution License granted, license in Section 2.3 applies +Release Version: 2.14.0 +Package License: LA_OPT_NXP_Software_License.txt v45 May 2023- Additional Distribution License granted, license in Section 2.3 applies SDK_Peripheral_Driver Name: SDK Peripheral Driver Version: 2.x.x @@ -13,18 +13,6 @@ SDK_Peripheral_Driver Name: SDK Peripheral Driver Location: devices//drivers Origin: NXP (BSD-3-Clause) -SDK_Examples Name: SDK examples - Version: NA - Outgoing License: BSD-3-Clause - License File: COPYING-BSD-3 - Format: source code, binary, project files, linker - files - Description: SDK out of box examples to show how - to use peripheral drivers and integrate - middleware. - Location: boards// - Origin: NXP (BSD-3-Clause) - SDK_Device Name: SDK SoC files Version: NA Outgoing License: BSD-3-Clause @@ -35,20 +23,6 @@ SDK_Device Name: SDK SoC files Location: devices// Origin: NXP (BSD-3-Clause) -cmsis Name: CMSIS - Version: 5.8.0 - Outgoing License: Apache License 2.0 - License File: CMSIS/LICENSE.txt - Format: source code - Description: Vendor-independent hardware - abstraction layer for microcontrollers that are - based on Arm Cortex processors, distributed by - ARM. cores - Location: CMSIS/ - Origin: ARM (Apache-2.0) - - https://github.com/ARM-software/CMSIS_5/releases/t - ag/5.8.0 - SDK_Components Name: SDK components and board peripheral drivers Version: NA Outgoing License: BSD-3-Clause @@ -59,35 +33,37 @@ SDK_Components Name: SDK components and board peripheral drivers Location: components/ Origin: NXP (BSD-3-Clause), ITE (BSD-3-Clause) -rtcesl Name: rtcesl - Version: 4.7 (CM0,CM4,CM7,CM33) + 4.5 (DSC) +CMSIS Name: CMSIS + Version: 5.8.0 + Outgoing License: Apache License 2.0 + License File: CMSIS/LICENSE.txt + Format: source code + Description: Vendor-independent hardware + abstraction layer for microcontrollers that are + based on Arm Cortex processors, distributed by + ARM. cores + Location: CMSIS/ + Origin: NXP (Apache License 2.0) + +osa Name: OSA + Version: 1.0.0 Outgoing License: BSD-3-Clause License File: COPYING-BSD-3 - Format: object code & header files - Description: NXP Real Time Control Embedded - Software Library. - Location: middleware/rtcesl + Format: source code + Description: NXP USB stack. This is a version of + the USB stack that has been integrated with the + MCUXpresso SDK. Origin: NXP (BSD-3-Clause) + Location: components/osa -safety_iec60730b Name: safety iec60730b - Version: 4.2 - Outgoing License: LA_OPT_NXP_Software_License.txt - v39 August 2022 - Additional distribution license - granted - License in Section 2.3 applies - License File: LA_OPT_NXP_Software_License.txt - Format: source code & object code & header files - Description: Safety IEC60730b Example - Location: middleware/safety_iec60730b - Origin: NXP - -srecord Name: SRecord 1.64 For Windows - Version: 1.64 - Outgoing License: GPL-3.0 - License File: - tool/srecord/srecord-1.64.zip/srecord-1.64/LICENSE - Format: source code & binary - Description: Utility for manipulating EPROM load - files, is used for postbuild CRC calculation. - Location: tools/srecord - Origin: Peter Miller - Url: http://srecord.sourceforge.net/index.html +SDK_Examples Name: SDK examples + Version: NA + Outgoing License: BSD-3-Clause + License File: COPYING-BSD-3 + Format: source code, binary, project files, linker + files + Description: SDK out of box examples to show how + to use peripheral drivers and integrate + middleware. + Location: boards// + Origin: NXP (BSD-3-Clause) diff --git a/boards/lpcxpresso804/demo_apps/hello_world/armgcc/CMakeLists.txt b/boards/lpcxpresso804/demo_apps/hello_world/armgcc/CMakeLists.txt index e525cbe..b7f8d6d 100644 --- a/boards/lpcxpresso804/demo_apps/hello_world/armgcc/CMakeLists.txt +++ b/boards/lpcxpresso804/demo_apps/hello_world/armgcc/CMakeLists.txt @@ -3,8 +3,16 @@ SET(CMAKE_SYSTEM_NAME Generic) CMAKE_MINIMUM_REQUIRED (VERSION 3.10.0) # THE VERSION NUMBER -SET (Tutorial_VERSION_MAJOR 1) -SET (Tutorial_VERSION_MINOR 0) +SET (MCUXPRESSO_CMAKE_FORMAT_MAJOR_VERSION 2) +SET (MCUXPRESSO_CMAKE_FORMAT_MINOR_VERSION 0) + +include(ide_overrides.cmake OPTIONAL) + +if(CMAKE_SCRIPT_MODE_FILE) + message("${MCUXPRESSO_CMAKE_FORMAT_MAJOR_VERSION}") + return() +endif() + # ENABLE ASM ENABLE_LANGUAGE(ASM) @@ -34,6 +42,8 @@ endif() include(${ProjDirPath}/flags.cmake) +include(${ProjDirPath}/config.cmake) + add_executable(${MCUX_SDK_PROJECT_NAME} "${ProjDirPath}/../hello_world.c" "${ProjDirPath}/../pin_mux.c" @@ -44,60 +54,12 @@ add_executable(${MCUX_SDK_PROJECT_NAME} "${ProjDirPath}/../clock_config.h" ) -target_include_directories(${MCUX_SDK_PROJECT_NAME} PUBLIC +target_include_directories(${MCUX_SDK_PROJECT_NAME} PRIVATE ${ProjDirPath}/.. ) -set(CMAKE_MODULE_PATH - ${SdkRootDirPath}/devices/LPC804/drivers - ${SdkRootDirPath}/devices/LPC804 - ${SdkRootDirPath}/components/uart - ${SdkRootDirPath}/devices/LPC804/utilities/debug_console_lite - ${SdkRootDirPath}/devices/LPC804/utilities - ${SdkRootDirPath}/CMSIS/Core/Include -) - -# include modules -include(driver_common_LPC804) - -include(driver_clock_LPC804) - -include(driver_power_no_lib_LPC804) - -include(driver_reset_LPC804) - -include(device_LPC804_CMSIS_LPC804) - -include(component_miniusart_adapter_LPC804) - -include(device_LPC804_startup_LPC804) - -include(driver_lpc_miniusart_LPC804) - -include(utility_assert_lite_LPC804) - -include(utility_debug_console_lite_LPC804) - -include(driver_lpc_iocon_lite_LPC804) - -include(driver_swm_LPC804) - -include(driver_lpc_gpio_LPC804) - -include(driver_syscon_LPC804) - -include(driver_rom_api_LPC804) - -include(CMSIS_Include_core_cm_LPC804) - -include(utilities_misc_utilities_LPC804) - -include(device_LPC804_system_LPC804) - -include(driver_swm_connections_LPC804) - -include(driver_syscon_connections_LPC804) +include(${SdkRootDirPath}/devices/LPC804/all_lib_device.cmake) IF(NOT DEFINED TARGET_LINK_SYSTEM_LIBRARIES) SET(TARGET_LINK_SYSTEM_LIBRARIES "-lm -lc -lgcc -lnosys") @@ -109,4 +71,5 @@ target_link_libraries(${MCUX_SDK_PROJECT_NAME} PRIVATE ${TARGET_LINK_SYSTEM_LIBR TARGET_LINK_LIBRARIES(${MCUX_SDK_PROJECT_NAME} PRIVATE -Wl,--end-group) +set_target_properties(${MCUX_SDK_PROJECT_NAME} PROPERTIES ADDITIONAL_CLEAN_FILES "output.map") diff --git a/boards/lpcxpresso804/demo_apps/hello_world/armgcc/config.cmake b/boards/lpcxpresso804/demo_apps/hello_world/armgcc/config.cmake new file mode 100755 index 0000000..a9e8ddf --- /dev/null +++ b/boards/lpcxpresso804/demo_apps/hello_world/armgcc/config.cmake @@ -0,0 +1,34 @@ +# config to select component, the format is CONFIG_USE_${component} +# Please refer to cmake files below to get available components: +# ${SdkRootDirPath}/devices/LPC804/all_lib_device.cmake + +set(CONFIG_COMPILER gcc) +set(CONFIG_TOOLCHAIN armgcc) +set(CONFIG_USE_COMPONENT_CONFIGURATION false) +set(CONFIG_USE_driver_common true) +set(CONFIG_USE_driver_clock true) +set(CONFIG_USE_driver_power_no_lib true) +set(CONFIG_USE_driver_reset true) +set(CONFIG_USE_device_LPC804_CMSIS true) +set(CONFIG_USE_component_miniusart_adapter true) +set(CONFIG_USE_device_LPC804_startup true) +set(CONFIG_USE_driver_lpc_miniusart true) +set(CONFIG_USE_utility_assert_lite true) +set(CONFIG_USE_utility_debug_console_lite true) +set(CONFIG_USE_driver_lpc_iocon_lite true) +set(CONFIG_USE_driver_swm true) +set(CONFIG_USE_driver_lpc_gpio true) +set(CONFIG_USE_driver_syscon true) +set(CONFIG_USE_driver_rom_api true) +set(CONFIG_USE_utilities_misc_utilities true) +set(CONFIG_USE_CMSIS_Include_core_cm true) +set(CONFIG_USE_device_LPC804_system true) +set(CONFIG_USE_driver_swm_connections true) +set(CONFIG_USE_driver_syscon_connections true) +set(CONFIG_CORE cm0p) +set(CONFIG_DEVICE LPC804) +set(CONFIG_BOARD lpcxpresso804) +set(CONFIG_KIT lpcxpresso804) +set(CONFIG_DEVICE_ID LPC804) +set(CONFIG_FPU NO_FPU) +set(CONFIG_DSP NO_DSP) diff --git a/boards/lpcxpresso804/demo_apps/hello_world/hello_world.bin b/boards/lpcxpresso804/demo_apps/hello_world/hello_world.bin index b14f46078380e2c2f454d4eee868b9f079a473c5..db25e6b2196c1d97997058e4389f5e160c57fd97 100755 GIT binary patch delta 390 zcmV;10eSwACXgnu>H`o2I*3sG++wx|NPtHG0C@_N`UBPmH4Xp(Q4W*d1ZI=)1PHT4 z1%Lw$Tm1hd0Ptk|AV2`{^OMmCAq>*`FaQ7|0U-eJ&H9u62qqJw`T+2`_?96903ra1 z0RSKX@c5HA2{9QD`9L85_vrrsAOP_3_zO%6OCSIpU;yyLlYt3082|tP5D>Nh_sRbd zy)d;50EMsx0BA-4@cWYq3q1lp`IATsECIcfbqgyDmH7Z55WT=gXc7PblW-0o7XSbN z5C9vu01Hb1wHR#xBLgS~*#Gxblm7`V0R)pR3PS-UlW__o0iTnV3TKn73n~FOlh+F= z0kx9>3@;&_{GcNRI2!|)LKV^qA^-Q4{CgZh97{t2kQo3l0RQ)mlTi$D1OV{W__M|g zssWS42`rPG5g?P84p0HLlj#mgAUORX00m2{UH`pnPy{;s+G4f`NPtHG0Cf(N`UBPmISv2-RSuKh1ZI=)1PHT4 z1%Lw$OZ@*N0Ps}&AV2`{Nh_sRbd zy_0MXEDsAy0JRux03!n^2H5}is*|ebP6B` z1ONaK02{ZHw+>MO#ghpSNg(9?AOHnRt6&97>tF`3aJ|4rm;e9}00032l?wm>X$!M* l4>AD()sv+Ve*qtpB@vgCm<}M5yb - + @@ -27,7 +27,7 @@ - + @@ -62,6 +62,9 @@ + @@ -89,11 +92,15 @@ + + + + @@ -104,7 +111,7 @@ -

T2TBh-_Sh$6`yFrd-Ort zH}Hv?MWIFvYKuwRxy~R~I`{AUNg_Ve%_grUr&Ig0Ag|YvmZ|^#C9bUaz8AzX@%8~m z*tu)7wRV^-p!?o)>5;#)cZR#PEpY5_5vGatYkA~^Kp(uwcyHd z+kxL{&3UZK=N}Ub?CQ#66kob2M~@uwpEb}o0`>K`OM_tIwo z;cnD3aiNLq7(|I~|4yFJ=v6iDVdTWnGBP?}2jpThn4Ou#upV&wp*IVtlShfkr z^bXk$Ayl!Q`4zq^4gdD2J6&&D&Z|p&3&5b8lfhe|Qu5hFl$iQBA3dRI9rIW>-@yLD z`f!4=O{`hf5;NKpHA<)x5!v)*Pi9^cgkZ0We{kIUoaA(cg6}l33yrlUEl@KBnrXhh z>Ll0h^N#JvjLt_(Tn#l8h;>_}|#(9m2^j;pZh6T=mwixG1- zB_0#todY=2Rejh>rBJeBHsVfC~x`)fcIolow=q@(a2H<1yJ7{ zLAh1>zK3Y1*Ib+v^>%XB}I*rJ@#zG9GZqjVf?7amSH^{-WdQw++g`UBjbxpp)2 zxV3LeD$r*;EU96AbJ9W6!+0OlEYmy(9=tzZupOJ)L)ZQ0aUVFj{h?#=NFz#CN81Px z7@Kz3Qg>4`tjgYKr&91BEr%W}<*PCfhT%?#F8mGR?n22r_hF&I39mizYE*)3g~_1Y zy}MB0Fsd5svAJSU*U1R_+5juI8DS=uiA9Rjsifei#y;=*EWhF0q}ZnGHY(}xs%75Y zTaI&zmSG0Tw(@l*AibJ1C!0vO6mcRjK@cbpH+3yA&@rM2;LSQf1HbwGpl<{br^Ma6 z;B0VHiZxy-{g!s03^$Jx!@W;{**0!dW1nq|$N2LxjN(^yn?6N?QvqN}DsDM|)7J`! zI^k>+WXH)s%ZDS-lxjcot^spf-&!&0{jKUxaSY$Re(-JAn@JI8f@$*

    &4gp#6D z04fSbOoFowj+ps`s(-wf0$eQ9HZ=$;qh%Q?V(9Hv)}`)Oy?Jmp9Mw}t;p&_7ij0UR z)yAYcL#PDYr2T3$w-f-!He;J}(KR{BO?ea(Pb_&`ujQOa3yw$L?p>3OOLy|}y~~fb zMtkXdJ5}H!y(K78%QvJG6E3S&xSl;xu6Ekma-pmTe#tp8`cJ!cO-NVQw3AN}pG9Cd zUCKUVxIXipES*KDB(b#zH6C4H1eaAHl@{S%O!2V8e-$b^_=*Rp5_jX;N2U!FL%D^v zuO}B!IAf*gVNwAvgL1A0wHc8~4mM8?F|0EMLs5KSn_9Oe&%RJD2dy*|Bq>@KXGQA( zPr1I(jWojKpUPl7+$R+Ion^H#W`QFKvh&H(qdfXc07onheknJzzt%m{Q~Q>UkJ3l-+Eb2_!FH9v?6_1;8Ao zmf|WHOJ$Ndwv!ycUoB;n|Je=)a62fQ?)|1rKHfBPo8`Ju&&tmgOxb9yG*L&=#F7Bj z)W6Q)FzKzcQdBFo;Q}Xr+Q#Bn!LafMI-z;3!xX*GOY$(H{vSD|2lwf#1py7F!=C~k&*w=lk#(=2PjpYKq4kkmM1|h zzJmK7L%Tn{5z(mR0-+0E9tA~XA*E5FPB=htFU0GaUexn_(39LiD8=2r zZsh_|QME!8jMz84f6J+jfi@6pbSkCN+Vxl+f*5i^mlRwCEg)Py?c@1Tma{?Uh+cEwLMADamaF}B zsSlnG47N_8Xvv16`Bx@6~>QuPY%bNN&LW@p_aCMDZW5T^vCsJ>wT?{$7Qp zbwLyfoe@y#(strT-Wa5=#}w)awxNVP=fbUd<}xxbNRGkU$@@%xui%#b_qJB1}5 zKG$g2u}fMm|JSD=tZENHOGwD$EA8OFuV+3}7VvxeceXUXO0R!kddC9Fm7PMd+Q zn>I{c4#5Mb?4RFE8co4~@j5B(oF%oA`DdRp+kOSQAB~$*zicHoKw{*lKtt;^oj^3v zsuRK0h3cUGxr$GN0vJOT`@*k)>coqnxf@!Hr$&LY*QE?Uv{f2D;$wCBI@5nF$6yf| z^Diys6sR^33J)|oSgO96)C{>OIal4$bY;$vo+`8V8ym6{Ly?tRS;D7vfh%|SC&2UQ z-z>xeI!f_!ggeLwRw^kRWLA$hd8O>l4h7Nn;t1+($MgvVf3IHy_(FaSfX+d^7zIE) zg-&eeGd*%#UfFSO`b?7{#_3=wn752{%rVcB91%)_4=?64iC<+8VK%3RHf)QvIDKI) z;4=bQdqzk_M>W;hd$=3X#E(m|tJOtTN26$#xSQhTZ4PqKyvFfG>FI~xiU2XwCVW`l zanBBHF%pR(0)K@9fQrxbmiNE=_WBqa`#p5_`tj<6@M87Mpl_C|KD9=untBfA?f9X% zx<^0pw)GFn{B)-H4rgbx<N?CYrRo)=Fiq?D5^CqM+ag;`FenM&%$ZG6^^WHB_nxnfZ^+5k%f+ z#=t3cNyP&${}Kpz17=kvWe>4ASS+6*sc`CPW@gZ@b&*Ml$^R>42 z{d$z^<{ECOc%Z?J)LDNkC`Dau-fMjQ_;}8g0O9f$>d5rpjm*e=FItdbbdzbJdpYfbCZy%RYx_w1x0^x$Y?-oPJ*XeE>Noqg}`wAC2>qG&I%~8ZoJ01qk zQE*QO%1j`j5UEV>N?(K|c|4u5S>)C(3QkzBKdl=XwS`ya+A!lv7=OryR@YdW9|T>f z%^qc)l9>}|e*HxqPLp7-YI`*-bky!pE=z&;;MUEE#PLXNnpw%7u2eb z@;kv(>Uaz@@k}-8Z1q|bByk~6 zhYi;I@d5rQfuQiWU@ZC{u6`CSj{k7=v$6i0CQa)TFum{>xXi}#PYsxj-Bd!&jXU%0{-ikbo?X(gFyR-q+}7~zVK2o?$Fb{FydSv z13bqi)fs8Kg+Gtc5byiFZE0!0Y*mof=cE$QE9nmMW>L z@nGrrG#RfTNSe@g(`Z!EReyBA@_SUpP*j!HX;qtw?BE*CMF7k4s-H|-jAJ%A)*LSK zocg0j)SCU7%aX)4^S3SiOvS9o*Ts0=l+)pUGOZn98eMv_bR z#~xh}=U?4p?2YCJY2h{UpOW#cH=Oi_QVScmRpeY55E74$YOh+`S!Yo;7ACmc$HQ;Q z5KiVj^l+`0NHA1s(Nykj*{4oYY7>G2Q~+Uxc*)cxf4r18_4FGSb?9VMzYn;5h%HCh5f5j+r`F{W2b5sYbY@`3pjXadX z+HZl^QvI@g zi0~S8k;-w}uwHU#I|}K02mOz|fQFfH&dJ{}B{{4Gj5I#`CyP`b)8w09bOXM-vppZD zQ`TACgm#VYqaX5>O}+-eP#9-PxSp%cQEuKZ+= z@JAx{gclhHsg0oBW{R)o0F^=c;(|-^+5LEY!}lGB5OcM~E>_GND%MBjqZTVE2jYhz zgtPg}RnLHDBdodGNFQO}dBW2CMZ7jVY+@NYw>Iq9D}lGT#LSgKx+l3#0ksZ*lL)`> zljX{DlP)F(a?x;413cDcqH7{@d;_q(3&SD>lbcpYgY8j!yDuqc;<6W4g2!5V`ASKl zJnQ?!c=JqG+m(8Af@j|Y)=DyHvE2?JP(8sVKp;n#Z}2^=<-;+v9qV&WV3IJHH&!() z>|u6=Ft%0zqLMIE_m(X>%#Uh-N_iOrF}!&iqo;6}4gw_1+82E-sEp-yFpMAq;&9U2 zW1`N|1AxXwW!d$Pl)~ZTb|u7z&Ei*|zB{ZR$)I}yU@@P?;@zEp*pgmnI0f#>gpR$^ zcO(sU2OqvZpLFTmJ7>4>!8Du9wBGLdWHcx#`^D@HXI(lOAS22WcX=59ibo_Wk(8&lCs5TXL8Nb zbg-2do-N$o`OT?>*GK0Q9D2unCG}RkqYJfLB^@Y5iAfs`wpMi?S?MG8(7wB&{&NKC8sNKWc&G1r$i!O<*@pbuo&QW!1P&*PxB=p^07gcBd zqfR$t$J}{OSZFD|zw&=a$BWImIcHSOxb;Hz)e?wk`#!FJ+cNm@0;rQ6jorHMJ`%kq z3!MavMJI?UxdIIpT@9?f-@g7eY%lJF4XL8yx%Pth9nZN_G!d8lLdC-^w@lgI_jniT zK7N@YKXZ@%x>pueoPs+Foxv(tYVwke4`-eVL<5kW!AKUi6^q-izsk)YY6K7dZ8Jd(6YB%)GpFkv)nF=dZtxH!uAtt};Edl6D`Ds1~AYZ~BSn;E60Zo`bT< z2LT~mF}mkfHvsLm|7ObxOQs?|d_iaDqjFYvV-;r43Zt016?CcKyCudQ^w3eGM`Npx z&V8|5iCraG?CgC@(-8rvN3aVqaRjypMaT3y5I69z)B&R_3VO_`GvCAkzf*$z=ZbEQ zfZIa7&sMjrwbU<+B~{AacgFWv^$W2;gtYGUwyI6ue)&LO9q=t(P>CA8SfJ$RY_|sj%{)+YUmW--Qpmud|@{ z)@vFi!0!hiRJ}=Ts}~Z8oGul4kN8bE0f^ZbZ~4;Dme-5cxXrKS-SaPvmA-}#SQE)Y zhnt-slon)-!^78NZy>?TPeaAXNzXd&{h`}Sz99d$K>?N0q$247+MxIkE(eIp9J*~+ z?)oZ^?bCZa~FA1bQ?mNQOudTy+JOt$G;q69vYT$GVb5gS){LviY zP>DjEKZ8mpF8j222}7sBr}CkfDj6jv2m)7`N4)JT^?{$oUErrW9~%&Wu#k7`P6k}~ zN-SJJlf}Mp7qxy^zX_h1P{kYg;s0YsGsy8|P6h;VUSXU_^46=yKmq&ni_N2+bM2z_xBfBh6(74_a@pyavR@8|i@f6Ih!E}R|SqRSe?sB zgYaC{L@|ZOq0M>&3 z)#u70AMRFtF6Qaci<0eyx7{`-t&Tp{vGvAe?zgeuu>Pso)X?*5JgdBWT@yd9bWUAW zmu(P>C9Pz)hm)chm#ofw3c1FjnSFe9WeV-X!1r+D=ba2wRH&d?<+^Yqx%^V&X!Bgt z`LJ*Mtm$&(^Jtb$O*x*GvO`}m0hX-koT75|tHugyxu$!(*Wn$^;RDtr*AW$_sFKUV zrNQ6A%#7JGq_PSVEje}KR~rOL;5uY^zm)H2Mu=ir?_z5pm^rkRZikuev*MybhcLp# zxr?dxx9$=V%c1WVRWV8g3LOuy)ZxfUllBq){PS#z5zn;#&#dV*hyX-`Sl;W%jNpLX zZDc4a4I$G6_%KF^q(5_%VgR3I{&{9W+K~zznKnuKW?6_cMV=M6XwQD4L9<{VjyaG{ z1OM6Jhex`XYQH3{&J;^^$D}1^P`+=vhnv1?eeV?qUa+)YZQ`Oml=QIE4SRTnX}x+| zmKnzRltoK0!05y~OJj?*e24UNePP6a30pdlvzNDiNe;LwZRYf-5|qZIX^k`g^u*JI zC1*t8t%qs2v|PW1Z*zK9aVNZ-5^^6>u%k3%F=Te^(bCV zQz$avUf$Ts-XGswdaWCusbXddOKhYd5jkc7HkEIQTzny-M&DCkZ}@1v$IX3v15m?k zm2_}TgV|rL4jPW6S8g~A!BRp>+p#aN#X28`)!h>EuR%)?3*b0qr(nK-o{c@QW`XDhTl}p=S z_`Pb{6K{KInuFT|wOd~d0xLDm%^4YY>~%}Mv!~*d>P+hzRV7)R5V3Tg!@qD%Fa0$C zaa(RW6;R0k#QRGU`SVeX=DcpD4z%P~OzDcPj-xEuI_b+*mC!6jqNfi4>^Ozl-{UaW ze?;b3I2iv2smsdpFB|~yBs+XW!-)l~v;V&+TUH?D7HbOnF%9pZD*XSv3v80*1QLG( zyI{FkSpILx|6gKsFRwI&V65!_Xez6{)37BG5i_T>y#WEoz)JhSAl?7bb!KBtVTypq zNcngJB9)1XD7aF9LzF<-n#rY27I2%w^XU3S5ho69_us0uBXY5IFt} zr35+!%8!|H4^2yuGX0B&IfWO7mJyGg38=UN9LCQ6cSR;v=D#6i=3xJ4Maq;47+N)e zj&#f(`{%C5>KVe&zG4ZmUXZ5t&XrC`Y<(mlxm8lHAFHB{tKHodyX7K^$?JQzS_m%v zHDo~f=%>Yi!MwX8)XN_qABbHQyPb_yFZZYO+1I?6tlm*mHMS*FFpKFzeVCX(^*&A3 z-W|yIEH5iZyXf7>S6o9@4Fmra-=FUQ*%V7~r6!Nf#o2)C<@bZ?-w_{PX{@*N^D*xL zVM$pc%(~`v=Ao1@Qj;CTyDPQ<)yYK&3ezF>cXw`I-{0@Ffz``C@0rnvW}U`ETpU*y zx62ntgjfmCLo3_eZy^pJOz%!Wh{0q1$HNiVtMBzzA7O-jbY`E&#p%_~Ji`Xy2*BIv zO)xu{bl!M?DksHu7qB;lIG#ajl6wkVE~6q1Tu!cqd?nOs9kJ7)Ygz0hI-Ovsx1NS2 zR`NsfJszaW?x8PS2vdf6mz--aFO#)I`(|d`pU(bd> zK7-`+a-Dh$bV68yK<#mZA0q=u5lKG@Obh*7NtM{AdWEp)XC5YsvX38EhaM|YF9su9 zuBBhV-5rj7K&fE?sXS2Q+JO7AOxdS|#wi)C%R;|5RHSK-LSZL|Fmt=Na`miOb~cjZ zb;!GAGW<-2t2CBd5!bIPVmJt?q=HiKAT1CTM5}#95GN&7oak#^;qDIL&c$ZfXOA7N zp;lJXEo3}G%X$))x36Ki3Nen15#AAuNA0)cIl2eenN!tpXP;Aw%f6n zpbbU01u0b=mjIFf!idg1!e!R@*wQdo=FcH0A^#tBSKJN-pmF{81 z3q3Jai4NS}{(i{gsgbO?xafJ%?-;_biMa5qO$R?k9DNzTq|5Z5SDETTT!{f$f%Z4a z+6hrWi)mBt&}O~H4cxZf=765EI(%^?CtjWZrQFTea*@*k=!V$p8L%SoR*HCk~c(t0n2czu= zrkUp@-CpupK%3X!0Ucn4FXtw8XC)ooFk53ZSDtDXyXYB99*{h?0#LSu;F)&k=+>Vr z+tq6L@U}*1u$n@a@Dm;}x3!)jifaszeZZRem1^=IzT`YG)jUVNKsJXCZfx2i7OE8@ z{q*p%JA-o4c2(Zg3$)X}CW}yg$Etx_5~x7ZZUQ{;m4Nl6OwBGZ6C8e?6;GQ` z%Oaq*gg=+*s0Q>kJWX&~dcM9^ez($IKhW5~*J$c~KV?p8EOK981`K|3x@^(UJVEH2 zI%s|$O=++~z9QX)qrEvzh&JFSlWTSdY$!okK+qhUW(=Pw75Nqu%>_7hfb6cLBUbYb zgy8Y49xt{%BC6W_#_e6oLIGo|bW_As2XIZ1VqROQ6!~f2b`Id0>jhAw*2x+o|Vl8;|VFTJk>y4<+ zi+NJUm4lCRz6i;tm11q3^zB7xb!lb6SC6F)rAgN9Z6nFXFy8vABr@GLvV~@E!Iu9O5RKbdu^d zQP~aWQ%_T;pM&;1I`^1lT-0`YoNsXOeD$m~^Npa=g>QN@CF|w7gm>nI$(>bxnwy{# zbLp#yTrXVhU{Cx4y(n0%H!Nguzpi-r9b~w3P6=k4CHxe`jZ#Z$IrSzjpy8sieu|6S zoSr-8diSbyuciny@`by9#@eqirWUU+$S8%`h^t)VkwP7(-d1Cl-dVCMMp0}W}T%mRkUvj6+w1&J4K#UwMH333RLzlYe;ws*W5 z#77cxTP3n=h(deO`cg%f(UE3j;tKtA?KyGQa_LmDoRu~P#(6Zbq&g~$Qvi-j(Km-*Ud^YPxC3Sl8D2DA|4;k=CE}j6IpWK!lS#bB66=}~khTLtYZhG zNRr1!7c3CJo_I8Y1Oen$B#b z_VgX`YRK6A1eJkiHRdQnFG$L)Jy?Lj7EahT> zX!;8}pFB#ilgc6y>1U?WqFMu6M7tdvR4si zbB|n4XxUJ7)gRKUG&QL4&0)o+Pjdsu7*$K=(N+L3-FZ?n=&zPh={jXxQE*}teNUXm z-;BJ|ig0*RGVF$`7$-c8$1+c@a(siK)XGfBfW3iCUn>0=48ffLu%bsxW z5j6qi`scB1#5sd#V8t3oLoW!QgJ#*SrX)0f9kmvfCwJ^#Zb!ZH84n~M@R1`{-@9cT zXGXS;PxA9~6xHkgkkKM3!DPWKs-y_v(li^XK`E(%?QG~zXL;-dEf80T$b$4Vv``!L z4<)>BfYFM7U?R<(At3a~CtD!Mg3_El+9a)0Au+t^=hkf%*sVbQWn~KCF$WF%& zw#c-B@NR`oQ;bC98r%#Qw+^G^)U>ccOkwO~Q(gDt=M(82%wJa1llwT}@%cWH2Sld; zbN?@sbZBvgQ#aaVli!jJ?VMQ60079GC6M4L#~n|W8;h!)X-JTp6C5BIZI2m5D@mPr zKdl!R@~dcRjEqdV;lTGp*dQXAIOCje>H%fN1+MvJd6saegS{cQ9tSV@6R&@iYY4*mbq~HAv0AnUx0zLPhI+p&O?mn^= z)LEdO(=ke$H3lJvnn0%DG75X>88KMhi;HoBHtRrW^;@}5y`?+AJvLw8P(ac7GXCL^qa(E&ej z&rM_1*uuh3qV=q@;HW(H0OPp5;FI499lt%r{JzzoO{wl_!17E;B`U{)aET7G4;VAP z`E^asd=DZo7wv(??!+~wGRwb{MCt#Y2Ka?HO>-(~f?^+aqq)oNfv;VNlFv)$R3FgO z=2@+0xa#HD`oLsU7{}RwMAa)6rDS4ML1uDC4nS3j`|*g7&#ig!3|OGI00M}!0F7qW97ySXqd}AT zcV9j$u$SULEh0dAw14x(v9bLnfBOW?xBN*S0U!Ue^#dRO-#&d-Afr4GZjnNXO-qrI z2TeXwalay#|TEdiwV;bbYg!8yCw8Sa2IJ9U9`n1Ft%s@>#Hekw! zi4EBM!S**h!^Qqj?+1HA4jv{LP`?(Gk^!HE131?o0=Iw6frS3Rz87Ft2AGZcZ}Sv* zTw270DH`Y$K^$5lAj?0H>Yterm>dGq(*K`E7k1VJCOmpVW=;-Zj|$KQU{ZDeI2hK-xwItDgT z$Y56d*?tYK{zH5>p=xP3Ek9`^Uo8_TSbt4BS^WJ|nL3h`UiMwV+%(~~yuBwPu^iy# z{Dj7*ngR(>X1CD5x`oA29T6n(^U2vFUG6&j4)XOm>hjxs^|Kz{HH~)h$2-^CJ>W!7 z-jaQ3?L=N);4P!-jZa4w^W$wn_BN%Ma9&r($krk|| z!5p4mR#kTPyE_MYR673$Nn`$^^&*au_TAlc^GPvbVjX7TLi~G?Ug7*@ns2of_R~wo zd(kD^>qq>1+TE)4R_WS9r<~E<4dCSHXd}=&)=l@F_t5VUM z1a(iRH1@I?=?<}73l^|b`~sxb+GxkBeuzO@~j)&CU7 zGiXI8rQ+`tWw35y&r`tU3o9WG{jq##f z-i4u6sDukQQ&u$e4g^WKc2|JGkr^f}h)|5!DGqrWJ5*pe`7oh*{>v!|7z(jOBHVLlpU?oKVM`q0?w+}) zZig)SxdA&khuf&h$@=2b#N}{8An(6@^eq}EKE) zMW!l&RVlT6h#I{+N#&gdYK)@Ii<T8AF*yCSy4 zY&LY}^lVM6}xSoeHp~f{Qtq9-?7&+x+Z4?)Op^ z#J-tk&!3DwI#jYGIk~oOnff{xwh`Qm4ExfHwQ_DR4j1?Zxsnw?Jcg34oAz)!gxJnB zd8Rw!(qfdn)L_y;JBXSLWkggl^|KxA#?qC!pq& z2wD%iI_&-zgn0^|zOOf{(0VnUd{HtrpO}6#9cre(&al;V(hht|cn@vAu|~r!R#{lp zh6co{2%o}l(BZ-W+Eox0>30D-IsR2Ah29}m^EOsy*9qKFf%?4cyr&Z#Sf~L=UspAo zN1=UfG`BQ=XT$rhkv&$`xFWPPlf|w9c0^m~-k8e)T zn)zrEkG}BbmpZF+_n3Vu=ONe%Z&fft>?e{N#)WTMX0=NNcs*Iwgm||G7x`<&S2<3< zdgu%gjYl7*uzzXRIUieJElk@DFGu$K>U>hCsn%@vWn`Lvs(`vtvm4*cB0Q9y1@S21 z0@tKBSIVTD!ZziJ1+NXMuPekDXAlScwL@pK;I{lJ$==hdbcfJp`(0c+U$P)((s6{o+{AjoTTx#z6#xP~FqE3eOR zIfByO8P>`&OAF!yX*3&wxf>qbVs)hUz z#9St$;mXAdS@_5oOn3)rNs)Lbye z2=A{tt39$;+p54n8LbI-kyE?f)YWJGD|POuvU$c;PXgX{s6>viQGYXu{>;MMrdZ*z zqk<9AI)~iCW6uNGXF{e9`?TMWsM!3u3_M#$ zK=-*^)@hpu%bj_wb$1C~7D$ic2t!n-noORm-y9YsBw`)B9#`zmvU!P#1B ztHbxiW2dMW)egRrOy31Xz40fF=K=xX9pC&3u3Dx2gF$P_YHLs2Sgxkv(M0=+PZ_A1TZ6opPaDax6+O7f~82^4C=Q#+sQH8A@aT3-6iz!tIZ- z>&1z0DOKqhZHF$Y#+(fLpzA}D3^?Ty7x<;=Fq&$GZFAN24Q?cpUhS7<2GIz&*w!y| zWp}kgM4?eg;m-SG%Q`BYje0>U*VJPB)O?b`Ws>-U+%!pEuJ?Ab;k<@>7ualVYD2b>GFA?JNuLZ#Z2m_V!|T>MBI&G0y1k`_Wx&YUnqtdp znwn15*7q%7vp!}Qh~b@@-&EH$inYZ!t6A7;uVeBUf`%#XNPn85Abt~nsUA(+fd|yhRYpuCbd*SJM&=%?)=WcFG*X-i!PaxC$Vee|An|%|sgSsLfqn*?l+H6^PXg$p8o6jv#26J* z#CN*lmE#XP(b5j#2?d@oqj}u0t=CEF+Jlku$b8L))UG%~9U|F+XJZecsh%xInSwko ziMt&c>vp&xR^T0@a3WY&pXJb~z_@CSRw1J!J*t!a6wVmyQG0g_W-CEa;qt9e$tbj_ zsK8TG9J%gv$wN@KHxjUjhHE@F7pT+gFOzAmg6&Tpb@T;iSf62Q~D@r7#ML37Aw2ru(nQ34AoVh~cv z$d1wcM%+Z`P?F6NQ=R5v^)RC78r;(><&kC!7#58FWUSV_n z*yA}inkhmFw{rbcc{ zY}1w?PJl9ub1VPO%X4Zw?(>j1zm=b_5I4=CB4@z*rY#&G>n8RB8}X(=%aYc!3yNu%sacsE#!Oc@>nJ|* zVaGXklWgm2pW=!{1EJ+!Do(n&M?fu4xv3dq%V;{=a$*ZXkkq=sdR|S+=9DU%;u0P1 zTu0D%ZX^Q0&nwT(hUC1K*>z8|%Y+(U+Q2H|-y{Vii`9xrk<~hAi?@%{D=jP1k9V^< zJ4F20M2Nd8F;To&tro%S{c&qM0rJ#SR?-%{26n8+UY>WOxN8v~jzbIj$O4AJLd(%v zjADB%dYZ?AP*%;G)mbN7b;zyrw9Wi#5G0d4xG_0^(!wM7D}GUAe3B_SooFaxdoHm1 zxsNj#$Kqoz=VQBtpxp&ZH5FXeT;{a=<9ryez&;&cn9<_C`!eyv)ws^toQudTdU(RD z+ywu0E98~7?WPYlB@jsdq?_+o%Cb4jqA-042Ul-DBXLEL?53QMD(vmrN8~NTXjQ3o z@cFa=+bKeZ*^knkIZMgz+lesn?Bn)~+5zB-Pp?ZX%5n>?y9OK91YG*V2Aq2iQzgF-p}SNTfLRI-`J)tDNb+B#?7I8*=jFx(916tBA&R1rdS^A zYNDL?|M{HYW5YU9V|qco%%z8GVIshnwui2`WH7+Kp7Ky9Z?t?T`?%Bj@uMlAAiKG?l*ZF)e#V|=G)hN$9j38fw|T_ zAtx(+Ysl#>i*D^a@A#nG;z-jaAnol9n18ANb#i563YOMY$u&X#-A_3-OwB6;RR=#4 zN01{#oEb^?%9PuGfN}2_8bZld)IT)F?z05nMy()`X3viSB+`5%)L52q5y7;8cg6F) zk}zjvbGIW@q+j&B;wn_WtF+^)NhNh+7xGv4g`DfuFvSog&}UT@)8}dU5kGaa0ZaM2 zfPR@|bloG6@7TS4w?!zW(}X7o;Y3Q6W&9-kRK@mo*?Cn2-Zni+^{f}qVSA`5;Gu!p zZa-VfA>6N5R>xmA^~TQAuZ8#GSSOH#&NQ>xizO_88F2n57)bt8x8vw3E&!m~X~Khq z)z&K$dz7fVlH#z6(armrQGierK$c2Kt6}bVseuO0Z#M7gIV7Yg= zy_T7F&)+dpu^&NEHhU%aHRTRPCSwWh)h0&Ycu|mfHpq}2(w;piY$~`HOC~`WR(r68 zlOeXIZ4hB-r(VaX#7zjMGm0_zexW^FYtRb$dXJ+6IJa}QS6>+wSF^N> z2X~j?n!(-O-QC@t;1hzoGq}5JAh^2|971p>I0Va`ymHQW&!4^4bXQkbJzc$f&F+%) zG3B7|xG1v&X3CDOf>f|yK;40|a*g!*=6>0eRM9ywVNz_8>ffXjla8JF7iAuQf0DFL zJ!+qQdFy;mThm-HQ*9m{j@4_%`Az^xaoX0hpmm2t(GjrbTNStyt{B1kuH5tH6uAD{ zlq{A7T;dS^DFh7i1NIuW{n~QsKUm(Reaw?#!&R}RZ;XdUNP_2NMO=5gZUtsy~vRjD}#m}xVwLu;T?;69OQ(ahsvv{^WY!T)Vf z!d?$oPdx`qsJFb!k!T-w8oInnJD>|GuN+Pz%|z! zP{N)vQ@mNqL4)Q_lrZZlMxjp{yup*e1;T0l?GQq%M8#^N2WppFxwXdeto!{*X{>L9qfF?(@nBRn0j+vQ!l{!GWy-@p*xqjlzt$`Wq}jdidAEuB^*W9t)}sSO3Q^z1D-P$ z?6H5D@NQ+GMJC1dJ~}>twe;BY(z%mRmCmM-@0jcN)yL7|M{yNF8s__ODkAmfTb@H_ zJ7(Ru0*O}^G9@N=BCl>Lx~k(djuU!?RKxc8(uj*t1Q7l34i1MXy!*?qhnWNWt6Q{3 z@6I^!G0z`YPrqdM)_XNXBCkrqtAGNK#7wW~2#h}_xkpvmcxl3~%T|_kQ^b-n_9L!N zbV%5e1RBT{35_&Wv4EK4-tfWsCR83*7uX*^Q9BCfD{fKyYNF^t@eqG86oTOD-A9J&wfsAFbWW`jPA=%_3JuF%fJ_$?&;#?km zCHAf*+?h+o_n;=Ysy4;inRu&07xs*HguwdAb>wkEAWu7mPdfBGv^-cD(Uj&w9vh(T z^&=VjhaXf1vBVm0GBmG5xTv*u+MK{1iAz8kQt%bYC}-45?e;Y1Muw^eOuZho36=}# zJ4-w?BTmPw1B!G{@6KT?VF#dqE5*}gAr^dw5*})We?%FXN8_9%VeydN88?wvb?`AK zd>b63exH1|V3$?_Wru%%4n)n(l^zs0BBb-=)BMU{0IbIbqkI ze1s3CXFXw{$EkYzFf?IN_J)>l4l8y8x_FiIf{_yT+@2 ztU~cTf3pi`Li~e~2tffIN@P+v{bnuQTB$3@^c;0QRt4QT8r7U0x-uU;a-8%%=1|9VuFoy7a+IL4t7jOi;&1kYbPPAaOeXzR%0O$b21TvhsNvwgughjd z$8D2wxI{H6G&%*m#@=`)p&4?->4*VNpGv_BUBuOH{i_z(d;rQexobW zZXBSO^HdVyV?#)%5ky%S|4BPtw||9OpA`sI%u#CjEW{2Z6voUaStn-{wI!gaDRio@ zE+g6BxAECKH1<4@M*R%e_>ynWhws(;8pw0#NV)?)D+DqGHvRBz*yhobQ;N-2)Y3p$ z#rD>Uk0d7LFfCy^?IeCD0zVhCeCS@MLMv1s2_i#(9eLPv9tl*cp&287nm>O$g|b9; zi1vqX!^;r37cE(L;_)zfg&Ll7SzeVB7O+tFELZ-Va0@BZo8Yl&%_1}2El_ZRBqTU? zR_gu*zEB=M1RVS-Sri5Q>+lAVhyf7Lz%_IK&%w>}m!~g?T^xW3;-v-9Lvgc$ENB6A zP~2>wI9dQNXzrSn1@u4*kc8y^%V~8~8h{MSJpF(O#m)J5?Rdi}r?a-X&1d>2*uqO? zOju;N`nq$7RagSD=)USC<}ouGk;bu8Ak|PIYP95Q^Lp-B7t7j3noOmR=ZQwc=-Web zcg5xJk5@zdg5EtFZ%-2oJvYx!T*IIf63?s^{$Z3Gi`{50^G6#;r8#+T%I+7j<%;#0 z{bi4lnX8+Zb)c{_(C2A6nJ|Xr{2WbL_zhd=S^ts4|8c9VG(%YUmHx$9s{dViEJ^3R z-0`kxe@nx=mz&(a#)HRCvgu!A!QP}Vm$%O!&HDpdN#Ej{iWW^4I{_2L&cZKl&sY2< zXH9B$h;`f2$CvEkd3YrX{sAa;+W{m%AW|L8i{sM6H(={s0LjJN!h`T;;N$MXI@)4e z^Uc7KC#CFZsj1%WPMNuEf6LX})r=q2SY~vRL$Q?xHRpMmsZqcBj8o^aPs9!Spe9VY z!=vlAwE=7f41S$%|BU+^f8ad;kv zM2kWwMc~8^=rX$xYNQ-rE?zPyS35cyH#N{m+g|;rN#fR$aZyW&y^)rEjI&+V&&cIA ze>8PD!2`eD0QI+wg4g1D64?Fw(==C>CPCXW8;xxdG**$nQK{3Jw&1$ncxTfeq_W=;O9PF#xr*Q6V5sn4a8K5M?q(});j>$} zU&?oumJ35s(FR^ zFhg{Er2UBeU7a9mr;7u%J?}wtSbhfcJNvP9D%t2JoTbbWjttGz-8$FWx2n3l!kD$g zp2L>ALbQf5*7!k7=3Hv6wUW868a~UL7Fi(Mg>Nyhci78r#=YqTdXZ zyiuaOt;FPIxYd=VzwQZSKba3RS7uv=lV(`LCnWIXI-9>hDo32gm3<5dpb=+4kW4|r*U3Wrz3 zv)U{_Gm8wo&Gti&znNf*FLVcv6_Jzq8#)Tkrxv5x1<%i zhK5D3vJfg^=je!-;cbb~U;BB)k2%r|UuLeq<5PZ9HbIv+LEps>=ZqYXL^K6X1$@!@ z>isPt8M`oFYl&>$#I3E$k^4R$dRu>(XZq_WXITII1VeC0X{ZmIt}$A8ObkSVK?*g9 zr-A(Wr}M7&GwQ*Wwx?AMgri1WKAO`SjE=t{V@TbtRp?3^G16tXtr_SGn&QrF%X@0q zBm|*B^)EXfG&b!Oy$7N+|=%@2M zxN>v2#NMi@S|uY5S?Loj8B2n4`VlzW^@k;Wq;AI>fpf|Qw|?H2D%9_Q!Dqj;REaX| zcUHr9D&2ZuFCgh$>}CUMt~@@d{nS^ut}Mo6@nziMAd@3bT5mrY*74)94&_P%;HsTf zfM2dPf&MY+5@wV)qk#!Fgo_svtrznXGt8$@_Z4Oh_bRS{c0IRctyCccP54k z=U`jtlKO)i%yI`$K7Bmu{&%A5DvpjzZ;Yk(_*f#0*1 z!y1>y<7gXVD)rBFPUXU~Bb;b%ir{vW;^GQ=4{GsjleKFNsYK0Rz&_M-RE~Qt{uotE zzT~8Yy1>m`37J#;BBGH671$rs<@$myWnXvQ4PbmgbrFQFEJL8+WJ%NPBJy==j-{E1QTdG_cjNE&idw7Km)%iOTiGq3_4LqUhrr)yWdCRRy6Env;;tR#!v?ddx(Ao*6c(0{<07mw%bPbH-HbNZR z!;Qv~U1Y9j=-e3P>d)ucOqEkM^OZl=mjFp6JHQndM9}2DFQ2#s@dE*-&CI3FJjDb_ zLpOHLc^K_xJx~IDcfR+cq+Bv$W4hLzE5G60kRJ;m1YZ+AI3?)DjqpQIEK4oYPP3g; z0sfIED^)A?(D?iWY;3s=9{~|Tew8G((7cjO@PkI~NulSD$6{=DCEiVIww6sIJ4whuj;`Dd{v!DYy5u6cTimSpModhpn?L+z;I#+7`V zLrbtD{BSFZ#EbrF_P(rrrkoI&;Bkq*S!=M!F4%`cE9FaR^TTQ_-IPLe_NC^ydmE#l zc75mJ+c5CS$f%Lk-WYO(@BD89JHg! z;=(XjpW!j%(B+sDBhefhMeA=6O@sq;`8y`HW}%zlOk8h5Fu31uv=#p=&kh9{_u4>m zrqZHN+Owjm&r_m$1acQ50}t%xlzag2IVZ=t;^p``>%R4;#yJ5Nvz@$Rs$HkN>GX{K zWCu`QH|HLudp^m!;I5gUUQE2qUq8PjvXnZ*r-7)rq~ZRl(Maa2aV<33=p4yG;2i&M zcA3x`J^T6frl3MQLE1W0liXBi<)98u@m{$Md5eG8_Nn4omH5^Yv=C&IccE2}*MP2R z0V>6c{E(LR4peSapNmv~wx2AW!jbq+Tp=*4hAyYxyES>BLLuC*xoFWDiI28g8HY_~ zLXyL?%^5SzHEOE&(RT+&tHFRF(`@us@tn+ai)uy#H7BcJ!-$y&x6@3V_G7bwSXHXt zmmLhm;`cj)Gi2{Su#llV%H?WUBALGMPrxfN1U}LErRg}s#{1}iGMN1YW|=DYXKjEn zA;Ho}k`E@i3Y41w0A#N2PFE5)+%ALUm{rG@Lhp|YZNy$$AJl2li@3uz@fAergJ>;H zBS+xVf3Dy46+NM&su*W}5>ayNf91rrZ#}JRA1N`!@6<=>Miyj^6iy;6cOv;n-z_~3 znU|-_270!C@UP&K4Uh#S$#_AXJ|6<3=-#hFjP`ufEs%ZxLk*x&=nLr2XtF2*kXs2^ z@-;4sc@cjrserO#&@CyY)1wG`HZfA0X-h&(d1pihE*ytGXIxz}I1O3k*GyVim0_w_5MpcAD_QqxB1UI-shUELNf ze!s~IWFcIf5?WF|_p}hXIB=~ssKp_1X`iW7dE}@gr79deTxcan#^-dd=Cr;i8Oatf z&!?zu&@Z$_|6{&+(r%qkoBD_}m9ZnwH@B&{5w-phiJ7ly^VUp{+kT%n4$t<=d2_}! z?xD}59b2A7x(SD+nJveGVPFv$?WUOnM^2h9b|pVL%&KO#nY&7GyMB-yKIi>mW|iap zfjk~(0)IUVoZu(&x)7t!l3Gd9D-&e~d6`=NMq?abTk+l0BvMla>>kTh&Wb7n2}@yg zpO#)Xg3M4vpHgMw_V_-|B_B*X86@Ng;~<5Vc`GOh!OHZBO8T$b5H8ID%N>{Bh!|03 z4}ju((sh)T_q$C47kkM;yy3c_WP} z5vJJjEHL?-(;^e07n6(=YTXTCd6l6r(Y`R2XvOsgbL*uzcR!?Wl zDO3xMaNkETJZ5kMcWi#_2%CU3& z!lea5Jp2eLtGoP7D&3d5RX27k9C@yssqP<70KIf(1Hx z=!Lv{oyKzIT_jfgOwq1dX-J=>2=xboLkDJH%0U#z>{+$b?H}b()zE`d;-7{g#0k;L zG?g?xmGcP7^?>WWcd3?s9I;$a z1d`MX8?eVNVsuCVP(P^BamI3tIu7trieZb^K{+!|e(+(;*9S#~C{>CJcQFwMml8_P zPA;W3AH+r5^+IfH4}4Vd*F#As6YGJ)p7!n5dC=`3jRKMnYr8A*E7?B{!G`#u_+=6< z_jB3+*@s9n8JMq6ee76D<$^DNkWlG25RUQZRP+xJ{A9g=Zo}2ShewJ~Pg=TMU8l!5 zVR1VjF{JQ;*Tl1~iBw{~^^}0C1wd)-g|HwL9i`Y~$5A#hYGuzNi#K26w1bj({Fj*Q zW=sX2f`KM$X24saIp;>I?R4A{{b~`Nm+}UI0nP`W@6l^9t2V5yQEOh|2VY=U`&BI} z3o2ySS)xYdmK%n4b=r(#hA*0lU43xL_W17<27Akh1;BjOg{`2g4et|;)*qY@;Fw_h<0w>vr4X(aDu zo_|w)6VwW?aS5EK+iQtGS|tB?)Y}_O{wqOw6Jhn4wop-?sI)Ux9PMt6T{ASLE~~AX zKo`i&6i7Qm;f1@tYYa0)sV3h9DIK1M2?=GPKSOK@Q5Cb*7<6y#?>GJ{xKD2=Csb3% zYIhS_!o7*~=^E*>Rr`I@p}ZU;4>&^%QF`w9ZjyH7gm(RY)pOJ=;y2}*5x&fu_qIW2 zY^ho{%Ss|w7)>Y8A6*->>L<)QKjou`8fgHVXi{mSU&>etG~X^l42gf04V9d{|2gF) z_ME7D5%~TJeDNY^%qSYUh4YWOkrtRD=m*Lm6!ND}?Il2eDx)Akah0_9&FwGXkUc)D1d^OcE zgGQBk72XeX#gB|~-7H)`-#^zvm2jz>4Z==!-Z zV(V<Y-wwlv}nmBUhJ4` zDc6ks-$;WM#^_uH1P-vKp$rJW1e*HtOfc<}U{VU1W}EGwM*gA`N`#We9L z>Xe>2`SPHa=Zr|)i5dUX@PO+XIIlY5jwC{Mk$l#c)jfH?)`l~<^r4N)l_hXomlJh6 zq^BZODwib;Fd^huBTPBWEID-4;v>kZtj4Wzhdi}sX6jViO5vWn-&&|ZRHMTbMhPxC zS}g#jOz3HsS(%U-1CwNFNn$2_I+4CshlhFl#^P37jS<{EFZK3f5Si=(EH1G1;a~4l zGx+i7`icPy|FpUex%f(0E0DWjy%O9{ObsO=f#Xio4;kK0enFPOzI6zn)#SYP7#Hkb zTVfnbJ8X!i8&>hIiCwz^MJ$%xXZ;+|#LzO)T@~8zb6X!1**l zLfYJISp=?!4(CcLvy;RG=*l>-c97K##edQ8IwSnUUMGw*|)0l(U&*1xu`@G*BuOwcN*B1N56Syd@4rdZXvR^qZ%lVC0 zhXR-au@qb#atL4HAkw$Vd(U=g$ghchiu2IAIkBLcrfP(N7*gqOfym;1B3}wxk&e$G zMX%}m)NoACQn)%!{Ox?bXJ9D}Jmwb<1K9*vPIzT)af#wl?!64ly0%npGWi%zIm)e? zy+>H{B;N!`w0}`c!4dY5yWn^GmUsQ-*|9p-p*Bm-$@Jaj*MeHMheFlsl`Y1#fU9h3euW}K zTtV$OghNJmlgR)Dk+kBp_lBcT>mKy=Q!`%}92uyMlS&q$z>Mv%ZY-(;+v+HNcb9k- zZ+OjJn|F8`mPXkSny(~MPWA2%TMA}a@G_6*RklxG6-owSeQ?`bDQ}u?THf7Ziu6a4 zdLN7&4MPl?Kfc!Wd?PJi7g+6krVecEs&i6*4f6`b$I@(53-zw%V&4wB zi&pnBF`rI4>Bif(G_gEmdrOCI)ZBi@ir@-Yub;=kHX3HaKmor~C$xVJ2pYUWN$!vN zY{BDg#B|PsRm#(r>rIuE!T#*8JOATsdc_CQgbMoOgI9JySs z^JVP$skhpc7M0A|jby4|2S%99%+K+BukEA9F=0~A`#b?;58Z&GM2m!8N}=CsYQ_?3 zMoU|Xu0T7tHWlJ%c~RT&23R^up2+3c4w@ID*#fGVfG4-7@qHFv{~Eb(dmWgLhd)rdMLIN6!_}|lU>~0-&cEG$ z^;e6Z(U~y@D)r>P@m1&&S(oN1kqQAz+qcvlD_@?Q2@XID&$q1&#oXx48F)G=pUo+= zzVL`Y-*66l4cx&YrGslcluaxgk4V?3_Z%NTEb-@C6^X^n4cy*ZK3yu&yKBk)x>bt* zd>e9WkTU<+UGkCP-Ai{_t5T0Allrm&Y6aS3c>Kb`Oxg3oI8*(^8SV<1ZFL?nAf)Zl zoGR-}+wJ|KeZpCoI2CufZHpKRu5fs|7D;s$+2m0;j5ef7#_h^&8!{DSgrk}7^b67H zw0MFFTNhW#r|TSgpv~CBe#_f~c-MY@jLLw&d!%$#u-fWC=$R@c>oiWj7b+C^t zS+yd@*uk>>OXGV7B3Lw^>w~3y!Jo@S#@CpM#oN+Hg^hVlXqqVFd>ykZFXswgIDth( ztUU4K_3K7ozcyv5cg5{`;STS+1f*wYecxXG5}J@EQ^Mv`65Gwnif-^b^WTXC*meLo@f^vYB!7na^Dh<>;}ar$bVv3Xe?qol9& zw)3M=Td7++_O8`VcO6X~3Hb8u@WpUk5cDgYDjwFBT9eGA(T58ItvnPHdUC)*Y`x3^ zAY@I;;&KVW0^LN@8^ic1bR*jBeOQl#{ubazuC3Ttl~IUq?G!lR6bkxoU#!$tX*2~4 zEL^9Z{Ay8ApBNC{pOkOnCiD0WT10k-P|UEo5#(7j?i!Y+7I)nmVFL@`aZ4-_*h08D zAoulTtC?0$uw@IPiy?$;A)bq&M@{H?a2>lHDCj}l3Wyv?AZeOebI0(xU@p6hX!#+p z(y7P%I9aQejdvF8X}@;h9#f88n&_4Sqa|&Ea1kUgMvj9I(&4Ug?eP%;4D0fn6B}G% zABek-vz|ZKd{N0TTnDNTQ?7?V*ig%kEMY`RTF0@Qg;sS3>ECHaHgmK-1ypFgAf5-5D&oel|EPuxWG2^O_9o zc3&`taOJN}@O)_2U9u-mGAc?h(Upz0#A)0Vd zE)-J{Egg+NWOyI5IsVbXzDqwn$jlwbwMH&?gBTHWz<&)yxB46=F>^f(b_*qd@Wvbe z_2Sgb?t7mZhZF3oT~M1GZ-tv*j-*x4h^+JZ)zk~iA5KV*RiHXxHEbOeIu_95480va zKaxLgYojd8ECoDlpy{QipRdl6ALz^-$`KOne-ufkzBM}O?8s8bEM9%Tk(jIsRFD>l+*&~5snWPV{wVrPUsReRhiItB4N$ICKG#4@8S5$#)4D5_e;t--uFC zvT3}J=xQAg+9oOf4uJ{E@a=&}SWAJh4eUltxO16-PFk^#kaGH@&{HNmUQCpOs2#LW zTX@RU(F=T4#O%q0`YrP0tBo&6tyqmyaBn~&kV#kz1wP8k9Jd0?ROXace%{;KjlnZubhbtpl6a29K8zOwEcl&cTG1(nGHFhDJT7){Com@vRKz5X8b4-ZZdk{o~- z#HIki0`bWK_=x{QpyFof^?#U(lCiOa$P@w8pcXj*<6i=SU=;x9pm+rUJpR8T{GF!z zKO*pe$mPKSql_wG#|Axl05cR1J19;WfDbB?2haCa8T=TN2QdC=URMW@fO3=oh*03v z-W5tl zqO|IOAG)dl24Zl!*Z;HDTrB^Zk0==z8;Dg0jLCp1cqxI}08~uQ|FHZESuPe<5V{(G z5Hz3#zyS%X0Y3a8Lr4FY#Xm%Bpr}7YqZ(kMuWA6UKSU(ZoM3s-)WOgQYk)-&QU`GS zH5mC{aYV_uIY6mu;Q1TX!FYjf8=d*zzJD11|EA6LPyO(J>l|DyLdTwH$@DF3rl{(_5(g$Gom0iL*18!Yyg27nzJJOL<37l8j~<+F9c zf~ji)gaQAZ_Alf6FPpz-`Kto?AFiTgJX|1bJ@Bv{O@P#2%v|)q>Z9rckU(l$U_ z!4GdO04e7G@?>QJRcL`}MgPdMrUkYlZqSE64KaEEBq&~PQ05=L8rlE=>AyPuBh0@# z{v!+j2o%|7R2% zC{qW#zs&zw!MF~X=+9z-MRfi1YQfV5x0V@zClt{Iu>9#l1?%_k()}YB2Pi=oEZ6WK zxq5X0bbpb7^b7$QkencRJ@C$8|HFY-55W0X7c{sYAn42hKmbbD1A~Td2=46B``b)V z!JV8SLqh-o9NS;|fTj%rWFRhm0K;EnS-4pL2bF*K$v=j``tR%GZ$n@MCCY;JXx0Z$ z#9{>ApL6;EPU3$d_*((Ce@z~Y6dN~)(HIPepaDSWf05z^MH_&Xi8KVDfNJFcaG(wY zuxNi{!umffSwYVRU`&?l!L(F{0J=1lKghBEE9^fLvw<880mM+?*Y2N^j-Wb2zy~Nc zHqeIQ-^+lC%k?)1e_0?GxS-;n%`PMal4$@?fa2qrNI;fGfEMb1R+@}iTvkHe(gVEr z|HkOQf2p|GI6)%vj5t{A+}zx3h-Bb>V(DbDBmX^b^N)(Ms*<&=<~jpnX)*rs0?@>HWR8ZBr0=b9?~pq&=IJw zyfCsegMld|Qhq$-xk+O96Zrfk2fpUZ0DpyNf@vErbf+(CanUIka?bA+gWpIA7vZ<( zr_X%+35bqC4_RaZhhcFy&#OfzY9Z5XB6=Gu4F!Ii)HA>?=wm9erre{SM8uhwg{km{ zuNd?{Nslf_(ar)13+(f|pTsWU#WwEf-t9niz`>$t`b+zK&uN1n&-XX;cT4gi`EFDy za6QBJSS_O5*YsHoZHImC6g@BeA|yewW#U*ZfP?eEBo;r%1PEfKM_EtIlSK7Mlt@n)!znEsX5#n0rrf&d+}ydPpR@dpK4 ztb7(yvKFGDvmgRyv(Fpx`6D>xBsuZS7V9gd%HLUhh%4p{95Dr>0%Ls!e{8ujlR>uR zBh_Pkzbt~hh496AMI#(A-T3@bELyags0+FTqchnD=(dwI_M8|oK1<=jz7{{R%35m} zN@M(~lPWXxX%1H89jNeU;reXT<#cFr-`H<5l^`YFNj9y z*Ta4uydqAKnl76Uj<1*=DD9}5h#y`s&vzYfA)oL`itL9>IS)*4J=+M%%W!-h2kft; z{0X`Vg(LEMdwNNCf3px5S-}iX@3DNyXi)$%yEDb?woc}y|E`BUBlcpNyj8mAy>C6s zGKk5VT68<#@Vcb}^&Fa%=tz~(WV?gi3JN~9Z=UUu(nJ>JpwGIEworSCx1;!PJV=_ z;_f?qH)%K-pWcxXo-vZ~+ca>%A0lrTFj2W(WZF_49NRE-2KbV~Xnxp)v?6)N@OWFl zz8bw^cy@ONdB3$mV1Tzgwb{Fg@U01$_gr;Cv&deFw)oi>E0uF%Hp6BZ%_wv{ooaR$ zw-mw{Z3T7L%wnlzPM#<7Ek=t4Z?`HP?uGnW{)Hz-Cl-wzS7SmT0vI+kK51sd#m{n-->Am@Umzp{KX(VuSQoCK z@c=U&&2tBCGVr^TUD-q12sF2qGl1JDllz}$oOff-*pmYf(0Wamn?x7q$9{fF;cy2Y zzSSx=Mz8vo`Vj9i8gaQoKA9x}gPxRkV0#JN=O)h9+cE^>D~8M?_5+9B9v`Q6dsIqR zPcsG{aZ?WB{C^!X1INN>XNZ;y`LLye5a5_IHl|T4Xja@(cKCvNm?d_}wsOP22EcK6 zv5uy@QbC}k7NT7RA4ztidJjO&Q^O^3MpS)bmUz*(!cob^^)_c6B}Ui+PMk^cy$}ka zluz_dLCd~fUcH+`{X(1H7wr`GzXMQtVz-Ya%X?;|&BkI3)z$M^0yH%}nTWP$a# z_r0RM_CiK|FYnINJFyJ~e0XPn^oT;8LA`pgXVx_a@4eQxr@a({Gs`yAK^Z~2U>RI7N>`sh~CZ5{BycaqX=8#3zZ-2UGSNJ2 zQrpzn2X40g@R2I;-_eOSPEUp~Hki0#IGl93H)f5{&d(Q5PbfS$+xw~3surNj-`Nb} z9B)M%-mt*pYY&`tAUn zkNOsuj@67$#0!@P(OfjVU$P}9{w?k@?&ndot14w2qWVxT<63f;d(ex!D$r& z?&c5+I-5Tmj*r@AB3#l!U@cF-v>oMX*o=kN!zU8{uGqBU>xOb0y&k;RbyE-aF z%Fc~~k>sws%ZsDaVLdRO%2x?MuW*3_VB>nooJ2HXnTBaE8>f?~!a8cUR6iQDkVp&_ zful4lgQwKR35wK6%)J5dR5pyetHmr1`b?ilM0x5iyWQp>sdF zB29bXLC1TN4!oqNAR~{XhYG$12qekGU}K}V&wlF@>&HKw^N=)ko1k--E;dFdhq^5| z(7e=}I5X?@p_9Vv)8JYyN0Y z^%^&})L1=?myO>Q{0$i47%R*g-^#StfXRI=YH?to9V>i#h=OtrADsX_h{!h7l?)BT zL?b6xA9z-Zpoxss!(7U#$@*yc^+ZQW#)jO3n}W&+=~cL;P^biF4K7ic(s%81Zc1Fb z8Ko5=p*f{M==L%W(Ur)vSj&Ce#&tnaN`rKLOv{1hIWGxgh~+5rvAKle6-BFazG8+A z9@J}UmLViEcam%`bG`ONt7RJESl!fP5blZr00_udPmh5Jp`$vG`hn*L&l!2%E8MVM znJrUp>EYIx6ESd)A7N4|NRza)?FB(eIe{c&TbmB;zmdBRRo75_F%M%pgrQiSh>Syn zN(bAUHWZc-vufDswrI~=fGeWUi5rK@ea?QWMI$!*G8zT-St&g`uG_k_fXhpxR?kpy z9+>@@X;h6}KO#kp&4~MCpL0^B$5X=XFZYp6P5Gi01AG0_#azOH*opK4KWC$Xh5|8h z8~SYZY<1ujAv0}M{^Fiwz zy0x&j>x-o`_P7=aI!2NWlen8Kjcf!}5Kw8LzWy1Xu_n)5M9k(LS2MiJRtC zffzn%0$$|={_zqL*&j9@ac*vrDK%4~xmwffn5|V;{n0_{uLEI-5YxMk} zHHFQSvZsewhP>K#osGndnMdpoJpWBLxD}W1{?gzLD!62-i9$eF8WM;gc|1w zGjc;6ewU|c+sT|M%=c?jtYlye!5L6mSfwFwc!1>VbdC-KvA&xQj~70UHq!25lUzNG z#@Ob8U|=2S>*LFfDS3Cgf4E=JW{{fBO}#eD4JSQ%SkfNrax<@z@}{Bd?48RHQmwTZ zGoH;ea)1=gw&Jv0TB&^9XpiU8W)NBzFmLEx|3Cq)xN;b8QE}5ZnAnvzyba(^6*Yeu zYFLu*b({D;A$gH_o{hBYabOebDOuXBPm-i|GOcKxPf@b+`3%Mc`FC>OE*v_v(QOZD5e3|s&{!dA`v-A!(E2vcf<5myFE?EnxFI?qyDjf_u zGjeI)G;8F?b$r=B*WYTZ;6(Yb_fF3nMaza&pIp+rYbl@e%1E5tc$j-H-L2Dzl{PUM z8TTnlz%m!EuQKRv-I@E_kGV0lVmo&}Cx*)d5FSUdqU}e%6s2OMh5h5O(9+P0((BSN zrDg)d_-Z5tl)mBF*gk8Z1P&83b4|I3`>%G@1L86IjFS98nVh>M4ehcjw3!-bbZ=Vv z#ubN&DXuKCqe;`URqXAXW2CQi+M||kpm2A0N(@7uY*N&cM?1X~DO>WXVvu(6LPbg~ zg@IuZ;jf`$x-OUP>#$HHA|g+e?ID!A!c0cS4}COEyg%I54VgXy>4*`-pkWdGL`4e= zx`kAN&RB+rWDJF(>iqD5{b53?@jJX~kj9v1y1=mIbath(37qM@Lp%)&#L83lMR!je z`5hTo5R-h%?UF^CV@G zU9aeE7|{O%SkcIq1@(i!2INpJH1OpIzdLS?DzIwK87q}$G-1`vH6yns_sfkZ?IOEcV%UEWp>lyW4y2f1~KHpGp_uQf${gXtF5^`PcQw9 zl;kZ++M3UTy~Lkb;GY;_-13vp@QPR#Ze3(N2<>%#0Pmy$wpuP_2yJL>(Gps`Y!ivH zaWNc8l_eq&zNzJ_|nXh+P$FMJbUjq$)*lLTw_=q4njE1d??2J-u+m>ZuiT@a2pW03kBu35P zt<^&%x8VAg$jCt}>eN9+}OHA|-?I6kC1easdDj8kVh&arq-{MGjc zLHc=K{8_^2f#(fLZFg}rcMn?z(gF|X#vGieoj1Ga3|e$ zP%oW3$Rgs}jOsnQ1PCAUKme^L!&0gL6HkL74-k>*!;0oDZ?>ZIZ*4AZvcBz^;ru;A zJ+TkO&?M@H(3S7;-$Cv|ERIb!*73yX>kkLzG`-kEb!$R?Vmxks2AT^cnTL^nZIG_R zbj{+SVk>XK(NSJ9|C&GY9u;4L-@aEPv}LI#X=A7yAMZ38WoXz;-Bm}unn4TCKH5}u zTM^hvAB4S>j~pzX+J+plNv-aeb|$Xd*ec1=;qmej^`P(_aOr6GPGsCx4qS%KQ70%Be3NMAAf zF}u(TkQr>s4~NY3P7~Zfyj5-vnd4S{h-Iw3RdU3@NkgAd!-B!H@g>rNfg1K0-|lQ( zoe|_~V)S{@I)JRRSzb?veMAbL!uC+&Q073=>VUVR2+oBSLaqduxc{o(g-}xr6ah-4 z<&^95LkNuU3uKR61&Ld-AaZq>r>s8NxCG7hR%sH4X7S{`YlqQk6cH;yTp{u5*zEh! z1t7HSN}3y~K#|JXB>lJod*JF%qT^c-pOue7HuM@SE$-?e1?r+1tL9KBWV`UbxKILlO~kpUDvO58| z6q~5B6OmAuecl0czteuV4n&97*~(*s)+04kcsdFvIAXi^SmHCl zv}zazbz4F3eC*^{tGPnc%3CFj_OuUBHPP;@cNeOp77)X0z3W)j;~5LI!@`01nPk!j zr>VT2p^f^`s+w_$hWRBxdCn6rPt(t~Zj=A*>YHyP(@6i9;h!B~K&bgMjxK|l1{de`4uJ;cv;-M_m5etSWG@_7@VQZB%|$#Z<8aC)J8 z16d6d$8$2r37`J<47QsCehzDQnLS)QVB$2Gsw`2d72=FKrRr8WL}pz47TO;o9y3iy zNOb1*|F}BKs5ZN8ZR4&*ic_Rm3GS}N-QC@aJ3$H*cY?dSySux4ad$2D(Rc5C_Br35 zjEv+-vhKO&n&Zim>$av~d?fGZ88I)%?SQnf@4TlzBRNw56yDL@1Yt{DPLRUW5b6B< zysna%NnJh>PY5+Z3oTm<&&gVZ`H(c)x=pmc=n&^pnpp)n<{fk~mL*`ySo&{J9 zUQ2|4rCuvJWkDtzSWXneeI^_1xN*1}jLOQDda8ekCKvcp*A?2Zy&%)~I0Fl%52*(a z718Glv2pp$J{m`n0t^DeuHvd$f`JCH3|f+w#vV|O!UF~+nq=k+C6mKR_>&S0^$*P` zjdMHVy`xt3DJwmX_5JdAAwm9US z*blc2-clb~2<}ofTCMkcm2`GBb7XApCUr(%wB{g z4ZIi6n*v{ZiAF;>l=`mG7%$oPh%^B2OpZk#4yO(4fM`2#RvyleFG|Rjo+0NVl8fGv zL#i}%a+eIJr#>V`Dx#m-RU!;TC+RUJJwvBp8nOO3LN2Bqg9!&`YL{tPY5n;Pnk9i% zssRxgQ;v=YKj)I2{R79`W;V80Nl_cjX!pnXqXC0R5rwi}*HoAA9C<#^NxY+V$_v^u zMHI=&F5_~I@0)Q5iWIJ)-2KnmlT<8hljXn#2Cd7Rsw}QtV2)*JNo_fBkNrmbd)z$p z!$L;`Vx_WOd&J5ZmXidhO9Ys9mSWWVfDQd{d{8)Bw}H&}cHz-B!Gffr&`AU52u;Mp z--8DED}sjP3V54j<<{Mg4&yR-`wrt~ngio)3@1^wOJ6XgDH*INEV&6q1j}mZdfaZx zzOjT)^r%?R>gKnUT)WHz&l2#24e*R$NXQBel`OP>$use@V}E7ZAh2fgpNJ4Wt5%D^KDX($C*wCiBV<~`AC_!DZl5P%kz7W{u*%hTPo}@i75%EEV7b$3L`YeB9hf zg>DmXX}3$qA?S@Xfy%yT%Pkg4MNF;P5ZDKGbRo_!!RZm-F+f6V?a_&+qJPlSgY|`=H%$^&xCiT4cQV{*slE!Pv zzRu%Ce*>5MEs9%d_QIOud5J1q9#MKgueB`bG|8;51JzaQ0L)z_H(IL^pEowBZ|kO2 zH};;iQbGD2>f_2?hV3^c3ib&H7Ai~!jn?*OU7PG>A0TI1y>*3jYcN@O$bXRpe5eop z6AnCXwqBDG2YC@xl-Lh=B0?O4G44=QQu^P&881a^uopuXRKLAxh8;(~rIufx#2?!gq|YD1pG5Zl2;b>^Vo-hw=`Rvd`Ok{6?DR}s=2apWOZ8OeAu zLg?71>DewE>b$~j^!bDi&?E!QeYNAtZOPIsgP%WH+^%nN>>s_ZOGOLgGXdcrS;tb_>Pwb}$vt^BBBk@z6M}l*CtDbPIDZ!} zOvlA-bgy4vOIkyVd? zMDr^1oEgg|CnKC_<#zp1YNgJ({7l~ty1ez-I@&o^ z&1%LIatzL63y{xkw0Ge<4xz|VnZ_!@zq9yL=RMFmUAusqLm^B6H$^3+KVX`QylQQ> zz1KkWg1c~BZl>`ZexT85(zfK0aL0Fou>b;=aixw+-cv;L4t_=w%c*7P70J;T5HU&?fha~_$LZ88!R*rdVeHV8 zOpr+z8!krX&q!weqZP)gEszQ$Vucw(9a630Nq#B96CVXngY71JIY+fTz~l}MJY4edBr3vQM=-l>}SV-7>D{}>FeDc zzDVJO{sgs)8t)G`WFpd4{T+NS7slI}h{oZLZYy>G|LO@EYr`+s`B#iRV^M%n2cm_O`uTOVf%F8+@4)sLT79t(zhoD9a>syxz-)I4495w0_k0P) zA8K{4g}Ny~!1JR?!JZK}JcJG*9Ly~0OHBjY!_$>lw*}KG?dgd>qM^!dmqobhceJ{k^DJ6TF(TZV4H{NXMg%zkx_GvpCw2pQaGU{DdV%oWZ9FFl#6DNE3o z+KiOXBXX-P^0|cD{2bD;f51k&T<5;(pFtO8vYthv7yg;-yTJon472q%BHh|`HfR#( zkaHYyu~+Sy&Lt}_996PgyP7G-ApRiWJI9#8Q(Aj;W*(o`jGbSUtvMPzJg+|d5E}qK ztc5k|a9%~VX0&>0x&xbmZoeDabyj^GmNVJCtxz>~I(zUoq3zhATEA8XXA9l#=}M^o2a<1 zFH$X5Yn`Zlcx+g0{9{`Sq(3lOb>uTr#&Wb%vvJiaXc_TIepcKBe!iDm&wRQqK%3zf z!i!*4&K*7cKgqa=hHN~ zKgJ0sLF~*%wc>XN)(@E_q^wadSe>Sp63b2_jnsX(?Aqx<>2mhx%BoR@pxJyhCJfk6 zyR%_e`KWQo?yBPt9CvN=SjEB^^l93zY5YUiVmI8tTT1^#y>*7_+n5SwCm0`0&*gio zAMATjhM!=R;WlRL5Q!TM76XQe39*@seGPUfE-9z@83K)*x{r*P39c>cGg6K$%P$HA z@tgNy)*l&;j<{BS+`lFEH@2H5D?=}HbXjU>WXt!Qtm|93fUY6WEbp;vCf3Z0)^;wm zjuj7fH=8)En>Tz9)Rbjo{qhOp7?6GZ5hcQG-YoIC=*(0Wq;H}{6w&avuocK{81m3< zPwoon^pYTsMi}C zaD@u|_Am}$Uma65P+d*sKk{^0T&CYpuqDuS-uG?=1KRPF{kc2EQ}%V0(s2u()~H1! zS0gZ#gNN$HNp&0}VZUhDy>3oi6Gv9V)#yp@wUO7!!ec-wwN=xxd-3Vh+%3MMy-VBU zT+D45j;L1F)ria&FI(HOS2F6dLfQI36}@vuMX?)RE*Pdiccn@CNew2I2VJ0zHEZuo z6F?j(0)!Z{5*rG;pb_Bu%n{??|0y)M=lBQ5&K@tJzTUMi{We()(ji-jHCxOXm7g{2 zg;49X(l5x|yI;HF*Vs})2krp7dQ-RZMCJKUYARse^ybxBSoL3>JFkk4F)jwvQugp-h^A=D9#i4aJd ztq!C@H-*m^N?tChO-ZNd)@scD+tFX>qDhunb4#7J;9!iZg|f0ct!bu zLv6QlzG42Z_)pr|lXtzh^Ji}Q`wLqBPrN|wH;dcZ7hqzmd~Q8~7GAjmNi2d`V5mbH zLNL?saDdUc8&4Z2nQ^WYfi3518NtBfsQ`XlDR#yuncKyFtlIN7JVY22EWqKi?{lN^C_DOP<5+@%e zh!#jXH6RdJD0YOxJD3dj)7X}@COrWrKnQ%D1}hQyMU52#sfq?qOAZ%iDi?vq-fUTg z?_Y!;t6I}YbHOm1gx2HJp0G;u+mBqX)`Yxkol3B*iUzx6EG@Qv@1$HM zKsyy+%i`?&FfX>zH0SQ`9eD+x`sa%+qiWh?aVg+wZp1#c8d#25!mZ~Enl=j4Pm-ZLrj+TzRJrM`)z z4@<}3mDeI_!}HJ12qFKoGD|FFhL`g6~l6nKvK2lOeS9;XIpL zc&=xiJhidLCN(-jt42&@TvK$7RCMH=d}5S&a%k0!LR6SP$W*2y3W0KSGxJwpy9S|7 z<8chLd}{r8ufHh~SRByGmk9<^0am zoaBTkPpk%?%(59&sYU1)g?qf9vR!nX5fNWtK4gg0^jr{_kD4{m;c(-C;=a?p$n#Z- zu9lA=3^=m_w*3NVAYm@tZlgBVa5;kG$lH^#&Sg)d-P(nUG?t-#>dXHJjtWg$9 z-1&uSn;;V>Y;S~a9Kz9}DxR8#u3UULRTR;wt;SxY{SCJjOn|pvG^A|}hx!{5+j1FO ztI9I7qG zaZ2S&@Gy)5{&_FKy)s`A{uI$}2A6}TgLRtiJ0+aJ14e1-5w?7arWdzdlfrI+*ss3l z!Cf9-H<9>H*7}$M*0nJv`@31ps zGsrxqD_WA|Ft-Mpl%0l_?~WOEZAQP0mbx?y`TSLwi*{I1?bt87^U!m4uq<-tM%sIy zZnB<;uAm1fYgSR)Q{4mpBd|oU9$N3Hb@PGf1~)h2x415}?2U;#auc0h>xJ$cr zjW7FKX$t#=vDML3TS9ggUgVKGxg7PxSMKg;7dwo=X}lD%@= z$RW{Ux$vly7fUZCd0P$!Hk-p7oN|XF?-F%mRl7)VP9p0RJtISWZ;jq=RT^o*2weNyt%;U(`PE zKgKMN5vQ!=zn45S%+>}kZ~b(}tFQXhU8rR{80Y>Zs09^$I|vXBoe>p^%e<&xDy(P( zW_bL{8X@Ye;^&AI^$4%ZxQ=~X3~40b3Yf>;<$J$AEspyc%RI*x*FV@h_uTEkN9<ErJj~ z4s~_FRCfprBXN!2K}nGNMt1<7=su2$=Lx-NxfiZCH&O168Xx?#O!ZVTJLB6T@EhKN z_30mjQP&EEhw-+kYQg#j&;CZ+H5O*M4VUf6aA0%E)l5cO*JEcPy#uqWukob<^Zcm)F$rp6U=dGJ*Ao#v}&>d!zyVRMbDme+wR^ZA!%+4(zsWf9JSh`2B z<;ZZNEFxQT+636>l13u+r3VkVvc4D*JI$qATap2UZPfaBl`SeMH<^U&px3rbGl#Gb zMOqwuun>&rK+yya^0EKeSg%?LfuYA&y|T4$?livReqV@TW0Q7<#W{!=KJ0FX_58Ze zmM6#1*K?OBaCPz;5G_x1mP9RYPASZMrMMt85+scDY&k<7+`oyFFK0V{tgNYgEwNH@ zE%~k-tgJaNxDOEzw)ny)X?=Q*Su4{4B)OvcQb*EBp_Cm*3#0lABj7@# z>WT5S4UUzOt#iKT@?iRDCc~}KVFwAb%hoQVG8@P(;bycL%h8p?5jkue#DK-feqw;a z!gU0zaex}~@2c&E3?#o4*LUQS*ox^oc8cv1#>|a_BHGUQc!4w(NbvD@YKPP>7>9g{ zP0FqpO5DqwpC#C|FP2!-IYb^YBv0;B75R^u-NRi2#aS86FOVb_Zhi&BKg981glCQA z$z{#~-##Z>;K6*KMe`hbqIh(lQ}%E2ZF27ef3Z}fi*de_y}ppQ67SvSxfgj3QCBvh z#8-)d^E9#B=_6jS0N%h45@$!QxN8y|Y5Kk%#LluVyM`GJuzwtif0nQx8Sun;eiR!k zSHk_({YhPhy;ON9@Y7-8Ob}>payfFh_Tk9~uZ{a5rHZVuUXpYhr!#(*73`^KG5zhqFd|c0{(n>Qz@$v znl|MTB7w20E9aVyfqOMAd{zrbC+mhBb^Cn_YlLKC%*LdjC#D>uH0SaD38FbS?!vT@ zwEJ0~MI$V)W=8S>AKr&WB+p;kgypsb9EWB>@_eS}7S+|O)2fi$nqK<-aQnhl?;ItQ zQaN4ceZ6tp9{APt?e@5Dn!97Z!+0m9|E$sw(Kk7Qu&`W@>3yX1K(_6*&o6%lYjLbM zhEiaMIK>Nemjfgv3-#6T{cwva&+yc^U?hYJyF^{49)55%P3V(tN*dHg2P7{l;it1U z9%Rn8b<vjpg5Q6PM5*7t7)3L0__uY4EO=nrwEhuT#arGX@lvq>(II36s@d@m}NpIW@g^J zoF0gNVW1|ibyC;Nmy;keqwbQ-u4G0Fz0R- zGzOj8Yc@rB!IFLhUkiqwS-GvSs$V1vZ?-BCT<{z`bf?G(PMO`rU$#ggd0ZEPXoXMw zdI+4M=mxX?6h1)VF4^sEgh)J2n%N=bb7%$7AE)xkX-nYV89D03%Hh`}BJ)Q!3&pe--Zf|K(@jT<>ady*(QcaNN{8Xeq3}_}V+>I`<<%k6sm<;SrD1@htEMa!T zpGDDh94JX5`Oizw-g1ScdVL0f;)Q5q*MJ&eZW6XA@I2&M32pMk*B?B*FF!{8rm5{- zuBJ3bY#QPk_@Ye(8+0%oEKhBH*J8o1*U^-JVKQOBL9jQM?IlXHH6P%C#n1oD<`VC+ z3So;z5{d|evi-CaqA7(A_)I1kN^V5{2y^zMqyX#=EC;QYl2|mcT4Nk-#4;$(1sXWa z_PcbPIqGX>JN{;R)`V}&+9|xVwDwPYt|DCb_@A|uky`+a-r4WlSM)y|B=w|UjB-ql zN5KNV)V>8pmtda`;^;~>U=Oh?SG|ZPd~A?gB@uJFnWYeOkd#EUU|@fxIu;S6Fh}MJ zsK~m2aOx1=B)e5_a9<+QiRu`fGCBn^-X+b8lbi0|zD29Y>Hhj3CKdn{HfX!igiq%hZebe$^W_z=Tq>gkrh$G)kEJ z2u`gBL4A{ljC#lD#vYIyu5$e}VpWEFLU&^O&5ZDqb<>inp^-K06?l9Y{(T1Ucfn^@ zfgiqE9JF2y@U%QFR!TZD_5PL~twAYPKOx~MKeaD%^@5STZNOfC_4wrk0ULCN$g*9f; zStM6Ep@GY5wh_3u`fXGA;ya2M5OvWflA5ji!2FRE0o^A=DeSKPTS{=oR0rz=Uo4 z`4Ot4w?Gc=6Bf3vC*HD%dW5M~Yx!nD!@2@JMan_lq``jp_QtC-h!1SQ`5(-duv*?*xLp?n$kdHat4DndEz5HRgUba==-X+}bNK*%-mOd@!n9)@twfLHZ zI1xiX^aePr$7Lbf+2)i&?90JA7~{VQ+Rj<;A_257k1e3oxnp>^U+r{jI_?xkwrw|w@2=MW+S@-u381k{+l3}UW| zGU{U%$F?uJZ+}KshfHE}-a{!iwsk#=x9F`%*3#~nDS$pHu<}|&;tm#!E3Bn2T_8n_ zCC7g<4T@|$@qI^&;;dak;(6Tr*qy@f*D1nf%3PDv`I}TEjiTnn{n{ku^ee?4T~wS# z;Inehy0LUEPZz^^fqNlje0wt32wO{<(sYf8U1mmz3VfPr9iJg%In#ajpdQn(wM zMptg5+PpqLO|?|?+f}-iW;4C!Ni!U$Ciz+*si;`O=}hI!k}nfs*ci{AYL;}yGwRSbp19SF6pX$&R`2+_hH|bc zYqPJr7wJF?>r5^lp|le5H^~>U5jTq#v0f~UGkUb(mk8lkD}xml&{wcIcf|pOn|SyRAvS*(Uo0S zCvVtPvtg$xl;$%WIjSOAR68>AcFAQ*W}uk+T_i#rj0c8V&_+LPM11_T3i@X~5_?#7+)L^uv(_ zY%V8*BhOp1;|06v4^lI;*yeT8UgIud0IK+@(u{-67nz{6$mtcd*%fBlQE{7LWC4FP z;18lFY}rt#TPaTYBV@xhU_cDzX69hlXs7KC>e^dbJa0Y1O=G0z&&^pabPW0#JF@qV zG?DikqnA}RIQcivKQenEpdpv8^3KL+J6j@GQyKPq;jn%p966@j{g*MXoY!}x6@Ivt zPI&s*zRx$hv__^UjpV4B>Qtqtboey*KvjO7`{$Zm>@x^mJd+OkWd+`f%1VK|J4Eb5 zd3hm@@kO+0C2buY6&0Oy*^?4~R+R*M$Bbwzg@e=*ns2YWb!9aWeLx{eO7h}@AZPXO z_N~SvQ7KDZQnIj0L?%xo0b>zaOdXAtG=4+NaGVSQ2I1R|c|8PDJt>zPehxngfE1K| zhC<=!MqjJ%)q$rINi_R9EZ;(R5gRfB%V95RMVoCD-*Wy=Js24&zef!(77E-%5mJ>CtaP+ z7AHtO7eks4ORQ+eaVnkN|9tFYD@Y*R6_R1h_%4el^sWh%YLy?!1*`fx&=__~=Is#9 zWk+pYY#nJnT<653z4`dm0TdhbXj5$D-Vd?1Ywc9eG3iupw7~hy}JyF6r#PMGx^l+>lxCngQ z?YK5~T%Hv=2(!Ip^RGuypnH<`>ZE%D)BNM?xvEL@Mx=fUJbO`}B~h zC?|U6xdjI6vd5)iO%Qf7fMp0YFJs(ddRp?}MoC`k@1paBoAGM`cU0NZ*AeKOUO$=l zTu9glxn+gxIlazEXgW(yMJt8w3Pod3xt?Dt4+Y?w1Q8o9a(wcQWFHbVLJk(pPp_TK z0Bbw_;%XvJdu42ts!d(cy2^Qd-e8=*v0$rqSL#y4QZksVs_aXb?;*cDFB{E!aysC= z&0J~5V}DxO&|o17d_rxNw)rtzSt}^8T!n>G<1wIi)~xicZ8&_8v@B_26&93@tgz(vBnM3$L>UxTsRA{k+MxrUN*}fg2T_`;{?F zhrkRR*To*A07}z8yc_No*^!4VOQ(qn#ee#e=#@LfcAZfGTW83BtiS`8LLf-}R%J19 z;L}7TI780;pt((QeF<8{y3QJ9IK4tcld5HS?$Y`0@1*u+ZnazZ#N)v#a?XL29kybu z71>%4O*3YVsZLnd<5zk=ZhlE-9e)|aCVoeLZb)N0Kx^Rt9lYlgQ7KB3r?Or`BMg38 zXwSUr=YdlSlV8~bI86*@ckbqCu6MO5Ua<}h~<%&_?j2mnw+odNhePFN>)ZlrV z=**sG{i1}XzOSjN_S$U*9qc-8!>k4@*{iQxC1%}pA`W?%e@UI%O}sjov#Q^%T)Muk zTqbQsz00`LLfiJ?Z8pgfYsU^8+SE+BiW_{zD-VPPT5fUl>2>YEazI_JUh*JLqVd=X z=<@bO_1+*^AaAQ}Eu&6)U$#NXLGu6u4^ZVn!ETUqWJh2;Vp~se89ulJpLl}7IuJ5C zyKl17O!W!Ge;!O;ddZr_1iuBAOxKGeGh@gzav?J8Y}1Jlj#P*ERyPowc0LvY!G6sCG0(n2eydIZ4&ET(z@O}X61 z7c>LX5yr^k?)VX`=c?F{>%zN}4WfrjmGn`7dmihUs?#s-2-4S|m6G)5w+Q9+yFwmF z5vnK?b<*Otd?CK_)Mw zRpCI~zi)nzTcuZ2XE!=;!F5~|?%-9(?;?<-*los#6a6}&rjOE(p(eis^|aFW3j*jU zE*-9@{^g8vLtfvU|B5frhMeC*UVlh##IbR*E880eg|Mz8uPd)39F}sNSW!Rroe;rO zoO?KvilHvf>sJqF-5WlF^zrD<)#TwKf9~d&VR{xShTYF2k0G%x2&Gs!E7aN|zadgK zE4#?`3L=D7CZe#C>V)m{)BS*FNH0JuvoR@dBi$g}J7nf#eABWpl2$rT0hGkp=aHgM zSy<+T$3brJ$HMr(afMdp`NxX`=D@nhPkmo>HFM!4_jB(q(WjY)v=A>)vjtO*gPQOy z?fk037Fc=;;T5gEG~(L?rXksQlijACnK9ONAg^p7o&B1!C9Ycz;{rd2Y9a=Xz!dyJ zFZ{0OKLR$AE~%13PACjpH-+jfde{j0hs4Gy=O(@->`Oh0gT#?3&d%0c8XS?w5zhkf z%*}&}PDB~;h*e(5ft!j#HZ6BeHj_yy=Dr43lKaR@q6Btj1K|Q?Ds5c8G|W);=gX-F z?N3JZ)7zN`HpR7x>Z+&h0uK*Fv(hXbL|ZClv5i=^FlO{VnM+RlB#tDlV`n8ECxN8*19_A{dADAcm`e%AZ)+YdiE>rg#3Ssg;HTvDS=+=eiaq&FA>t~q=ik$5R_bLh zk*8mMUytZAB$M)^RGN*x4w7DlEZn`79w*^U z$SBxXs-Bgb)wz_V%!@++nqVA}Jfx-_AME1ZDq1c}Ud>6kzn1=JP)W9+Pk=a~?ljw* z8E&GyC@YjFI6lbi($QOQ;R$;GW@~m$XJG-@MV7ZE%=xy+DeA1p8en}<7-=Ts zsqSK?M`PJvN;)F@ocLvjhMI~Z&m2*bE4D2qgwbLQb=a21qoE0GtFo~tPY4gPQeoZH z;U;1??>U_BQZirv0A($W>>H4$`9;SMgfiM>HA;>O@O;{Heisx!r`Fje(sb%V#wA!~ zY^QbOdI;*;B_p&XbSx-pZWNVy#!k2kOo!K;lEh{QZ0qSB&=QV1%~u{0H3##Vt1SG) zFQm$cl+pS^Ia>QpykLkROz3nDW!D~BcA@B&@NzYej1VP#0{l87Og}Fa5YLvt=<~V) z(e@&O52eZtgo$h^O4I=Qr*S$*ZcY&;bhO{LTc1@X+78F%X<#+9r%l@f=n)XT~q zzwE`{DH#o21MfoWZa0mb3xYsqoJzB4;`A&4=@c^;6IuFud@GKOTUCeottj3okGuFwB!!}Q zHtS8%JgjZiS9O{XFROm9Zl7u8{?m{Sl6`<;RGR`U=Qk3WEofzgkyk)qqw%|Gb`Hi~ zOogx42VFFXGSZUdjFG$M>n+e>UQnhv{^g(~u#T6>X9h?X2$GGcRlq&+3eA1G<@W&m z#8&Omy0MU8?i&3vCh!Vla(_2s4w~U)5o+cE!Eiy$IL0ukH4}AD;!476kTG@iUnXbF zdxZv2aHUwh%nPm|kx`z}`_5n~3mb2ZdvML}0Wm$15hnI$-+}W#ddhYaN^)yS)W32R z!MefoAQt>+3=g_iPaHQt6In}_7%j9KzDce8>5S=!n-Z&*8(E+_RZp5PVFCb6yQi(4 zB*%ZkH!pv38W=64%8OB~xE`|Fp7Ke7T@KUs`B%7uViwY-m(EJw4fE6WBnP zxcO>gNEbpAw_Ou?_j=M-5E+r~oqiyA4$SFr#_ul}*9pig#5(bS?Z0DQJvzciv+`Ul zyEr>lr>Ef+O6NONCOBQ?nOa6xn4DUO^s}|U8K^i1xBoR}^c%t6-p=e{p!=M2Ti>;D zsog-vRZYzm)TBFs)!G8f4eRkd3}r#Avo1{7egYeIoHed%ZesDU5GYJ2&m9)K3}luR zX%-KsKRP2gBzrx`oaSW^Uy;PhH=}0FHoUnO%1^*z)(eJr`XvlWsI!jG1v7#1?9oB= zB;(CcPj^*!o}GQS4yp7S5|H}*y!g=b)8h{t3RVcfJGCE~aJ6rop`OHFBo=^j7m^OS zPAI%CQC;dt5WK#KK?G3`j<>IT>K~tf?Ue%{grmemkS2=nah(K&fDqE*>(f$8*ql?#{I&hOFFI2 zt;f25FBIxYhmTM}jh$ubTV=1>0!HIKN;%mw^AMmo8XwoY!Ofd~s(6jv!b%`9dkWHo zl&LqbDZ8??F}QCXljJqkKq%mZs#j>%iVb6*kUSY7p$jR@U^`&_OMEtT%>qJVheHul zuP}&dmMWPZ-_<_-k z=*;^T=UJix3D1?f!RiY-Y8f^N?-T*a*iF}t3!lx`n|01+dZ6rpT(_ju$#u7gy`_aO zAj$~mWhc&QyIqBFTP!M_i&?>y#CJYI8dsB;X;^ZUEI(1%=bftVcuAypj8}7UDoXrn zkNU&)`A4Y!wJPPGSuWqP#(2_X&7P6bAkyN3Jp2_B){4G-nHu;jnE*xIdPU8NSYLx7 zjnTxqpX6pX)WCAnoq$-yDdc{ZujA2k3cR^ASxU9l(sUK3af+CyHF(-~%1I&7#rYC> zU7D&ThOuMcvB(nriwIPhOH5ED;pazUc`%}jX^(0QimSE1vytKO&F5q( zREL*EqDb1iE6dW%Nd{;mNtVvjWhxdSjKuxMs#OL^rJ&E&B!W*1n&%tvvW=G(Fi{N$ zS;nGB;NTg{wP4V)q`)tkBv{hrmF5RALtuWIOdfI2*$z$fYm+wAmfN7|;x3%6e|=!S ze9BqY^#GEcKt-erck<5{#5m{B1s7^5a~@$`o^YIE-J^I0wD(Wc){Z|Sr@b#iE(lIv z`?pi+RwYsv?hRYGJ7mDh$vW>@yF2Pi+sWRY*7I-yqGU&NXRi-mJX!7Bo$=baq}pe% zPwRNN0h1Gs2g`oV8lB(lLn~|x)oQq}l3HKZ`+=w=Y}NjDva0U(UTB6&GEa9$qb6*d z;}*t%c}EDBTVE8~06>axAsxTZf03e3F}tnO>6Icp8*PtTvxszelAkv(#Wx-4L{Iu-HlP25<0R z9RlTkzM=FqiAp`l)AH|s5LVoM34`NFq78gu^c5(F7=0m|P%lYhcu~seq7AxCz``bK z2kq)B2_u!4b{x}*Q73D~l8&0~s~!ECeHor@$sc>04xie68@pEzk=;{Vm!1P2JletK zZrMCZ=#O|WY23(X#yz`($xxjyvdr8P*@45(Bize8m@aUk<7DtXByeika0C`VSRfw} ze#BV@FA*ryz*2J-&EZ&OfAR(AMznzx0prrA-s9;4*9LDY!_t^VH|;4KFfXe#6Hm;( zHt0g{GU?*&qG1^r%en!BnK3m#<LA{N2(=Q^oMNRBOj{X7xOLkp) zo+QYl*@!Y>A;(S}v&cj-i+Cfd@A0dTCIM2pBC3oYfgd~YBDAMb^tYlyRj9uPW_Zq} zsY20~E9uEiPo?{BeO>t*ArAV}2}B_GP0tVArP$BAw$?ikv|gx1JOX-v5}On^+#sJlZD;+YJ*_}%(g z|AN;MaPY*oG04obJxmWTDrAh%wzeSZ&*ouP97W{HD8e+4+oPZ1Cs%bYWt}3Cs*vV# z@mb+d((aoH%(teUt=01qeau!~i};2d@AC@zmL6WFwe{?})rwA~r2a={d_%e$3uv-Z z`R=G+$A+D&7-X)b+cKZ&@8T|jabX~9{JRYJhd|GJ*9(ch%r66$HRrZ%9YLNqGk=yn z847d*JJ7G3X(~lMIH&je@Y9m^`Yf9dp%w!|E1+oYK^=3fks`>;`f9zP4t7FJ3{W~8Pppa5J*EH2j%Jeh zo}rtxr!W^uSP|5&lmF@j#DrgYqksN9^>Auu?mXne?XTeK%n;i1o9~Y+f{oQ0o4h?( z&j$Ws>bc$}iC03+qOWGrSDTo|A#(VA2nkKZ;|Wd0&D#xucZd#6sbdId_V{WZy!c_a zJCzJBoeUb`uNQo}zmsYrMsVu(sNJ&UagoevRn>h@hS7f(S|^1cLKAC}8~{KHA#@lZ z+j?4fG$sai29E!bgg=0eAaoG`1_ToaNTCQog?3pxFOe;%0K9)71|#8y9{uNo*i3)% zl6Hoc2u%OuJj}-QVJ!?x&jX68rsacRW&llA)8b(=|AW@l`CrU^whsg)10Chx%RYm+ zYiNTZm_7imQuKPDE-8AJzYhc;*IHUs5UL1(7pN0AYTLtrCi>tN2y*iK#Ely8Gn%GE zlJ<|h1^<_7N*2W(bqdQR0K`91u zO|Cmzl7y=EPI(TITiwK_D~3o`)y98U#DbmoN~Wu5~EzKj|A0^_n#W| zse!lWlr6Np&KI%#}kjLZ%mJ`OXk=4S` z{sE@`0lEQOSy#H`1T5jZFs+U{kp3~o{JPLSngLsCmnoM@r(m5eGI?8tR%kDhUa-)e zIpE!uJ^0F(->xDsUnEZ}w$zU1DArJ~E4k0tp_&O)TehtG4&G8Ghs)i^zy4fmJiB%}a@pcqvYB2YRCJ#u4=6d)ZNf|(7(UExt)yxD5eKM3Bk>)-ga|Z(#C&)v^8u0Q*1jW@Y*Z>k3L~_zT-j zsQ&T+*hZm8`Z(l3OBR8``ZpSX8_vwe_<`J&EB=VXnF9_iBpWO1-#S7Gk`V#0VX^+l z&x85D1&0;nX9B90z6$3CpBPM`M3buc0 z(_cjGe`vOB{|dzaqS>-B{!4bADF7YfqjY@`Y^fW!Oaa1zC~SXY^iOB8v3;<=H+nua z>y$%(Xl7&it62)0?LSfZUsaNg^`E>2|93+Qs%a@9*x3HnsciqCegBVOHuisY>OYsK zK(I0Vl}iZ{DFHZw3h3#08*fVh0pK7!208>J_W#!6kD;Xd!9adwphE%a_XE;#*#Au) z{;yW{f7F9sndtr*k4PYn0RZ|3joB~p+rPRN7~Tf2kEznY=W|i+eNwRjvR*&R!!FMo|XsSP~{6cRVj-~=upiy;tjqkZxu?L$p+ew|5fd^t+}hF;SdG?gb)YbKri z7WI74eb-EQnc7kN`=?`IJmk}C^kk}c@3_?Y3={u%^9+2FwI8oCvjtzgrv!dlFABe{ z;1|#)(=XioKLAZYvcK0LbUoxz=*B5G%*d#G|7OU4Z$PNe?)f+U>gHq-`Us)lR@3YA z7tEN?)c1WB^4B8d_<=iuGOcYV%4DM`6QShE?#-N&JV~$7lzank%SvtO&tCL2`Un@| z5ZZ@-Mm}^72XG%W46*1(Xqnw8f$m3cG!AXXPLzkdXgnH*S-2;LHsFVni;`cXE6{Jy zqsc>m`1a&3xV{m+g3dt+{>oxhfkwjpZ-{V`8=isewbUQq+8eNI5Oum@BfQrxtb`y78I)Ls$&tX0}Ejb5eqg=F}#F8H- zKSNQ}h<=BjhBC!)Cp#2{(L8h~+KL0rD{ypwKY9$ASS3x&AnpZt(=apv-G~;W^=KD* z13U33?l^Zkc}wyX;*kp#LYd~EuW>mZK^|w-f0A83)0@SdsY4)h{=9sM`@o~%r+L_^V7c=I2B zaTX5YD75&8BtTY>70i36H`KNXO1ltkNA1ufN6>TVD74L=(FybgcH;~@98bmf;O~h_ zrjxgshnW4$`z&Ukg!Yc0d}yPa(c|bK$m(0@ZOp;*OYkT>7vF@p;D_-E(oVi5Ke8fw z2Ya4Pa8dJwc|JKZ`2+H!Ai5eYLo1$non#*g53d;)(< zB$7+&$$YYzJWifrMl$y>FS6zAKz1Jc7W)aen!8JwBA6F;nD?5`nC~PHCEo$rvBC4B zs1{oEHjs+P(F^E3c>2faQ}j8NA9#L$JPBV1Z}}Boi|@nF;6LJb@HbFPWPNjgNq;gJ z-g*;R0PS=;xtH7rZ+{!U$H*t-Q}Pe;1H&=7Okbvjd4y?aI+$b3m#of4+1_j)ww|5D zCZT6axvRLb+!NeW+)LbPzM7xT&*#4qZWmUGe@YZ3{%Rs~w%Km(1KAZpl9oYB{1!b5 za=#z?;0qKxd#Af;fzS3!vOpxE9yp5qKiL7SF)9<2Cp7)8bBS0 z0A-JnE6G?gh0GwU$Qtr%vLC)j$m`@oa-4KQ$$dC%d10hr61)0ltrNFL0gQJKP0-?gCHvAfL$> z^K?O?DACyApT7i7d<_JJdV|{V*1^ z;$NtSF`-{<^g5KyLjtUe{*pIXz({g4eh!u6Kcba9VE{$h6KF5~3pv65fn0$;z|A;)PIT85qgy_=1$0*(0sq~s{%Y3^FkbQ!Ru6tsF2nuMmK<$!wk zB-@kQl6NGRCT~J-!f$`ZJ@L=D4K$(yeo>8HgYQQ4F}@4*@T&j2p8x4%PDh>S8|=sV zxD@oEi(ABP;&yR=`?=q9Z}EMgwO65sK%zefX_lb|)6hHU8}uU

    ?JWGYA=KB7AzpK&e{@VJ8-G0g=*$^%gq#xivzB0l zzLgRNRe!VSsn2h~1Fd);m*WK!CredJ#E!kJsU! z;;%m_%pbYIpV^!YFLQOt0(q^Luoep|p+<<+Y=33NqE{EJ9*4t2y~mqSmJ^F_!-)SR zYDNFZl{N=E^Lv6@gL{qpjW<|t%)hz*?iNJ{yE;PSi;s^-9&35S^q%PtCTTvt%BMHi zU|zS)$9bC@t!A~@fT-HsK&6{05AkwnF_uHxqG%2)m$$6XIUp)OP#h|9Mi~)ZEOU~> zAAhw)SSTuOx2`r6aaA6-hl?b=S9=e7AMhUbioKS$@13L^S>GYfU|D$?DX8Jhkq}>6 z66%s1R6!6b5r0s}OS)Kb+=C}yJDnvlG)=*w%rLWzY=Z&jHhy8KGg0CnUVq@B^3Lr$ ze-zm`_})+7x{jZ=;*e+WzyF!lZIyTZ{ePA%uRS>GzVrDhTz^6F zhiN%OuPrVxZ$cUJ&^1To3|@gssO?-SxibBNT&Yrx|9?ApKR+@71l!(z#^hl0E ztJWw)8fDH&V2Kp{*fEpbV*J;vFPUe$$WhfWIUGITq)m%D*Vf~i0hYvUUbX;*(pNjv z?c>a&(t{3j?fivZ30#fc^H-8oN_$8}8;ZMctt!$&+GrtdDy2jlQ63_qB9sa#X+aXA zC{jt07SUVLLP=yTDhc_|a|`#L`}=(UecqRz@0~ek&YU@OX6BshQRyiZD(FuTeR1h1 z7G0&=^Y+u^AHRPdTfM1y{-l-CpWeJsySeWERn4sz9yUIdiLy(+{j}0!fZ(Hbx$^Ax zKCe`wW6r&tf^TkOF)GO#;g*GNyXD@x9d?UknMerR+*y}mr@k<8)atubl!l0!;?MHN zk5d=5h@M>7qA0Y$Iekj3A$HY3ykU9Msoc*0BCy`Eq9;MG-Q|-n_RB3l?JI^k(2Fe> z?~3M+QQG1eZ|=Um_?`Fa{M82+AHN`cRKwo!wCy}X;({z!+pscYH5)njE~ooX|9D$F zDkZk!nV(&lrA?ISGJ}c*!l(Xg_I>|3vu^B7U$xc7K1zD8f`mbP$Z&f}^zZI)llZOi zyLRr2#q96jf4#V9{;I^>+AK9E`KF;+dj%iOS(x~PWp`|{)M57{n)x%Zp{KU5=Y^Au zdZ#q3d)z)tW5gh?MpY)|^KM5a{>C**VqQD3-(>X)m!Z#=j( zHn3^QugJF0y#d(km1lJ#wjEH^49grm85c5~;M<1Q3p6X6g9=t(Q9b!1BH4S8v&;5TcUfeP~69qN=!b*vj=)iyAummIpO8JHFG3F<_p| z)jZU{U;2IiputCL!0~J z<;+JVUi}(5QGs^{3e>`8VQVSxbF~k>NPW4c zN437o4fZU>>avGc*6$zY&#KRSuy(Dz?Py2gMcRPZ-gTSkdOzMS$Lxmsp4tsnXa;!h z^I%TBe(8dd_mkY9+Dlm`7ydg`C%Cs)kZ@yovesgcuB%Zm2tVDrtamWiZWN4KyxcTF zI$~;XhCx?C@#ooT7n$(I&bi&o+v_Qfwo$UGfHP;0rlWRo`%(AVEu|`mM|c&yfdxx7Il!phelMZ$BK4+<5Tn>%cYA$yu{ygl}nWB#1r} zIk#?)%HWd0EeZPa5jy6}-@Ns{^^>03(bx1`)90}MQi|T7CN(d+sL|}?SuE{bT9jF> z)4P>RRdxJ3j2FK>(^g#FvQ*z!SbWoI8G&hEzD!?u!e0&RvtL*GQ$#`Td7H*5ADI|J zVBn3pemzTalh=k1q^#3pE_ubMIxY9BDs}8ruWo~PmP6e8UKf?L1G2j;3Js07YyX`2 z%sT6ldjGf24G$y={ri}*)dJ21u9(5^{xTzt5UrqH>OnUrZM0N*(AsbPJ4*A!ybHG^ zLr%7g7Pgh13%fs@@|}{_O&Uy&8&1`Dx%QN7|8&``JvpW;iQ;Qw!swo78do2>BOLEt zVXShbs-WZRq2)aT+anZLU1;e2a#q3UP;X{}jm4ZNnF&YD?_9SNwo5U#wG2<2ky^vLxt$Q-#!|plBy(tl8tt-6tKHNZ`X`#0`rrM`}{>)W}ANAQS4BEK#VgGX0 zs;pZrx*lV#TI5$NhZLkfUU<-UV%x$a4<~+RHED;joUjNdXHGiUS|P9xv7AAKUeiXdvTLNKw$ zHRD~~j@6et2P1s%?kgTPEZ|e{x_FquYFrq0#wJDM&Cp%>6!C%%A|-S4foDFGYtLVN z?7Zsk*`4>6S-5$9iM{ju?ZPclAGZ6uPKs$;?Qr=&ANd=tcT+^&-H~ml2d4aZENP$gakxc)jvu9n*XC)e|-?{eNE#Et8 z;qA*Fc6`}=-+!8F`@!8kHXWW-{)HB)^HPFZhn!pPEpAjw#R8v7=Cr52xqfq5-z(;S z6UEFQL6%Vb7k zYK*+XpD4>|(d9OZUBXhLH+EjLQd~c4x7nuv?Q-|(X-gf-MEdsoUcK|EHOgVZ;8I#v z@6L<>%7GuM-mi9MJpOelMeIVMcDjoGa-{>W9~JaC7>tRQe>3c4-c^Y-TdbU|<6+WG zP~LIuOXf~DuVeM!tjCm3s78oI>;5(^o*!XvC6an9F<0wEr`1ksasWnteZZ--IpFGi znLf)tmt}Fyc`~HHL`!e6LNP-7GVQF+CEBkmoF6Y3(#|?9VJtpp+O}8u)R34g(RqwT zwHu{!B1qp7+h1(#eE7?|uKHG*Po}!wu8rpqJbRr6mhSB=^kB^UoJ{@4Ao3wM$?%A>Fe0RnzN`A>-gQl;T4F(y$j~jp2KzqcZ6%ja`fh2ym3!{=wdz(|#S0}% zZ`Iwr@$*=5a$q}iy<%?3-Jez+=Pt84P6sWf%0-xcJN7l}u|?yHbZmNrwEpa0Dx-Qs zJL5!LfFy!+q|qcgs%JQ6it z@@0?GE|+~=u=>HJYbM1BWAm3r>GtKQ*F1_j=P-C;v4X@V-I0USvRb`tyWgl?VFce0 z-s;e<(y1={>VUfL=KhG{?K#r}E4qZ&AJ+FMxUO#z7QH)1_l%BHaea!Lu$$x9WdZC4 z7O6XL&*Xz?o_))vQO<0MKjP+HzjF=$DxH4GhnKth&&^oZYb}(XcST+9?$o2X)XXG( z#|BflZM5EHV8;FT6~@v^3Q?7n)BCQnN)!Zk*+gDh+tm^MB4~7-twV0J?7kb_x|e*u zRP;FIE8afsB^2wv(qsR3;vR9YNwwbnlgny=Tk}onDeVVo zl%E3cf5<7W-yUwTHSOL!hsdUtA}<1kifr=^KRUnW;_GXo4DY_UuU}_w9;+}C32301 zZ`{Ab;NJ5h8-v=6u%FtkZs}p-qx*i0uCD!WRC7Ax34=0qh%s|)L&C#81!GIaAn{*% zeNs=p(Xh|6I%(!hPo|!Hb@G*<^^#t2^h{r%TV|^+fIb z>UYP%RU`Jw0sA}Mew3h*+FxyFW~zwq3+cF3n13$xYXwFA^LyW#eWl$eM)-WB@>;8xuKu#?w}_bDsBVrq*#EU1IE6)@Y4~Wsaj_@;SQRy~68uXJuEt zR2`BJY_tzlzg*RtVYO^I4m!rT_gfwW)ff ze`oMVvp`$5wF;YUk1q?V*Tw<_-zaK!UMh?6&yBittKsCm<^rF--VKTM`NvQ0+nHKn zMi%M*uyRS=lpE=MQbx&J=WgyZCzeXYZsGr06Qk*J#l|3DWyrw&(Q7efm+x;o>?CaL zQ1e17x#AJ?r`i(&{ByS=U%xUXPMkirs3HFOmQv|^A6wxc{Rt6ycE4i%<)||3K~Y6i zn^fzYsVzz+dqzv5PSv~QHT+JI^&V-k>RWAl^vJ{gzTK~Fu6G}i_;pBoU!|#f>2igA zX8Yq9De}+F-tSh=ianiu|GMd**g}_ZiHJqQK0VB?CH4u+6x`*0&)b1@E!?Mi&g*TCNQ%p6kCsb+KQL^4RScWFBu53SBTO$9qbN=xXvM*Q9g&+4fg*1J!CBP72OX3?&(G%G>6{?5JT{?NCDJ)EFr+~wbiu{{Qe{67Wu88bbUqw7w5I&>G4iP7 z?iB|y`3Dw0LlPzO9<@#uA0)e9SbnhSezEEU*!e-&R8 zbFI6d+4GSD`3jF0*9Dd5RbGCu(BOny{(^|pt%;6fw_4Zlh-|%@T+sd`O{zrkL-CWA z=#F?9gCk{ONv&~?W3tDF#VgMo!CsBXyBB%h-@4(M$ky<*Gp!Z}JIp?KR<7Uk@!F*? zkFI^O@%pa$G$c%6#A?#&3a4UOzsVb=@0WitGNX$53V$?~s?-p^Y<9&%PjGCSW^o%9w^i2b32|A-nybs(|U&Anb=&}?`AXIC%N%=UyBbA{ZdZc|M<00 z`;C{grno3*PxoBm`EK7pyz-EI$;Ply$#qxGPifat7Wh#mq<*61=z-(XzBR|zWzP+c zZjvhr<^OOeKJ?hg3gb&qsgeEp^kXBRXGJS!79addpeRl?;&f&7xsG^^szG9-c<%ZO8sswx%m3D+mGHv&XO*M0Nv^f&7k3Ld( zy5_OBHhtLQ*!su|LP;8yqh-W{jJc1mrnzoB-9s_l@*ro9WP0V4ZLwu%l(RagU(Gg^ zx4f}8L1krMdNFDeZ;ACoN}Kh;y6>l${fe|zm& zDgHB$9x@X?wcHf*$PC>{aaeEp->xTQlDm@Z+n3?>x36g=#7hXb6!7)N$f#zX?%B%f zy1VtsMNqJ{^%lyscWMrHs%<`63SFnf)>B2BPn_*_ zepy{)d(yXiL%Whx)g85WV;LmY%0xM(q^*yJymnYF+|={3?Nm@|9Gu;J#hwJ3@CFkT$a|`YcGUd116|T@=WiGm?&SK4%g5Oj9kCt1-HqCjp zXm01f{DdoN=R|&NpKQ(Wzo%K!A*5lH^PijeLU*Mv;SxGzUo|qoN< zPF_c-k9>AaliE2=aog|2kI9PD`!D&eD_fzPD{yaVb=cxAPq|9@npry8rMs2Bp2m`! zl=Zr4TCciEyOUKF-c-C7*wuSN(ep(Aam9xxwj|Wqp2#tmSYKZBpV-+>ImI9kIiJRd zD?ZxpGq0W&Tq-x95-=P+hPBu%*%7{W?{m|Q;!e{q#}S=|CDO$DJ@&*58$J-+aw9T8 zb41!WcGuk7$=91q$YObWw!eQUUw!ZG_B0AU z9yP1g=PwZn*D3dt>h6-Z?spX5>=&T1F1{guw#`8988NpNJr>%N#{9DPKf)~jGYnjj zbV+1g#3U?k#w@Ttz%*m0{UkQTo38L)7+Ps=tLU zP+XpGwmI)-7&IJaS;5z)+~%f8V2)X?L@nmI;Y9$3M~PA_#jC5)|$Q z9C*w}HX2+~v&QD>uE;xdKJS}_sg>9bJI&Ipj7++GuKd(I*U$X_ohf%#YW#j}(9>}3 z;gUGj@CC9iccasnPwU+g9TN~Yf9v%4!iuxI&Mx|4s<)=M_^6fp?1M6MX`dRb9{3vF z3GaK_^zcpN@zs+02JMBZfF`}T5&BQs9F3>@+fES2Bz_S6wL zAFH|O6zWQ?4^^u^Zu>*M+mFg(9X%bpQtjBQ*M1xPVn15M##&~zmTq6DAGR#~=IPZJ znk%=hsQPwIPikO*?{qe$kigQre^_m~r11xNtB(%#R{d)_ijymm07f@aCD%xt~t$J9BF9rv?vuXb>sPp=YY8J$+$P?LS^>2c$& z#8AtRaVx8y^$m25sOm($ZzPq4s_*Z9bz!>-{F`XcMn26M=;|6F>Qw!>c5k5R@dkH( z`}iJ`LfRpti+YU_qs8q*yO{mks$HF-FqFuu`*irDN6y(3w(@DMr&7(Y86O{LwQFw>)3A}+?O`x`wvv~Iy3`D9 z0qbtpDw_{W!vnXu`wEwRY+b%3rK(k0U{bfn(-WyoY^ni$S{3DfO7aS^RpKkZJFZv| z-+bh0CdD+iVCQlv<8zK`Z6Yb~dibjB10=^Ri!=qLw(1kAx5FQKE}JUkV&_zPR_c|? zhYh+kT8ex{^4gk78VzN>s`B&AmMpd%=#Z~FKJ7sW^VYU-t(mjeAHE}hWZ_23^Olm= z_#<}s@(YD9vBS9sU&U5ctDo?Y)@~TlpR2uj#w4MUnJYh-E=hT`dSQFB&T$Wqhj(s1 z;J^6m!(j*Env)6*GlGgG%uRB#)n_eS*!}ADPN^gf_3nEI9l` zY5HdOj>*E^@xB|#g2^+73v@Kjy6_K)##N~=Pp_A+-mSUO+O%GM+Y(Hn+Vr5r`eWGz z1Q(HY=?)7M70fG%5uzFgvbPz>RE{i8lb>9h;2QnN_tCIOZ4ZsGpmB@BB=wQdo36T{ z@Y+4;o!&=JxhON%jbg$54*YKu8fM=++4F2c&k}8k%V*O%QWY#eRC=9O-rzoKVZto6 zIkHPWCs(C1qV%RL+%s#Up7qQE4r~eOGGyDbAP;3Ju}zhOs$e`V_P5owHY4DV_gD$+o$UutPWMpkM@q6R@RPfNt*k*Jk`(r zpv}JYrj4S$H&jY5y{WpkQ2SuPs+D{swe*AOU%$-x6*FV^kG7Na!n@u>WmTpwv)dXQ zhKGmz-fr~Y*eC%g4sHP&4ZVeT<4m&WbSm0|L9m}Qatrp$efP6U4{O(0WNDX zgSMyDp}|9;q1X4gEZ=rLC}PucY@1FSe_!!V*SCGU&j>A?XHsv2{Cbe}m-YFIj z^Z_=-`3KgOAMtOSBvy#6%q%#*LB>%$>$A%1nBhUO;gIY3GhJH-g9{7ycw5X)z_gw` zc~<2;CGk+GiFWZ%zfGB%PdZI(h9)KGORk*XQAP8w6!{WUh6Sge%b9Jj^8BgZ9?Pejl|EPfe&mW-MNoY{-Fz%)b3%XKxBt8z z+MiN$@jnrM!|~d&Q`il+YvK1)<5KhKD@&hKzxzJzF=)@8HdvRHFHRy`W_H}2Y(JcI z5F5GnS^THr?GIa$^P)dwENs-aE;&DQaMUxtYHkFhvLv$hRoE`Nd7ae@?-^bB645NP z<{GB>SS`ayWb^#R+Mh-BwGRr)x@=f~+F7Zmw``+wRbzWa8LhR}S|VtTqUiF4$#RVX z=kKMj7gRH9TXNT_-z|RfAaVYOo$>n&9_Yq+VN31^y_2zOeWN;ZS|IKC%OJ_{iduz? zWbfox1yN!TMq8~d++LoGPGlXDHEgWStL5tvXib{dOE1?I@>-C5K;WxvZ<*gY<2gt7 zo-$I}QyQ(*9a-GLXzP?>8fx8GSs57c{7vV%m#?B7l7FPzeKWl9X|GMk)T~jVVBz#m zk+E-)*zY?pF~Y!IIctH0r<%JZwZ7F|jEfX^m?!KN|7WyF1r>7UwWSPA z8YMO=Z4m4~^cgs6H4f^n_~2H4c<7K;hhZ?SIYmEPDSMk(ucX%^ z(#{mRoq(74&8yGqf@c)O_-J@(jGQW8H+3M`^|I$0OlsTIy^aNY9Vc)0E2QLlubq>4 zblR4Hy~J5x?v3SH?O!wBR#SP}4+ViC&yTXL84=M@Z+iRb5`g?xHf-!IWKTj{@s)t zbD9LsZ+h!d;GU}OzI!`!^OW<7R&7h1r$btN!0@3}vUpeETjCtA9d8v)1e!kTJ#Ug$ z_PVm4A>w!Y!gax>qh?hi8^2^sT|%(ZIG$kuG|=^guhsI3-QN_gW|+R< zZ@oRGKUBm^jryt5Hv_X58a`DXN-@u23cVxFpBBWwYyF7Ky?lXPi$_>p)fM7S30I9b zDMr399Eq5{tElX=*T|gR%_N24)Gv)~7mxpEZ?AM|SNiCdp$oo!1;L`b+WJ18=ARi? zHZQ~}g_d!4*+vl?>olofW*Ms_Q&ox&7HqaoeU`DqC+6L!MHkzO&eJh}>yQBJyv~e^ ztr;iJg>F$#d$%a~;1+9O-y@jQb;Wej)^=5vCPMeN_1p0E z0y7@(cvo#|{+)SuQ0|GpjinO-Ta_-(dSbjHsYx7A#TQ>Sh{xpMi^rbR`f^Iplg1vwO%uf1D5#Q!|hut8GHv;Ks1 zl!g1LTOQ88vr{zu_EPx#9IsEmJh^tLU@bj0{r%gMh0RjIi|B%kRI7EyEjNbTu=}*U zy%qyAM8@(o;-zM*D!Omgt-KuVowEtsdV8K<|G)>;GZBurRqE;sn^i2mwJj+JpWfE3 zfAEE=qFNt4<3QIdWID&RZZn4o5;<=pw_dw4GhiU1Tq%SqhINJkht!4Na&)X!n!$|=IB0G)Qkvp3}s{&=-A9EnRG>7aLu8w zzStN3@>Sh0Lr=FHXXa~cUH@^N&chfhz4$EA8=@vf{k_)O%lQOu>%CyLx;L%3G_R@s zE!8Cvv)OmP+Ujt?7L&fsDb{-`3_Xut6B3KW*6-gNSiW|VKuW%EaBo_sqEsaHWnM^; za?signK9c1(vlja{rEc}n}$Z|wK) z-&p?C?#Yu(orT-k+#;-hZ$D156eGwdbxtLC@v|sN4Og{hNwcWXHuuZOSxMF&i!_p^ z3lU}{o(Jn5PlK@Z*&UU&F8Q9A0IZdB6K`_UuM zt754}7tb%e`u2$Vk4ba4Zp=KkbgtI+AL?U^?R-^}SpzpBNxt6%e$0ZoTt9}dr5J^Jzu?5`knc<#R|pQjKdd4=^L|1@0Gq9 zwD{aUm2@NoGgrb^q@H~JtLUnwM_X#$qx@e3--ox)-fz%%toWhycjtHSer~(-+eXag zkp=nv?fUm;&%`|M8`EC(+kW7yzN(7jT3x${)XT<>Syw;6zreq~wxRwub|$Uk+9>wQ zIyuz$;)~ZKS^>S@Nr|betxfkx+QkJr-V%OY@LXtNdT`?Onhf_fn6+k6Y*^FUHHL2z zW7V3bWtG^U-+gf)Bk>^VfN!g~r$|+&Rsa3z!lgHtmCLym)lAKcBA6tQ-|ET>2GCSa1I=>!?)?yTsD?ybtT@y?HruhxsMACf#Gh$F86aA59;Y z%XI~f?raR}pEgZENw_WaTf-xIdJVUK`bxLByTi?UjNxN29I z$j#xJsU*$ZQ#lzD*lFY8~B?&U}X}G-Mcc0P9MkV6Ljol4|J??oJ?fDv0Rx<9OomGCjKU~ zRjEv#XqQYK=O@$HjzLwKOq&4cY(SYj(E*t;E(n=HORBXbXkg=VP$uM}(8l|t(8tLr z^oeK2crz6Co7QcVscb#te~-&SC5_Wj$>Z%&DHDHF*)M%prcx*9Xyfuz=@XyZFeVts z-wv-#n`oFu9+#g+85e{`9cQ3X#|5EHjE_biZ;-~o{iTgEjloK~w2Cl0X^-b3>7=$* z1aq0cMz<;?*w1IPXOJ=tUNo<5MKHtIec<+JLM2g^=@fQb6VEhd`nb^SXEI5dPGir> z_#;J`KCYVaN3t?~TtnlJ&=!*|FZ+>10%{g}923t}Wd;%C6&+s;CNy}YC5c%ROtA5J z#L3M3$zUez(T+b-;PI~nX8u$#9=3AFKc&Ir-!9Dj>G1e>;AZ{|uqcjCnefQ*DGMIQ zRe`ZPCK18l*!moAn?!b$9Gk+K#8E7WfHat_|ne%g+G8q*3?{hjl|J60n zGnB~`_E@lq4w(ROcF2Nf4hAv^IBpQ*^w2n&$~L5lXAqFap&YPE^0;MBd=3I~Dvt~T zvZr$;+dF~kK|%&+10W%TLm-fz%-|RySSy*y@i~l!%w#4h+7jydsnn!TwgiF%$H!n; z6dE&Wu^mAP2R1$~4bdoZa%weKnfm90TGX8aoe zI1B-Fj!`)$65Jt`b0WcpshkrDtDOp3_$LquIPM9?1%^4Jf>3`y2d_XK_k0tdgMj0n zV&a*C0l*<4tYRvILq*`8sN+6wf&m0%a*U7x0&-3~@KTwa{2(AZ5S%b9aC0;w#{ifZ z2>^~cgU)E2YYFC`#yJJhIc?n8jtd0#K!f%3cf=qJjdSgReb6}99tcMpchckhbTAki z*#F-KKw8@P?lMjQI}(j^O2CiMsEnksH3V%4FUo2$Fx1r(@U5Lu92BkYfrd<;nRZu zC~sC4X8%H@lW}Y2z$hdllZ-dSjWKArx8uOzS2_)$BNE9>78OhZp`+tl7W`nG9W!_u zB(sS4mW430@O_XQV-WErj$mx}$%RpMCn1Fjev3zHCWB{=iA*LsVk(8m!Z&Zu_Ml@HGR3(5StNAC zOfrSR;OUsf4zsu$WKoe3lc*FD9gp7-I#^vi7@bMSxIkd0uwx>G8O)!H4nAhFkP(w0 zxMHzTp-DiAc1$9&w_$c4*!Z%8po2=~v2+rVy>W2~Ln5*r83zWu3?2;!BOaVCc?oB5iEtup=oS<(;-oq$cS-f79ON< zFat&f7s7=>$jhSRDKbJw#&=%?qu^;92S%olF$mqb)rEJ$&X+g{ag2_v5;B!eCGqT@ zV83|agYdHOSb_tCFd4UWz;ONlQ$JL+c%+87gS$Zpp&?P^aWpgv9wTye0z!k+<8F@( z-;}1{amXLK@%0BO5FQQv0olKhXbe10;=o`BgSTsNV|XV_JPG2U1B^~YwrDcGV)3jK zp~GNTEP~PST%Q92UK$G$4^9jhkTLFU*K?|$&cw45E@me9 z86IY+hj2$o&>%P!#4wpm8ZoKdjUbKT*#t+cI5mU=To_pK|MH~_P&gMI&I_>)7Y4jc z8Xk`$eK7F=6Mi^iED{4XcZjecy5rUvbiyRz83=cSOm;TMg@HX$xP`$3J|dnx{G-Ed z45k4z%>lx%6e@+A5T|32kjcj}@VW>unFX;4fONK6U^uaI%^0*0D4 z-Z6!HI(=|y*vSGnGlPf(`alO857*p*_URDGAsPe>FTZdJL#9({NnINVQl2~;8hpe= zXAqAq@#+MpNH7N!Wch-TK(36e0l>&fBu|1A_E*P$<_vEFH4GfXt2jtkbkuTzj)9jS z5IQEFW^rRs?_`f2Ec;)_42U~<2+4R}jWAQt2m;a%cK(gf(f$V=9j`zArNdViFf-A# z1jo>=35trdJRRf0pgS6l{q>s@*(0PRBsdO5I$=Nnf_4lTkAN(Ed6MG!qphz*rO2oj*nhsUsLaxAS3RNRkhEC%6i3gJWZOagbx`0At`j z1^0h{Egc78?eGvXk?jL^E*fmk+;ljG3QeLgXozNj7ecbX0~yaAaS#;C-5?pV2ySOV zgnSbAe;zu>Zn>w4op=5ZI88C=r(T>495F^Ct82FhLr&cL6 zC?Rn>A5a#g+Mort{wEv_fJ`QmBe5}bJ|XBslQ?$K1_?ayS_}o%Ab3IsM`Fj$9&thl z5xJ#?YzSNuHwJWcBwQlVVADoY6*h*f92}z|aRn57!2Xep0gfQ?njm+_fU%DlIn@dn zI8v03C&q*Jcw#&p8{a)~{r^!v4N?I76o9J}z>uH>PxT;tL+AiQB3+ygycrK2SE7OU z4}?^-cEZN+Ges_8fDQ?za5}DZl-(Y<3MBF&(O4i3A`Ilv7&uq%P5=Z4iDD3I@-WlE z3VG-td_gft3y|rA^5R6H561sT{ZNEspU!cP7%;SUf*0%1q#UYDuwilU8*B_ojY$y3 z(z!Aw=${QD0RxV)ct!#kl7Ispi+wiDB{X127>3iq0zfnaTELJ(D_(i!F*rC2fie)v z3_CR9g25EfLJqz-8K@7yD~A)d@HhU$%Zcn$a;}j;6oI7AI2}}d5n-Sq}3 z!XbXYfr}0zeAM~CSvrJBC>>O)(Sfia%9&Ute==JVFoRyXnIRv7K6$b6)al>KVc=;o z;<#`OjST=}pYL-F1gb}XO_VwQE=3$eg@FajJ~8BAhSPb-VYucKVo3#-OaLx`+mLXn(O3`1SV(xq#*igO219@=SxCo#A-;l4!XT_bsu(ykxFm!bYBR{5 z4?^>#x1k8N++Qx^0Y+~%Q7Gwv+F^r7V^>7fP zQM3~%`5?;^I_6p1IEKzKU}y*jeSl@MrwPXYNBua6Y^gXQz`aOB7{FkiaCeNG^u)IPH~s@=DDol_!iy8A zNE!_%7*OR!Mh6%~Do7_ZhzWV<@G~qKEYj339P<-aqjl zGzj$=WONKTYz!%vvd=w{&J40xF7&HS{MUd$P4C+_h!eBUv?skAtd8CGe z8YITY)lWfUd?-RN(UT&aj%QZ{490@QfA|qIx}`!I2RRzI)PO-bQAF(qpmY2*9<-%SEQh=b8i=x|nsV7Ti-HYYe8hSDAn z9hy_(r^uYgwBzv~+zz0lVHlkHqm@zo76B|}v=bVX1Q3jgU#LPbC@rIj36p_A8IEUk zP^kK|J|`R!ZZdz@>_3dkB;3T;$>va9lIE{{QUJuy7#gLZpWKLA=ax5P}*P z*d?zP@Y*Qa9uz@2FuZ@L8PnL;mAPyIjvtYeT)egnH~r8Cc`qa|;lLG*D*%H%2IXa9 za9xiFL~A+FF|2qV6*B2Os>UN;)Cd7X^9ZQCOym|Y{=e#n0s~Sc!0&XRhfX;5Cwk%J z<-KbI2S>=k1mP?7lI#d4dQgD|6oDU5{0F3?B2k!RZPQ%1f{2TuPA&XZ+$TWFI2Z=e) z=pe2@92Zot;SMA!G<;8ryFColK&GSNGI$xDD^h@=S7mTsCa=)2v=K)GbWjrK5r)Ww z+tavaIMh!;ucSdI5Wb*G46ZgJm2;qjIu%dHux;~Vkik#%`zQVbX81vcp~Ceb9t=Gp z#XE*dEe{E?+`0iTA?8%(L~vJvN>~ z1n@$U9p$A$hKpeEH6Ql(X1I(HPHTB=0d6t!3J5h+baZg>6*(a#Lp6XWxCM-zS>yZv zKkJ9D;Gk7YAY`C%KaQcv1spH&6sB2tT!(4_FpiLXJpKbh3Xcx}#|on1^8FJK0t*gi zcG?BJkgW62!S>0E@k}QZ>>r8iAcDYyd@g1-hG+&bIyz!DhIE2s5TT;&(V=1r7_R?` z00ao}DiTTvw54H(bh;O5;w>sJ=W`^TBlC)=ds^;Dg;KB<7>fb0c#4bo4hZDlpCZs{Ycr*iFb48pK&Wm0K2MkZ- z@b+N*f7Op)T;aA>oDf2Pq+=%7KleI@q@1S=hhva&@bJRKb70UvemRqO?w|;boaf_o za2CSB%;qKW+6=xea{C3S2Edm|5KZG4hEy$aLUgxdV@UFemlx384yQxiElvj&SZ<+l zY@({F@>l&3NkP{rA$~n0DgQ7*3BG*ZaF{UL-(;By$&g80N-=`c3dsa7q%UQdEL}!i z#-bUK=*IfWy8kbUGyV-eTb~`C_?PH};hS|q!ES-U?C;g#;U)YhtfsbXm5K2G0m25~ A1^@s6 delta 242715 zcma&NRa6{Zv@IMUxLfd`K^tk@-QC^Y2^zc!9^55B2=4Cg?(XjHZhz-H_uPm3^uMgR z_LNmMdQ?BuuBwHlc8G;P?GTk%5b!`Ib|4!I2QzaG5dLQ*^BAHQT$wK!z1Wmg>;Lyo4`I~q({<5Pp6}5jIAXWtBfR9|+07fy`SHoKdjytcEpBKfzT7Y_@K`UCxXbP&|s)Nw2 z&nJ}I-6Z0hrdGb6oE5>{A~Mi=q0ap|>UsFB=Gpntf{8m{6a?j39fk9{b9Z^pxAvi| z^u|-V<;ByQWgtPD;-jqB{jE$RLzmllL{eNgvzA_UK17@{_Fz^r{ZkM>@-1t2ta?_J z{1fXcFH*;#eHWbQedu=Cw^-*u3o5xj0H%F{f(Dpu1lot?LPH=USb=Y z*xkQ9oVznU1$&QAX!NEKMV4R2mDvL8Zk9W_nXA14QMq1upVek&J2P{VLoJsjt_aI7 zk|`F)5fucm+_c3es@){HLa=E?_@*!QcaZI8XM&}y3`~RTs$Ozvqd>G!ZjGSa)i8I4 zN}aHLqvWgDJ}Ql(l-EKX>ER$cAbfSdjJbZ0Ne8ai{wQ5e;_lAj&XKZ^jxw-9$!MDV z!}6*$@*zBlM)jLQwPUd#e$rVnq*Q=1AwSLyg#LRd_diWiK$VRgM(9ivE z@3Z-@(=3mciVWc{b^fB}ETOX_vg%*tY$x_2rm=ZkA}75}o?~xAUxApO`O7mEEkRHU zNUZ#z@$dQ6!^mO@IEpGqX);M)P+r=_089>^k{5mPq6{Wv zIRqf$>%UQx0SqoyL#~bTw|N!_v{a9%REgWfGd9v5`gb@+a9malBa?hHKIejWEcTfM z4UDI0I%Lcy5T7N)t^@8Sx(L-K-V zDI9J3P5h<=Dk%f)b!A}UOe=LxJb9MjS~J3H>)J`5Y)=c*_V{OO#hS0q)07GhljUXv zaO{XL>WHG+GPJW$>vX0UD1Z476{hO@x7Wq}Ani!bf?|CgbkdfA+Ypf#9r)8mOjAHS zj%w-yx*RNuJA9#1aiEIRwD>Bgr=bfX;v8vY<=PS7=DPM&vVNBJ5fyWC#J+d&yj|}1 zoMeH*#qK%-*&8J|k5qlhL19pbyVz(?8Xd!e#`G^6O{C0H+oYbO;zC6UgVfyJrJ|B% z9ZK6J+*PHLs!4yV-J#A9sf{800-0;&)6X8LiIeXVI>@0-Q&^E1$do1so7)V6NCAw6 z62lUd!ANp_3z&wt=Fl`Af<1fm##i3_+C%*J`?{PJA`D$ib%N zZHy5NaT~}XB2%TTY$`jh-|oMnIwC6Vu$M&aD+Mb96%C_Mmq=e=(nbt9yuTjnKh8|w z0V_56(m1WyC~c?p(EE2fo2HFHn-wtTkkvxgnWY%VmU;KTkdA|K@_C65R$Qybjb!-J zJ5^cmc5?mCW&61bavCW1Eve$Q;K=#m?MWFelA>EkD}f6-n^C{d78=uiD+&m9r znAw{;yEvH{+5PJrjI9wkNtj6fA&`@m<$pP@ba=72QJ?J zImMal=xIm$A`bb-3-P0xe_Num!Ta5X!F!2810#CJx*m}6=sXPZDapHdZX}cAB-^`H z&g$O438LfOH;;`GCU!ziw(LPJHLPv#EG{{?YV)*3bdAceJ;@G3c%eWPn~jmQ|eh*Hq}9l7QLb zFUB`zd{8^l2Nrf-w*Ad#T=fSb8`lxG%kOLaGRG|4+bsrW<{-+CLW#d^wI3sk-OkN* z6&guTFF5;UZDJQ@u>=0t#B}Q^kpl!WK0zJ#nH4^+2O}t*cMW!~4IMHDZ;!3_K&Q3# zdz*)nO&j8HN6up@0%|?+q&+3-EQ>5NYIz)tLKS{*2I>h+J@^c<|hfJ z&q?pE?}te^S$w^UHtvpB>JjloZ(Gi`yHqPH0uDSgEJ-{AhHr1C4Q>U`jzs5CHdHr_ zWD%YqfWGtBftT*pk9NYI1)S}@Nrd{d^pWJbmWys03lMEo(_2ZpTK>)Z7uhw6oo-c5 zxDD=xjh79Bm-|l-_Z)cE@sdX9J)q_GhZNwW4pO5dSJKymdV%wynK5_XY(XU)kN(A{ zE|%S?^$42_5~ba4?r|tgS}}%F2grG)-95^1gxy$Dg?)9plw{{v(qs)ik|QxK!@-?0 z^C^1eEaI=G80#$h*4g^jU)C|lZ-w~=0j(0PbF#qrpodR&h4I$X-$3@pv~|73mne}y zons+&LR9yXL~UayhNNR4&mD?vjk=9U{p`OG@4V*cZsH9)HhOES0;i?Ko8&f{!|8Z#GT zoe4p3I;_~B+oI;RD*lZFz|Gl){ezFILgyC5WBpSXlSUe&E-!Swf!E5bY5B)mE8^aH z)o{kIr!4}I(ZQli)atq8N@Tu6OBMy>(Ykot0jACRdNlJv58|D%)u}Ifn7*a7Gy0b> z{?LpBqan_bDZ~$Wq^8b)a57~UrEh7x%KHO}4|Lk<2YbQgyWJ`4-1HF}q{2 z1ROCmJ3Q$#&O2nJq!R;GY0*4~Pl1EiJfrINoqgZ;V02|Qz>Rwz%wqW6Ff!+u26oiD zafcHW0}OzzQxBjC76ZYqcoI1*knsSR4+d~-8V@N$b@wHJd0Q>8A!QFHeI6i2%((wg zn{a}X4@k=C(5#*Ha{*d4LExk%5qt+)gPW2h{1`@ada!BX-=u%dk}}kAU+uq1I^ao- z;HFf^TCgppVbw3(X9T$sw{g_(2W2+1p(Q!U^9N#qoCSQ^c*DylHzRYp@dIoaqhq`| zqU}Aio=5wEN7R{7CLA{rCD}?v$BOekg^c`kR{G74I)e=eh({j&C_5#djmW76{_!*x z_4`YoFqdnmZsb+&7nY7mp26R-IucpYXl&-2^Gb(7aK%OE^B%c4L%V$FNr9 z2w#vG!jK9D@d~#NQvERzfRDw_#%P+OnI0UnQVhU1Ry-s!4X?atT*1~d^pH|3pTvz7P;fEm2kDjAB!zmPp}MfAKYjfije{|<;SwRV+R>wbPqYnEAbKO8C78EQwi&z|`z-f9@eQ4EfVGEQy?d0W?Ks0mn9SwLYZMFcfTMlOKQC2qji7LHH+db>#9)XDgA=c^N@j%l+lu&($-8u2~p$)8>Zk*Aa0!+II;cTLAS(wNgfyuj=6p{f;ei zaC}yK*fsI8)KF@adID&vSgP-X`c^0bMCu5(XDK*U4)-7>wQP{QQnm76f685q>IMM_ zZ2x5AyvLU+eg#pqr9?%|UdB~M?-8m#CJHm2a#XTg(OMW0^ZO9-mrTNPX^D+`Vj~t! z7U%>@2oz2l2el6mg<(pGP152QC&sfykklfyU1pj-d0`_Jfq%m92O*-?i`^h3bH8jg zR_!g~b#Ef^60lJ1T}(wJ#zsmb_Bb*7=BsWJ{O}qNinFlJ7(d9+DOiK#1+?mA^#80W zY17#H-t?Z8=P57{mggDa6N?FQEx4O{X)_tT^_+16SIE z;jif5^eTN(L*tyvBOKd`5$7+q6qUylf#n*mUCrQ{=s1Qv6u3Z1wXH38$wedW#{qA=0zwuUJ zV@9Q0#L1hPll<(@xxZTs2QCBl$<8+(2TtW~Tt5brKaCoBCO912MenVw5z1WK5rbYx zDqaCrXH8x%S+Sr0WX^mEsUU02QdIPX5&u5wkclz2J_ZJBwt zMM(yJW~0Zyg&srdzEW!aC)gF`XNH)a4i%aL8m0jq!owsyLo3RIZvY1wwztk%w44kR9)YPryj%Nfx8#){F?tsEav03EQDmqezh`U+l1oP$E^DXJ?SZ~*XJ9`%n{ zR#Lm6dqO%Gp;PnFGLXRKL|i80S{dMGBQDgq4bZUHQp312bc&(RZ$}yFF(;l1N&c%1 zKf&>22@FxHerBW#@8Q;X8`KG(Zq7uS^XR}qf`Ed+ANB3fRRgYAt$m{0de!6~b8DRmQoOjZ8@Yr>$uYH_#BuXMvea0~~R6j53DQ6V)ML+nu@I2q#`sg&?zMXoA9GER`~8%Wt2 z{zH?$W1NTeUc#irN7-5~irS8rNUQ#naCtjGv%U)s`RVw z-&+Zco7nBK91@LumS2dqzQmGs>WdO%kJ6OF;rP0L?<6p;V}st1<>ao(UmNO?a^pRx<(^Ws`=pb9`DmyhwqUM7&p*Z$FWQL`#2>b2xfO^bFi4&2yxgG{(vC!HFmDO zp@P&SMy`mkwCNcv#AysYX&86{_lldwmM-P6>V$@lcmnKFpB4lGc0*;wSulh^#W4EY;H2giKiHS!_Y*u> ze$Y&JQ1}Z`Yhw_=bSkG+Z%}yrKk9!fOk?)H!31S&aO5l^F_G$z0MnGK@GG9FeCH9l zA~WO*d?4xmbcVsdVQK<5PCE!Sfu%y5qC?ta(Cg75Rt%T0|BjeT^Y&R|6mSHN+e>{^ zZH8SH?cA{WOZ}wV9|jFR2cm9<`DOF0cR*?AmKSY?our^QZVS)+=J&pEUY&@(GJo;- zSPIAruRNM0Eohh+@#b@4ose-gwLWUQnHJ`AsvQqUyc?Y2Vg$^RqrxnY56&vT?0a-5 zM)SgbL{&(jj4ZZfiO8S*);I;{*~f0o4`Wok_KomRW$D{2K>9@7yDp*fc>gL232}2# zo`}mQlu0>yqHL(|e!k^LXc=%0+-a?6TSJ|HDATY-8<_(^_*pyN_)8eH!m#>(e$r== zxe#_j6w_xxx-du4t|ci#aHA}K`VaB`;UkiuMN0FTsRe^Lj?(R!iQhOZrV86fH2244 z2EacP3UZ(7kbky zsH~Htw^3WrVetA|M?^vTptzfRSeHURFTSr4a;?wdC$`Qpw`ea1PlR{cW8jMs~dl9&DeMZPVZzuWpcT`2s_ zpE&{b|MJK&t-*pZ{ zbD|p-k?2R(8~_|D%l>=%^kIG+A|s9!U&K1Seu{vi__ME;k|ssKqpE&VaQP}i6Z&mn zH68q--OT!C?<9k))@fwbMJzg%6Y8-}D2(JIgniTO5lHQ+3VcYD3C)(kzM(HlAOk}) zR}ikJDg^8^R1h!7dggjk*EDT6xnu7npY?R$wTEY8GD`XEUZsLK>`1* zfB0;{^rmgBfK8k7LHLG^W$*=Y8w4HSp;pUw%We=Qh$qH6DbCy8IGuj5 zKkUHt*0d0FkYt{{6+)23M8Es4flvg zBLLon*i?lXrI)X=$3bsGWk29eGku3vDVaHM&$LIk2T>>4LkHVKY?~Xjm@Spfe5rn& z5;#%c(Z@8D7-N;W8+dy9vVH18RJ;HfZ+*&^=luHBr9p5c?(Wyh65XA_nhB{xdiNlI zar*3ED0}U0pl(ZUePE!8s0t#@7I<0eqpV>KKVW3DAX(HP$!Sj)vQzMlkYI5umpwXg zXPw6nlr7QictIpsJYml=-}uIO0qmJ%{G)mh^Z^#hBpCg`p!P>k#}W#4Xy;QCM6a5R z;oTE2UsM7_GLnJ1hZ{2UiBy+K>`t2Y^_LPJVy^a8Kbbhj5*{7gw^Glon7#0abS=C0 zxP9=}%kEQkv9rSM-XLRYd+EM+?z0orI7rt(-IYJirwJn2_Fp&suk-%bVfcc(h<}~( zzb^eh-@mT(Ki|Ku)j<6RKL)4?!U?rpf?jiPsqt(5Cd?q;sgSw4NAN(75%NE2QkR*= zhQPAb-cls0eO)-@Bd)lzE$hLxhu|G!4fKR9y&?GHe*VLy)D3~+V-wMrL0xA=3oe(u zk6`}z?tq3uyJ-SFL#iu z_Rv+icD-f7JXw}hY{sep;kd>rgDmV%;>mvYp;nXqwy1|Xs60(%H51I>J{W*0+OXYC zZNQBqT#i-gRlu) zN1y|~D^LyW$nd+wxUJ6epYRNaXqq?MaiyC&GAIcH!UnkNdc&1R#@8|2w3LV{5qt#a zU`Hpg4=_gfNHN=D-nMju!j)ugs=1R>&Oz|7KU7Uz!Jai(lUWfNQibn8`$g5T_U=CF z)A+fjUMJ!O4&_0pV*UvBRN>4`Bf_Q&>}Lejok5?9gzB1xP+pfcp9=7;qSaxF(jg{q z1o=ROu)&rQA(wfAvRgL@m)ji6b87>Yhy}r?*$!{I*hD<5;?v+!6kc9j#&?GhP!wK} zej|qnIF;!anRB8WwxxeE3CvLi&sGeM!mF$NLm2RHCL^uIS8ns!VNW(>A{Oa9-Yo$z zjwIf#=2m|dw6?ic%Ct(3E}5a18lbFJwy!>yaDFfd!%ok9(*KxK-(%T0NapJKb2T07 z_fSjvaqo0H3@t~;{;~#2Pkci$?wjPF9xgh=a~Rygzx}0pSVPEYHcO>kmf(?_sB(KQ zOIL6h1k&86bypdocSI`YHI9)OcyBU={89+0l4hI|}vS|^&M4a5D+&D^P~Hs{X6+&RlVIR)K3T3%Ru z=5Yc&Kg&Ehb+4+WtQKUW#aNlI{u6~Q5}~w6?QcUI`tH`xxXQeOA?4z%ra3|hu$gv} zG*Rg%TOEQ6NX}l>P_7J;$XFpZ&-B+Ns;LBNi7eF?aUV&*pQPfUdIVdE(bcznNW$M% zQc1bCQ0)HfrZtz*PBB8r=q1%U(vD5QA-n z&^^~<%BY1q3zY9jshV|35FMmz>Ef;^`2iYlhmG;FzsDMeqOE>+?8lZTFPr< zPHeMn+*0$3*i-b~GSc!`52HQrr^RI4Lko%z#}o!4bD}DQcNQ8C#mN7|OD$-wt&DYK zfiA>uFciO8x;lK^lbzVV*i`oj0A0iJ&RVc}81D3{N`fu-)}?nccvku%&t0bfunyR_ z7MHxNUfDMg?VJ-=6lF^=-2SLkzm;_Pq}U^f;Dbh2&c=J-RuF_~oz)S5{XUTBqlV+u z8-LY`h!>5Q9XmH7viHIhs;xgF41!e}QSABSAXU7_cJoFgN{?yba7_{)clTHss1VXT^x%C-Hd_D{5`6#uvv^ZgWAcO{$tW)t3&Q@#SVX z5Crl4X5^rYCV^6@rXA(RJQ`Y$t0;{C1jT}18UdRsM@#UfP)jS&59Fi`uJCkDIw(hd zp_Vg41@gHHvde^n1}&_5_QPg()_2h%bBXC0zT26G1oTwuU z0YAP64h+9jJp1^RCvB;jnB8!;_*}?egJ~^)4;Afjs0!8W^2>&w_tftH4;=E3+MM^a zf&ol|0RV>T{|lwz=OV)M5yw~19hyqHMagwNa0MB}`6?gs9PlRRvwD~M@ovi4?9u_Clk|SDnRH7vWgqcw^E$j9$IT49^ zVdAL}kj3tx`i&Z8C;&Rd$~+XnW?<$&s62IuDVp@Vf?3?O$nqAROh!&4m84pg^;vj; zYcLkPhR#s{PpKdN9GmAqMFmdj{7ZF#Q}fJ#+Y=^YLAoO-P} zi}Yk(qngoic`x}OS~JA0^*Bm@GRU#sK)_T=ZQtBZS$PQQi3(qoB zDZs7PNK@<7vZu0ov8qYq3lIAPu5Y2kIq+3+W7Xs_tG#L9QpCqOdNU+*dD(M1#nuiz zEE696nD(GOZ-J_gC-}_HnSk)Ezrw?E&tJf!ZSst?_ad$S@z4Fa5rk*cr+7U}ddMcf z_y|ipP|v7tPImnVD(H^**>Sn(SOD-Z0XpmlCq9^>|4R`3m*52_h(b~3%nt=qE~DRT zZAkztT3zaM0fYTr)1{^*$2_BjEIs{QlYAJJpV?7@&trFr%dFF_mFv~+h#0zlPVzZH zquf?qeAmn(A%S((1KxTRP#srl0oiPL^#qaKp2!5C`?q83tEsN8wh=}QHaLo}BaaLhAM03^gCb?Y z`*1n+hWjdN;duXPpFhxB8LGv7L;F*MLiw z4TGznBPa>Z7$jL3U21g#?S*}K*q7;*7rIwQ#apI2ZB;CMp`SafzH2P3s~tF1W5)5S zsahunNY<7=WDbxxha211M>7zIe%(*&i&OxWOB*#)xsokA20@-?ewB^RN^*}kvGEX7mzaaeLIe9Yv{EzTc2HeJv)&;spgdze;(7FuXy8Rb+^90+cb~{Jy zTI))y6h! zr>h+@;kTsSAEoOU7P}W-)py_4W@5+}i-YQcRP2i{zRf|Co;}2f0{1K3Dt7H|GdV79 z;pEHYZ!lkb&fK~iHYQ7(>&t@=x;z}*%sD;D`|ze=(v;QWx=q3f&*^dOIDikzAQe8R z#%~lUKb{_Wc&pp4^^2`L0Z!bt5)8+Sm$4U_V+!~L7xKStkjvi%$KI@}E2E;`6#J#gH=%ZG__ zoBg*OJGhtf5n6?&CI&ZDQPA|6meneKJUQLjnJ)ADUG+EFf(>WeZ4Z>@w~LG6FVohQ z#KjGS;m8=id|$j8RAxxq2jVy}Jf43u{#fYvjd{M3y5z8#r7yPFZh?bqN3&UTDAcC}ES*_arZl1VY~@Z!xJS>gVzNSHa8YH}PQ zA@Eq(xEQ!tNZ5d^3~cN`67Z|n4D9SAY|Je2?M{lxx{Y`gU;YcQF){yV0CI3JFoW$t zur8W>B|?J<1!Uz&-h%o}1=7`tC#pmD73eVjqkKZkJM|Xl)MQ-|NTJ%;NQ>GT00k3Y ziUYf%`1;}^V_hVX!*y_KOu$%~ju**LR#7oscmU$zf`5Dm7QXf&-INY^ z2T1qsHe;B*p4Nsd_3Qx>g9e&pgaj1*1Q^}*fO?GfDkCYA5ZKT*OFT%ir!IMYN%QS3~1P-*!Z6QD5!>MArhOoIC)+hKOe`Ual?_a zy>@5DTxR|>pvL_AKE658O`Ig=9=unckdbtaNG$+*9lPCiXc?gr6pN1Pa44jy5~P1z zG6}cbqj*baLTlcp0-5>CNhUhVK5j7@Qs}iSOst}jW3}rKe>S>qC&2}tL6IXm{+_vn z0va&O%5dqF2@To_{%SzyqO{vfp~LXiejg8W@ydtL^i9p<(F-Aad*n@GS7cC-(PD(Q z$fK|4nE*-YP$b77|2n+u6Xl_+Fu4r53z`$deLB-?oOb2d1`R_1J%~S(N~HrP`6_*g z!no^Lf%vK>*jJeWg89dh=iWS+b1#+*6y#?k*2t4c&2d?xiUxb1d@Wx;WIF72_CxQ_!W>i57WhatkoV zfwWjD6P|PJKw=|@+DE0pLyb5Xn0y-k6{Xp6mkJp#TL~ZOcQ}#?C`~z zxj3@lzX0nkFhH1u3D)Pry0i9~5hfXLB(eDX^j) zH`g^`9;ZI=Rimit3gzzjmru*I1v2xfnD0rn(y|EXWvg2V-kDxeQAi+RizyTS#+ME& z^<==RE1i%`vd)Ya(o}42c+5}P&HIyDp1VW}ejR?|U`b7XdbAM*2P;k-dO90>p1-`( zJ_({$8Dv(66?8csx;kvByGPvRKgXKN!tRkT_!&#ipE8^~dD-ccBollqt!`vwVw)J( zF)w!#6~3^AvT%-7M_k3HC@1>S)z9h7$Bn5Ztgze467AZwqQA@D_=>5c*r6KK25E(2 zrb(y)t_57|l`ehsO~)VX_g%Y$6Q^yzp zKL^w~!@J%s7emQe6{?3KYNcF3q#GADk{72sP9|l61mpbqmk;ijmdCfyBKu$%Xok2& z*0~WBWBbBEiv-0u+aHO;N`>n$IU|(%{{55zLX(($HqlgP%X@46L^M_B)5zTkHR5YR z!%YXrU&tt+5h0SG8YXGfkjIC0>*C5wqGhqy6v;C%+W~?j zZ0M~lmSNHNlHqcsN>B@JG4YVS{aF!je~{}3O=9kc zUO^e%4us%<%;GuLIzl0dGt~m7YeO4Iz%1Y3hleU|1hQa@^++Vkyhpa;v~Mb|N@*Yj z7P5U+6~-38ULo(y7_J>^eYr(x6lPHdSkln8@UUf=VNGu}9{kY*r6cGHEFc=-MLBny z*J07g07$0XB8C0TtMxKCE-q>}VsRe*I8>cqGp5TmIS{n-^SdoEim{fpDNMud~SOMpuiB1tI9wA&r`dE=@#^??gFs{qP^-?%1`x ze4g}t&4fm^6!^%GWJh_oinkJRp_9-Bn6b;4uF@l1+Gcrbjc6xCIz^#?y_EC}*YEw}$j4z-72h|>y{NEqr;p?c zQb-OzS%g(LWuv8YghmGT2hFPgg~s6CIMs~@@YD2l3R27VGvpW^?4!|7 z+EYSJ)8x#Pu+qTsbNTpPg(^xbP%+-H$pZCuzTqy%pXl1MsXoPm^gwA9$c4K@GfsAU zF4vwp?+T?aX|O}1xqdsQ{;)^#0l1mz$<~w{q_piOWtn`NjC)fC{%kSxSU`BRcAEp) zfzR+jqR(9Tr`qO_jGuUrcLR2y@uYYU&mH<8p--%r%IdHIafGG$B%^R7k6+$Ie6!rI z%~G{hv3ZSjq30k#@Sp2LXg|i1@r~EwHBc#6?LPs>n7Si_Jm^=}vGxa33=DG_juF(LoXq<8Ak;@K4$_@V8ieO z3S;_?U>?_xXj-iA{)$XLg@Y-u0cpH;HoROtd+VHXk7#-klk#kY&X_) z{-al8GajI^r(NlmNoe^PVl%1`V9S48hef{Z>&mJx8o-x7y zot;tZw2K^(E&xJL10jj2Tc{BFRG%7-+cy1svn{$Z?fc$sOR_GLn~h)$IdGDVxKlZWn;T3bOlu>N)cmd}|a?xpHXp_RG z@uO4o<8TIyjtgTw-t~VD`gmuzWGc(-A3^4bdOuFuZS!P14gYG=oa7b~^AP%# zAN1l)1IEk}m%sJl59?5>mdfv^xurCir$!F0`TLsS$1&qk!=C7tMz!a&);U2YWUlFl zcK=C8pNoAt_jBQc8%AZ`LAOAgmN27^^Q#osDPmjJFitV6_mIWUfmAaG34$gYCi7IT zhf)80=yx5!%e_jvZ5S^ z{0VlNeL6*M5wWPn=`LR3lhUf2^g8Sd$P0H#<5?PFo9!?A%WsJ?!L5uUwUk&$L&4@y z%Mq=E;X9Sf>nh<>S^FFKatNwz3=HxBrKmq?_20tGqM&oSm#;a(*k;OTRBB2dS8OhQ z|0`Esfx+|=0l%+t{YJ9(<4hlw(gKZ9;URQ~M6Phz0OQB-t1-N<2%Vvrj3X_rBj=3G zUuB8i2PEe(_vYDP#-gMP-kt8~#ftI#72zJixz}Z}16!yuKZ`=BmB0*#(oC*#X0HrFv& z%@XyQ%(6#H*O1QY3TcBbEE-fMIa2!no>e+**K=b6?i4AY^DF38%dZ3LcqpHpR#ZC} z1~yp4 z@VV-D<5joA!L!((9R9)h_LZ8`O7CH+v-ZkUnw1OIQCc!jGQ#+Ns_+u27F;Jd!z`m9 zgmX)Z8&|YMb(SnpxBW#Z!o?P@YC?*uw%;-Y@9k7T^C<0lY{i}wPVfuFOTFwfHhDvb z?87~kO+CMORSCnh;C;ixXfES4FoV%VIg>X(uh3-Ik!NZW?VzRcOQcsW6ADs_v_UMv zP%yoWG7$^mV~N#}UPIw<+XR2l@BpT!$h%RJe0Qpn^5_|MsX~o2GIN2gm(eNC6sN+9uQv}NnHTSs zledZ?F#)U`|7Wj;m4%({f4{5)vi|S)b~*?^R#vb>8H{Z4G{KwL{}g2e5)wuc2YVMY zdlzRCAS-+P*APznf4e@)B#fF`+9be#J2vd#T^cS<26pfOdskaqJp_LK|9b$oWFbnr zFYzfM#K~9NUpWIVLP9P=AQ~=0APun~fXRyKkl0W_Hs)l~QAn(0Ayss0koHIvc>~JY z3*9lSc+LZqC97e>8Oai~6-hWriC3&CFrK93)t4HZrb@NgX=MgimT9M6CJ)wC@@s6| zJAa{6cL(Ydo%T0l*_jW1;`e?hwx-uQ>e%=T>00D&g3P#|nZj1E6$cF8e1wGFpGQxI zk7l;3YF`shwkibjGf_cbLAjItsYfk6j2+!AA3ZwmPZLIqYYHL6Mei3OGbdX+Y9~@h zM|T|jO5cKFN%MB+Zl67#_oSpT^HgElx5%+y8!nN}m^%+X6T@ceCT;35JZQT0Ub7^0 z@P2T&y?<|P^~d7l-s%YcorE}Rj7HiZDKAGG>i?4!TObd?R{02T0OW7*4ik}(nK{BE z&`V8V;9wTV^rVdzk#HaRE71|d?D1zRBer=0#yBpM6HZNEO9ETI?p%rlqQLe+;5<&souyxt3i^wfJ?s3cK__vdQ3E5GXnh7(;YRM84kZ=EeGWnA(`z_W9X*| zL?_GNAd3;|RS#p{*b`kV(XwXo^ zFg3Zq!D6Q-TVp5RIlqvli8NIaG3pGpo&oK8N}lfo%Mwlp=HFJc7Z{@~8eGgsJCt-SXDL`R(dQ5V)K)Ug7y+Tb zM&_s;Q|TyROpcuESEc_yw%#$YuC5EzZEV}Ny<^*K%*M8}8#PYaXvc1B+qTWdZtV7^ zeLtOZ?yr@(*BEom{F=|;GKPqBR~?4o^_Z?#8HC&a273rXEq8$A$Bo6+`aYCVWjT{x z9?oc3s#h5Kda*uF%T~##8a3o$ap7x31SXcBvI{i3)EvqITBmaCLoBhVOWrDC1?S3O z@o^4Ob0uD5xHg`NL}-1GQThoI%9GxHV6trU6+N3@DdS&SSQhdnL6hLhmM3u4`(+Ov zZc$EVWf3l{G@%v_l<8;p*R`;+u~XEt@*m7CZuGx-h!h~>DyC&F-g6~hs`zAv&ucy` z*O8#_%6r8Hyn9f|2z2KG54Z0o!#41qi`_UM!uEA2`-FZi+C|jBlxcF>O6m~+;?g8%g|Da~O3m)%3<|BUFefC*VJ{#wd^8^9 zJ#k^6#x)6^OounAr&AZ8n-WJ-??;i906ls|6)4C6t1i(jnprfG@5j!#;tS&j^>SKr z;7c;@Bj1~!EB03UIW1e(#jmxoS2Qd|KOR5_`N(|scQ6&>vOrA5I)whg=`haNOh!Qq zFT@4XFlhIR`r$YOKUW1*+n~{ZAd||i>fmr zQO>)DljG84(K?Wdj%D9=%wEX&MQYx78V^=(<7Cuk+ugmUqwN$mq_$g*I);sW*W)M$ zvzqb?#9$aBa61+5|2x)(&xqjK{ zY91dBBgHqHw={TJM0`G8Rq^v#FAasRx((bNf!xm;|Gr`gZ`qSAeAc^c(|N7>ShL4!wVWB>IL^jVx6vD`XOkrWEBNi--peK1F=sNC*TpbL(yD60K{@qsAsjfugO;vg|@S=PbdZ&7xkiWu%Uy;;p`YEsDBp za|LoAxSc#SOlbFgzctF842q=d`e$XNb1#;Eo#^|1q`~jCh_am%468$oYO;Ln>*dIP zgKNCq$954r;uxw#x%7RdYY%$vkg%L3W_MmY%W>Z0RFC8b9+%7~vX2>VZoQCQ0>I^$ zuUmV{f-4ME`%^_DlrV5iZWrDW$f%af?^y~GDh(A^nr!M5hnM*&rQd`HswS-5A2MIK zR$3>cLLy_O_O*YQuHi*a%SFq`CG9_lA5TVm?ZnohFbE;-bccVbKMZ8Q+ z4JL&$$!}H~6J+_5ZA4$mt+Oa$ShovPKdd zi!Yk>22Kvlh7Ydn2KxI31T^SX$X2bNF={S-c{De-_gs#5YVlIA*qVQ-UT@W{Zsu@C zNG(2V8!(7GiLsDynI6=hb8KbY9`Q*5%p2dNTyRFX+N4K-TXfu)yU5c7nRYlcEE+dsU`7{s7;tj^!QvJ-b*P{oJqn z2)s~^ix1BG^H=e;s@)PM1tU=#^3LTJg{Z%1Pq6BFHbfY37Ltj6Y&5te)qg=`jfqVu zpQfW=Fq@#@6XCUj7iDa+9SRen?SoIk9PsmV$4Jtu%jqR1kqCYGiLC*w$D}q={^syO zd201j`fIC#U@dXWV(@*ZaUbRB&c_vCFH^+8CSH~DQj(PP1re2|$Dkld)K~Py% zQTfzhaDW=!dXEvuk_-V@n6Jp_!4)8m@ucE)y6SR<3$7OV)zeUnzi{e9`>^@2^6@}~ zf**)zldm1FH?$UQM>=R)^I+@-^1HHqk1fpt$B%uU4dWChwjMgR_fq4K|%BAuN2fGgH}Is0h!wW(x0q4X0pO^nePj&zY zGcU;a{Vn7F&s#PCH#30!{|8J#x&E}6;A~u=8d^pg;DVlf97Pja=Ty~^a5drMZTK;#GFAq2k!>^a>PvKe- zxO3MxO`xq@1ExwpP9~QtgQ!MPuU&Qar!tk*{h`xDbYU0qr^msU(fy?ZOQI*+W0;Gb~rxRv4Ctg*W{*|`IVicg;`RjcD znM-}fmF9J&xzN0?0at>SB3?ZTKJQut|3@}ZEy-T(I4Ld(xX*DT^K|Fwe^4YqzkRAo z{f!2QiC`i2B1}>CQ+9rzhSd@34}7K|y5J!EFrY_QkEJ{S+o5^nhiHYE`#c+9Fk{dq zEdun;GFEW-NMm)X>hz_?eBJ!5Y&8!c=7BnM8l|XoWUPkxa2I;VWVa{0MV{o)nhMmo z=-!JXE&kd+GTI8VHyRpHPQ5FW?6RZ@M&mwu@1L+>b4S~)@Kf4IRl22^So~VdvjyDBi?Nr~=O1f;~ zKs_2+H*Dtnj9yyI#dG;`$Z4b2UEa0{uUU*?TlxpH+to@USPu3y6#%PngJd;Fb>kQR z=}zS`5!^$2d_Y{;4DxoHRAdVzu0Z`d>8#T>;ow7HUp-+;r#hg^5I7E_ z-evuHwab=+KU!?$-RbwBn|VRpZNkamDLfZgI6uVksQO(mdl+V4E~h8)EAQ22N6!2U zXw15R3dl=a>nBq%;?4NsecXLpo)h2(ns;~f_xgBEb7uBu{QR7env*^$x682Db5YA z!Nn8%A-R|gDPs|bFgNiyOk(Hcq9HrBq6}MB)J?B11i^_J?C}1Xaybxqs77`YRxN_p zhQ6zofo_Ibo$m?YSL#b)iHaPvgeDBvhJPAxN}l4QfF>42cKFT%Ry^qlp<9HX@#dp} z&RGu`TV|(!M{K{Yg8mtkWBr%*zyo*$(X@Hb_og5R_WNB?nBB_s+tU<+Tj_j;rKgjW z-kLQb?S@Fi@&rXxZFO593AAqmge;{3ShcKx0i}f2%E(}L{Fda4cXEuU+93q3t}wj$ z{5BqDyIMehT+B=^YS0B1d{h06OAm(}9TE ztc!pW9;doKB6lW%SwVh^l$|WV)ntWhzXvthR8E~LD3A{t?>P%NpYmw}n=z*=Cl4zm z<7g|b8Wa3cu1`~qwvBoXrdY-#vBJ#FBV6KHj&?Md{CfLK1;UOC0V)xhVp#-?KdN!4KPvHC5imh5Uj@G3w~ z(Ex2FYkAbLais(lLs6;}`jN$U%fX!~(}{YY%RQ}fvw%}F2M(j{MEaD+ADl^~X|ae) zp((r4gMCeAK{ySFX&Fudkt&Kw-`5S)e*s~3oiKU4j>~G9mryHpO8&7wBsRmA^t=82oNBrou!s9f!q4ybT(_Yi3UozfR ze_o*jl#N4-l4c2UdEE1jcC+7x%60GCRiY`isT^7e*8&7dC@Wv&tm1QU<^*pmpviAj z*jr`YVl&WE{a6C(6Wa{M!cJSEk9L|)GqSLJekA#ye)%cM%G+(pt%(0k`cjuB5mqk zwnY(`qIBY&g#b=%$!oqS=%FRMbr*_5!D@80^|Z|hVv**?ZF1Z_<*j!9t4OQ_p)&yD zb_SKRkFwVOnKz6h-xg$umVA@+eBvH7w0+Nk@38Hl=|_9ACPgu+dQSgq&=lii<**&g zxpTdxP=uA)w}`BrIXuw!HFJY=7&{-b<26cFOETr0KQlvNFpQ$8p=x2KfxmIn>*)f{wD99k*ox2vuh@R2lXO@xeJ%5iQ{9=8Lq-x6%}>TvsTb%6CD0LfDH z72*S}ui{b}z$pYM-VXNxXMNx(<#JaA78dt;M9X|-pPY!qn{JpL#}!QvW9WEJ$^{n^ zTW=SP$&l?K(m08Cy4c$S55CpC*53(Q?C5h&$WDh$jG)*_aV+>o8`NQoJfKF<`hUV} z`hg3$vLRvFB!<+hyYpl*WL1}!1acO-BSoc#?btb%!CaS+uVWx@jsPOJzm>o3{FpU6 zT4A_YpKB$)bJTeuqlv4@0@)`8!w?nM2tvx%N#EPjsVPYWtLj}u%w4aQcnx8wE)L*& zUV00SLI)tY^!ju<nev z?i5^kV!(iiyxs8pO#%ndcOsX}PEBZRRr9Pcp-J+ijs1zH2jhzC(Q{Ti#FgGf4&z5{0p*v!`rUid9DP9hVc-5L>2SDyBFzOY z{e#1>StG$kkgE2_5E0=%fJ%S-dTDaPlNW+r0}j6^JQV`wh!LSW9T=LEf_m6(0~zK2 zc+8VEnRB>Wb1<2l)~@$usVPH0h-cTf*X7Dyd~yTfXTRyGmkN-Y(NPludQ?7?S*K42H1w}VhTSdr_R>$xLH zo{%5+?rl^Si0mf^n7_5hPkT=w;}81m%)!P8f9riD^^1XdLnJ_cO_gQfA1xB~g(OQE zgrzEH9w=dvSDR?@00!d55wNNrhE)kTK*Om_SZ<9bEtx%R0t;g^Lx*?lRe14{5>w_m zx)2yASD7qBf8uQ;l ze_p_UwLm?Jbl4!0GH_yW?)MVTe`%?K@idK=feVx3aR;I96M?=aqqNQ%2R7o@WD-K+nE?q4NOy-QVj(#GWK1<(kF|yCfYs#`PQ}iRhw& zLM2l?gUxn`0KZ+c55K+rC?+WVkRKua!nLa7j#RCDbGInoF7BgzUd=uIB$yXhWiJ(N z7a8l56O=gfAyvL|o_06O0zZ^y7+lqa^A>9!Z(!%_ZZe@|)xVDbc-{ zo^Oxn!EAfmvs1muB^d#6O)QR4P~%8a2J?_SPxAaSkCAE8f(u2NzEi@Iak%!$O7C*t zdebcVV5K}z$-jF)nMk2TV<(mFb&F?TZ7?Ik!a(IiM-D3tGnGNHaM|jH)*ALuKBv3- zdYN7q9A{mlZU$b6g)4^zu1+7+%#^-zj|W|9Q+IYVE$@#pzy&v8%$dhw&1fsS)O$YO z{NNtxWR<##!aP+&GtyKC2d&y_m+c%Yi3wd>$?X;xCoIhC{(I`EfFY{=SW^~Xxlv7R zoJmJF5G;dmH)B4WMtK?E2R$)jk4ZJU+R_iOO?#$^gx?rRT~SI9*VSfN@QA# zg>tRtUT7&li<((JF!(B(a*sJaoMq(TCdMd_iIM@OzCjYGQ?)Z0Ek@!pr6AgK$DIJk-+z zLEB#z5%vnVhHk(`!yz%7a1IKRAt#=`Lkdm;4l33A_$aaeHnU5ZF~!Eh^ogX1%xGGMJT(m1oFvE?;=XD247SH+Gzzp2 z2(M)FVcRM!FXrSs7tgabfnW7*)no{Vi>Qng`#_@)=k)n2nxeu-FhY>l2TKZ^=$?u1 zz;-9(SH$3_^B*LpJXI^_Y}04-m*5ac``>8cTfb8N!YAZJ5&E8@dDB8`+A`~kbVJB^ zyQ-!?C^{K*AR|wv_|pss27^TN9e+b(Ef=v{b&cFRJnF-GTFoan)>~YR8;2UTBCd)r2*4t>hE}FHtek#@jD%@?=?e+>N-_*m^q`z7 zYAQ!U%Bv^dW27Es#^x|4-o2U%rRX*O5OJ;wd*9|g)L>c40aw-n7$Uljbbw*p=;<7q zNY0B%%6)KAt=9IVo(FEyPgsE`J`)Z7q$a;bvbh+2N&iNP<(R#r^E4m&VFS&$U105o zi)hK7zpjCG?}>QGQsY;nlw-n!?dAm6JMAewmybg7qLW6?iywAf>#m*hl7dL15bAIm z*yBD`xJ}^Ld^g&`uh14hiUhaFA*SbB!)^rUpl#JXa?O1b?d|aYVwH=6o_nn`1bysS zlE=7omawIP2!lt13LWb%OyQdI+YdNDcxk@gX&9J%EVZfTmOZOl&d$lp8#SCZ_oh@U zyViwu)3!DP-uO~%#_JyAiOCx7N%3**$1smraM}0L;7uhvW2h|M77C1YbCO^^O_7ax zt(Wo{UtC{}y5SCOTj7UP3+tNC=R%kc+Cin)dL+)*^x~MA7`^rJe!z)CA2DDuBN&m5 zFei?1I#K1uCKVBSww`mp%uBUvyQWMBnsP{~d?)Meg6fiYbF?+zVFJY%-io9p3wfNU z{iY5|cE28dChIm_OUb9@73>T?mZK;+PO>>qa{(<3`smaK*9aSbcz%I4qRo@`vEVDp z#;Kd0wFw43i~6RxK7SSeN?};z<4a*5Z#B!mX>Q#2j)H#;kmcgw{O@(c^Pds2@EF|x z&y~py>bXS526@3ll7R94y^O-9;31o);GxjC-hbE;h7us>`E&pMUs-QNcbWg}(h05G$0lD=P|%>Rwz96TJPY&=~5NCV!VT<`1m=Re}0Z5-(CMhneTEse~&QTu{0k6mr4_BL(pv z8{B{7*}4D9bG)CEoA-}C*Z;`Bo8tL<*MjkYOv2IMv-AJNNl!oCl4vt;p^$2eq|HE% zF#Y_%iz~!i-|?UpnzBs9}f^?t7doB1vBAGPe7p0> z^)+7Cx3-H8BG}No^tE9b$EKjzBPAd7yP~2^*B?1P_d|0n!`ok~x*DxsA7^k383B@z zsbI-QLbbpw^Jt^FEKxQ2eco@xaVm(39|r{e_BYfY{hYeJI1wI5OG_IlFPr2e$a2nJ z8@mIgz5(ILATkaeNtMFnt1?AU&W8}$F)e+pfM9_2lB z^*oV+Z)qiOw=byMAwaZiv=Et-Xpww`W0DLE$n(yk-IM`in&Ih+wKa?~4=CjFHq34C*CRP(hf1^+ za2NEvZ_J?;5v0XGjC5!&(cx!3UGv?TZmtk<@7$l9{8sZy&j{{mLmCTx8&qV$US8xd zSh39e&>X>^dwS4pl^2tt%^KfOvaxRW>F6diWY>cO0mA9M&f)aSu$wTjDzj7|AAJR3 zPPx9AArCJhoFX!}we?_;jLUvG@yiA|=Mp*0a!m1l3}=q};OemloJ~a}RDs8Vh>e5W zMOi)IewKUjVb{uyE{nzCEW#?ixcZ4~MCDLocED`p^I6I#TTja(+a*8Y1_G;O`W(vN zIZmnZj}o+I*H3wh^pPRJZa5zcvCABr#k0WJM>MvX{EwrhG_~KyTQROGxDL}bkJ;cV z#Y@qePMY^ZOAQNZyO}hE?dLMC9eazYo*`6ZWX zCeuk;JffDYUl1?$-BDYGKJj69ZDhmAWlJzP7!Fk?%=A~(Mo$Ar9WNM=-oL^`M7^ni zcgP&Zcj^l@I#V}oJx}71#LSEA`fm#s@8fMvn71`YJi(|%ShWR z1jbc|?r;SVtNV%PuAad~O9~hLfEjsEwl%rq7&XAbr**qEGr1)Zb2X7d{%7~ocz z{^J;BCa|c`WJ~W_Zzz{|uk7+3-Zank$55$L*ps{E@)G@$Q>RFs-myo#Ht_S!Y)SPp zt+%vR9>SRh2 zxNBPOdSwRd=VO!`DOE{2CbeaDGceW&ji0B6zQ*TytTI!fg~))5bPTz`wQ#H8srm~L zZ%mK%nT2E6F1fz3MGmLMD$~62ExP!vzCat1=)(xyZy8R@M>_m|q3Gz}0c)H}LY?P~ zI04>De=xNWJOLSL0{4DRV|eYAl@36(B4ZEzW$?(G-QzNN%(zrhu$jWfK3wh*vA zS<8z#B(*hJq6M@ImeN9h}_WO3nLjXDxdF&I`GDYEdUQf zz*-5eZW$*{DZ&rW7YOe%vmJH#nrjuj)cQ7zg~0s3(h5jv58cRo+YYfb-|gmc+^kMEW0oLl2o!ULPH8-A;4+NWxYq=c3w;yB@!EL{~&D zBxqUj1|uR!N&$)$G_DAR2+Blfq{QQX$Io{N=XnQSHeOy*PFBD>%D%7PX?PTm0Su79 z#)$L211AVd5sDX#3&cjl$b!fA&Z2DGq}=bE%l${`ok_U??`vKVn=%w57-xdBI1cDg z2?`0ctOz9t@=%6iA>iP6xA1O>o1OC?O8{PG&Od7o4iMTL4m~)4`;Qtp001gbf|3BK zPSRts{&dq!Q&^6P~TyDV+Mp|Kzp>JLt<)jiP$ zyq-v2HznwBzWS?o{e#oHH^)5mJo3dx;t_^F3j3c6@}j;29!sK;ezv-oJR!iJELrM& zWXb*_ZTD2Nm~ZskFhJn#5Ll4p-opgsf4k*qf1zm)1pzY(H{P6{vrd2ew689x}O2+c~I7X3_S@McE znl1FJy*;j{}SmWr7 zQjey8YGj;?9?6F4v76~+uc23h56hnR(`U7ehMxo*5wDC!`CgdDbtG<#9g=3?)CfI;t6ZH*|*SKHH$PaFm`lCy06-%B&sH#w> zr6%{rgw{T?;xEL&QlO05-v;LJJfVa4ks%rtSvT^VHU065!O0eDv(Q-T=BgQ7?O(4x zwPviT2ZHH!tMk8CTGfwT{?-jZ zJLM4NEz^ImV2gP$-Tqm*`?;CA7xQPe;kF@PhDAf>T?bGfXRDCQ?DK|R ziZp1_fTuqEN2-L={jY1AS|Ka@(#PB-^HR;z0sAwAx7doT1%@R@n}{2^E@edvrY4_S z(+$zO>A^)lU}LJnVE4v!MU(S}1nMm65{;T^b8vQ?JnUGLBIX`W3#4CRi-xX zvb~R0a<2;k7?1K2)QO%*UL5JJIT>zry%HsdE4rpqv>B9JyTrllKIhf>*lLLzqWdg7 z7svYqb6_!#LSK@Fo=O07ToPG|=Q z6h4EDzDM!(JWhJqY@h+o5p?#)xf>@HSA;OLOyFl&KZGV~`Ix|nxj7MN#hH1W=t8UT zsT5_(U+}`NZ|f5n%slDcwoLrDPb;(-r=LitGAArfZc<-T=B1PU!{NDAKPRYhw4C|Z zS65l49XIf=<8yJ&fY5Daki`j0F4++}Wg&=z{H0VoL*4v{Mv#WEaQNfyczS@{(Z zB1dqQm8$f7`=}Hn#eI|&Ri#|MaF_iVxQyyV;=c67d^nLC_MjvwaI|uBRacF{4zi+h zFb^rDNppJEx~?*L0(cr&(fi4nMA>vvMId#|ZGw=;khC4Tg0AX#Kk3M)YtrO(j#lHH zMS|Vi6g(%H8**(MS*5u_b5CKfHrW&tTJU|RJ?b2xOYH8j~!Ez%fK>X zso*B#xC9k#r=#$?wW9+qSYE|>KwtAo)(We1Hn+e58%nYdzE66iLb7Mj7A4HuMw30C zh;fdb^LB(^8hDvb35)CI6EWItXAVuD#|#0KYOmP~EVCXK#4YN$U#e}0yB<}-hO+1~ zKwe#>Z;boEn2A_*uI-mmfsXC+0gx}z7F(qwW<{!1S2PhSp+sii-!CNSEIQ3GKx2s0 zeve(6)ctf+kZY(%Vx_btOpIjkp;+23pO?e3k>JoZ$UK4V6*gSRJc6exBb0vVd#8XuB8U zA^M>RnnkY~%?lK@p~lJ#?VG3^X#_IbIEhvHSQrQS&L<@N($ie~1i2n^)7Fr14&x#P zn$59OJh$Cx{so^bVs)9%%Dz|_=e+C+v<^g z!dSq&)!To*iz*le4P#9m;|1jK-5|&({gi0I_4p%&feu6%vMtqeg?T|x{krh{UteT8QlGZ05us0$9O`N-5tOsV^*QMXR+PMS8Nbe&Uk>|N1DeBeFTKk55A^mV#fAz zv4<~00shWNKKwn&?2y<4>w`PSaBes9orG_u0=v(5d}?EDAp?U5(Z}k3>G59Y;e~Yp zMvF(hv)bVru-Y%$WhgvlZ|QeembEIVD0OJRBVXm|lzpJRbys}WTE|qPvBaz-cY9F7 zbO;eu65%VZyjBJ#whZKiXTXQ&CSsUh5+s^9ffQ!&51>Iqg|Q8M$2R`U)Qlpz|9-#vN@V) zwgIO-l=`}^x~1VTiD@CSd(|n!nar#gIwThCr|viFDKECEaU`H@f=QShnEV#^uZ!GS zTXOmzSDD4b#KfXdAngL6}|EM8rg!qCu%n52TZjJ9=MPPVo@W zMJR@svW9mZX>gU?aa|`4sZHjUcqPj(n>Q4{RLv2HhPer`T}I-?AIo1j`Fq2#n7K~L zV0`$}yUInhPTYZiNE)s9-3vr>=iCP?+hP0h`7LJzaOW_qDWdWF7tC0!Cf{F32k|#S z!K-od{5O}%`=<`|PuB9C&jJ79a=^d19PkfsvjP4#QNgqE{tYX?KiU4__5Xydc|kK@ zpoikaU}^qt_kUqK@4riH|3{wfg&rFu-vvbiVlzMnH05#p^D1A?~Dm+x4W7)Df zuU#DM!3tRI7Do9*ue&+t6bo>F1V+sfq-8=Q8(w4Da#cXNAij(^9a&POee6x{*Wno?&Z81*ZCh zybBoCOQM5l)gImt-oxRKD${1v_L z%W^j52B_`2%F!8{2KCyoaYety>9Fq7nsl^*El17t8Wt3uJ@5BmaYDlQl zlc!}OOl>zlD&-=9*Jv5H9LBfB8SS2S!zld}@y7QOz%xMX#j$5kNK|0zLwrEnu_qgG zoZpOU-6g$Msyu?2&QvLH6mnBvPl3lCkdBzTE5U3vr!{9{Q54K}LHT7)lGDAP|z4I1}BH?AIDw*{IKX$;iP`piV+fa8}9t7`Jc;^z_o_ z5aX9U-9O8-J#oi6hx~D$f1!x-g#zvUQ!ZO^5*?5m`rdl)vMhuHayl7?K9x#TnvP;+);Fep&QfWOCQADs0lWn(%oanAG{lFF>J{>nHP z@2;jheDjcK4*Idncywx9Gs~hEcOPc_A(qEY3WC*iD6vos ze|QENt=x#QB1Gy(8kjUE*JF7*vH9R{6!jR@&<|%qQQ*=-dC1!(QU|B=K!XAjn>LLX zT^XCAEBn}IsPgj6>v}NcRqtjNVjBf$F;Xp?GYrfVHGJXF=9ah|sWQ8s^21q!*IVnI zqTTKNP|BN$)UM6FsJ=(PwnVgr30rr`IVdP2C~HFGB4}w9s4MM@oEZ5H#lVYfLqNFU zq`bj3_~N6EH0cnk0I@3+P^}c0TZFlBZwnmft{)UC41)Vr5#x^rH}6Jm*ypQ$WPp{N9fy%l_C zVMqJ*1{2(Sx())wj70zg^z%h>$z}jtFC6 ze-Hti6wqY+okkJ?f}NEcjP*p5kt=mD;Z5nuybwSz!g+~h0M?>R*+{S$-|0_U7R-=Ye^KRU0Cz@?-&43|`lHm-5xWyUHploQ+A?Pw-85XyM0CTMlxZtr#nU5FQ~a2;tCKWCxu1_)g;w zU7go0E>E>BCapy-uzpsjFH@uk8r-Jbg>B_f z?2oy39v1P5?VeUB#4G)x#Tw^6&x{E5K~H__A8#V~cNh804})GJ^~&>ss@(jl9hskh=G$)@3eFfNy*+t-9KA&;qf~=b zks%u&&MDC^yIF%zDfuk+iwM>#Vu04rEmS-_pqGq5jW*+FJ_8MByMU4kCjI+-jnddq z_`_2ClZxKtvU>UuE<<-Lx6H1oQ$fjG^4QnwlT-Hu9=kqyVAjw+mmkL{*UU#xYBSo~ zddAgH{N>UQJllDzb`4*7kNG@wT7EeCm&MPl6X@+gL|nUasaOyf&M}@#Rc(xw!p+(w zmu)TFwAy?|JNP2TG8o?MK8XT|&OXTWru_Y2&bF<~{Xug|+O3*y<;>2D&LoIlqLWd= zVat^X;IIQf4#b7H-q37}^-5MjYaeOprCFIe(*D>!<8~R(I1Z+Kk*o6Tzk`hmX2OgSOKdJl-|xqHhp*V;?)6g*IgR z$w9uI&;tbyV^C)(IsI7A=X-DIN>DGEY8ZOA9o6x&+JNh*=Def;C@E7@tU@IXwPZ+8oQ=6H$u5c+-YhoOI-9 zUq5UxVlrlC0*^v{z#+0qwI)USwBUsjn2@aoOy(Y|wfRL)2ke-Sp3-_e@OB5)9Xw%W zYJPe(#p9?v*zh2-)yXN*J!cnF)G4rBp}lIG|9P#!g}35Q@5P`v9&&|-LH#;erk}Pd z?32{70Pi#2lIwz}u}RfjFgf^TG}^aiF_Cr6{W#rx6+Mh&qs@*=k^#$59e1 z_}eB|WIxm(rky43zOm)XtxPxW)A~k0-j0-e5o_to?_8;dQu7nIs%iyq7NeA<>BW>b z{WAGB-Q8{)&4fIBg{h@V?*<>@rTC@|#1n4zn3Kx0mL%;_QU<*VkNfnpjYQuSwhp^} z>L%*iPlm1cnX!!u6{KU6);WrESf{=bKv{2b6z;ihf7c#nj{UJ^M{ZD-Q6xEnLwol1 z7`kvp*?8IYSsLe%E<&?dr_KEBYBDa5R++OI-GNgRqD%Q2iQUO`Dr??!u$SFv;uWPS?8ccggF|kA59~$OZ@XHT8S50KE?6Tj$B(?!2qugpKocDV zy2^Sz`I5Ebd%JlTZxjrJgWSca~i`%Q7H4eiqn$i zTJS?d8#9|%4qLH?S;$EIQ@e3jd-|NOWj@2Sz?X;XUDaSsdG%+A)%R*Kq}6>Js?gm0jkR_K9_$TVHHBiM9F4f99_kQ4CcS$?C6Ye@vkq1S){Vl~R~R ziPc!J1{mqUzbd^%Pg;-Os^)K~1x;xVj92A$l_t^6XJNijOEph)b_!q$&;=^ zRO}6Ji8P?pV2`@1RJhnw`XKiN-*8$#AbqN9a8~HOVN%DA!k=$?W2#fNV*G8Up|0!C zG&?#oT%WLiS^wF7J46cc`u*!xg@|D)f#3t*JdNembYc#T;yya6(Xls>7tNPOYfIKx zhK`oV(%1pOc`s)ZH_AUV+#Rni6&sksvYMrpKD6PxdMNonECN4!e%-v$Q{sLHOPqz&D1EOpl=j$ICNflvAmPT)NV=5a*bq7NqF8fBwodzi4FpKoDxK;xWP1r*Q&7@dhQkq^cC=q)< zj7s7h63CYE-Xac*=~1&%u#@-9unF-n*-J+_nB#ECAG+?fT*|{1J1ZnK-4w?RqQvjw znm?ID;%P89!BNaqtN~L`shEKcd&v^l2NS{gRg(5DWlPPt9;IqQ;pKLK#rr@if_-9C z@0`kHlIVB7r{QCBA}?AoppCva7|x=cIS9{nF^zMBVnWYkT~tUb;?k7!pHH#GJ(@Sj0`l! z-vjChMS8OWtvZdxY`x6_NM^Xh&fYY5CDyi*f!<&ZoJSUE|8n^N!G^QF~reSX}{h89OMZ#I37t zK~QzgN-xS;P494JyVKo?Ao@gs7SIJEwRVKi+2CT!M-23U!2w(dJGs$%Vgw@a+&?6f zD(|n-NKZltt6sK#Nk=|Ch!CElA0tcO8wfCLE_J=6+WYbl)~Q~B1-QfTZE z2V0kla-9p_bqazeWq@IlSI4e{65tcDe>`Bmn#v+{SE8?MxAweJzG(OfpXq$`sKd{XT zGS~$xc`M*+0sG?$!8F_;jwEOWNLE0|*Cc500Rui@!3SLZ*ChD9MDUyBm=SP5n?bl# zlmPHBJ=oCy8)kEI{mGNEvvPqOwf`G}2dzgV;)2G{kl{eJ{0PL5tbanUU@1=kf!GO` z^&broz{3gP{P&6hzx{9$HXg8{4GtTyvjdn|xY$YA*}(2Q*x&|t05Gw0u*X3VQ9-hD z#u<=eLjnLGzdTAXEC&GM$k3>Ot6Ey+TXo>&Cgl?(u-#8k>J0iM%c4)J#(skD+$B@5 z4eCWNr`p%?EQ0YB;*TAx7EU=sjl#&q9iO?`emqp2%B*V4q)dSAMaSln`?V#4K<%0_v@p~>2+D)E3dhUj@>j^ z`g?hI_k#Qzmdgq|2&KIYT>%Aj1k>8uwfOM(yzfQfEovNi6BM(P1-(*yyz*;@H3?wW z&nKZ@uFjE+csutXGvAO9(%Yf*zmG^a{{_FrdDOKN@ChZ3{>C@Wsp zfBbI0xR7vS5_rv#K-0?4#6EfBK`nftupJl6$E!E4RA>E9L314qJk#oDP&k@lN4mRm z5!mkqjQig|y8WFUwQu>_SRm&9fL-jgEvD+eK?T(FCo`6)f~tgBm^Vi=oTudShS{Fu zIvn7a$eQ!ehZ0X~JjSfXa2)P*j9E+cR$)ow@D3ARYZB@0OS~4#`;J+(RD_ zY+crmsHLP_*A`D9PQEWcZEFjWy0W~0HG{H zX~_3PH1W84@B#y`TvVdmdE7uh3zEuLSM>d02-7&8!W7s|FUv4V=nZP#bxEkN{Kb2p zEi73mW!3pQS|-VtyY8MbyRL1rjGvGn22?)Y&PQBwva3BPFI*rId7JGMhUZ%AuOn!#j28uJ5XGb4Tuzp}CS-{+Z zb`izsglQQTHmD#kqaoPkW;I{`UNSc1;Y4FKAO02Z`~BOpl3Kd#Z>?}fSV|6yu}jNV zY~CBEoRB%9Fmw_|DJ}|?rp(PHK-Pt|NX~cY75j;}sd=^O+`13wre@EaGA^ZpvqDLs zyE}f)X#hKV*Sdhw8XMHmuB)}6T-cr2;BKE)>lc}Qm4>lTm|XD?A_6)qxAFP3B4l13!e5V5&Q>CC zTTe(3RdHhETC(kRm3C6{h@2gR?JjOOozh%}ZjQg@c5$_b=8ee{!!MNRzxBmD5ABOg zY9X3j-Jyp%plO|BDgScnWl!tkfF$6quZ{DDbfb+jJNw=(?;U{)8}J@#fsH6a#NAXc z_Qw4uy=By_RP(BcS!Ye6I;DLkzGPMKRL@y-zmAS`C|3dTWxDQ7nx1AMyz0DYg`IAX z6&7>xb<5W^#Z5E%ZJ5?Nm8eLmo`!|wKIha|>q-{I6le4|c@6asU6vejxmV)UL*3pm z&-pMx@nJwX+xu1b<73azgYLW|_o2S21tK!E)jl=ynUth)6ozbmT$;`qJ{$h?NfA#G_I|t@$fiyfaD8UXJ-6Z)r|M zdz^g`_jbhmJ57LdFWQx=5Xpm!GZjRAfIjZ7%(&b2B%p2Hh#KPV*Twq~6P<=$ln|fAKfSdUu5MBN=U# zwdTipeqh5X6cyRwn#U0OB7oij)A&M zQ|eb9PC;t3y_W|qwjL)!63o-YS;L^@1?IA_C=I*}_hhx_6aw>-ZxP9Nl~dgBj3RtV zf~D263qlB3JL)<_Gpr2vo^A}P-r{~C8l46O$aH=rlb3T3`@h&K#XLyX#M!~fj{BYHz`3v$uEX9hgI3>Dgx0b4GqaC7xO5Fhy4w?HQ^ND@?3qORbos5gM}N~A zHA-5WdQfBMXOSWl4r{-Y9Z_yO9R#?LLTdYy=>6$3;8DZ?jCi1+77H)|Dh4%w-~n$F*hcx_?FtP=X21a??u zB1dOa?f57VZI)!;IcFYu; zr#qevhE)jLOqGFqVuNpo=g}=m8mSdO6>Hxg$N|$om&44@zdK-fLRnR4p5QW0V1%uZ zUkycLS z2otFT{nLo!opvx;e$IOa#eH1)9aXJ8iMw|NKjn5@82o^ssyj33M&Ym74xgfBisOW@ z4&Hx)vv8iSV1W z{GJ1^uLQrI!ug$h_#F)3VB_TZH&ejQ3L-lvr(^;E{=}63k0}72U}Fb|9{z8aEI3Rs z3JxZJjzuK>Yp$IKBoU9u{%6!2^cagc^ry1+_jj@K{10vUYq0$+4t&<1x%NM2DL`ObePva@mjo8thmvHqFhBlt7H_j`L02iP3}M)lkmlD( zptyu>PqpjCkaMKrm9|>Gi&V;4PTt)A=uIJ{KnPq+mY4^s*$u80qzzv`b)O;(j$&?9 zMeoy=QOr?e=`i%pYMEFSlrKl8Fsr-)!7QrE-GzxCyQ7@!pOzogudefz0!t8V9?kDI zjlA!~y|wgSnhB8aeD3J$Ut5;fPu2)a)5FtG1qXxvNj)@&9CT}y>dY-Qc$5lR9|GHS zi>31)m*@2ggrXB3k+)-vxhQ~YJN5G<`OSJRA4@9l+SMu_v*KLG2aA zg&=~7j`~QT&2OS3+%DkmijMZ?QuxZIWqkYjyiGonj*E)|JfWWP_^EyQw)+d~l(4K@ z53lZD!CntuT@fu`1wp}ny5dbR(dm-W{X`}&QKSMiDtA~kuSy4m|gCr|X87TbftT4feciNzRMr-;QIF^ah1AQ?Xlj(AqRhVP`b9LCbBb_Q?OJ4k;yO=UTTAa4#QAuA!%U!hky z5w;8{DXrCiIy#P$@N4avZRu#ppXb@wNR{kpsH^fSH&^=21M64|w6^IcOntw{Xw5R5 zPoQ)sBDd!-Qk zMqN{(M;G7jkNZ(4eQAQ*#H|#>0#B`KJV7_WABlIPsblAqGHpUpm1GxVzG0tjhyr0U zk+;-x-J^`xg2WU`Ogfe-Jf?o$esb=GHT%gQw`3mtN_?oRa+hfpNvFjn+nksmwoTWp zIS@C!pJrff1jiK_U91>dHt>)*aqxv%!PIT$Bl=g&kzoHjNWdpZ9{Ki}fkF)U2$(7+ z;GRA4d$?5`gSpU>4DHc7!tG+pg|=^6nsE7X$y3tnZ^S$dQ;gwF@TQH-?>@xret=2% zdmB^8q=jNDT98Q+ zUfq$SA>4KP6Z>{%CP0rQ(Ivp=)ad438Q5XRonn(!B{2i9x z4#uc>`KT~M^g|B@x$5?2R=A01T!(!S{nEyVDzq{2uEp^%l7~ggEWGC0fN=so(mJoQ zytqWCd?junYH6mb#-z8#kzG*B&8#EAdqbF1>_SUPOIi+F8MEB@Ah`MtNrn837TZeQchbcp`7>v^v=35QUip0Uogc(BEG3a|2=n2; z_-h$bzLW!HGl{o8+1MI_7wp0KvOMvU@k6Dv^E5&<4cMFOnrAD82VcQrAD5W7`-Q=! zlbCeIm9<4wG`&WVk5uHdc=-=Z9s@%b`Pyfv!gDWLqltPHd-^VMuK|#psS^mOi&Ld_ z(R-a4Oka&Y!uwDaAlVM<>t1oW^A&eyL_Kkfe%p!&1dmnX$+cyC@+AsHs(K^iUj@1N zuJsLKEv-kM#o(>P1b)4QVM0v!8Hkr4;#uh~@AimQEmgqDsI-U)v2-lixJ!O84M` zN@ECXp?1jG7oafDne*B16yboqUZ4oyq?dNtQEqEoZUY%xOST2!z8Y}u(VlwKsJxn- zYbN6-5_wfo##q5fXrET7u7GYs;Ou9dg^g^B)iCCdOyq9*)4tyr_4a8+>z@N)1WHvc z`MnT*QPZ+Lu8V(s2^~g{Dgtit9bu68z-c3YCV^Qm|7K#~EO|484GT>7ysgO8fVH&i z7el|lNWdW0r(rXOpE3XjNDjh#3PlX9pevw7jf;pz+9A``5y!`vb-y82N}Pon+nP)G z>R3cn0l_e)Yr?Zj9t3(|#L8zW7uatc>Q$*$NCAFH?XBn{r~4pa7U|)(vH=gLwtU~P zf-#yZ>d-jg>7`t0rLzSCpOmEBQ_3Id_1+FIpPhT-u;E-P*G3B1FZ>yIqJQK@c_bUZ z@v_1vb`K*4BQYWWo#4y2a$9N!2$Dy+XPwWwtRjWWiL|*C>%tv}4{W43Xgp_|7IWND z`YznA9w6nBTaes_$%dScmniKrAQv8&j&J^H0!=OC^Ol9_G5AM^__}ihlgM+r zydbG~)cZQXC)%Lthc6sB4?*X53I9hHW(UU&{>BD8T>rus0NZ~FPcTaOgN6Q+hQX6g zZBYH7iWo##>OXJ%Kj4Y&{}-QN`zt;68t9%n`;kNrgSolom^iaDMZw^UIOHk`SUE zuuXCOY(^^m6}fo&8Src6{*|y!t~>unEqsZ`|Y34&$TWU$Pd?)DB^KDuVV zqd@`qCL3Iiv#)U7Z##w7GnLrZQ1qS?*p{1+oELYe2<~rRO;#_VW*jb0did2r=!-lS z6B9CmO%g4K*yUzesd=9#xNNanc=aZa$vW;vL~tjVTK4s)=gKb7d4tH1i8{8yx+HCiK$oAp z;XRAyq?>(tv)hVEj%c71xK@TcD*K~j920Bly@+ccWd`l^4)P-%+69xDK*A(ph1{@X zM!c8`8PCr{y}@wZ`6POz#m_>PKm{Z-+=B!ujUPALpWz4qOZZn%a9e@;;hKrW;!fOC zT$9I@!V|)SaQc4nu}a4Xmy0alzgre0Bgj1d4D2v^`N$sT{KB*zUD?cwO%EKFsAJUA z5T94UWO0OLiKF#MS5oJcdDV%02o~m?CCulM-Eiv3PBu$`NHTtg+*5xeP6sEyUJyMydWB;IT=SN_J9m-s^|zBc9FVH;yHZ;rqsH zVx}Q=y$n_3P4qP98@d>TaJH;SlO|=i$70y&dtOMSBv0R4BBAlhvu~pE4~n!e9p6jL z4srK~iz9$a5Bn!xJHSl#uneK;z_ZcaD zFb+vcPBn_gI@J*qWk1wsI?r67c2Joi=I1z%60H%5u^>Y{Y-+3YYz+(*PDE~RYB-fL z&8bhCrEF^R*r+>IiXBDAT0X$$R6xaJDF{w!cgQyOxk=ePbc09C?nRv%oZUfA8zR#= z4OE}$y@)lNC=y{sL9x;wCmXz)$GYg{rg;LElK{?Zi?#~)Is~qhUHvDc2{yD)++P6cIa~a| z;P~HLPX_BhnS=D^nJAyPA@AKcop-MJ`4bbzqHqYXXBc3l5%qG7ol{t;fS=9<$3v_gFO_*6q;PX1DBrS&a2hS zs0m>ED4H3>Oe6I`6z|EfZ1lhi5&}DD89oc?8C6)0PF?ljttW3SSiinuj8%$*&(6R;mOjU0BseTR&SPzf6ylHH?D#rD@8f}$Er_zJ>uNCz)GKG-MMr{ANyXB9U8^}h* z*BG{O!PNPUc3ySlN66U)Z)&xdkdvu|VQz>xQrO_f?}-$r_qd zaHAP(6i#Z|L}w0eSwBKC0?TFpe92HrbFE6_Pb$4GQkGC)YJw57<9b?aP{vy4Yw(n! zqSWMuYw6D`X&)B2gX{lg@|QV}TGR`^ z^zH=rc7DaIc15BjJB%;td>HI}x|a;_e8oXBy{SvTIr*St5y6S$xK2DrMHQ1wA*x2k zA^RQ>mJ3eM_L9$2s6*6`EY*%aHo~{8@fMcH0;33%#a+hh$)UM`@xR)5XOK=Q zCZ9Y>5U_1AxqBR}JJQ_vqSxbh7!#31vezR`2D`E8nWeUmFLbZ^Gi-X=lvX)UfC5)0 z@6kAL^c<;5<~u zD;O+R20qPPGsMIcl%0);c*px#nIHtE5`=|MEX6#l!{n@`css3I4cstRntiy{w}Pq@ zeuaXWuFPrz`!1WP^zDJ%$_|S5fi>}|i`STR(@L2(tU+t}FDs;3<`^HR(U;cRP)QjP z7SXmVLMRle_^{7eacC!^`Q8O8&v}Tcfqr`10kwiXLyS@)SZO;IE~iRh{MQ z;z1HKD$AEwCn4EQ8mgY9#`OIdozK0Phgkm17>j~VCZjRtk=m-IZuad%qDF==i+OC{ zAyCY`L>Y5zN6^1n2j9pL(%kA|YI{V%S7Aj=#=pod!^W8TJ?(Eu|Of<`O&X(;;YqC5CQK5 z_-Gy-x;Z&~B^^J+X2;90;EZyK!#|bKFkp8NSEz^Ag&2CWNpd=VUz2WZx-%eMuWVEp zfso|;7NWs_iPW1bV4+n&Z$FV{@^&uFQ`Vt}G(<=E#I^Aq9pe^+cTtjS8@6Fph}W^w zE|omP=cU(5Yfc53gOIj7E4Q87nin9F=*k&BWA>M~yTwGMM1stPR&ebK2=^SH6zaZR zcYd9Q{$xme)L0mbd3cV7Eos%jNHyf{->Xm{V{FjY-*(C!Msst)Uav3Zn>am#_hw04 z!C%x=qcs>xh@R1r>QTVS?Plu)k@QZ$Gof0onNB4=C?bY_%Fv4}e`EBL9;gc(to*Q8 za{XTZbi21#&bq`Djp|sT21Sd@d!8<{y$|^!Y@vVAJT;Z14lex-5+1?%8{!iJ{ev;A z_RC&{*}cnA-BQS}oDA|33h{IE3I`krbjKG71?R*zA4R1M*aMri{LJimze)?{w~mf< zd1)GX*y1rqc-s)kBzRz2A757iY5N~4@l9f$JqRxX*Nh`UTZ`yIDSX%J*~*iEH8)vy zLWAEcEt>kcFj)j)%ZEvfN*;o{uZo!VWWf9P6e^cdv{LPOU&VNecbkCR|pqS8-jwIgEHMkITR8ipobp zI=ZAS2YGpz-i`MD2{~2a8?Ox6Th;Jc8GTG{(?a#+7C8Q z{9ZTC@9xqRh460(|I=N{ts|Op`w6l&LtOVqhN-abgNWDVk=)JjW_iHm98`Xrkk=zH z+_8O=LH5OQ0rT!^Gr#Y(f76N2t3|W8qiFeT>tp8^GeufT|0aQAxplm)D%Oqjh$x6( zXBQFdapydn80Tb~ojlp%--&{J#2&Z0&77Yu>>9sgOC9Cdj#|u(lz^*CYqEb=mo9fS z4EnsW0<*KFm=kf^8nhnX-Npv<_wc|rllWxQxN;MJ#{5>c+5y>=`gPc=#_r$j%pf{M z8jS?r9kFY>k_OEueve`@Js6SnF;|T1bqxKPUC$V4YLlkM1T)Q;vDC8!#S9@FtqKEe z7~G!r<#&79jTfHVVEEQKqprr+<;x*@gG&xf@&vJ3mb;4EN~}>#>WXj-pt27UL8F?` zUh(( z6*!@vsbkWf%5tzKKUg#-r1Ut*0f={^e;Gx55$sgB{RVI?is8#io1`>~V4t&APuMYw zSqBuRH<^%WAXfLJ@5_3~0|)6F)4%?lHWUvq@i=LqnQEjMj=Ae%4wA;dlXar2#^YGU5LQ3N<@BFqqu+OFOOZczYfCbEP zl`Ad3TVJ5mBpw?M%?wcJA7bBt2EeFlN8wms#4;1Fv$qE#qRDVdt3Y>6w90-}#$P7G5H ziYtj({R;EW6m3-!$nBC;AxkNbr{@+}An&bF`U_pjF^a;W?X#~t5BsloiPRLDdBij~?|oLhVcQF(^hh4?KsEgSMp;MJM` z!wS*}lw?51^P?|tzZ-JGC`_GZM<&A#P9;aYP)ymo%)dz6dg;qZCX0SG?^k5{;`kEx zn1|^{{_Bk{MT!gm$I+Ly`tL0TsIa~0kX8OCCQ=Z~=YGm?xD&I5LHA*^n`_$9uI@F8b)p9IY3dq$1_ol;!?#O35z5Dk+H305g6X*GrSuDQ z@<5{JKHg6)r@Tp_FV6^95~DT$8lu+VS0H3%G;jj-AC2#S-M`=F-aot$9>DRB;|Yq^ zLc;~w?$W`6;q~As7ZMI;Oto>^y&F|)$HPY`twnT_$n&mOCq-GGb|gKEWPp@>F7)7fk==gI6_~~? znD;CqXx;c=G~Dp?s9*Et56kNd8`e$v;`nX6AK^$#f4f(!1n^a>dWg;D5+5Ebp+OVX zv%~wnr__7kTEeSoEqL>b#HMTO){hF7)G*XRzxkwn2N!N2E!}=rakThkk2P~&r{-Y^ z3tnm#quG=-Y9#)lseNr(<&|txmq7A7E)xDctz>01Mo+g4el;AE#mJ+z=h1Q}zUQd3 znDaX60;dU_c5GaE5^r%XBcB5*zK8f`31|*tIQJ*;=nUuuP$hiTT|T@F=O!9~`M%Fz zfWii*e3I{?iMvHCgNDMXRQbAX3##v2$$2mQKF7kAx5S_-Q7+*n=V@}bNuE)72}SSu z<&qs8^m+IeyE_#RHl|5WMv3+U)#7vvSVWOI2h~|j@{=_hW*|mn>Wp?|%w;zXP3YFl z>$-uWGBJ~U%$76qza(#9B-9LrDhD}hefVxvP?Q9yxFxwi2ggFDu#(+y&&Jn;@NEk8 zWqntt8|;grBuykwHk45)Ni-JAr1?0-(3>v;hXGPo(yGmT%sN)@$N#2~W+pTmdJ?+3 zDgG_bC@yv0d2I^KJ*e+z)Be8UO3n{KmWKeKuBfV>Sy@Y$-Nvzg;s2emx5tK)!$)BNTWv1!(E?9iaugyj1c> zH_-jA6Dyd|{0VKl_lbY5It|H9;dZ&Ym}&ex(wZr{Y*i4sA4w>KGiMOWdKM`%|H6Te zS&aEZCVie;X`v;a5aPbd8;KAoIvxqaXMQ>*IgubNTah5C2578-q!QZi+iDvhRV@!v zGQ46#)YfI@yizU+jop`eGz(lMfd=lXVM4MJ3(SCZ8v-9b8YIj)TC_D6=@=OJBU*Ny zg&OBNCHNr=%vF~WwjU|ardAp_#sgCG_mPlPB6x^C7aCVca@3Tm^n^RsgV1h)%qB-5_sM-DleC#fUd9!vdqnu+GFR1^rfF7MVWL>fP>KmLq`4jurA0@EEM%R+n= zo{{BR0d{1-M!seT9vH8uQlcw{1ch7TB>5=jG4Wt zzI(&)oAbcT%5&xpj3w#dbvPe1p9R(LD9af2M2*kLOXKx=Gu?6_!*A7Dv*X&iX3{jW zRIA1c6n?01T6T5Z2WQs4ORco&iaGD5);FHMmhlFfW4xzW9{{{h@}h^WOS>H#`IN>k z)Bf=)x4Wg5*8_zj3JQ;e-eaV1x3ULO=<=-RcueP={*#FzS1XRG(5>2>pNr>qT?`cU zoM`LS^^Y%=0>Uo1tbI}i3eCrsgX8^D)L|^QnYI^0EGvm>8Q%x%Szu)jNBtK9R=7-U zPiBySFZEw?6AKfN+RXil$S2W`D}sWR{-6SjK8DN$<7^S9llV zJ4i6vcg>g;3xAGQ!)#e7@d^%thJizBeA(;=Qsd05u4`%O=unKkx$=YklCn{pBh?@D zCbyp*yjshI)SNJ{%G2#rYICOXipi9HEFDh*uPmsvV88DZo^)#6d{_y+c?trWT`23ejQ0)p;#P|2xKFV$M!#pglW%~8CPkxIUtAX>3ruSa84`DI7U!T+JZ{zM?&DzZ2 zIwN!A6(Hy$B@Gm%=(7%$x8*Snuh*SL<90sLIvx;c^o{mLokZaWL}Hpyz{ue=bq!XB zi*Snb9$acO@@?l%*!!fZoIzwaOU4hJ_WbT> zbugxs&eX=|7dp>CaSUf(#w|^nKjcMmhJ5|KB#Zj0m)(zXgwWPBeoR{f`b_&LI?#W? zl{M}b^m$#NXSHmhfl5Aa$4h`R<)p>Q)pVaZPG>ZuDrbyi`H3~X!Pt)LCGWDJ=7$52kf+QJJYBI%55-F6M#<{YmqR!Ek(zQ?T>%1W2vrHrIDh1hw95Fw`RTT zq%8>7TW%Txl0B=AOdcAlG5M;UtD9p%MRkevZdGsr&fepWsCy^TpOvdBrr*TrRw`aA zLgI|Bd-*aktNVLlNeWc#s4QNEvtR(IH+AC;4VIb;bjN`DJd<^FT0XS_bN!m-mSbrr z2#IK^A#{PhwMYpfGX#_Kn*YQ88DG-*nO;%%m#4o(D$aiy3p@M&+|vIa#=`k8WBE{s z@i$`;DZ==dv7{AY)Tb3;o*-cV6Vc@4X8#|h3Un?^i}@A+E?NK!RkT2xKT$@deW;SJ zk2w0c3p(Xs!ZPz0VIe%m@E zaV`XNM6Nk16L`Lyd5G^hz|0S0TehgYo(-LoFtNOw1 zNV{O{V+S?~%ssPV7(nfuXCv;MOrzVDVw`+A>=*G)f3w`~1!(mEtyKMok`Mb#i&mCu z7$bW&C5t?R(MDGw$(!!o4p%}vAq%wk1FhrhmsDcgUO-D`$G35|P@Qw%TiL2%sfUMc z8y+q@Abn-Xvf}b3i8JxjadQrOs@(2%GXmUP6}Wu2^G{cQ>GnPyc*e2PgtQLK>DqxY z;OPQM8)KHhw!e7EnUvMwBf2JI`8rOn6wBeMmaGZK>Re&VPpb7UQh-EikSj`~?daNE zD#4>I8PG%m^sSRC?q?iagC>JpTy^3-x>i_=pfeHOhs^E4@B=1Ws-L=#MGZ-s`c`Ij z)*L)quvqSiXfTuE(B@~C%+e#jET z*rLT@k{BqOCni4n#46D6oB$q`#^DAY-TIlgpQV{^VU&m9lf2nvnrssJ#}QKnWAiS`|X znxwn0!FQdQcAB~j2Ydl)nq^fq1AO`?7ylsJt4CvmJ4-WXB+Cy%V(OOgj;Or12al); zK*hpRY16_JJJh18(h~nUdn~KEU7kXAs94cF{yU#Ab`{in;l z*LJDVtFaNQ($JH0v2!~4>rYtCKmB>g0^K942RMU*pjvMr4mI_5>s(D5C$wrK7JBsZ zxVYFBbG(@Bi%(zKfgIBb3**N?9!wu0;MEPZVopcGLd6b@nI!UFE!D1<6hx1OQm*lo z@snX!KKmfo4nR2iduh!Qm76ckpuva`*=_&F-KF8@t3=f-#RuKt(sSYLQCAc?7I zZkFV+AXY-|(LT)wz_)i_Y(2G72;-77Eo?n|HsDd~+5;!X%bBR;q88xUmg6UU!c z5E<(!z;6J~rfc10k&_f|!9D8Y=DVwTmVrsuHhX0kZ*`Kw6Uz27-_?1&mvG7pkE9p1 z3P|$<(Tqhx3Gf(^1n^CFtlX~A02OOPcqGQ}MNW5WZm;TN$RjY$=`!@V;fgAm61JUt zQIWc_*rge^oS=TC)v!qU4zZ$i_v#skSb|V`sfNJCv0B05BfCy!@*eaVGzX0NbrWcN zcUk;iIUW8yG0XA8h0BHY1CIvbo|E1ACyXEa30pHlVJK2b`U9u?)y^Rwc@oITC1<&m zP+2(VNHob6w%W1%Eet**Ipq6zk@OUgr8`^`$!D8}OzkZ+)*iIoD$*y^_BoWpD7K-p91q-MT z1tgyi-h}nG6Ey9-p#m>kdGxxca8XxnI$>}L4d@Lr&W_4;^J3pn{iU5+<01TZHRGwu z#eJ#OVU(JVS`Nr0MDoI`zV+)62s%S<_v*22-&Ff`KW?zJA{RnZ`->!Gxxc#^W)ItL zcLnpqi5-nxd<$cSq%Qel+Qm81`7KV*>U)P#NFmThQ*EOcUk2qGa60cnU@qF6d zzjG1NeSp@AJ1Mb_Z!vZ%KRifLq@b!!ir3fih$QqTc+|g`8{p$49!@$3bbZ0j$5j9? zSuw?mD+l*NPpu_s1;%ZjQX8~ovY_azp0N(hOQW@8r?9HmZN&>6nb=XSL4ou9U96>M`b_}&@$0bJ}LZ-b4@Xb&@nyripAPeH@SBu`q?+s5(z`Mp_ zJ`7WA@-__r1P`*a!T9ITihB*r!wk~nQz6sWx$ln{a}SmS$Lc?#)-?f6H=PV<@MNNdbaC7)jpHH&oDQ&5`OaRo&yG5d+2OmXL@TAm=+~Q@hmTn{u(~T+6}O zZOM4{69Tq0qfxGJ2Gmeo4gR2L_(Bwb&WfU0CNyWWguP~TBb7_M7y8RU-3gQMlNhEX zXnMsXftSsrU1S<1pYi{q$&5L^n=}%V3}NURk@gbw|=|E#C@TeJrWgEnk#X^zYeNAV7X_dGBws(KZxvP(Mweq;DNvf+!0DZS{|G$ zfC#;oAF7`50|<$Pmt;=2Dbq&dN*ZBFT$9MH_egxjKy3|H}S8{ zDz^2K>mx0KjpmoyQJa+7V{AILyoUZSbrnY30ow0lQe#aE2L(0D$6qF7F7t?0OodMD z+6AqL9k7&CFc;W@0dM&zSs~~kzm;P!aC(i;0f8L?xIAcEGkkk>EA_*DpKa!)SL`=^ zErF3?sDa!2A^;gVr=U6_>8s;Z!%NM_m)pU_h*QV3WD>eCX|0%RYqT`{q!D`R%0Zhk z^9^rR%?YGxUY?j=u)QLQX|h+P)|$Mq-!Rghi%P3rRnV4dF8veRbEfyai|F2@(V~%p zfDmNIhTvVB^ZL*VM)Y*!4vp|_BRi?LX$IS*dS~0OvPfWc^j`aIZV7#U&l8G^#AN2H zd_Y_?*M|Y<_qd)K*^M5WO9?sJ%|MRj#qHuqs)u)u>sf>r4qdIKB!qpa$(;?Kl#G`R zI-*Ce;SgwK(DcS|8t(0aB|9HXg51y&fU2sDR&Ks9k+Sb2!c3s>!V# z%)vDd|9(?mb;NF+NZ^d#`qDr-8&tU zx?uMUzqnK;0l@Uin6|dF#vvo_4z1bltUx@l#Uiu${6SfeGs&`@1>+WSplGY%x)+sZ z89qMk^KvQ}IEj?gIC=(o)Zrp(&nR-u`6hJ(Rcbtsi})0#5;0a|H&8nG!bqzb>h-Jx z?h-lv1w$&e!rtv(Z2#KeR6pj*?yL7StMHhGdB~S%6%qV(p>BWdX(d;1mCV`XOoeM>tTl+=IF zb9g||9F~~~1o&VAapOop!5@EDbAq^af6yDOTx|cd`XA5-@KGBZ`41Q5fAQuxSpV7K z4}JqQ$z%mpP5T&GS zhIs$L=@pTQcbXK*l)AgEWM=DsD62Y#qP9JC)LmmBgd~CY1po5OP?#AY{04aW2a>_( z`x~Ah=_$;OW0 z^?M1@>%$eI8(*JCD06R^8}w?ekn;w{QqQNin=sEk@;u`;nzYW!6i`7Rn=hN|t8#CpBbLX66=ylbCxP zw=mEpZ=bYqFf5SYTy(8&81MbZR(cb^8J8U*@kyU{9OepW1-EKeRXti z@y{aTw}u?FgYmmS9;@smR6h09`|mDh7l7*9s>5!Jix)L36~bn@-=};Ib6dapg<(ya zyNAU_@DQy*s z9j?9;ZQf$+{3>v_bUbwG;-`mplo~5{5 zuwj)aVaVcAO5W$DF94&V?u@#6a!MLaIRk29Y@!=*!0uZ!1DZR|UaEjmm|I0;dpNi# zG`faLpvOeHr&$v!CtB0kI<*rj&7a#CTO>6JffT;i7>X+)8KEq2br0vMOzS)#N&85=o)-ra-{=+Tc2 zG74`$R1Op3uoyN~B0X>MmKjH_b{;?@W{Lu&l~sChB2jOOT*6U>ov-YynA&ek?cCtr~Y1c zc3|vU^3lqsKk*c|-kus1#>N2VKwPt0I+P^bUS1sBGd$%R^*H14Q4P`olB<3(7v}h4n^Cwh{T_n-(BvJrZWUrI7gX@dPe8g*y87G^HX!VfS zyAmcMy4p@S3R9ZT&5rtZ&vM)$Wqm#T+@I_k(Us{CuqygEwal!{O25r4y!aQcvGd%A zMvGtTDN3Q?eYG?@Bjy)(!D;mR;RYzHllWL^{MFy&yNRdia;>5xK|$49cRH$_(Nh5G zxko}%ziy+P@GZ&FSg%b5K82C&kOh zMmbm1A|0Vp!e@KhjzJh0U=&Qqzn;d-#IG4Sz*<$j`(TKy+VU>c8wMESQOrn%l|bC)IbxXIbO>sR$9WQ@p`G@Mx( z6ZA~H^o67!c>0D~%YtAr=c7>kyGf^#n)Wh-_mh>d8cwaA`9xS0l~E1(nzIyQskn~1IB5N*h*S5mbu>RGOJYb%svDLv0EA4KF6-bndBRz* z+PTcREuxkLXf#krI@9gmxc3(a;gtte>;(ns*@P}nntq(atb4Jn(R#y%gTzF##t$%( zhZ*hcDVC*Qo}bn!wXIAl$8ei4_0LA@S?Z_^mKSBkgN}|ig!Ds!59C|-PutFdrD-Od zIV02AL1>NF_-L+^024=n0+hu1Q;fq_sU@!xY25GhQPG!R3Ks-QK!+3d$l&rej3R-3 zV?noOWGCmh=~Kt4wZk-lxrP8uPc@Qf3EhsF5`*Txi!W7ED_YVcSA9)ig$6k#& zBb-V$DkGUwDF=Dz2P1EQ!JhWS6#*jiw#qcB?;V@{30QdYf8Zu)eS+LmjU^#=5 zS&T}+vO*tXBPrm+UG2j7?{A@D%o>v;L`b*C4>5~Mv}of=2HrCt~G+puhn z>J6Sq#8&X%Zx`Pqd|Eo}Q+(9x+iA*ss-syxUWt~$5l>cJmtsfi4EW0+ofi9m1b9+p zS?6QfuuT&(fQ#Q?E$~J}PI}L=bsjZ&EYaV3c;WrXcad(7si##eZ2w~NqP3ZwDp`ao z;+>%7)WRI#J91o=e@2#ft$QEu5OrPR!(S%}dj+gnNi7d{j;4Mxu|=r+1+_0y3p=3M zB#%qY-jh=*aQ02LzF_8|sQ#X!%yocUwy5MPT#W%hpgK$)67iMgWQ$+7ZpY5n>9olJ zKt3PuiXlC*S@8jdbXka&tNr-bFNxoide4YFCf|Czaq?kdHx=ug+(Owb#C%5xDGt>G zf4q&jwQ__U^QLxL`-s6nc9W>Kb4|LE*Om`H6SZ@Yfp&F${#S6>cnB zrje`z;vc{2tcBj_U&Pn0ufzLvd!IIWY?6RD9O;2^rq3T@_JMa}KSfs&c~t)d`MLhF zY`ThuvrFznKT1qGINwu}8dl8fdg+TQ_S{=tx>BAKNuHhorQfon`R73~ek#X{{Q-`; zjAZFt2z`rRr3_$*YrTUmx*6c1G`6rC^XMTBanEDVk&$1RQg-!oqVs_=-_kZfu=V#sN`3(+SRs(`R5n(8Tir0SyU7))BzeXPrQ0^ZqCn)HG=bxYpHlBYD zN!WP)@no|7i|hEWnG=LR2C2X%XxaYW{r_=t^8B%Z{vWdt&tG#U7Vx_{HZjoI5R`=d z4?B+yM4ot38Wa#Pj|V6>hs}!zLUu55 zgQE5RL&f9eWa3~2UH_%x(I@2z;{bQ&u!a9HnF%;iyQgZ7g;9qt!!RW>r~~m$D3NKX zNp|9)DY4&$)ZJ6gFWjfbtEcNlGG$t}4!r!#9)Q^y6t7)u**vCUIT#8 z8moRm8kVo#+*ubT8*%Hu=Ps^ly}f6=RK2_&mmSn(jK4_JdU6U1DE4$F&5F~e1W>>J zNx9BZriOe)zH+(hZ-=tex-7F-x}z=??*7dp_*%;^4E9m(BG@6uMrX1WD+rEF zgbn3e+3RPz1_e6($F!#~rR{|4B0$#KYrIscj~rvtcoNX0Puj93nqfa_cnoFUhl!;y z*>TW6u_GMs5?xlljgD0bue)R`ppoAdR2Clzx!_L{LAxVBc~Q7DhIVA{#=JWy|JFJ6+L}18z*T@$_2twjJ{KsW)`D_ zdZ&%j-4I#T*#{Ys3enlvJE#0)fu&F=Z}=(8R@18SAohu&D~j&iEP$B(eSK1@1(P0~ z{oZMbVoecR-%0Y9X>vaquIYZQ%*v|CE=A}DJ{BOHmI=g<6Tx;ahvJ$ZCE^-By3=5F zmHy6_ zaKr#5rm7%s99~-bXutrxFHQ_=9(kW4ROm$ASAa%B(S}ZGqy1(MV~{?hwmGNeuGWHZ zv;XE$(ZD43yXF39Ln!Pue_d4+))4x9cB3_q2qM|JkGINbr+*q430)`CLb#1K;?>(r3O}Y-&&P?} zT*aJop{+*LD{4J$$)@ST(>OA4NsOxT_U#M>kM}7`UI9Re2lj;r8-TxMz#)LgV$wd= z$8D@#61!z60%8=kXU9<>sO}Vl{bABf?nGT-QmTkD6&YXiU04X*otFhuzqZYVT}r&GKpfnU!WE2 z6(&tN;sW5BV@tRUM{+Ug2*_5nTU$8YRAA*=4wLu{zcxta-BB=kiq}RX?F4Fdz6Irwr{KTU4`|b(vOgEQmkV2jp zKd%3dvj0WAH*j0f3w{tbaW+tgD;OD`vD^{*nhF4IBYKVfmS*$OKT8*@Nnk9^Y-@ki z`EW?v#`3A3ZqIv{cl42BCqpg2c3o}2D*>JCyH_O-J^ zl(tYxju^dyZ;Im2o2tBW$qPI2yTq)8_!H9T$T}7k`~Y*3%AkGdWZdsMB1)2*5jhOs zis1p*yYtxy8xTriFgfpp)Qi^+G9}!1-;U_S@$fEAp=Bm%f(J8M%B;q?0}?~mXb<x%S9*Ul-7UvJX&5fMU*5CM&c?JSk8`q-GN#5;fH(Bz^M{qVCL}sm zzVDJ>`kSw=#!8z06i|CXS5M`uajt-VwCTMu79YIsZK_YDv}23;{<0;TN-{gN1gVcU z=?48j83U;mShBx7?K*8y^cqUthTT^%n4NHfpItxyj1+ME1^hyVw0Z{)QCS zQNH8m?NV$*zgwQ6&1Sm~Z?lKwNBg=y0s$;g{0tUqX5u#Cc#W0nI1CofmamsuGqjJt zUb$T1B=ZQz*0PB_h-;MJ=4$)B2LP6jX>k4b(3AuOvi+xG0%alpcWU}yyje@`2KH_? z@}ChN3rLaz8r*?~jX=^+986$=H;w?{TzA5r;?Lyn^E_g-=bn$$pe|uSk?FN0~8No`6+- z^f*DvTltl$!}#uqSw)6uR&e_`P)Xy`xX&>+;C*IMAethpSV*Q?M> zZ}JUmzNcyY7MMp4!nQ56M(&Mbq=7whd+i};kVyT0EvaeM5q!6CD61fJ424%^Z6*;J zCgo^#6@|hfnnSRGGK-D>V2qw~<*hP}3k4x!lx*xA+A*aBW_*lWC&**FH&=}i-HbBJ z8R1PfqOfjG05*adhWIJ=Wf$s)h<|o(E~jrYnnv4TNdEYpeiqsSBQ(<@BL{Z{=Y4U||N8}sTB3){m zsmnX}gCZXi?bG8!Lyne0TJQt(m0`GE$H!;PW`L3GOeCGqKfXjvqQYw)O6!Q>MR*hw zMxu1Y5QJ=5nQs6H%74@leLQLh5-O;hNv(_wyFiejZaY&yF){ejf6ku*IVt91$ zHJMbvYbB8&36r`(aU{!x7O+9%)1&7<&sf-AUMBw>whhr?nqHWf23NP}1x=`RYL8$G z@Hi#m<87H7LUP^CjNLZF+jbm~hsW;R9utL}MdUE5K`YVWlH>5-a*YivwR3uL9_`!# z%ZHN3D4zyI(L^y)%Q9E)E}9bONP>4{gCrwIlgG2KL1VgHtn>zRTv{Y%NL8Pl6}|^N z;yuYFeO`JAk=bv`w!_`d7v}O`XMQ$cGYfCaS@hVE2b!}LpMQ8%M+@9AWAVILUTK3= zlFO9U4>C+K+dIRlT$mYbv12)~k8~nKT9-x2RN@3!4-0ZwQJYm`Hy*m%0nV0a4T?`n zIp#jQ^<*?Dpq+BI5C38VcP+~Z%_Vnoa;3jo+o0c13BR#inWTRmG-3FEonS?YpSDf`?0$B?EF|+5Z5@lmLBMXf>Kf`3%J8XF^048QakR>?hsOd zHTFf)n2|f^>HOQf%Ho^EZr8}vxGYr%>|6KVW;N@*O8bM{=F0ZVDuBF$^?E>w9z%-&0dgCd_wWf?;rF_yjEgS5VC~KOlV@oGn zztj8(kl)K9N~p|2WEU3i%h47mzTy}Rim^v~z{~_#oEmFVjKEvmelK{=tQbrKx15?a zmdqd{A*I6sFRP@EpUCHEZ-P{G8y;bm6%vd6X2{}|Hr6)j>K1-^GoOqo><)VnH4l$jOWsJ#avlh;YKy7 zwwX|dXB0=OWx+A%9gTN}2Nww2>)lI- z_}0|=yBUZ0Ge^R`)HGxi-6d=H?j|?o>LS}9N=y5K|F54bO*l#l8ee=rM-Mq+5tMkB z;KX&ZK!xEX_)Xae!?o?z115ZBLa1@MQUu*eBRL(%Fl?&33`?&kSJ1D~P9JHK zeC#_HszOoKr>c=vz8S+qnhFJYkD(Sh!4Mn`EPdW$635`_w_pW-CoW|EaaxIKM(Sl&)PS&3MBk3Y=cWcJ zWIIoO_m!RF+?7&Wv?cyor_ysaDO2~7bBa%!!upCI?{;jx(-joUbbs4X=PSbTGoZjf z-7j(qDffP}P23}1JA0B$&dRH4;60w>Zf9x}r7r1iJO!!qI?5pIcv14xumeC;Vx9+6AR7r(b{q-RQm6Sa)RWy>aLY!_H(d+to^a$P_sfQe z^r^nN9I|s*mJ)Z8!5kVX^DO_+mEG43dK4hv#9^mwvS-TlOj+3Qov)3@Oh0hvPST@w zXc?0`=}gEL0vN`;jClOO4}RR0#An8VL*LOIB@r_TQ+ zR3fot&D|AdJ2yG~7^Kb1QC8Cil0M;ppmYBdr^d$l-+&x;5Iy!k229{&2#(}`3QaC9 zka~`z1ypF(`T~#8acO{Xp*SBt{?*^Ha&R$maQqi32ONRKe65O1B! zvVxJ}>w}nvW?fX=qRmi4!(g?BH5c)K*RKk)ww{Z5in-j9S595ZLtaH;-_5s3P!!Ko z1zkdt!`~<#;zAh^JdUZ$pgs9E1>t(h!a!3ow_M8diFT>q@OS!q8{p;g?^x}<{$*$A z_QLSQ&g<#LetARK9Z>SzbB0{gaXI-XjHgEU=5H7et7J{_($pNvmYkJ2ZHmm+3Ay^S z&722a5~(lp$=)nAaNw2+_&1G5j!szUH&55Qorjl#TH`wW7T!{0Wh)mfnse0g{I@_b zBI(bMC76I(1-h;)v`1hMMqILC&Q3P*H4VLCg<0a)YXb%x`17EIlqU+K$AF9_2zFU` z3wc#%Zi^TXRyp}LGS)b}?x890CVm#g;e!&1j-7|-)!Z#9+oaJ2qA?vt9;3DOi&mdr z9n7V8q!`Qd0ZD3C7ANGT^N@uJ+x@sW>7o;R3J@RE`kDyJERjchJpb89;}2Z@oLz2BMu$&-cD5*@`BD*d5RQcU0++ttduKd zVXIrqGl3{}u96kAo(6|!6>BUPgEld?P!XwTvurQz?+-k@6PS?=7e7*ep{-e{DR-cH zB0^q#{1}rk7HxK$jueWQiIYH$8QuLu#l;eU|J)cq_Cv(%EiEX!9%sV?VDO}2DX`-M z@x74oqn~ow#okfdEyy~JCQ*i*hf8AhG>|Ual+A~qmj0gmxb0C175XMwI=8C zPV(A_G1l8osW2n{bS-`kBV6mZ63;nrqiaWZdL`A`k3kg zjPYjrcDbraBR2Vgxcv=$2=J22dF(mJ{a-2a-a<+Fydq896Oc6f&`7(wgOZIuLCs#* zGf09#E?95yjeg`(b-exFXe2qoL#m0gY;N!ZS*F5!=VJ2q-DDW27T(wdbJM~a<4XxV zL66>q@wH|6Hl9E`WbuXP2Z^6pBSxA{fYIVonV9Jh{7Y=#)t8RVOuMrq++rn*SPD$f zA>(W0(>=>lzjzLM4B0J;bg)Mrj7wWAMl{7vMR=u0S$^97NXOF3M3yY?)2rFd@Zl+1 zjucha{sD0#b+(J3@nj#YvuGQypYh_L#q{>=m9kA~Z zbvJH&-V==P#3_@8Kas6WJOjqpUhC&n)bo}|7<*0_%T|p&EM?FdFYAl&Q+zzxGW>4$cCDu*ms!GI&G5-nddeD3{`JGLeVtlUfs`AVI`5Ln zVZrM4mqg~?9M567@h@+GXrKBhFkR0MIgNuEX%BX zj!%v%GsP!SYTJ+Wtdy)DW)M(LI-uVSnAZ`mH4Yoz5wuoV&J_drB*$V>z5%d%*G*Y| zs($8iGZNcsYIUgHw_&i=Q#vP$Ef}LNmUkk6!m5$un-u?So3F07OJ1hehaWVq7`KFw zQ!j7Y#h}<^8KI+qEWJ}HHdoacs4FhzK9cS>W>HR^C9@Mc<0Q>e%42Nvf#SC9XQM7s ziqhM@V&Tg1{4i&?@a=cPM^`|VSN5XH;#bGu4PC*thIW)Awi$<9Gbcf3^T6H(6^E+I zms7tU=-BlMb<-|L7$TSzcpQaQOIBDz>h;{~%vV}m51IggkOEaZrd`K}daVd#E=ffA z+gF;;&`qw_R-oLjhF|W_zAe!iFs6{oLViV6Cj>NVjb@}nnAElwYF_|KbWck-z=jk9O6Y%L4#po2X}Z0o99rtajVlwBJ)_Mw6d7pywpv6~umsyrll0EV&37!sh4AMzD+jKaRiwy6 zd3Iu2KL>Tc>JHM`$fW=(R+rUQn&J|F8~kt%Ql==MT$O6EgS}1vR)*v|Z@Ca^C-&(0 zgZZ8%3T)YJjRIlEW~2JkqLN3V;e!k8gQi2>IYSTfQzrcI7h|&V5w7S5%Gda@axK)8 zkd!yleOWUVjVrrg>C>}W7$igV4JWne1I#0!+6P1?jy}0@`VT4qW+|m`1{jhFnvI5T zh4=1C3YBrw5#3$2@~S0au<1ry>xa?m3t^<)K8VBbtJSg`j&(oo+NSZpxHXnRZ4nj_ zpCPQ~oHI;;Gkl{(9usv0g11Hz(+b6I0Z4NTPL4=&oSZgIlR{mWeYpfGM9jKn*O?`4PSApNsJI$`)|IPFN=yYRGxrYy2DAGeMD*l&8(>2^FKH>Q!R0=(idH2#13ai z@~?eg5CdKXI5x31urT`0htn<%K`n~?jLJW;rFw_?GC}u1S?}5Df}o(}F}%&>`gZYo zS$vsG+}L=eDd(~#IlGiSCnG*61r%Qo0nWR==h?M&W0S*(MyqK;=N4_d=K#BgO3TcA8q_tlKPH_j@%NqjDCeL zJLxBh>pQsZE>DKJ*A)HhvvfYjKsm?JjA*DEH|zt6T4R}J2#~$?$GarFMT1ku8pf__ z^>i*_0%aJ$Ms&TssrpNR$ifk1#QiL@(!`k=JXh&C4=!_yeSsZcJPIZc4`GPyNugwE z3Ne7)5=T|BxGZJJH<+HaU#EpW{%)>oU-NCf@3~_)q()rmrkUw2y7t4}^a`|%-YJD5eP^>9sFYsoUNp=T&TY2(V<}z4x$#&SsmRQT1Bn@b-=Ya;- zmREvB!Ab+@YN7N4efLVPu7;sRINsWvP$^IBdZ&g-GhJwwo;CXIM}Z@37nqTo ziXk3c2-`T{m`Psr0(QmJ7ftAcIt)q5rUt{)8FTRb9fVc~lKmMg=WdHami7GoSJNXr ze%GHdCo5BG!N?YN*}w7XIT~{74XGE2BaD0MkpdywN-_JJ)_t-ku=aaJPq9I>@LNF3 z>|Etf2s4YF>kt}FPp4njG!^hqi1#$3662W{#^2p0+QmBi;P0o9FWR|)q^{#xDH0@% zV!U&hY-ks06{L^}p|SK%SkupZnu{5|AMYPYG{2&>{F#BXaV6RJ5`D}BLw9Qsr1cxK zy7j*GZKPFiRzJxzalwo2U@vXi;sIQZ>dB3Se${s1{UylH$0AvB<>=GC*J`Xg=miS1 z#@mYTdyFTce?0;x-*9y86k<9X-U>~$g=(FlJn98=l^0Y}QE!pJL!ysD+%(@S>)?8T z;)nfE?jTKOxVzlmDcpjcfj&Rpk|Y*kwXOJu25aF_0}ejT81yR?+%rr!j2j@*3bt0| zCk_WqM?I>c99tc%j$_{E(9c0|iaJFpY&u$*q=fbp-`Yc^f9%$}_!Mfb!^C9diqcKX zz+eYB`kPop$9S)D4->uLUu)vh*!2iYO(&&D#-?5&z}Y@Ol&+Y?#Z}q%J#a~q}%m2CMztlki0TO0;GkXhHOA>Yv zw8Dmn2B7=D(Aj2P#Qt@k9>!hc*C9~igLaZI_`^1!?kNGnm=fh$bn0#o%i2>gr|wE+ zw|PPd^HQ>JV=_tz@4hsKBPYB?0&kB`w}>gHBfuK^HYO8ZY}6-BQoMkhj;0s&3e8T2|ato z?#uLTLq+QoEud^Q20%Ugel7GFpJvH|?zCxJ)K0FWoVW(9G@Qc5>xnVm{M_Rh3+3iG!^WpgGI zzH;~y?;F*mn1(T|4WouKvhb?*nk<~WWI^V3L#XNUpTa#u*)T$Y&~wEk#^R<4%T>2p zrSdqtuO4h1gBW+|Sf29ed;A$Z=gyBF6mfRIYxUpj@3NO)tBvU^I18AqZKTZ!#u$Bn z={eeK-=>_Jz|HG#=Go_1HUn36aoc<{E$~R^&brc?lo3;hJK^?gzR?A9q-HOO(bwr{ zD>MMm?gU0Wst+;%T@`vw3HJo!L*Xg(E|xkJt5!tJw$n&n6?2`%jha_3xG5f9VrQjb zapXeq5tP>6mKYgY7jRDut7s5a23o6Jw@e&VQ(Bc2qu%=QX8TSlg*x{fKev{P>?`^! zL+ygkqkoa4&T+u7u94_Kop)#GDEtKeQfu9}93h&P9sT^Y^yt$N-h$$Et`HrV@! zCo|3z$!WT^;+d52j<*nFf7DJ!*XviOe}ZmiyNbz0IJUirgpX_G>IZgiBqnmCOH`;l zPZBMS7q#vPV6t9HNy+be=Q-i4v%|7x)rO zTpjb}DwPr>aY6*dlMBA17?{RbF)ZIuPFuiScu1b5Q}YbOFBumoV28PNZyds@t~5pJ z_HQBZ7wO&@uwL~D7=*+G^i`ZAz0H!c?!(Rdry@u%fHrJMo%ru@7VMe!NtI6%6nZhx zOgJIR9G_BK^Vnqch!o0?g`X11l2+-cJ+TpE1Hdn6S6OFHAmbD*z_;9EBM?Oi{7k9-c z23?XI#`BMEgg`U$pRR(cK5PTmoNRPc_{BGh}sUQlJRQ_6224r$A}(IDV++0Gr{aL~=- z^a9*NcVfU+;~;Zb!Cu#He^7pag9oS7U%_9Ok^|QR%0#8dZj-VMYvco<`;aVi(7@(cJB+ zaB=Q4VSsM(2Vqdp0y`$NF?FHON6(F-9i3ZqZiQSSoMl#-*R7~cvemp?@-c_GSRgOVFGG96ES7o~_v?LUE$sQx72=4M> znRqA-uhE#XM={^_tz7wM{%clLxUqg$bW7jZ+6s10Mlvz-G8GPB z`1z}$S~;t&d@yYjLEMF6R!&sWCa$F}it&dF3Q~^rFZI8`QF^_fN7sh+!VNoT>5A48 zqtJ&9EOYxhjiE~3l&uFMbQC#%RSSM9XT_Mj4L5B-Gx;He@QaOQVnxHZ(mzs?G!NZsc29 zQf#un?HUg?#fmALa-gDgyJNCQLmL77Z$s+oUT*5_fzQ(sH@sK-$0v;Ss!L(c0M+ao zO0(v0Z+`uI$^_1laZaH!5*<#dOR7BOMH3@4LQKcd@%4047tj0N0cWm@O{jEA!x;Gb zE~6s3Seb_(`MW$Pob^KwnKyK^UJaSoI%#Gk1>&V&XV5R^ioKAQ+g72ic2+hUO z$kjDgr+r?d5pMOSb?qOVqBO+a^$kc?`t7_=EMwNHPK_BU4(Rc+U6Lkk3(wf5!sCf( zL)P$cGUz?UDS2mNzNSIjdjfL%-dfESIO;ZKwSvNSvXa-rcwL<{OM>=6VYN8rzoIkl zX#*O1)r#vRGlwkSq%^ILdB8koL?s|k(D?)9%?e`C+6Sqr9*$A@DY_YkJS}lq9qbnA zguPKkDtIJYv9TG|;a%ck*^kUystj55hy!->c3|MlWlhOrJcb+(t^pz)2Gxgh0~5um z3<)hNTBiL48@hna{X-Rzvv}>ZFJn^@G#u?iM>Ljrvdpw`A;h+dwpiTEX-JCqtAkw5 zRXr!OD^<|gLQ=ND=-XZ=)i+YkPDyyo)mg+AapD@5jaQ5@=V~40Wk$Nc{Ln{wO|nC+ za`T|h`3hR8TKVu(mH=>uY8_u}dCDe}jhNeLT3$quHQ=YFkmqN$-Xdl<6dQs|99%Pk zit#ljG2;gI$`C@kOisBmr}Qc?#4Wtk$GYqcjcu;rh8Qu1Bki%dOCPz3owU7#ayM*W zRhzM!Hv3^RZ)6vDVCrjbMMBPr1k?=j$=hj}-}SVYgz5m+iSMk4(jm4I|LB3yQAZ zMIvVOobf%276Ki(zmJ2yEaxT8MOQf#*3XNp{n*1-Nf2BQiVPq@U06cd7GWdfhN7bI zSjWD+v51Lx6$hxB_k=MeapA4c8_YZRWJf3;iLSjp-rP&)x7?*t!mS~FOQ7y9B$m;j z+F2X#rAyL7j=0g_>?R9>cS8Q^L>=wge*^tpZ--_p6%s=h7Kf^^&c5T|P=3)FEw-#*DEF`3F6QCG zf_oT_$A2(%4m&?U>U4e5{#IyHj|L`Y93ybcnc1&pGsanxm8}rOW%d*KqxT%s6lq^Z z$2QD4LP9NqCiv&42SfBSJ>kDcGa$V8zY-vJ7B1HRF&VP6|Bt|07lEDaAM`oHb*@&Yo0D&VmnmP9|1RSH#7|3d(8tmW9sGeVDOVU5v;(*c=ARwvXc(vg3xA*yEogtC5#hVtV1fSS7fk)#xYnOw zMW|O2QP{b@Mfq)^eSyMFAE`8hiI+?(tCqFL9g7MPdG}(A3I!PMXx>X6=%wZg<@go3 zH$c7WG#yjg>r^VywQEHN<&G|cZP>|77*6{e5+7)F`Dh6)rV~37ygg4{zn%0@1FArb z!Kby8Fyj16!)e6qp4{RwUyo<%xZek^p2EJqP42Ajp1-x({^hA_ZwfOB`xO3{r|$h2 z%9XwC5}@Rd(){tb|6bb8!Ws~A4|D+NZT@!h@`j~ro|OS`mT7k->L}9fM5B+JB$l&H zSC37l(Q(}R7=c)W+|n#6jdKbd%$h#s?b#=k zWq9I9vub9yQ)VTN+_l{e$)fH*SkFOf`52gNHQC4q7u-)DLye>3p9l%Z=OsD3P=~#f z2d}uW!V`#dtG%>XW;z1Cz}fH5-J_W~Xo<1m&;!S%pdtjtGJ-=Dy(q0Lyv0PyFx*Z> z-&X{v@piTmj(~m9r%>Ho73jBhl8>aQ7B7IfuEO4>PRwMejCw4;gR7b9HB|l$|e$KrjYEh@)QtZ_2;O^!6UahL@^~BiFmJ)8UZI zOAHJOk}4d!DozIX%pYpIe~y;!;*moI{Cipq?3)%kN%Cg>Od221&aVomm!lu-xp&zJXzOQg7wpW(i&-CzaVa! zfbzql4ZnKfUCWvPA*{OYGLOvh!|edeG>jvKiRCG2O(_ECeYs7bhoII$ALVPU&Wq!kY%4eNzh_^TdU9C}9f`Nhp5vf>^{(%oVL|L- z)0GkLL$(5r@2HLi2ABL+rM$T}XRed{YqlcwzCRB~H+yO@7axG%Fg;Hcmo`IZ0PJk4I-kb|8y~Y_ty5XFW}ZdsPOljV zqaDkPHe#-620uj>7*)Wl%#@TFalWLF5xDs6Q<*Yq(bnePOAb6*S|RmSTif;CpinMNYXGVWNCp_Lo!|2M4${O+#3~&`o-VijoVqeSBr5}Fq$4$nxRrauF zo*>9@5YZpv$leEeq_l$FnHiHoQy{8~{FyK}KodDK{#pCVy^J|%Gz~jTh}DINM9<9y zFE{5C&;2or3(@y(S_{C=J1HCE#6K+KB!b6*$hZL8ja*pqK~I7<@Xf}m$J3Uw^!UQm zW-DdU)9#IIZL@>{H=SG+k&UmpNJ9tCm+X=K?bMZ{;#_R!(sTLllx=YF;B zIz$>GEF?a~1ERlM#xsY3h~^bUJ`F+gX`3(L(IUOSUOl z`_HWD`yo5GJX2~T5WCeloGxx6@^$9lRH&y4z{b^vR4-$15zFc_f zSG*&Lf`kZwHU6$Odeg$gf z(ys(HPVA<9>K_33`F?WTM9b2II+~*35tgjQ@$ganH6Yy{tCO@Yu!&7=4alse0;aM zd*`pG3jYy@n$hcK+4b39k`vsqY4#qo3Im_s48Niv0z!32bdAwgJ-)^>d;=V@wh$5M)Y6cH^hA!|y z(R34HG*O69ev5H7xP=+0y1wx1c}1MU?6H4I4PT=KlxJeo{*dNid@6lz3JJ4koF79v zg+A#P>+++pMm2WPAEVb*j@-7wfxZ@=yBbS|@$lUTjg1B1vkaqT}6`r0@E41Ur{vTUs9TsJ~wS8$R>F#a> zhL)6&M!LJZJ8lU{Nr90@y1P@lLn&#bySw~`$L-$xeZTjQIfnb-y6zd^0M2WzbDcjV zUyZ;+4C|H`*dqr~$=FJ;LJtmtEmklV<&UhOX^uKvsNT?Pl)$ zt6eALG*cbgXE0!BtXzc~xsE~FGh$0s}cddd{j zNLpzaU9&68cgb{#%O$ZDIhty~n*c|`ZjM=B1%jCw2CdR{lJS=Cld)y(9dxJj@?;5) z!woo}Z4xg6l)dFuNV+C9x+cv`w25#lTqSDXt21t}%qgql)>M}zDPrUo4b_n>7qUlF zQvEe24GP+aYhX6-`0yWzto#oR z&OcL!AkJr>{qyyoc|tw#94Fy-@M=H7OWc2M^S@*?Cs$}a7a`<91bGlc9wZI*T%;ek zz=STO%ut+v7-dWCwL<8xC^Nw_Foy#{crF$i0cn^Qp%O|4|Ng@*`fjkYPfJE zt+ZqMJ1hwqmE`<)U>b<)?^pHTtpl)O7$*HQ?mA`>!kHYeI3X*f++!ivG$gBmL^ zE7U&z56gsJCy6T~S)ytVl^aWEDsoLWlO7QKmV0`P;7yId2}uSOsKRv%7tXprFJcUJ7$YW}S>7&%3Q{FvjqdTE==o1RSghwYPa zPLflPP~jNiY_n1-Z}j=H39?v!;M~gl?$*)wEvxr#PXjGij)tdm^L_VWIiK| zwvNVw#BlBc&t-#@dg{LV8{#t8?xB!DidwF;)czP!@)&XLHKAni82o2V3qFus|Q*6%s zx&AFUJ9ENDFm~8JIL+g*tn}$@9OAA=5t|rh_<90XM`Bgv@jBW(2jb<{wgD{jEamd( zeY{YW7ybzzQ1y!;cY>;xDzv1c)wYRF@MTfO@B#;+QC^Et0r z_~uS1M=UV_nz4Y@HuV>B6)z3?3@5;wwb)3bQ+M=k4Z{Nb8DA!4?y0-nezc#hgYo%h zN)>fRo6Vd_Z|EUmm-2)xSW7FbGhYj?ku$Zi*@qnqZ}v^Ohy4r?Zf>O$D2U4JE$l7$Ijuk*Y&A z2HR#5HM{wF4xRwPr!w*!`ZE|u!f5b)`^g1w1VSW#>bb z3X{dhst$fV7=7_-d!P9eRj@^Vwl8c2c9E?7-NOQd;t?#K$W#7lQg+K)BJ!?evpT!R zw^_!GC?*QrAUKQWAn~s3JqSP+ZNDD%F8XOANQwzMts-uVvp#von zdhaRrDrK^$OISOHQoUSdW`gc~8;)b0`E6kCMnfD|#%WvJJ&`dc#utWEgP9>Zf0)m4 zmH<<81lXL0U2=z|pOCd}H$eSvx-`;jL=CS)I@KdVHG z{EN3JrL$FQ-cGrv9ifBZkGhB^T7ugjCAN$da3q&m zr2&!BC5oX8JPp019&SH012(ZoU-3@hZUdFIh~19y+tiQqi-a$!_|wMg%CNj;z2NDc zb{mHJ>YG|y6D<65^!!0eR4r_{3bPI|Fd$?1L$#a5CFP4Ldwl7i_h z<4C{EZ)0U#l3P|$s2(L!U$8z8sSzY5s!IRWzSfrFP*$8V?Y7`JQ+!~L;h=_dJ`JP} z9U|S=DRsVS9=tl(+D8)XHEbZG-eqv*nQuh9@40t;-(mpA$x^o(psjjN@*tsc;;Yg@ z`kwVz*i{3s{r+m;*Y^zS>`DKSx8NA6tc2ThN_>a$kD*bj6WvYMUPa6-T8#3i$;wvm z8pRnUnPd$m?gKn;jQd#JwGV$x)yM#KyDAhi&g|kK%#batC31q+?K<0q_^Kb%!t<~D ztna=1n)5zXUqwEpnOXboJXE1Nc*uI1=#!;Zdd@eLA~SB3S+!DxA}YakuS7-JcFX$K zG=&9asJj|c`&d%zV=9{y1*I~;Nc_0%DuB3vng*t)MO^|o`|ZDfu;Na`O34DyoD}>T z5}RMfsYSdLcGf-OmU?l`aVu7%6M`ui5q>NgDqHZ5e2wau+^9$DF=^iuL~+@sNr|m> z#wRvL@?cMd4CYqby37vuDCv8%K;!JAbAPCP)7n}$5v5`!R!&KvJ_EHq1UiGh!ybjA zXb_OV#s|IWhVPb~GeNaUtY@dNu$at3V_B*)a=0_=p(%v$1+p@uTo-M6#DU*X@Rya0 zf4tUQ&syYJt+4a`CpsFEB=#S#HPWB|^3S;j4OMHT2q+YPtq)v~G%<*2`rqkgf9ruf zpTF~CfhQ{Iu)rlpq^SS#Kk$H9IU&62z9Ukye^S6mI3ZO%ND+^N?b)QwP0qy*x#WTr z?6{t5d!N}^1@S@Lf2GQQOAPMkC@?xeXEd4^;>|u*xj-%)1-PNazKtBoLEH(*1Dz)f z;pssMBJCTODy8L=k-~y+m}Hl^&`TvJ<+%sf`im=y2QtRdyp>L_SphqRiiM=44#4|H zZqxhpwuC`Rc1Pw@q)jCS4Klcdo3!|v6$cO%eY&I0R2%N!!qxE~8UH@rkpy%IKHQ6z z^?A2E?EdP{%sL`_kDU11?~D6&celaqtpVv68EJb9VU1;t?~Rs%YRPo*7iA>x+Y_tX zQ=hzpdzKRu_d{9t@yLg_2*2q!U%97+mbR>bg$6H@vbE@V(wvwAaaa0-d`R87Ux0 zVyZVlt+Hxv$%@6jI5R11O==p!&#r^ib&~yjJH#7&JA{o`doogfp3B+3T%kQgu_+{N z@yfL^S2x#f9U43bvVwe#wd+yki+z zC7EL8Z{i~4i7A?gxYDO3J_oJx%2WPOfZ|q&I@HtV$=vXiN3SY2)TbN~i22^5u$_d= z7BSfa7jP4lBLo=S1~uiM%$PZ-G|F6nhh_M#T`(Sv+o`kOn{hP9s81W0YDI+%%ywV! zNscn#QX4Djfmn&tK~aqsgqq{Fp4m4pIS1>i!*L{s%RK!#H?4W?4Oe`<>`wk$)z=pE zL_N-=!fF>Md_ z=gj0bpYll>eYBpc7*aHivb))(9&4Qkry{?pY8oyq{A+ChJ3xlkS?;89}cX9)gR#n8bVmQi~|i+Dr2oA zXO@k{|=C^1A9dzbfs=QtE4Mr^i_qi(je zEtUk?!g5GiWPiU?gZB8N(Hl`m#-;cYsuv<`&A>FDqdD$sBD#WjZ&+fhwD2@asFKe$ z!bk==X&8ka!yRo3ZC0){Q@e$G(t%fYvrQiTp!+pjiIG9W^b_GRM)+G=(k}gLn{`Hc zqb6^(fIdo9#}m|!xM8P0JZlFVvd05SM1^UfJuGOEB2Y zYT7HqVNy)9gd!f^KPdJtwK{(xA_A&68PY_g88f2fJm>PG*esWBmOXdCHcynHH(8C zS16Yj>eWeEM`D?`PMMp>0;9MKVhZ)+UOm$JC#iIYNp&$ziemVJjo%K%4f1M3%7^xx zYGFsl-?)ng^Euz^TyoS&Z~bGxfMM&o#GkIR4fjer`+>#;_$}&5eGde(g{r1 z4t??Uv+rl4rpnZh{%)9j>v&{-wrgn~9Q%L`E6*+vl0B+E%AUsedXbH+(tO^k=8cis zTwScvCdHjznvd|AnCosWAznJq`+A~oy{jk}T-4AFZsWKR$EPYRijQt&7BwnHj-(pa z=(!^Iw^xpe?Nk{H-n;TY6|&H_U7mTl`B^KWVnaKDyts4yaQC8-ekKZtq`VT> z`t3#eb92&{(ja^Ne2^^YULEJxO#I20pR_gA+vj4-TAhv!eD#h(9c7nXiY!0MR3iBo zJuRI&=qEwQx@GSlzo+t+@Cp<-5}^})Yr3`LEx2Lv*1y`Hi$tJ={dzn%gR(y;{b|sf zD>6slI%r9&uVhZ;IBt3^k@Twt;PSbj^YQzbT4MT?7`|0%8ENu~YcazQl^95TF!zu< zK?R8w(;4=7(4kl0zN6&Sy6<+ziY!}iPr$lq)1JI-=dje21<- z$`bYz>9gOJ6Cgxa@A~<;2#EUiv-wTv6Y63n{pw~}oSp6q;qNzi$FIN5hS$RlyyLCX z;21tjUNE4kz>W<4<|5!zUVTQ1OFylzVcHVVdrB;MBbL@BEEhMd%<=})Z|iM`wI=ni z9B10RHHTnS^1(PXQ(^9(FRsTI(69PV*HlP8+l@Qf%U2U(kjpl%QxgJD@NvUR@V&dg zYZ%-V4dh4)v=VGK+8i_@Mx5?lyfy#xrU)-XRQ ztXgMN2ew;k%_-6I`}5CV4ck0n!6bYnS(>53r;cd*yppbM|{@AAOuLqxquOk|0T0oe3TQ5o>WD74iN>aF5Zq zU2?Q|9amj4exHO~_ww96d-ZmD7ek$yk6gJ*Tu&nKFi>J_HL<4hRV|4j`WTXE7{blwRM-46%hOMYi4kh56D_}%@6PJy47<2?!hPf9>++osfVIYH>H(xF&s{E*jerOez1cR#i*DoV5{<2Rv)8CUyGKhf`+#Cn z&MR|P<~GEzcAm7bGyx<9M_S3&U~$^G9sW+1Q;5-c9r>yDHhiWf|Q z&IEBbE29gWL)7`-;{yjb+kee!{sARW+LHYRB{X>3l9{JKAPK+V$+2NTkmfq1o308* zu_Z(Lj6^|0#0fb8@bQwfKX>&ZWl=5=!~_kw6qE@L9Be_e|Lf)6Q2+^ z!+&-{?tl6k(y{0HgXaYCKwcGt7A#*yhXm}vW$zf^qQicf8^Jy-8-Nys<*SqTmeQsM zxBD|hBv{QsB4PqfRcwhDs;O1TfQz^cMT8QR2f>m822DYEiN~kYlkoYnh|5|_c1Bbd zR?~D}#@_C{de*LW?z~@EoKutU=4K1gB6Wywzh32(bWAyfcA!bVA#1zaJ=tOrxCdY| zy1D_h)X6rXhoQ$6GKK5VZM^LSx4oxy-9oPUR9zWq^(8>nK_7pe&ZIOHMBLZa@xsCq zL=cTdj1fJrzN%%XIOU#ur7_`ueOA`|d(*KUfkD#s405mlAy4I(x{xSkLuhC{-)^Ea z>t3c-mH!I6nFbk}s;> z+P=(cCfx2(G}R|mBy`nB%mP{UnW=8;m*O)9nRtXShmdxD4o;e-Es4kMs|#Ev7Sn!8 zNdS??8tj*R*8N0zr;fjQ2(~o)VlT^t_GPdEy)c5h7kVY=Cyyiqh*on4tYqWpXfq)` zlzKd53AD19o@`L-=)TH>^#$6vCOYe-h3GTv0d}aFAInIuv0krkj#Q(5&IwN9vahG9 zAKM)a_{gdqpDL4lf^*3|_3CPv8uxW0W7STBLzyCi%|TrPL9bt+q0hRj^c;F_-cDK| zl4$5l(F&1)^9kd5##iG2@Pr@XeK|g(pn>ZIqPL+Vp&E%ZS+m>?Lojreb5Sjc8xcz_ zi93L{utdhzQd;A9*BWcU_@)RCWa4NRU9c5xj@rXt8kR|Couhd`zwE9~ncL~lv78~j zXZ2>xz7M7b1>U^AH>-fSUx%t9HN;_}g2E?lTN7@EG!b`s8vbPoFz-=DN8@$Ps^Ld< z=mU<67Egy@&>H=6Z@T4pjD-<0AEh21dw7=04hKR-R0kZ=K4Nr+j0MW97f!n@=G|6v zb=`9~X$o({u+|2hLml`~JJk00{`_orF63ih)%innqkE&sZ_(;XpEUAdXxvZf)!7rF z3-P#%?QxG+xC>|)=(@yd&d2W~_WKUr*xEL5U|~6vQWNh`?=A6SAW;rXR_mEyduZ!; z{}HRWn8M43fW(+^0i0^lh)eyYZz_+<)CoqY$ux!R7cE!vjq>LE?hcTR$XT@Aoz`#O zxspdXJsj4C^n6l1iy)TDvUAq8_F~l1$DUZZ(p5p92gYMFAWx*lVqJ8zRE^#4XMSZT z&PBf$Kj^1o+oz-kzxsTP1ECg2ci1&fW_|4~t0E~6fBbLnY1+Q1iJV&5AIp4IeWl?} zy`Jr5vvMTZ?cL?2&KZ4bysT-LnSn{T* zot;r~8L_koGMG7T{FbBa6b+WLL(2b$Dbiap!-`{#Z&T~;he9PT$p zc*vT2<$F^0OArp@h@-q}N!~KI^n5#Lp6Echxh~o@kYV1{#30B=+?dM9y`zuJ^!Cyve=cO-4gao&Z(9es<$4+L7A z%g~@c0R(E2Z8j$2Lf;sxauN0Izj?_Gp}|&|rgcpno7LrMl$-h!(n~hsVmX>x&wfE@ z@`6h$R{28SVg~4OCH#nNE#qMtvZ;38Sub%Xp9_#4z?Ns2bTt=T` zAmEln+it@=W}_+O7*id^EX(R!X&oO5he*+Lq(0LDC4|??y2HzGxY{sCY+U%jX}oG6 z#KuV1@$@}V$!g9J=6zF9bD7ND9$4%<7IMOx`t`9Zk6(Uwh1W3RF3igQS7ibO7qt1r zVhNDjwP5lsjHuhZY^2ByR3hjs1$ROI1t1-Q!)W${_s&RlE8~wy+CXW@f_C3>dJ{o( zV#qEU;)FDCfY*w4Y(8j=taWRkHP%#^Fck42EMO;8m3nu2y(;kA{gqRx)abF{%JJqH zwY%)HC|tp+HQUgT1mRs@$GVOXb^6der$2e9v=&`x2g_V_)Bu61mE%;+HWF|*;N=|o zD-jyI#8jM&xwNK1Jc)eo%*3f_+iJgS@Js`C=J2uJgpg7^K;YZV>jW30kvcmBgE0wX z1!_gxHI1C^vWjc1{!en`c8Faa*SsJNQ}|Eh284Ts6~nqF=DKl-=v!&)#?cI|Dy$gy zKBOMgUH1@D-kX6U^-+|;EiuuIN@3p@uXTWO%olkn8>TN%KDxzo-kgiLm0c6w&>U3y z&*KM8FIp{c^fqQsChWc2sbnwbPzNyBraOMWpmf|x`$sHE{DWcmQ}E>F_*=0A@%+^> z5V7QBAv`%7`k!Rk{{W%D z6j%fVU?~K0dZPdS)ZdaSH;5CGLBS1VC|IVbBs*|qek5{H}|3SylMq33Pz!69dY!u^X7gdiXO{axV&{aO7T zmz*5@7Khv(%tk;#3=O%7QW61HLo6P7;ycv8^;vda5E~!33Kycc?hwddfrAk!#35G8 z@64~sp0N|093XNK#4yPNaeZ<^rr4gJL6E67c6JD+@Fh7dWa(F7JUkHZ|J73F1sgs0 zly#(INFepz=VV**;>OP(*uLomZQzQFyb4i_J|xbCz3K&oO}QfVbemU3q^iuM6$N8P z^Y%;*Hy+NY@uYy2&3A0d!RTNzA>l{m`Ay^V9IJp{Hj3U*z8d)~dd{C&Io zaJu`bS0quqxvrR|g!ncnhMm93_o3DI8cGD=MbRY4Pb43p6;vU*x!@~^k6y*qwvLhyNhv#9n`*^$-cym9zW)Gki_#3vD${uh^B7#74lRA$MKcaLa>F*RawP@LKT5EZWs15iu#dF(Iu;2MTRK{OxGgc zZ~4>brgIefV3;bu(tssVCnS%{v;?q0rlQ(z{Qm*w#=z zFL3r@J$z)%*xJY752JPR1sJpOWD-R8!Fn3OmQ}rOcGchg_ZOrPuxq=tL2|W2unX@J1@M`vkk+O4%xl>+eduXwFiL~~;2KTWW2QY32qIc8rdmY%F zue_3^czt;hnQ;Flr4%2U^1P-1iF8ocAl${!Wui4g4%i=IXq`yo8lz&v-GqA4HI zE0!$@KuS{feMKk^8d(nu;$`|`6c zZ{Z9v-*$en%g<`j-(FSRL1JxQM+NpiZSV}M=II@ib4Y&BnUu`H>_9n7mz2JrZPav&(eC{Dm4s`Nh=hOy;-;1cF&+hZz#W&N6v z1`Q`(nm(*n#`l91S3{AN#Ev|HZm`cZrj+1Xs!WASzj4t&qaf|u90lBCP1BWL%$c%h zK}Y+302MIXtxlph&LrjidH?GG^R={&HN7r5==~jIe1q~GmukTJrXdHP+|egMzw0c3 zMHyQTQ%P$_cBAA__Th5~`M3Gng5}Fy95im54P{E~>hfxp(Pi*^R|(t;rHx$HC2zA& z&Gn2j#d>~pw@ewNhg$xK{fVTwyO$Zz_s3&`mYIM$TbC?*sfFp46OWqFT>`x=^9=Vw z{A}n;E>C8&cZ0}=g!PS2)$QY$+L0fyYnKV^D&f`eHBarRN=8ap7n}8G>ez1bE)L>g zh&ZM(jLYD|46xoD;|4#W?P@H^jNd8L`$&DI-M=Pu{_?YfzL)STmwB-JTmLdob|CV{ z;guE;t9rg_OA1%jc9zaPAT{r9wA)>H-pnFdp%~xZ{`mE);bm6_9pih>UkMccl7(eN zUo)oJq>9VmAF`|K&w0I#q9e%V;zZg+$q^r3T$UFD(XwxNHc+WnT_H4B3P;GagV;U| zTC58xmXEqlM8@aL_C)w_I9VL_Qhs&Ya5IAgqF}PVoDXBN@?#7e4OR%(NHKk2Yifye zM;aZFxjb3WBu<8ASj1*=4IvK|W+2t`e{{MIqb@9W}wdzDKb@M+oH^vicei7ysjiPpDz~nliW- zAj|Qlb+4O`**O0g+8tizqt+pjOOELdRn{j4*W`2VU!pHgCeB3E+iKe18V!J z0r);#*cF8LsG_B9*qt9ue`wGPz9gu5qn*q4<23_!Lg%(4Xz=pB!@u6T>B+-ggQcF- z0g<9exrtVGUS*^pH+CIKr)i+V9DCpYtBMZds9#Xq9ucm5k*4Gk6W%~2HwREgL%Pda z8-bkq!i*;lc0gV`>%RGD64@Owf|m<)dCf%7^py^by0l?xAl>ffuD+zyWfqQl->h=` z^X?Vuguf3B)}cVf5+(=hJ^WS7FT>ZM#V)Q?0J&>m=UhZRTNq_FKM4C^!}I=WId@T= z&Vy_*Ew&p;5NEpZ0OMNE{{lcvAyyA&Gbm6+x28CS9~C9<$PV26k|Q;`XDWyGWoVET zUUvKH=7q@<7RXU`s*PCOp21hT`uamnIlj2WLrPp+UPdA8KUnpCzo<^4#)1Z=hi#7Lzvx45pzu#HfMhJk=je`A9@Qofg8Y#Qj=eWLLQyl zR6;9_xAptDx4GSxfkd0|@9h0^&*Ap;O5N7}g`HAg)0S>(D%Fuf(N&s~t&@11ZZn2x z69yOm^xO%yEX;0iNUB6)8C`Z)dpib>P`B)RC305<_)dk@PPsTR-_BxSdWxFFJOfAD zX1lYCUorN@?$hLjZ!mCOa2`16UdiBf`C(UonyX6jgkuDxx1?@5uGlv z#JXZGWN?V!Bh7ZQttL{yI?_9TYko%tqq6J;Y8tuvGq3P!20wR9^$N}juH8YdAKuhh znuo8Cj$oh82FH$dKmL*v^h{<72*D$nb%wFUiyi2AkHJK&9SR0mE7~eanUd@!Uy`)0 zWT<%8Bv8_1Bfo!5zUsf!U_#%;bzRgirFzy-=I)GJ)sgQMim5+mBdS3waqb5a$eyQ?wFMI`4Ij#c6Fn}B|91O`{GK1J4e@r1U9nXh35bv{>_W2{o0q$RHj{h9k zc-j6{?fz%g|2nY!bKv-&)ou}5COojR0XZ2IANX{QlowoVK;DB3sTBXqD#P}l(KpC~ zd1yRvsv%@C=-Fz^3obDr=XyRUk$|ffps^Y>jmRJK@cu?;b8>M0SDl>i4^eOxmjc3J zZ-DG}heG~$F`okj{)S6I0nWjvAWOod_~-CN0>#;&KtNHVkN5YO#KFnI_HX7ph!2cW zMTZ3g;^TWRTtiH_S~1iOsQ$+WO2D`3BN#T^FBwPN@eSW#HabsKd^e1^1J2_+93m*y znCptSUQ{P@nfsJPHq%EucoqV}5LDg@&t=@dQF&NP%wL7LvD!@*p{15 z4~A2{tFz+qAJ2WPYn#B&N=3+y(ssOqIg5dJ|Fp7bZ$dN;q^k~j!rMV z!HNrGw`+}xm#BO@tXLvIGYDaV7_N{@RO*2j&rszW>_lX8Fvq1je`nx4h3JfTBAQ9}6^`#lc3 z0=>7V9l~RT$xJSgl$tF0iAMie6Q?^k=}>3>-7+#kraF77-|%EfTwO+YNz%&7Kx5iE z3*9%*+L%#;B%3AFg~eV8q|toJKt#!8*m`VRv`FGFpQlH4@4gV8`B!*$x$JRfl6}Tq$+p`70#3u%s@qA;xLQeLIyEQW z14GtFGvIaBsm#8G%F!>5V+-^4i*X`3Em-slU*MsjRAw5a%kraCvcgd?*>ccP;PR-+ zWxGHc6x5*Bb9gxucU;xfRW+yj1mg9C)9Aa8xzYuuR-z-X5tsIj>o0nbIHyyxor9s+ z6LyW&P&y9*7aKdJ)z9P>sps0a-sq&k=S#^5#=l^H-O}GRpxyg8;=b?x8DBGcJRjtu zbPMalW>(I4u1D;cPzv3~;PsAio^;n5$phwH3osppX1Us6}jbt*6`HvSf<2u;gs7uSao6g0ad?(M#D5Rs%6t=zb2|)_?@Bpfkgp zVQui>s*B^GX`S2Qhva;d#7m-NSkC-t<&7plM-&#d^SpHkDJ|`$0vfacvV!?LIwQjBf+nI!C#FMR5TYsk7bmKqLq%28&OBgH<#ST zjo&+(s@BDY=W_J|Z#WTGKjH$s+gLMiQ8B zb|@8P&Tr0MO)vXE4B8RO92V^Yi`njGJTV6mU*A@> zM|54e3Y)rUB^Iw$&^uK49l!Lxzv`2>-S*l~Zv8s-DB(eVjqWR-4Z~4q2Z6dBJ!uGe zhj(*TjWcd&>*;0lw_4)~>YxICYLCYEYS;6gk8SC(_B{Z;%$?DVXpBbv)Jp(k>|@aA zIXbXJFXf6&ysVwd?m}!Ouqd7PA+n2YN1~`yP>FHvGF>?i)GEoS&ZLneM?$++^nHqU zY3*&hj!_cUhc?cPuPu1LBR}k=L$A>a(@OQ%#>!ysf9&M%r_F5VZdjui-wbwbCI8hE z0%BRFYsOXZ9HX2&-M`aS+{6Z4-lHPC;!X0IzKKAgrNucd=6?pfF};>2zTDkGVa^jw zQuddlEQwB5lx43DVYk-o7!0ROjw2w<8<;SlIRmqkq_|3cY0-986r!wNDsN0aJC`?Fpa0#yZIFJr=+zSnBa!8YSB(O!7@D zQXY1MJw^AESbMH4L%8oHzVFQApOOi}b{!-fUf%L@k*BkrB>kC%hL?5&VNNyj#WMG+{lQU2;a4h}9(a7PZsJQfII zB=}Q;|Emu9AQ0q#49VD7Z2u76{ztVOe2Ps@0L{tvN6C46Qamd;NM)R_VbYTVniBV~ zbmQe_`!_ufvf5~i5f|K@`>fplu|$Lt=TS5e=TRQX{09Wh$<5C5_f0&%fEWWdG}}L( z0*Evlj3(wmX}i{#LerdSe-RLHw0lI}1V>69N?uTk@Fu`z@HjNL5VJ&PdJ-g(=ESB_ z_(MT3&KGk!^OgCJlWTZ`Iif_DWdA$Qo1R;9q#Nyx9foq}e@*H?BaGMP^Xy*_e4Blz zr2zqLOIzPU?VrvbPhN)#ztYyi0~RkXe?bQI4=m_Ec6Fe2A1#x$+gt)~(n!%9DrOY= z6kL!=Vw)@)h5D1mslx*XwD&x0Y5)_Lm*aZWx^C4Ox6xX1z_2v46UJ4 zskYZ8?S??mBZjq>6>`iy(%(;DxC~gofF$9zMFzzrqtM_qMuNS1DQ#}zGO=u)r}S^1 z@x|#{_f@TqL<2nU#&m2t!xxNOLhoY#h_b5se@*FUK;qQ!j1mUHr9;In--`vl(q@X0 zV@pTC)g!b64j14+xLhxW6!b4zS$3> z-m!QEw>5Q>y=XjtWjlqIZ`>9y&JL#JXYqZ?5S%mM-Pc)qsHMotsKeZ7m`aGwX;>bV z{VV(XDEtgclM)_bYf(_=3x7e8+9B(mwjBXTu4iTV;gXuIZAQz&fKJ+w=2GC(r)Chd zTOpfF7tN2xE9dT9xl2zgxm5OuO>j*<0a(aqsD)Keaep$mzQ>NSukljX7WTIll4cNh z&fo+VjEu>`;o8A%*23io%j$#X_}$>`%WO9{fv+M$4m2Lj ze&<&nU2@uvTbs>}T0yNW>(;kB>30oj;Z{jLhjMR+9^X$!gC}Yq)qlNBV zz;&$tmNbf|%UF?B-SRC3?`9+Z=V<0nYr<{M`rMLAN1ZYxMWNM|Lg5R&a#i&L@A9j% z)S#`aTpQa*JLc*TtbL1|yu}V_{d)g?-M~dTulghU3HZVsm2?EHH=|A~9&1jou0}rQ z8<Zx`QfW*^3=1L15}|<&6i%RoVfMpeX=p&ytmu6Qt0BTXdR5_ z<`EkCQvFd^BulIyL@UAKt^K9DW+)Tt)}CAflir+g=TP2W7`qs7|AFh;Scbl_geLa^`d|WCDPO?I2vG>6r!=Qzw0&v^c5d$Eo4(D4RavbCT^BXe+fvF+op&uyQqtp-uh0vQ1Jg(xa* zAKFszRY?nn7w_9pH|62uW=#)fwS}Q8A#Nq+`0)VJ(hZxa=IDde{t~Qqt?;inD?HdN z2Z8(c92Xpya;M-&0hq@lu`9hD8+5W9>gAumd4!v=RUtKYdKcsHZ)y&~<*fpO{SK&w&M{zY0R>xy-L13ufU_5rEi)s}DxPRpdQN^fg&Z~U_+g-?S?u3{%$UodGC-U zc;?T0(>=w5ytgL{jCl(j0|j!=i)f>HU41w~8pFL&MVbq2FaZGX-bAskw}U-BdM~4d z^)XJGYvt9l8=sU%*zl`c?bF9Se}+=Xu=DqChfW!am2^UsnR9%)UNDEn-CxOx_%go+ z84_?8CX^OlP8MFI1V>1WIkRnF<<{EJnVO+y- zpEnbQ9OcM*-i`+7J!6HO6YC3s4exi+NuF(=U?Rf4`@&#c5|`ST)C*M|5`R1Qd$<~g z#u(8&F!Am290c34Rgy&~`G4p55jAnsqRM-6s4X7hP|ETSmH{)G-+WoVSMIFcAokxPA?x0;^uWz zjA*lhjE`ehZVBCqzM1dQhm*UxM(a+3s{nVVP+nFl zfbjp=OAawWvO>a);>H-UpxOUr9q@CfdbSQc-yn3&ovLBYow^1E|F3<3o0psKKkpmT z+lLr1iNLWZlmbxfkg>4WWE_0ltZb0pKQ}LA7z{G|#l^5_C@DXGSBq^5<5;eui4dKc`a*!ZFxgf|2$OdTk=a42`JWlq% zRk8mX7K0==LCgbmz>>~zIb>RFXLs-K))?#{Yvl)Kl#2MDTDmlqJhzY7 zgN)TFqesalyw%vcQO&8N@2d0#)J%UnD@O)*c-&%^VY26MR%9x47&d9^wwKCmtc7CQ z1)cO2n69eRAFjF`D*u2(I@`pmU;@uhn^@hZ?EhL4PU=W|0=(ki5?Le(r}^JtEI*c*YZ4-IHL0d0zO-;z93y3rx)iUH_7Ky(21Xz(2DRHK7EQ1gVF0);BHHJw?>f zm;b4U$t&y_Dx<{w3*(VVmH4$KS(UVK5CD_YfA0AwAc@EEl%(<>=~a{n?M3Gs!2s7l zZDfbra5`!bXgaMYQ?@r9T!g(sgP~WvUdBtCJ3AgnL68+RFB=se_Uyw)g$pVPx<4Qk#0|D)|u>+L!5% zsHK{#r@lx1W+tcRx0lDmiU8!7Wz|-&vL}VtWL)y6oUkpX(NxTZh_Jbx>vZIr1nSw3 z?2Ln*kRLZ=)%86l3$u&Hi11$cvHhi=E=mXJtKHrhb`)yVOvANyR3^K1Ds1N+|D-F! zC5r5+8c&b59laYVO*zr1hdVbythd}rKSG(RY5(4cQ!zuiMMEnM$^o3}hS;@{j#>5^ zC>A})H7e@;?#I@LhFMdSOPdxW$|!^z(;_~F8}!T~&mi99T-Q>jj&(2H76s^+lf``> zt2(_sO?&zJ+#A9;M6076ES@_0fUq_8pl`@oSM|yiYebTpNGSe6Yo+hX+s9qyCe;0% zP|2th)$1dp;g_bji!wkH_x#=|j`yvtw7m0OL)?(iI}gsIML}XuBY3Vrw$2T5C|d3p zuTFaB$UK-;Wrl!?)i$ag<6gfSOgO?=q-u7Gu!NQVy!QO%E2DV01XV~dt>$mo1b!>A z?T;c7n#yB{>VwekPM-`DKZP~*BvPE^T-Qs!$P{HKT8%YUcOU~00IpKjx*2K>mB%~J zGBs#3_kr=Oq*$fE!9>+cXy{`8fJqPF0ruzBra{dPUzs{(OW~JUGRs}p$ZxJYHa?<8 zuSb51BsZxIzivG45N%bQE7Bz@I*@^m1Jp5v8REK4aJsN4V+%P-GWr^eRczi_Ysu!r z-iMqdDk6+_zsLuwn{La!r0fwjy>uu;`+3Gpc6OtTDafji6@dWnwQCd! zt_kk$?hxEvLvVL@w}xQBokoINaEIUocXubayW8y~XPH+KEpcr zMBor>k6}b4v^HTvbP1t`mN_xO{8T)vFj-5+K1d6NO;zD*IenEa<8zl<0T5RtlseQ2 z8;z-;ccbICy|4Jdt{xEP2$RNjTYKICCbSVAF8)r80RBmdoYv0e{!xm2nX~rWyBH2@ zoL+sI)XB0|EIshsXiJ%d?eDkY-*20V#%YRUp74~J;l7b=Lcw`-6vUWWAh5jB~g*qxl8}|wkIL+JWSfB$~>lxPhzhN5Hc;wYf8 zh+5QR(CO`@1Y@@@#e_eAglXHzi(r0B!!{vt068?oDR%scOI-_~2S;KBpYmB=3Tvr5 z?)0E)mVcze%tYuZi}v&J` zda86#$TW-asp#7m@_9TH?NW<59S)1OkE+Mi;&PtTeL*~>C@K+iA`a#!Z?1MMTFPpn zdIn-^0rJ&|sLr$i-rCozfs-~OmE}@jI?G;+-k93(4oF}gt@cxJQu`VelgNk$*;#FA zYm@?yI8)XLO$d2>@&-3pmO#3Sm{RPdR>PXn6yZyF!U?e??`fU!b)Ph#?8&oKYAx2`IhW1jn~h6f zcs5d9!e|gtCTR*se4-Gxvpkzkrir4X!?92kV>0RDa>)w=S-VPBgOcc~*Q$-8pfsDU zapMKGfbd!*97Zo>0FbOuyTkNRv!aFto%kYX^HlFn!DBe@eI7SHZI2Kbh4=uz%;N1Z z4Rd<@&Q2xBEZzD;nCJWEk%;fekjGQWu{0e@>3D;P{yI`n7Zm+m9%taB3Y)PUEzCBi zlcu%LL}q7Z$>?G~CyZOuVe!HNm=!l3J(+R8{+s;)?V3S+$aIKhD$MnU(6FkYl#z*S zcT!NXdAJD8m#?nO0-q8E9DXz>sH|ONEP7@+;LE83k`j9ntVN}@eAek7!ZFjP1JqTY z=iI7FW_=)ku)?HaNA039qOtpe^=8A1)0|x2yq{!mLXe=NXmLZ0aEwqCqE4ZFN8`3K zi_`dS&BtzQAcM8B(M?e!#5k4kkf6}5%_aNsJ!-`*9U&JKHkt`4W#6~qDz7z<43(;_ zjX5|xfUJ?$=(72pyJZVM);G+)L--=yXZV5+J8s{ZNtJnn<*#Q-NbEbX2O4a$ImLAR zcXdD3&yjV4Yg2|7P1k$@ukhI~jxdQ(t*XUXg9&x^ru_zjy*cNq-?}OG8|W_u4Uyu!%y|6nu~rLO*c@`=83SKp3I^QE}F`j{AXqK6GCa6 zWESnhyEf1&c8mC1?oM!PHiEbb5&Brvcq9TtA6q;e?{}a}HIe|SO zzY=Nq2>L*6gp{+;xeUbzXUE%ye5j%>bOls4D^H}Bj6=D6_e9R0s@jx+NYP-eKxvw? zo-<~7xnOJR^7aU!!*%dc=HxO|)EiaXlbGbZe;>Zc|1w7F~Tht7QPMBXT!?J!-YqhK7*MYACrt!ifyMkp?mu^Y62;UjV(CIKR1h0|Dk?p@YJ@bic=5HXb+`OkeZbTTxG;qey`@vtI7Qko`Ad5On zPh#(GX5>A_cg6q`hGGjXQO+m^HrNgo>K{i4S_6Y(1OO>UR3}!*nbkCJFED)-oZ!HK zKyq}xf28AVENpE5oXOZ({+UZ_!?UyemPo6Be*PaJIXftj79=Deqxy64|0_%QdzATK zSpw)Bvl)y{i45ZVe{c7nLmsdxmYf81VEUu#K93~_9nC^PN3+KFaTJlTpkrEQDun>q z|K9MQa&FE)85^wsRfq!v3MkNl=^yAR@cz2%I6$GcTwEY?91j;GD=RSv2Por~BjKkL z70@e<0vEUxOAh}#rIzrYlv;LX9!5~&8OXZ#H>H-H1r&zM`um22Y(Z=+cGiD%4gY&I zWBn($b`+Fb`?napObt{F-V3qHKP~Kp!1r6)Ep0>OMzHX^7#xG9SheIdm1U!hWqDaw zB`jAUj!63Ri`N(Nt#z138Dv!%&xgJF>B<%4h@wjQ2)(WeBOTSBA>SBYRSo#uDoN{o z3?Y4ePtInCfup8455cEf`hrYv+<+ISDNtk>u;W|%8~D>}aNEMe`n2kbdr`;mi(m#L zQ&9|;F(&iyaLkK{FkPwf7*FTrrR&3M!W^e15@yFm9{3y2a~~(ch4astew2FC*pPOF#3`C)>v)~<#!)m>!#Nv( z(Pp*!3d{xz;mZEnZk?wqkp06}(o$1fb8kxJ^{HkdC6>)m zn~T9;Ej9w0QzEHYkioi9idQeXd$ug$mrjO{rqU-{R6X=}i_ZM&*x;A#^H_LglCJ7K z=kTqbSrzivx}TSA``sQ#g>+7rEOZiIl8GDMPbb92ny69dDI=c{B?1C}^!Q`BeSW#V zsMKev5qvSnoVyGmUyT<|HBmCJ>WU08_A~67z>d@ymY}S{TLY@?K6*ep1RBIxK`GMo z*+BnlBkj8iH47`P!*pa|#1a>atYO264>lPT6H8yEjY~d@fGBj8_DIQ%`W|ACvZDM} zFYEs-bhcuCnK*}XAPKN}hG8*4sQJqf9fBI3Po zY>l4X%NW|Wyj|Ek!d+@>fo^N_+Jb0sTB|`3`PwhM`Bwe{X)^qm%ywo{BY-ZFLUVxO~I*3@eOn_KK`;r)Rw*AC+WQYG$WY($kOTTsO%}8k03G z{lzdwCa&E6sChtQ%J%RX$t!W4z*&xOV6%j5>%AO{#luYbW9sCvtwlK^{W7+G#E%-Z zxJkLuT{%Y>WPY@FWebJbfZYekJgWNFs)!&9U8B&#I8(~C!HI(&S37&hrSgFaf%|1e zyss?9I3&rdJTL|p(5VOJkscrI-}C@}t$TtuewQy{j1u!@T?#%- zIRO)KVG)LD%RxU2Bb6n{Qcbpx4>lltGp3?G;mR0VwnkiCbS}%g_?UelmJNm`C~)q{ zHI1mS!E{l))BaYtk2bFzQ8qG0PU&?}LrN1*k^bwXG~dzgvJN%U6bn`I)85-u zX)y@t$Up@!UB2&Y#s#(-UD$Kov`~11nb2|iZPjAsMM%65-Tf-Wn+1Pf!Zs4)uCP`CK_RJ5K;Ud98@ zV@6Sgba&Qpx#*nqPiQPPvRhD_`CXRdQ4u|0Lic2Vv;HsZm-763>``a?dLm2ASO?6S zTTKTKCDf_uWi`;7JXXWrko8reej0O(=g~RachDPb1YXD`n)O4ohAejWgq$3>wiKGG zsBj2Jg@N!a1SjJe!P4xN8ZwcGCkV~Gm!zgK)^d1vL$!R>4Esim!0?T`(Sp$GFQ`MN<-D8k@OmhEWUy=^2(`(h>S7u$-xSwtg1M0)c z<*@xYsVy*LO=<>Peh2O)>k2PHAErm>g4nrbNi9USKn6r=e82@gjX%Yw2SFi4T zcXe{*?5lGb<-EpqE$&)FJKVcYg;xTDE1&8>4<&0eW8U4PMOLaE$%b!Hi`0TRVvG>+ zzC_HDM4CCv{mpx4-+MV-7XuKkXThXyo@N$*HLdDDJ`j&Ca!QU;H;c$5f7lys96Qtl z5ImiA+8w-~vS7QDyY6JLiYMN2MFCh1m)mW4KFf18B!aojJ_U*BSk%mhLhUAL-d?Kl z2l?Mp5a|*n`OaA;)rwQ~vYJ63=fO`jX}xf0?u2C_ytfvFp-nXIF(6X&=fuI_gFk0p zH@&*ZNYGP7&f$fRA8FDk!lD`|oMKT-wHVOa1AUI4)6R!|wFLU}^ zO*DB3H-SllgLCm}CEaLPMx@?p)#QUMz>#dQ%s&(bc2&DThij>u7R20B_h+ z>#0RFDuY*ubce4gF`ZVuR+j{JFt>lCp)Z$L3i~K2Z;@4jIiox?pB;}Tj)s8gXU|s= zNGk_(sAGiO3r3`sdvLP=-cv!r%fLZmvSh}3pBNI`Z|m0Y2~KJhBciU#cG@u$ge$HR za2Lf(or^{v2q`VILPoAK^wI~30m~F8A4Z6ymgG>L+VUE~d_0$`PbOp$-QHM%dR^z7 zU}>R>iJtVrtG;=;EeV-U*T9nYj`NavtRZb=?SSWB-R|9*9Yo0b-&j+9gp0!OgGG^) z->z`EhYgW!X{d&FP!V<&$kqgs%WhG!I9yPI3%V-Dr7zXYh=RGsb?+04P-|izJa8x1nc&F8&3TZp6$FArgWCKR zH>^Wq(?>+!cTHA|GMx#8bt4xB2$YJfrT1kG7>cOosA3qJ;pweeY{&(*m(P$^H7DRx zrT>7|L&*Xn`u6f`X_#Jh0+J0{GLl*=Ww(x|i+p~dU4NLK8g%?ZO+WG;G~8bY8(e@3MMZ*|6|yE6knFk&A#i9r3!B%qPF)v96p9yGjZ{rhfaj zT79FY^`Rdvn0mlQs8#MHw^4|}x=-)s#$Y_a+xqrU3rsFQysyeFE2bU%k~&K;M{8?5 zHdZ*85-*^rxM!nAGN~->kl=W&71QR*JPE2_OG`e1`pr?Gv9kWAAbyK&S=l-M)i<#I zGkga@UXa=E59DS23w?Eg%t7Snz_b|hK5#CeVJtZs)E_Ji#7L)*0cifKgb)KMA^O1D zpa&Vwe-~_1NI9L8pVM>@#godG(0(3|L>a^0a&xuJ8R!>RJY<4lv%G%-C;BUd@c!tt z>Ba`-L$7s>uFfz=g`rE|`%@hxggB*D8HU6?znF=co!;xE8-0%4x?k3xt_0;PfP@e$ z*MP0fEvo$|0n6O-fXdrAB6lS2opGGS(3K4}8&^Gl}X zQGrxGbpZgv%n6V_RRN?={n-HFyFl1mRMhFnlArg+@_;}zgI(i6Y#Zw%_ zCc~T{9nL&q*Ef#+MIKMvk^K1_4m(w29Z<}K%+j@?Et!fb*N*Xytf-Bp9+gUb7^TbS zfZ03}eoQzvH1a(Wyw^z3qH!GA^FS7wr28Q&*m5OD^MUv7e*bHibM`8JlPv)hRc6H1 z*p29-(If0)*jLx~`wxlo72DT7?Jmae`(AIXTWdaWT@JAsUNWGIBze^n-(}yHD*;^e zpoofygjQ+-wXEol+e%+p27%1psU>;$j zMtm#)c1eqpDa`ZYQkB=CpoYqC<<|6n>A}UqXk)6{^3CY84QRo2-@1joJu#5aOa7S9 zY>#S_0;UP(tx}xwk?8YJ704Sr#CL#w$c<#u^o!G?B6i(Z99uV2<&TC&h_n=CvHtI| z8a>?+{R8Gw8lRndx-kl*9v%+zmx-83PMOwmE+sV>-b-e1>Yur#Nh9%JGHw(&Z%&E~#O3E0mFteLfSM{fr z>B)#?T!xa2Av~+S?R5z?kRg%KzCR&MVph89hd$G3;3W>71nbsA$iaKQ^37*1g4Ln& zsPA*B2SDwHLF}U@H|#=VbwVn@Otk5zmwgi@U6y*rP1NH?ors{7>4lydMHfD%`GR^) zMl~s~4!1{LP0V4}hB@K*)yDpe+d8L-u9n`JDB*535-I`c&Q)6d`s%iMk!P@D8e^oh z7K{DzT6yX#%N?|wS(QCcB8SMLg=kg>`meKdV;4r8E_$DpKPu>n9*dy>MhNB5tfhJg zU?mcc;|^LYvBw;pE!kJ|1Q*S^x5|2ur+tSdp?$YJiKjzueWOtX1Y$?q2~oc5B1eYp z93}JCvP^vUalrPqvp^z{!gdv#cflQ3euO`gQv2nb;cjv1qDNp9ebTZ?2d+i+S(ZkI zT;-S_>*sLa_oS}MfVe(cKvpYJI(=Fec3Gz}ll-D*>qr#6H&}^+u|LfgR7%&Dk-C!# zf-tRY2Ehxi*0q5Hjfp+O@jHk3&C>vzVa#pq;eaN_LIq0@QYhq!LeIEzcgE-XSR$2U z67mrh#$;mvb<^#8zh?&{_OH&W_rW36@=B3)TeHZ>p*#E;+#Jo-}i zmDWBab`)V;=2&#Mwgw6(SYFgK{c_D7?q_LD^!I6J?Jk3O8r`2q!;SM%nAhTGGlx-d z!!s7*_BwdfK-1;KIsm*b5m7oS-|{T^Fh_LwReh;x=K70Mo1Q)$4IXdN{qE{)9F0e- zIh>d&kibi3(zbH=1|5h5y@JcS7Amj2+E#lgLF#*=KkFlUor^%)EU5**U0Q&GAc1IB zX4l6bMC>IrUZf`z>Z~4PH!IjBZ#ga*+YeTQjHfE2#{T4~3Rsd7De!)gQT2jj67IFy zp$~Du?lhKZ9CRn|9Lh{Nx~*vuH0OUC^G_U<`gZ4i?w@(X!thjLDATqu2F=5ZmT%nV zr?Gu(C79L7ODSkXwNr1;!{-dQJ_lSjr4dO#f?aq&%*o!K7Zf1RruF#Q7#%OC1wVKA zRK>G7nT%kL15gnql|$1v(yfX}@R8ly7{d@<`Bxl*_tC;*orjlv&u|6Rd78(WdT?tA zs`!ox{OOT1yvCZwPGQ6%+GJ}&(EaM+`P2?5_C1H6CK^3XAGgBkff6xJsQvTIpgz}_ z(zHW;v!Q|**evq!@v(gVg~IM?)cIm71xSV1YKbWoBA7wyaOwli6eyVJAw=_=xG&3O zHJ314POTkv?4;8v39{x5-Twe}Yo@2n5;z{vh`8Gnw6}*$`TT@}GmPNy=Q|OoSU>@X z!ou}GdOx;5u9H3>h_r_X+7(g|0KH;9AOepoD1P(jAhQP-(5sr_PbxcZay=bfBdF_5 z3mmvoP63My%7*{%C<)ZX`q#5x4Z86^aqgfSKLLO4QKE-#R#P-?R#SFS(*I+`=i*>z z|CfUQZ#UEb&Ry94&RuqX_XTkwx9zF-{LvsvKqi3{s(3&AL0`R|sXQ*DozAK2T;g$B zr0A(hP%SM>60UAyN_f(7iuw8aC{}Ujcc1;lO2r~Ufu=j>bd$5cE{(GXdMl}ypf{rw z2RloZmV_M-R4yT5A1w3(s|Pl-MZR5DxHg%8opv`%a(yB6O|b(-FYy5c*Xm}hkN|x!{OL*Hr#`<0`&_i zsE1t?>!@O=uyKZetD>i$eu`j4eD=q)|;*ZQg%> z0{~`alkE(1B|j8L*IbmB%hf0dB*B{{+>R{_d41r1?iuNaW0Qe1N5pQ5Sp20s#8#-2 z!J>%yD%L@!>AufLJk8YGe8C@ovv(tB)iwV~k)S^emV!cOQ~t{1{$_1F52h3z0@ z%3g^ zmQejBRImSigIW?R+z22#f`{ z8Q}=WdhgPg37;hZcx-fBL2^jgs#t4pYsdR+Tpnk-eM@ktB}vAY{k0}v#a}{pvDRoz%`8y1tI>IYjhqL!g7w|2PY+%4_8`aQ+><%ql#}|{#D;Q3i^&zK-!w;+O0a*Fkp?zdvuKH z8Bg*H7{V^`Q5RJ1cTL*R$HAcfDr_)IwRQGJ9j_! zHbux+Xex4Nq-Vl@QFjh{L;)~>Z$0#QDIzs1pEX3$RL)Q;5!r~&bKX(cpC*5wxMw&M z&zM$yH)mYxA~V-hY{haU;2z>tctbak_Ido9RSGK|*UPS_`!1*RM`4?!qkB&xO^p=- z;!UI!r78>IhUZJG;sK=Vq#sl_7GCqMQ%=ycrYg6r6~_zey1JW=tMdS%5RyHidax*G zjPGHUv5Hn7z!)Af)9}d)vt@T?+B2z5XaZ&8{qgbl*f@%xc=|p2be!~6q{6|YCuL?6 z!9x^b#W?B0++tavpNhsjh@Zt#?cR~81VPdM0z1cWcwO(aJ9?pPEMEe~A0y(gkGl#4 zCg*iUfvXlUoef5y8dm`J2vE_hv##(ShMpSv2>Yk-Bk`yX{{m&N9&`&v)o?o zC-TKA`l!I2?!E7mFw78-F~Vv%RUl-sPBgy9b#@*ZxbTQNqf`di#oK!4#*k4*Z|eju zJKB8gxvJ>RYFC|U z58XNCJ*N>nicAz>b0{C8<;W^ePkD5&QYday_Du;Lf@;$;YzuJZHpV@T(jMUSdh8F#Bu*LK%!BxN z$C7t*5`jh~)noX1Rn5XNf+bgD;<6(Ps6S`WGfK`by9)R7<7u(JKN^(mu`fM%D~^}Q zvnG^v8?I4*-`L0Neo%RQQQ~4gUf~t4&;fR>^t~#{FuE~7*t#hm!*Oaf8M7GKG%>u9 z2tSws@sm0&8}Ck7-vtF<7ekEm{H~au=njUJ!ZwSiXo`C%=Jd`L+5b~DF*1)%SBZ^T=MRLLo*-t5Y?YC`uz3d7LcM?GEW#Ql9TnV`c0 zBnq>-_7_BoX84%wp!(#WJGHrDUkUKeuqal1q8{4-%A`b*s!P>2-*Ktg&>{BR2es>7 z9(#ceQ~LuemEofoiMFm7L=h?iq)qDxQAB4sVT#)KKHXousUB)k$=*3Uqtlvc0;ulN z>wdObk8yG;jDM4}0iQZBVbPVY_45v6$vTWCQqo%8Brqv;9vbUIo7 z75&-{===PR=jydN?eKYR61^xMDbaoerB3ti&FuV?wPfzL&xevp2aG4rg-zh~`-4vp z5>aZcc8v=R6hAa?I?DpdNHo-MRfsJHS|O%t1Yx5YjJi|ee&m4nUyE-QBp+I!ZN zc?Fq}H{aT1y&O4z^$@cRBGXCA5p?9UxSK!H`P{-(<>e5`G!*btVdOmNvX&IJ)t^5`M z!3movXx<~x(+sbImvZoMKvC2=`+zff*Z56oW2zWMR;BwUC@EOFSu%vpsc_ND%Cy^0 zFaML-Fx~iAo0im>n;mLDh6wq4NU;!_g+mBUa4H@>>aFQqvh;g-7mt&3O0ch6@Ehil z=jFc;vihz}L%gI4!uu{(T`(0~HTL4Q)Tz0=%$Z2%xK>UJgVir23asgVg^bXqVmgJ^ zpGUW=wxin$%PJR9_;!H+F&+AVMp2zeFzX#ZD_M?X(E) zE)|2AL1?pYU%m$5LrPrmfWEE&YvVIP~O#lw@mn5Ny+}7 zO3GEO;R>=Qq}3<7Ll~{{J4jgED&G_0MJVS0dgxji*1=rfpC$?GDAIAC<7IB^@e&S} zg=32B4y2X0CrLhc;E&{PuEY44*sG42J`4l9nRM)u{bMS`m>j9A1&a5LXpmo3`=Gy9 zh+26HfeWc^716RTI43#O#cR0sw?{HH<%Y?m~$GUz$5a7*t>Pm&-)>a-X*0c+s_~sld|Gj&b^XtjCm=2~5|ar2GzIP`TD;+$>`lRD zwfF*zzC-n>u!Buoa1QGfa%XqI6cnQQgXxxcp2#!8l3_bUJo5FItP*Ea{PgncRGm0S zL#2K#UAF@$vZ-6n4*?LMl&?mA&fnQC9I?^D*t7$QIIpfG&0v~Z9Q1nU=|}@<*45xf z0bQ0I`;L*~bCm#7y_Q5v8$L>DaYC2Q=zUGLe4U}ghlzpAqoz*iN3n?8*<=s;3wEe> zEgyM(Do3`Tx?$;VjVCv&-_x{iNE7PLl;#=1b;EFkLOgg(&m z{yvn6g`VjxEyJ}yQ~~9RXQ!%&?g-926%JLP4{08C7~ddAe59odt@<>0nOGt%+@7ei z@7|D7BS5k$MnGY2#+(q+afTIB($9(HIvn+A)8L;Px7BKM9|uU00sA)eH+?U@}o;PuOqJ6OaTt{%B9 zNa_=)BXsy9)2Pqty?bQ#C#N~ZCvI?^A8i+K{f*cFvE_$*dtq)S6OLvwCdBnC0@F*% zO^?zDK||uZ@@kEw?9ggUyU4p%JY_n|{Yqws^vkrw5Cd=k}p=7oZ9{-%@Qb@A7`#`oX%bQ!LGp)ZAf1;-F*%HoBSo(Mu-$=s+R?h<(=VZ#_1nHnRfSoMi__|rU zU!umXzehMdgS1IP))E^kNRD^xXhXB?>1`}M=(~>a;e0YSeX(#LbS<#%TDdFDYlw8BK z%G@80a3q6UfZwv~s))if2-QZ|fy6GuGm%XcuK5ls5H)Pl1E1vx_M`~q~o&5keSoEIIC$h;cZ{`hYE83c%?<$Gudmv z`J>IpT%v=;C{N1b%M-N=@NZ@pJaqai&t4@R!SV{8p>-R{8RKMTujIyNRfes%MxYf5Mce;F#%%gwkajQVS z#CnzNX+2mD$5e;cKkb>Y)8rragsALE^cBelf97OFZ*?4+ifg9&Q(I32(x@%41^QuB zNB8{nhOyH^zUvaRoRt~Fy)dVz%ETTOmR*C$pIukXi;pqwa$MJQiWbTMGUVJ0;A89k z8Ig8Q?0mMO0%zRpvxso~Lv;O6K9#Dh*~19Nxp=-@dLH= zLzuE&)7a{FtQnIaieHplVc<`+0LW4IN$p<}6}=PcC!BqUI(vq%-=92``yxi~FImRr zo1oWWFeFOLz?%u>N`I#2Cq4<($~MqpM1AAN5Z~*$9zY;$dlR}EI|CB)}F%@xPI&zhy`ORoz47Vt^$WNLV|~~1>`^m zhmdsioPq(K4pzCpgog_a)yMcvq14KsE`5i4d%^1SKB4#v^#1L9Wc?pP41|IH0KMM> zKsfgQBE8sVDr}$-3_UC`v4x5Vf}Oh&WHye6z+nGJNXEhZFIY?frQ`#h+o_0wN+HyC zz^ZBrdj8!A(yHVhV*8plgXuS7awiONT+{p>r{^#n^`JcCvrQ( zJ}nHY1UD*b{c^7%hul;TCKz=E*UT$J#{{-w*HIz^=AJ1==3auLm;2| z1yJ>Lf^q!<-Zt@YW{0-Q)RJZToWA-BNa+3K)C8Ty~Wa(ghQ`H~_z0btSlPjN>?%t&XAiVZKUT7RWai0W3!l zz-OzBx#|@~K;q+CxmnL78g_y5=5P9_%TVfhyCu?AiqKmZ$lu*970}4H$6O)DD{%Q- zwV`o#n%GtGAcOuoqmY$N)a10$4{((wSLk=dcXS?4#`YW!DA&sWPC@dd)>`GITYy0t zwrI?qtfI_br5c!C{z713T1ENgBaF)4g4S_tBW`>e+EqCh^^vkdkqa;CRgmG5sgUIUIOIlY$o3U;xIL8ijm&ohQHYbC~9QajW11y zam<-OG_7-ot|-?3IAI^wtb!E7aheO8Q~OaEq}3WGQ1j1t%v+P$i}Jm?v3R<4_o#h1*o~61#@=Jt9{rqN!bNjeZ6Hj9YHZQ&)3Gn-VBVpXl#o;rb~wTv?F(^#{g~m4HGfY=e84vYkOFr$8M9sFCIT0fvNY5 z(fUs0SGJn#vY;wSkDZi{K$--C2ni=Y6{t%4Fc{OBZJ49_6ChE_XxqRP=u z5;)jvqL^i4b0DDto@IB*axP0T;p$A<>%?zJOyE5K$$2`dSw`1#L8p7T4DK-8sRCn- z{zD2=mK#hXDo~^l-3{ZfQ#f`9L4u}Ynx5Op++h>*HrfJ zNwbvkE2;eTswtbtWMvw4_PAe=Wx72h&+#+xY^kE=iW{Z20!O@6yZ26_F z+|iiO46$@mp&IV(6_U(lxcv`Yp#5)cG7A^azmoC)RxyI~GobI+-})I{AZiFTHZU`W z{3pSm<^LlR=lI794D=SEVg3Kpd2_LHfLyX%|DDnR&%RQV02kzG2!V`YpokMD1sYxm zpsffE0yrn|ryLD7Fj|R*3WD=LJR@kC^DjUEt^TGPKP%HTepUwITIBy0GjejWvv2~n zMQL~lSpGr-&~F$)KHtC1EFfqA>V4$o;sjQU(#R#O(v#u;RhV;vdRu;9#lpkG$iqX- z2`Yd5&s9Pg|451cm$c;k8v+jMm0p%WY=2VUM-fX6-GzFGun?_V*GP(Rjk-OTdaF=>B81jgNO;bAGjb9-+SQjxtFl_r&h3rLi*dViEgj6OPDua~({P znhj0>=KkHifo8x*`WT&ty~}wezgExr)z=2z)i3DQE>ocVZ%`qN(;?a|^-nj>o1lOT zG{3nIHhsxq0onJkG*}ez**5#!^>Aak_SiR=2y)pLP6i~9e(*Y5dkaxX){THHZM)koq z8a+$;As@VeK7$7}9p4*Z^ZeQ*=t?)Ww3-Yk*MBFcM1bf@z)GXY1(c8=>mx;`Tsu*` zXpEwK-@DZ%Ha^);04A9%Q5#S+-Q;SS>7?Ny1OUj|mjy4PHel!ix^Med_} z8FJQQvVH-RPLW6~J~5O?UXsq38o{KMN1~QZ>2n0-C>})#`3cOX_)Git6`0 zrM%a7{is2d>^;)j$X>h6Tbv~#%OT3CW*xU64l3J)R zR~XECOQY@D*MzECQ5UN~3e)X@N2AuW*#7j10wnNB?|7L9vI^1<7FdEZux9uaXfaQB zbth!5m&mP>mW3)O2g3q;FuxBJcZ7VWdWb?$<|!=J6NI13Vz{k z;rcKe0?GDa%4|7HSp*{$29)q9|M5s&D!}AT@u@Yv^3agZEjHv*&_fBajdn6rJ}KJO zukCUfiY4R0AGIkmNbXi*UZp#HqXFhp4X_Zk5b@7m$Bq{f#I~JO%aiDoHX?J$=D1l; zM!sz~0-Ypaz7=`AHEU!{wi~7xAt5+Dw3s%T)ke7_w9Ilms{v^* zI_4B8Nk!uB44PHB3`ldc>_*9}x(W=94T5`{G~LNsrmBQ?Qy<&*03*lOts(g z-pH}ur>*9mGQF%HL9GyYbyf88mtW?kyBBw@qlFuXKdwf{VnCj=<^jQ+#~IU;_{ z)+3M%>USXx4J(d`_WLzm6P3;B8x+V1kY2plJtf~s?h}=+;?k}D{83(WA(1xB25wE& z+|>8;(M++GC|Zit>SGu{UXMjAz!rhc(lXqAAIKz`sq+Ep!xMf_50Ob|zrq=sgu6vX zHO1HG<6UwZ=|L#efxY*xQ}dnzw{R5;63krjimn)?lrOOd211TXGya3`63!L9wmV9A}SK1dqd|taxWXg z&Hm`wYWR^Z<@^jF)WYug2VU|2TS~{w`7gZU{2xc&pT<0pBkxZ~-v9LCv2*^>Y>iR< zx%mHpEzaMdEmZu+ms?>FBD3?HM#h2R1u&7G4{V*Kyw zUn~W3;Q=?sXfZ+RYL+KjEFkhYEg=v?o0g~1bewhv6IiZ83-^wL3sn2pq(e&rI^KYm z?{z@SkS?A%;H^C=|3o9`TH!CAZI?lnu!pIKve@{;ZnsxoIg#iu8fRnJq7|HMHVSqLtK%=uRR?u4> zpwJ;Cl;Tkkf#_96b`~~b7EUgZX_1Q<6fDEY#SVH6%CBMhJ%$2h#Bg){&WHg$77x&o zjZP302?z?K;Ram?>iPLy2*?V$57dtXGCTf$4Z@;-@B3YN7(|W@N`ek3q(Fz@VNGz9 zqy~&ell?BD0TqwakG>IgY8aTQp^-NdBWxSoC-#gPW^{sz$Gb^2P2xtAwQBPSEJ@0C zls}QTG;4f*)}U8=dnLvseDn1e)I-?y)p)&F?mjEfp@)8Tq422|4V#J9G!<34phKJL z$#Mqbzx6=eU*VMx1DRG$tsbBblYTp)K*I9s76CVoOZK`EU#p`@n=8$d2502*LM`B}cKKEX7z;&JKz) zC`~mcZACO^X zxTi8RWI1Wdk{a`xOR<_B@O<-9?lLsf?XrC_%huQjX&bGZMm4B%39{@X6mCgQ0T9uA z-}uToHPbNjl-Jl&E2~o8#oSXs>?EpZ$S7y|ayyoz@w1DY+8I4qA7DA2Qj@UG)?hqS zka1EYH)E<-AqfgO1_iYki)=eGU%R)=*&ms1LM|DBVL3;GSKmwG{NO8;i{~QoFe@qe z=eX1Mo;_Kyrsuc?ahAkfcKP|L(_HOafB%jN^#8}!H;2ddc3sDAY}<{^ zrZF1Zw(UvM*tTukXp+WkY&N#hZ`vQ;=Y8JqzcbgY8~e51qRBXG(JZh-kdJ21aFa0=sFm{C~8 z6y^vUshfcDaL3lkyGHMOjR4#oK1p6bN{|uAf6DCr9IG}m!!XeJFkF+!sVD={q%oTo zUIvAh*JVbDoyxuIOx6deBB|1Te_XtlPKUl0X-q+@ScDom+~f`2C_?W%1lo$R17l5chnh{Aa=260 zU4kOFpYs+aPe+E%+`j?SG#w@L{_vSbuF8qmPWF7=Y3_JbUj@E_c-e0>gzq@5?YyJde4ykG9}P zjjZ|$DgT`A+ni>0-}?H?#r{YXkHDimKBlx9TWs@r>1o_6%w_cW^W^K(d|nh%qwAu~ z)sHCJUEZb9j&wp3S#-C3;{(vp?&b&u8DaC>Ftyr@5E2B+5T?pcQ4e+9J=_{HYq3!U zhoViD&s-!{0F$w|@NYJH$e%p=-;Y0fH2a(6BjDQaMtq@?jk0AnLF7i8S{uB7L0gR& zIjo44i625OkID$OI8<+}B3-La3|Y+2+5NOFR`j~MoYQehWD=byBN2{-zXeX>Jp>Kc z=b!ZzoPtkj=iu7~gPB_7heESx;nOU~3}3{}j_N@&8UU}p%rXM&Wz_&%DBd~h+neOA zOJ8t{y`O!h68PRd7mus>62TxoXE}-6cL$8{1|rAS58v~AECE*6W*(N-pVzZ_GNNY( z58iC75w?*Qcb|@_c|bYo-|mAeSJuA3Qfe3risGQ~f0ui5Dn8t1$lXlw)BTL=1{zW& zKYcC_8V0b(!u~EaAmyMG0P$Yp*>1Pl-}(R&hP8A0$ym65*W&c)_O?ImQy_8yIcqN^ zy)+#8Pb@>aI2_|fsCCNrcp^6Vln8F*Zqa3w$P2Y*>oN7RB~gWYH} z!YU;j`Sy=B;rFVEI1?hc#AF@(RgT5&c=xc)e02aUmx_baotx41ew!=E&KIFWlQyjwKn)JxaJYl*0--6IFJI|(ua*>+_NkKqlK7C* zhC5*AFl?R|$!-He%^KRu8H;02W?|ulQjX{sjD;PD)q6&Z+dyH&^5cF$sb1( zhMebPaXsgo80ed~+gLSHi?ABNySnhv3AYS)dQbXuw6qUA3v&|^ZYrn``y?jNWPk=^Tf7OAe%x~pY8>cpWW9ob6bc|*BlV%yQoO~Uq^2kk9_{r@-OBfnQKdO79>~?KZYmhaea^JL zy&gA^r<$Fp+pe_t^0NgS83{vYxcRxi4B^5*0UIwne#VN^|!PlI{0n~5Iz_ZWz%(}YDpfA{jxtCu4Z(9laYmD9u zNWyQmCBK1?_>neR54uflXeC)-i&EVyam}aFM{saeB4-c_Toq-fI}!6DOEL~nEU*fv zzjUNI2m%#W!ahbhMvLp$x-qM81a5}{5)-RJ$+&HrHbr(*7&V@7Wt&%Y;X|nH8`onY zEwN(pmMBkrnf$PJxcD`_WS<^)jT1In=k ze_3vfkiFwSpdI02ADxbeW=nQzaN-xn6Dc{2II_5IeWgIcp=||oAIAaL*wNgKXb$Ff z2nH0k#rk;8PgI=0R4qkmc4^f`6q{Cvf9!-5cLFP}V~I9SzSfH(=CC}}ZfIUq{3=(7 zGl!9~$FT9zHYipI92qH}TgGh)iq&O+5P6#13nYp|t602KKr3rTvmlsbsh&;1L{zqM ztn%@(2qJa~J|fc)8Serx)SJk#O_y2b3SFgVbjgD?=N{86ZQl#ScQI zs@p=`Yd1{AzfB3SpP~rxb}S6%+uiYzX}%vOEcAxl6o1$7$!MdxQX$qWdz)mw*rwo9 z{Bq$IeciIY{}B?C7OpE{D<^y1I)^k{@wmM7*4AIxTSa-kHFq9>?6B{8O!HVm@iRQ( z26S6AYf@RTF_I2F7-Ya$V5^X3*m+Mxo*wJOf6iu_iS?u!g0yCQU_A{rz0z&r5GL4E zKbZXEkslsqJZ0#;`YGj%Al<@1$*8f2!$=uluh()D*bI8agdqhrC4C)8D_Kn32!@&f zJAZ^>g_Eo^PrP)m3N_A^4pPt;Dx_3-O@hDrdJtrB@>D_puHcaI0v zFVRge{U$*k{S=YG zI!p-*M{h{p4h|DNo+>){sRma_-5NRZCF-uXJ_?1VE1xL7K>wPv%Hzlh+|e+ ziqX7=s}oFQR+QlO5icLp^11sHWIOjZ15?EbO>tGVVY7=CO4?>EDXU}0)EtBEYeVSb zSt$%uS<&vvm4tG!j=>-k*paO;oyEq<#M;kBu)3wldMvm#iJAl$z7Q>5z+k6@vS%dO zct$-`e!30={A@pCid^dwI7wbW(F%WFT?VI+MOhXgy*q1P`0mwfof#%;?Jwj=nU{9^?kZRUi2!9pJ(51{J(OU9NbXX)R~oL#Z)?8xTTqnrw}n(0{UF?3 z$sk4g{D4y>^%j5eMaf#VGq48a=jy8LM)1r$U6_om&0nBADP}2G$Mk4oY~24;l(2Gg z|4-L9_doLZK-TJS<0Uq(-<2%D;}z~d!b5QX8ZohP{;7zW#QZbpzm8Y9nN#{i=r~c> zxc~d?V~o`~x#3T9l6P&kG$y?v_JQ zI!q~WHg3-3SUNuX|1&=^D^P-#3s^7ne=3=|6Y}sFvHv<@VFw-+{5IZY2I|!Q)f@$tU6K;u{ywV#(q7CAtU$Vs8F&f-Eb3ulVFJ3{rfjEEP^D~X zU}1x^Gcl$3#{rK(fQnAw5L5sy=@_6e?O(RG=@-z%^h^!BzfTju9GScw4fk`K4D_xa z2Fj}qv6fGlbu{WI#tPC*ZxkxsdruDJXZfM`tl%?$pMIASc|4eAU_-KYu z{{;`wagFi>y_qCVSv~d0AnO4!%4wNqn*FLkp9*_MM+W~`X#W(J{s87QRJx_DavdwQ zD+~%WY9hy!9GJRoi*=iw;5{&Yl)gjP#kE~#9G#wO9=o#2z`ntuUOH&^8v>qh`udyXEM^->cPSZTjy+F z6dzRHEJ%}pT6q~kw{gqLv15u)MUf((o8$Kz&xnY(?VU;T_t6avtR}T zzf?2bP*B&@bBNq$klX!mWH2)J@`EKet7>>JNnPhbgVRFH>PLjzsAeR6HjOyo#B>_2h(U0Y>R?3-c&#vFswN#Dn34uN8*dS)Xk(PHoYE zJqo&70JfU&mT0lwf9>2fXotx@~+6Q}hvZ z-7eu&VG-Jwc*n!GGivdML&k2el@;cn_4IahRSR~wANVZ2*+u4D3g8a`{D9)3xV6GX z{bjH$iD4DZO<9hzQ{w@FLWih12wi@B`vVNusl@t=&k;tG+U8iIrvh=sAKteahBsP+ z<8JFX#7PWxe9dqydZ=zKqREFkS6+$?@9}%A??*WX-=S!TGeX=Ko#h{?=7ox1DJ&y? z4hwuly3yl|mR@D99In3rltOZnJdry0?2gXMVe=~t4Ig7$8v8J^X?uPRqvEQ^E>`#C zKU#LZ@iwg_yi+_*d9~5Vfwtg~txwsQX?C>LBsH(%dse+DeuenV9H;#NoLtvq}MWf?TAFiTSGz8#X<_<>?-d7fP@__k(w$dEBvjF z$@+^B-`+0A0gcy?cH@3shxTpi72@@-JeDNMqzN&CN<00YUjAD{ItdY1P0-FxOH5W| z*6PF*ZXknFabfR?_>x2gltF;zp@&2orrnA1^at9IpUIo=U9W*7x0-CH94pV@1!m4a zo1;rt(9ww$JW^ir0Y?O#{&E!&wUED_11XZ^l4ul~L(5?aUC#6uBn3Y5tvjsmS*phs(a8}*WmM{reK6xeW z(~*}+-ZXQ&!l2Ehh(Ei>PUjg3KOdJ;d9jp(9KA1P-?ezKw1Cc+UHAdU_Ymy*(5MJE^#PCkVHZ}3Em6^-E2<4nC6AKe z(2kPa#NlCNJgiF#?zMaEfe?9KUrfUl9;VtKE49@232;pgj|RHF2*ISBqjT=jR0Ek` zJ>vC+75-bhEB(Q6I|kFSg*Xem?0H9gl8MN4_<{CoJxy}4d-#F=ttzp5bO__lR2>g$ zNghY27JrqSD2);axM7jgr;j9|jiAD_l9B0>nnkcsR&V{y+4Hjmz2qc3+qu~F`lWf? z={O(wq5%e+j$-=E$y49eNy&(j&Bwo-vR~-K=bT1Q%p&c$LJ$Ut8LJvKX=n1^3D}89 z@2O%pSv-Q)V?)b!f8^*h-nbFUt>K zzCsnW;eQiZ0we`sGDm~kFRWTvAiMS>nmYn8hiGucVPTz`9j@25iyt()`F_O89{-$g zN=O;wr8L@bB-0kkEmt~_x)=_GS~o%B{jN=T5be%-sGgX_MaHT^?hU(9{*&FfWQxTK z22W_rSf{rVeP_sdEwaHB~pWQB? z?r}5QQlYJQ@_oO*0kdRXM!oW!S+EaEuT1XYJnI#3^f|;NQp*vkk2fziDTkyeUB08y zGN^34({z920q=wuIZ8cBpXw zIMiA;H?6NVNb?6}AFFJld+d~(?BRw03l=O>iUmid$g7hlnIDUFT-);NvN>86I;WM*ymPjVlo}?;T@uECB~ALt**#^GA-f8O#Sk3Frf)5ZasM* zhlSlG?w{4;;=hkeCY9BsjC8LWw$6>7;6Qz>-W3KKFslyPl6wG&w$Dm%*pkWufbw=g zWAhNkDZ_l1P&&Cz;uK->|n%7FPN3G6mLAyUt}Pfu1HMAnT^rWfYd;{;mOE+ zyaMIq^CO6x+WAZ^?OSa2$|Onz+|ZX4g7HcBpweALa(}lRr0UnhK4J7EG<+Cx#tWyd zW$N7_{Fa}u&MH?O8{17|9m;5?5Mn!#$O3C!9P}YI7eDVf?Fsf>R;QL1;cSP#N09TZXvh_!~I2{x(~g?uxwfL{eY zJ9v#r;#dN6DsH~v#SjLDQy|2&+)!JiPKh{2U(PSkYSQ}Dls;s7H2yyZUw^czxH$eT zT?g`ue|KU6vy{K>!PtN`XMbw!{%u_3{*#?dQ2&Mg-{}ePKl^{NzwAsY$lXBpS1=ct zYB2p>FPEH4=Z(SiPx(CSzs9UUaNal&ERaV>2rS#b!X)NO0b>97#Nq)H0@jwFd2|_2 z*i8R)j4}i1$A2~kBwABYy6G@e>Pmkv1*Aet>AK+m_;vga6lg3)m`g{J(q0CnM0IoN zxKd!s{%2;+6oq6Qv=+B=x@K7T-!c59+r^oJpGQZUqS*)>qmxI+ol@UO=L7f8U1DWU zi7f?Aso4aiZl_9tZ`U=^DS|S$Ks3|sK&3>N(ZND6bFy%yq?G|t=v_e6QW+2hEEhyf zK}g1-O3Ce_(@LRlq=N-^7H~BJSEA|$g4`Sb0Y!Gx34<}Sx6E|YwS7ooXrhAyXXE5f z;cf!X*E$Z|lt&W~iabH*i}BBfl}b>afa zGXC-c%~$ldG|Kbc*uceF4P}U)PE6)&zW6F}=M@dkqY}_8#6edvnek_D4da_BnRMc1_&v+yaXT(?E88?TH*Yf3b{EMKw{LClaj0H zX@XW!#KuvS(*07SSDXV#oE4!-^0#}Gfc&NL7%YIZyg%=$DiroAKG~)r{k30%fTg3M(29m+V44Q+q0l8OhR<{r_u-aeT zPMmK9X)jkEJKWPXvTH4S0jyJl9&%5@v-z5c%UhvEoqx-t=;)@`g$^})AgN%EMU^@R zec1qj5kr(g!W$zw6B#H>dgrTplZKw=&J?#AqJrCeWc3%52~H=@9c6Q6S`8kikrm|V z#9)1ka|>_A@7pVq@O08BNd1~kNVUba;+K{zYsh*dsk(g}^drV96$$4;aTOI?35!G? z!F=n<8nu?6sP~F1ZFS{}@X&O^36oOM-yafCor>|Jm(4GvnSKgwAmBz0$3htFXH#qo z)11a8%8VVb-a~Wq&<9y2v2dm7m+$!B;P9t?)sU;ZsT1Er#9kDQB!;5%5-k z?MN}39ET7=2r))j<+dl}nDE)`LnLmX_YHI9*{7E+$2RdLpp z4ziQB@H%?4kMtAewfYHLcX+8td<=Vlx^xXF4UxGu0$Qz}0EZ$DIhCN;w;qN4No6yK zj$-K*q!U)P5A7vl;oz3HHaEf-bbfAscj6#*Ip1ErIo^DELny z%)44t-S&4oP-J~gyfI+QNo zFs#CM^w}^lt`fN>^bm>JvUO3jDv6^PvBm@xm=4!Iv-zQ!y`eXTb`9UbzLMR>m5+(? z-}X!;T)|~lz;G1Y=f*l}WyZokLp`Bum*e=@GkJ3DQB>X?)B20^4LEEJd08_R_Cb8=J z><~+z58QQ4+iX0%77xFZ!qBw^YIo5D)T3|`b{xn0oD8Aeo~((4=I1si-L`-N$lUGt z5lo_pgLaf$m}Q4`B4=I;+Clem33PP~BT46?O_tBUIjO>5Mk+aSAo?#kK_r65%mX&7 z{pR}HrkQY^u|v``IQ)|P0mKK$pflzVQ9J{Ydnu8+OI>_@$E#`*}%;2 zp$R9U4Mx^E7*j)-@qwM;hkz&9(Nkr}cLuOYxwVKNUAs1PQtcZl@g@Fvu!=y@?to}v zA37TNHa$>aES(u00+?|*Ef>D8()ysygDhqjzl%BcnoPxoEQx2)%PnfAGgVJpvliH* z>dE%2y(9C5ooXx>Kci%rM!kKq_rb<@N)OkjEMcLoHf6rGQse z5%s=n>}_2Z67cN%kv#w}c?+nfL?jScMwm@82Gd>Z41LOmbgEVVbR*WAfniJ0`=R-% zLfGdUAvDEfIoHJf(uRHv^vx0FN&kp(W~QNVH_n{p>g*786D zfl?7#D(maz$AA}M4kvnA4kAWcjuz2DyKut~-6mKVCET>lrMlF#96rjKdo!h68~7L* z@*mGpXf|q#$TYl%o6&pkr0rKTjNT0LH?|e(v47$*#N1uqtGKn)JfE+s`4AtklMjD< z7~cE|wC+K?hCjCjIdama^?-5 z48($rcP2oWk zmWs7pbZ)uY+c55aFz%ZFDIsmcw6&$I&gA2See*~*3%$C8Eb>s*v;Y+cMl7ja!jQOL zUYU^5h$w$_Kzii_v|<1F_m59g)`akeg*KsUd(ePiS0yyE4T`4wQDemBdQoMy;A^-k z4W%@koDO1lPD2r2PGK@}sdH$ThXQull3~@SQO@W(za(dASRJBcHsbIINUqLYqxZ0u zW|r%=#yLYSDlMTA-Ep^sNigzW+(%+f#x=J0HM82-p@)(#ee4?JqrIs8>OwK4G_hjR zH3tUBkS4U2W*K+yVQ>dS#jQ0S2^bPP%ukOl{^hht)D=2Xn0mHfzdSkG3>P4cl-}aC zP;CYY#&~zT36SaeDAjEr9{3}ns(JRdx!xwgXR>)#w`E)Z@TKYd<*!DQAsvLz;Fpe5 zps&Zdac5$AVLmii`Y6+-3YOj~MN{xm@B^6uO!Uv#jqmIdC8z`%cWXZB0VnvFCl)*-bR2)t6b11dQH ziJBD>G2|8PaP72$Oo$scWS$DQW4xWF4@+(2vC!2@B{W(s6(41^I>>d??o=VksC3I| z!ZniWQu%_>FI7Pmu5csPX*YGUGBc%3L!Fiiv}l1jadZ-QptK(cNRJwEQ-_k&hDaV5 zwXtZs#j-W!M>Y?i`O0GY4FrTluIOq&`Hhy9lwIcKEe|tCdOVFuupMjW;0Jt*=Xd|i z0M3(5CqLE)f>E(;($RsvL0bhER#cQZjzR5y@6v!YxU3h*w)?dHzGC&|j{_vcDP(8- zWacQ3&V3)Cd2de;b{B;Z2H@zBUH7HBE7Z%#VClZx$W}Je;rE3n_s1T)fZvt^8mVoK zU~M9{zN@S{qTxj`rJ!S`FyC1;aVH;kTNX8w z$1d=UX|61_=2J}Cu&Mm29{x(J(J%y!ij7npZcF_Z%Bk&8rYHvOi&xxN|5G8vIw#9B zGu{$>h9U%GID*W%NeydBN)+SISseM8^ z{b~QYt@g3nm8-oAwjYvD?vm`&sGI>`3a{SavX)bEiluF0260(r8nEsPsbv(hsw=T)<&Kb?GZ-(47wZ z)B>^K^gW7fNOwXSna9f;#hmk=h4DlBC6F1jkM##?FamQy2Sedx~)oo;FVg0N9 zyS5Yz7W{X90lh=VMgK=T`EMzmKWq^P8#@CtkWu=-842g_YF~hP z+5YbsAY=8%=^Bmke+>f`$1-p-a}aX^sVU$d|33r&kXZ4=`1rtPE@15|F*_F<(7%I= zm<{Ly0$homn~ecTTLDQdN?EKhs9l}EaGzq(HkGID-v0rat7w-eBKp?Up$Movn?>7^3C zy{B2+DOw|Ue25|sr%moQ#3+lu9frTaetijmuW+}kI`Msf+eccNESN6WUCOZ?=DcX|ck?fEW=uJH zOd}pOMG)VcM0c77=!Wjx2cbw`KlPB!*r3Aqo|SxR`L&Ar*19>vT$@E?z8W7uMi4RF z6`a$8nT_fg99q;xUE*a>*l^I^MQ)-Hb#!b7s2$bM5t0|Lki5C^SvqP>53+g>?N7ZGE8#F{mz}XIZvhN%ACt2D( zK)KqxJok_9hk|T4&g8B7#+s-L6saMxedJem(PjZ}qj5K32c?uFfx3%Sxs^Os58>MM!CfBuHC~81>xD*b^pY z7ybp$*6q~kwqmGEZgd0jYsF$csORAL(J*)oWvKxAE{;b?um6kKZdb^M6v78lJG3sj zZ{NJ47N01>t}s@Z8Uq;l3GZF&55ZAKO1?vUd9=CaeV3_m4xS@NiFiMc1-X(18dWI& z^dTS^FmjfNGqTPLl^0$5!eu(&yV{u3CIasok8*-ZYdzfFWiZIInb5wcOcWD$5;yrI z@PjHJKX_6yuF_5^a?)ETq5^?730HZP_*}{L+l~~Pf;Qu9+_&(FIVYG`2lQZjR1b9C zaPgh%(r5pu?XO4-6iF>NH__#h$J2mDy&#^>&Z|xq3uwr@r7~7Re_^1j+oB|+A@(z9 z<+x%`yBslSy+NMGgt~v z(#pV~L`5cRPh60gF0GDmu0Ha!clmLTx7}qf0}Cq9I`82iXf>`~d4d<>4eJ6RQ=qa; z$h`Q4khI%IW@B4dy=b|>U=?_UuA4;D8%8aCXXY=sm=bI0J-4gw4-_l$1aUff6Q0#i zruL^Ij*J|Hcg)qqaKWHup4r`<(5}rjXQEBJqJWwn+7hSO)=%vBsu?s1h?QcBEKQvt zvMwfslr2+rMF5Qy)J=Q6G9Lnz7B7AuZ`+W($=;S<|G=P?;7w(*V+^H%95c!{BcQB) ziaza#Xw(u^@`?H5tvWW58&o^<*$YD??^^w$W>Yf!9G)F4c66qNExyt7C@L7%DPlWJ$J|oO2D4&p+CGblzH@lY8*swUyhVR;M4^hGcOud2#2K67G zD2MZ0Zxd!hhU&luD|9LBghaWI-k6O=u0NSZLs7Dz(7ocHK%385qmPlibFP4hZ;dmE z)Jk$k>d&bNUK%!bvRG;@HsYIytT&@t^m#ns4vo1;MErmm^1`6_V031mInR;WOp0R; zPUM*>9^{WB#i9ovlyUUmhsuJb^2x#a$4O6~3q^xU zTQ>{kPNlAU|-Hu3abGfG~qu=KBa_N=+4pK>^J0R(9yO%|CabL>xA z23sf}{MN?zR9T$K4%cyx+;;K%+Q(C zc>o3Ey9NuaHdf&ztvi!ACqq1(@qfIaykW#_Ecsx%j-h$h0K{t&2M=Z{@^3L?1eRPJ z#UaT#Hb(Id#U&yiLa^^LNZs9z`sMH$U(Usj8ss&z2T!^)JSQGvn{X1^-kJ*$HsA>w z66I3fe2QK<0D2h9q!SX0*51a%0&KzD58W+;lbks_J4Rp?CAZ1p<~B0PoLfQ=Wu*!<*ZHD?_8jd-60BUM}-+&X~4G7leD-%u9AdPCd9%}O^8s_u7 zT~|Br;FQV%Z1D_W}$*x4eF0m zq}^A+Ro>6Hs+rz3p38@7qh>cxDsde@yt@g?$65Z2_~_l;dX&~F1~{7geOJ8>FM%J} z(?dD+`u83>%8GG@zfk9k8JAu9-e}pAfG)P@N3r9%Sk3HVxyi-FQT>}Um6^6AJK#V4L{CM_*%WYwx@GX zZNT(zv|H~kbhqnG*voTM{fePqJ9Re&RIQrRQ)04;X&xKp7~W+vbMLk%!av}zMt)Kn zHq4)N(CyH{u~kiqrHtx3L4ntgW_Nb251W6_`M+T5N+x2`e_+_)Yw=`%PX*Zh4-gu- zIWTnHzd|?i+qV3@Anxzo85#L${<|QIs>%Id3*vx>o-ltRyVH9`3yH}09fF!}Im^5J~}_@G?@AGDbk??!eN(~`e# zmcaWnuODui98g4(@Z#<&seZ}ZTP4wUZx_0_d|cp9d>_0!4{qP}0IolVITdq)=dbxT zj&`kp&%h(_8K5?^L+%_sjbCTtPK$aFwfB>0u`XjPe?x11218=G02wp->Q|Gcu#Uv} z<%l!41tLBDjySjC96;nX+@l&v@E7ql$lTf&XEclw8$Hx_J+Q5Cw zOOnIqPZ@nSq&$g^g?{yW9PfC)AW{_Lf}7OlCQk2&+iMBx`HgJab?v6>8C4;oxrLn z&k}aMseB|oHkDLu7sCQXlc2#&T31W13w6!((Nzdx8d-w6Xywc*3rnvX)ie5alQ@lk zUqiKU1a$#ur%Zpp_>`-OA8d?6M^na!UWyq);xQx@PKyLlK4>73Ru`W?njp-MD1025 zgszf3>Amb(Gm6Kes;KNCd~lQFrIJ0NE~&7;JnlB=B@{ z%T%w2MPJUB<}rAso0RfGucWYlG~Pk-P~N!)c%ToboiZ-@{7}}p7SS64+|Gtrz0$tP zX0E29N4g2&?ncG6V@)<)IbWQIfNjm_SXV9z4e-`6f$#)tZ^`J;1bk(0l#(Jd7A z)5gy_e_y-H{@%}7XBI7E#)Gz^2l&|9Y9~LDRk@5IdZ{<6F6(NI-yH|*{)8tmRh&KCr>JlzZj#nB$3DIN zc*6b4UNdb6_tDr0m5|LSE)W21&@vDxXF5DzPgXSArErYDAMmWqDjOqautG3(xCf1+ zs_D@DAg;GKsyu5&q48FzI*V&N0~Z-UQS8Z@r4R$al?l=t?;l9iAffrS8cZ*0OT8+m z(41F%?K+Av9QnCtQ(+-5WAW(M5YI;Gn*a4A!H+PUh!Xqm)b@z|;Zs1b`unGSeHx4; zeoB*q)6A8imyqe;C0RbR$~iMtTFZj;$%|E&UYQAcTty*}?FDmXW2Uc1&>~-vxH;HN z!k=ptnVuEdb-?>*DpaQ1VR5R`0cZHbnQGcMYDPk-RJ6Krob8$+7DN$h>D3^L7#2KE z6kAjQ<%<^Wt~0`1p)CL|(};>02ndQQ&0`ApPoN3rZ)7NhR}ozURV69Ki%_dZ!I{L& z5%=gf!Tezs)l5jZ4}h^ids;M?=&TI8=xi;s6%jq%p!rXVAJ6ODAPXSF=j{ zH4jled^kr)&H8>9rdoDgb!JL+qXyNZF~$2@Xz%>SG^5(1(F3g6?4lEBUVWBk9w&yU z?B61EM6mX9qMpEBhbT6qujaQPWeWyx-A%0EA*)fg#}5PEmYv z72=E?)K^UyH=ZHTuOB%H8H}KhsHb1OCXuFg#uI2yr}Y`^p{_3#)Ki~p0|@q|#8t8n zarC^oKe1MueL>PQvuYRnvS44PMUmLl|F+Ds(J`@|sPpVdw{Ub_do=9BnE-*)uc8;%6P_~x@rR>z=mm|I4+|Bo=g5maZFe<# zzBb)&XZvp%bc6FexEVj(-AvgFv_6P0WwXn)Y(QmUpAM&TY5|7bYYSS4ym|4MF_Pan z*saL??4&eOtgkgFQ#&k@fWlYv&pA1Ludl(dLo2#4&>nVvj~o32MsN1R%H*%eg2NIm zgdQN303_#^o-c(&&8zN_T2qJ)>xltIe3;Q*ABZkKTFZQgBrSd?wb^w1SUI!!X41%* z>d@&vrIVIvdk(19?%QL9gAm2V8hgsFc%f7^4rpq3OUkjt)~eky7kmu5G8{88%o9P)KO2!8m{r(+0pI#HWu|C}wsKsecq5c`;&N z*3DP0@{qW9N{$8Je`{mUH_Y;09GL&8XiRz3&#)<;l2o-cubvjV%=+PmUTdaJxKXN~ z;)i77r^rs^uAIQ3hzK;o?1isf6x;qjx0PFd`_{2i*M!&EyqzVaME<>_Fa1`xpcro? zP?j`H(n5f&hloZ-Hmh-OrJ=H1SK@@2%Ii3}8rcyqjw`}y!@0q4lnU16mocRbrt{98 zNE;9vF593W=-C~n>mENt{q*u1JEh&g+kui{u!9V$*L=CF9T+>v*-lrxh9DxP=ioo2 z{G37H)WqR@!uehYdX;;I?p~2kRB#A!U5Ty~;&lL#BJ%xG4xj>&j5|12XGZJCZf)35 z63bG~t*D9n{O$!zAn8H&d|OV;K5 zsKGk}pJ2p1(R=U4#>|CC?FVx@L^R>v#LDRh z>vskar7nQ(X3a*UevWr_cHbaByFn_;tz60dniNZa(W3?T!pxU`oHCg8X&t=OWvjJ) z5TFO+hpYkzGA-n-wJLCLdo+XH$kwYFEcAnkctvof{T07=6q9HL!rsHK2gT+JMShPG z(N=*r=(9sFh7%GuovLASGuN)@9mh59@oiz_?!e%jerDefE4 zQ%Uk2+Fucs9`XyL(S7XrJVg(i2Nw%Oz9qR$L8BAs5-@j4k#^Z!AkXsx)Lu*=seB*$ z>fJ* zH@JHBq+Ry*+<$`y+ei5aO1(sSbo4BHIs-=k%wIdI2b2p(c_wdn^94t9ZNLt@Oh1=) z0~bRlBq*^$-(U{2oBwM^WtTMu%M~xzr%vB4WFM=(&Wa}yP(&4;{^ZgrI4u_DI{q3; zcafcwcS@K2{h!$WGqm*`yt}`5?JD@$*Mm@F$BpWBK?rbEFd7{%rs(RNSuO86aygj# zP0Qo3vuTL0IQ>dlt3N~6`-5f8B!_t7dB7}>dSD9@C;-{2{;`<;eS`lxm^0ElKD&VI zA-Sb{^t}Ec0&&|M`S-K9nfKi7cT^nrF=C}!`B&twt=`BwqNSU zwE;bx1ektSuOOs~LWj)Vb^cPJYZ+MZIkffq+=DDacQ`M-v4o?`ZYo3GuAlW8I*$Ga^e45mD}5(hj&cd5t@!zIudRBjC@o-7@Rt)d7_C`vbC@dGYf6r7$aH z856V1E(bDxM+JhZCx`(|Z~a@sp`%-d!9`99L#w`yoxHu)eSvH`Avws_4g*2HmVAzh zUeodf32ZC<#h(BpUXGl!ujBp|hPZ2LC1t6u8m^o+!UzoNAy46c5IZj zCFoD%=Yh*S-@RQ${Nh!^VJ;my6-08MF*mU>|6njsI-{Q<9@|6xQrDpyTw(E}mwT;+$yJW=PDthS*K0XRdj$6-iaHmSKpe)pB} zUZ^c4qqfjLZDakoSip^125l{vwI5txE!E!K4hdC6X-YOWSye2!cR|A_1PscooGbXH zn&qg&NHRuyaB^cvZAm3`zV{qlO@P$OJz#F#)DG@93O0Uo*93&RR7Sr1M5cax+tLbp z^Evr=<^*$S2snVKpLz?|&>bjZBGW=NfO2zC@l}Zw4e@){{m8{X=c#j@ZHB=%}2s`Sg`ExT1{t@Y5`xi(Z#5}?Y#ER^}u{pz4TGt1&QyPIv9 z{!_tM@2D>0Mmc)wDN05L3l3X(>@TLQJjrb7=~n(`Gw2M$;C=#%g&;A>62nC zYL;vn+@g{V%hs)GMW#mdH{tax;oq$JZX)tccQvR!R|O}enW3|1j%Sz5TXLx+ETfB) z!poRtD*)#0R}4|0GCaf-1~h;Kh{gd9#RSw~9|=Mx@ZEX)YA%V;1dO&aIs{aME!vyN z{9q-pFedw~-}p4dkY(!w9MOq`@UOzy5Mz7=h1YfG249b&N=02E3J132^qQ_C6j;pr2GN1oYf=N*OHp20;o9;OHL`N3!s zT2YV+2p(uX(W^n9WpN@{_QvuK#;>=}KtPkafh8uRv;!1rX*r6mVwTmdZ^h-Hig z!q@CM%(a%DCq6XjZYOWCcL#>|BlfTbSvTzK##ckqshJk*6p;;%=@HA~IjY|izdZU? z(NSBOh&#-6b7I@J(XdHl+mkkKjAr7-wrv}Y-Pmc^ z_?zDM^FHsp*7t9&nRVj&GwVE${n)piY=R8iMDQ>Uw|I-d@>*_9yCuv$>nh_oQ{+%- z$S%2f>tV?wh`33gE5}WJg&0)|E#JKy0=4L1`N$m2D1B+G`-XQD+WX`8>4#kx{cywX z>;M};u)UbxwU@aB`0~1u(CpoeR_p*YYMcL!2B95UgzVK9;LYZd-D6G59pW*I8*He@ z-vSYL>`%Lh1)yClRr7-xz_$x;6lHZ^vuh!(JU~jkM&1g4m=BOT_1tl)Y3nv2o0VJ{ zt*TM2YyF|vJCNCv;1$a{d&xTI5*30D@^W;)pj@!`;21p{a_=+S#r0!vrb^taPn~QfGGBu{s|541pTX_gKwK2umCnwC`8Zj)zuM{ZW8ERwpy~IsuDA2!JG=P2pRo>L@m#s#z}_i0bg5&vNft=L#(xy&rbV<% zd4hQdej8;Cd;1{_b2VS>8CH*z8(Is2lI$YnGXhI5U%GCzgPRC zU4$o6DMCz+?v#$2(qP3N?WB0$&JkX(I*WO7Gfhe=qRj_ zQMlTX@Hh5X946U>4ut;q?`@e)x*I=4y}Fh5{=j_gu~UX66nQEDLfO_J7Sv^{Lx4Sb zKa$K!=;Mwj{ixI2>*x`hAHM9Ga|I`1NsBXBGMd$nIjz)K!e%hq;SIb{hf$<-TI5D@ zGdMGF!qFhe5JVUciv{=6z>p@4QScS^KzrD8ZwDRtqkJ#E%UrxcJLQj$fvA=E=uJVy zW`QpC6Kh4W0PUO-xDfg|rkwS&1Wp6wNO8=%1=ZF@R`z0j82f zj{==Cc2K7V%McJd(t3-^rRLCogw@-+?-bxE-d zWa~!GAg&Atov{Z+oPrjsK9 zBh+YxTNef7;qeI$>NC#+Z@zb?Kr1rc)w=E`o>L#TeVwh)hDqtuD{) zl*h=;ne*x0dje+TZ#h$2+iIi0jJU< z0%aNpIgVZgg(`7J4R!wI4<6-q-kP?30)qEKGyK1+%4m^iU2oO0I!oNMll!5V2JRvP zlT3yB1Kij;tv0%`F8B{oEekSB*0(~MqaWU?yn(<^_u_1RC>DX{Jx?GE8}D*bn*Lde&5%%D&|w0CpA!2x^U_t8t|Ds z_7nli*aU*43((l#q)w@F?g&y}j<|urdcrr#|4vS}1Sopsx4PZaPOY=;mRuL-_iyJhQ%a zU+&e>U<^ zRof*Mjr4qOzddkfZhB8Jf>VS3S!NosL#!vxXZ*P^dwK+lL6rMEU?Z%;Tt|?#Gc(t0L7YVHK9d{9pTg5M4N`g&)#(=za#zZQW5PEuk!$8~hu!kY*OLs8|={nYx@x<1zB?7jn?{8R*{hM&}B)PPm z7op!bS3F8S-$4M$ABP2Vb#8BW?J2N3^lOVO=i?c6_}_Bjf6Y7&w|`E%)k>#R;C!y^ zslfDo;4Tc16%S<|9m=-Fu0kxF1QPhF7M8ADY7%QX+>p(zYdToo$6p4QRld~L3V*k> znidx>nL7|&tt(!AIm>m0*Z#Oa`|un#EAx{FC~{S0i(L;4$062sxfy1@DC6U9kGm=P z#`Hs_v3zynOa_!+=J56>)(F?isfpM>m*C^?Och9gqL+UZj8g7+3Q2qC zex*fR8+&k6{7DNn&&P!?9(tNFdaGTcPBq3)*Opao|?{h&qi&@BroL7z>sMG!@Qv1DPslCd!Q`<`EMKwx31`De3Ppb?5r z`xA2;Hf{U)U>tl*e1~iJW|2O8CT^enG_+O>I*Wr){B5#Rjy#r%Z0}dgJ4K$Tz|56o zyLd}upoI^-L~JW!rcBUqjY-`)i~!5fY=^oDK4E2UGKp=l2lHsFuOvp)v6z0hx0dqK zfCy`{kW$@lYDs3d1}QAb-KRR26n~W6zOHPh;o%BRrKyFCpI&KYW@;q+%>Danpux5|&H3u4W_eX|K&`H|HY1r*zDfOb~YCOb!t(NmU1$?uKKX>Zqn z<5iI&WcXs5dNghbt(OwK>jQ)EmF4r-?n%1v)7F;IIV`*|pTI(SdGAIp6p-@b4UP$5 zn4wTqsYXfRhu)on01+)_H@kvk9LLxK4)2NJIBMIzj9|hU0N$|d9dGTEfwaQJgBvcg zAW*aRmy29s1g43{02?7=SySF(Z^x*R`YYlgvM1dBsPPQTh1AmGePh8%Th~EZN?`0k zIjNmiyMMkS5#v(n|4wU$+)yYW zdDU+AOM`rPB)=YUJlNaj!{`o;m3!Jf(@zB9(QqL~_F|^730!95Fg(t$yS^lsZoCUc zc15@?*iA!W6kVZCeFBBNsm!<~TYnEdfZvRzeva%$#@f|P{0u=c(8G3g&6dc6z#^Cy zoC(9QGmbM>a$iD}TtF=6+=?i0lH<7JBn@dCpVeO5-yQRhLKS3|-1RJNd(F7r9yJQo zB3tshCU#QqlL>;N*xYb?i_GJ7>(1PrhVNLW5_W?`U?EOt$esvEgb%LX-iHsc)S0DH z>k3sMs!44=kC?p;_HspW&NTH4;95=hggbm)+T)V^72vZXX5RLoq?nOZY6>K`Po+U;L64e|W8r8ij^bv+WXn zy}>Y^r;Ix~+$K)sHQIh*ut3`sVrJV|9&Tj+)XAiRWPOf+{?sMoG>j>KG zDcTTqnb;5|1ZVVg?hw<@0T|ObN=aV)i3f^@**Z-4`y6k6FZ1KRzb$o!sxo)>ZVi^S z)miM3k;=Ed{sOjTxsa)AdHvt(@lbR^E(z&px@;9t+TL_wcFNUN>EpTKD*nW6b;4fR zj@E5ZvXy?j3D(bL#Ld^kb0q%HyB-&kzoWy8_JtOb$k$Gnbt_d2T7jtL-Jc#<+Pc4E zzXNPIU8R0m^lzwuCLr8mzaPUs zLJ$pS+%S2jm9I})(E*Fyz^* z(JsU*eAbaQtlD3(bB`bQ_1M1J-}R$!mu5m}|D%pFPYL-MpyAmrxQt6;=8&|!ZM20r zJ^H9MtmeS55tzM^j%Q^@WBphY8~S_`T5->f(W{%t>aeqC5dgGd)=P^w8;-9v%lC8* zOvEE$1|?e5Om1I?-xf|4j)H2 zS5rCE|LYjPqQ2Kf@NgXG2jyXSIR*qt{OMGW%hlL@{ilWfWIgZTGn% z(qL4iOOwe5z1dp2#yBr-7WZx&iki2g0f8a!a*jf)>o_DTsv$rA5@1pOxRb+EY=zS} zqhh?`tphsC(Hp6;n85JF)9HX7RM^slQQ0Mq?Paw9+5p)LhE9uTnoK`xq={7?&RCC_ zMxUdK1!oZQxHs)Wob*_=%n~QVKYpbzI`|-~tJK$Ipsih)7(SgI>da;uua0!h*8_6bgqLbg5p%>p6koYq{3Jlm?l8n)se@#?7hQi zJ&L%slEa3hgW`@HMl)s&fR;~M^rJB2swo`mwj8(%fa^c%Ki9wNKR3AC@mJO7{!c>Pe`#IZoP2)`43ppj z0w2f>7J!ZL-?W(>@Ipz^;V2*}H~=_v4xB@WPr(byfd_DdYH-P^L2BPWpn;&_0fczm ze;4~}IsZQ`3Ecm2Z_B~|9nA6H!5WbK%{TCX9fbc4uLHxl|7)mV|LZsS*WAFy&HaCj z-Ty7~fI(Z_{OtdKnFm~aF{1@YfgG>_Y#%teI9b4;GJakbZgz4muub7F)Qb(AWt=oi zPY2q;2Iv9}{{?bgRv(Gx@cdB5VuLw5yMP&GjtC+~`H`u|FNb)h_!jtWkg;yW@?oOt zvp5`-kI=>$t^UhNudnkV2)>j4&jSlkx_F6T{(Al}+@!>aRRrk4Y`YSMx7*jY!c2n< zD(4|31ySGv#U|tC$VL(pm>loW)-N2_)>=p z6s^SMDOB#o{5`%El(0D_EV5Qa@Og)*P>G$^1o`WS`7ZW44izR9FxflXOy_jrBeKMW zd5ZTBj^T!C;x)NGvST-jV>Ah9*Js59$BtO)*GHc`k(ny8QitTP$aj;k*<)2QX~j!2h9q|~+fX9fB76V6R% zQ(CaGkfXWF*h=n4PRQ!A8XOmCwuJFTtj=YP*A~Mb;s)#TDh4UN==l;h*(dI{ZDO=>L@(1c~HikEItIo#Oyv7wf8c!c|fg&A( z^hVq1Cj|<2P$3OVoJVy}sU1Jb9FT{eY!VhzhBLJiV(ydSg9Ic_G#} zUcd$-_z~KXz=IMCW7`C6tHKt22n?2I_y(6jqrVH2_UDDKBW9iFiJ|el(fsCaGAG)} z0|y`ZDkj%{!nUcB3+l^eHR-D$8*t6GE@gF)&br|>g9m}Reu~wH+Iu@(;G0V1xUL4Xj5qF+ zJ%23f(Gt~TQ!rFpLmRVVq}#$)%eu3=Wma9Ew$&#)6$k;qS#$v&luogkyTvj^4m(P? zNJ3_cG9Q26@5$+t^`BMNfk5|9ef}a`NMfMLdjtINRk${l{g3Kv2{(PFkc*xJZT+a! zmdML#W}0VS`?*b!ZW%g6kOzAc4Ngb?RJ#*$2F z5bdnXWRx6T(lEfuU4wQ;c=m0mIRJpvHz+2+^T{1+K(>}O5|;g_Wd<4ZZElFW>~ZVQ z%NVjS_F(ckbdY0F1JhKW;n5n|c#66ix4t*(z`}g5HAUL^2)m#hN^q~ZxHWRA=T{Fl z3|?*YAogKn!Bk_XMN{CI>x!H(;{Kr!wk&T!WIEL!{h#@C4|6TfTvF=5m@?Y1VWGKp z;sqm{F929C0udf(@AC`+?JKlAiGD6wGngAckW5A!S{{0Yqht6{lvrZ?+Bu19ccfrZ z&YEZ2#@Vx}>r4_gAC&QGI8kmbsO{abrnZ%P!xFaS z6(8;{bZBkoh7Ezf{oud!3f;^C%ehN--|X+j6%7|CF7L$s9Zrne9u=7+6^j>oxlw*e zqWtNTPQL=0o|~lVo~Wg9>pMPgJecux;FNJ<=Y2Qs9N$en<|8gxN<@WQ9Ja8r(`o!H z`Kx%`a&XwCy8&m=_#2;yTA!RY18KI+ra~NbnZkV9eb6&d_?n{h;1k<9c0Wzbal$Xo z0Q!Jvk{H%TX~&7x#@g~(?nyl_78t+BIlY*nD>=c7ch&6W_Nk@Y+cZoPJ`;;KPUrm1@jE8_IGAg^Bl~jZ5o2fML74W=EmXzIM1$ZRx;x8{ zK1lS?!vab!OumqwdU02b78j@Vvf3p5n)0gP{PGV#_&N|VOmAP^2TJ0tP9EGQR?5~v zxSc#-Ciwt9QzwA+Nj3el72J)Wm2v5$eu$Y7Z+%?7O1{Yxq3FEly$r-X9BaQ&X(_XR z40V?_kyNiaRmA#ao6B zerb$zD7n(MO?f&Fs1gNi@nY2ow$Egu$E%EP2k9|0-A8=rX{hSN84y2i=A^UMsbWUoY_fMF4^*W|{s`f(MwXXd z08th@Z`4?WyS$=8_+apmD%32Sag^;Fvo?P-PA#w6^*EbOAno|Wt`YY%^*VxsMr zoIgJ3-&U@sqXud--1hc#4mR2x&YWWwFErW!p?<&bbBiz8joI<^#XzckHVBGPKl3S< zF=WpDeQ`giXh+3Im-wOCWBg#n$+s?s&OfIaq3l zyLv!p{T%$O#%SP|-h@i@p+>uU#nU{ez~vkvBnW_yudlOMvb|A{EYY4qj%Bii~uo;qk$B%n%`k3r2h6ovzo7%S?e{M!jis zI5F`d$sQN3ATU!&gi)yGR%_Iog5KfXP(e55G7XjEc?wtW6Pc{Tk?@uo3edJr($c<~ z20q7TKIsvn_;8hXyejsP%5p9M&P&6ug$~JQ2{SHjl6a2gMMTk&TSVhAI30igxRVp{ zz^mv{rSyx1R2$CTEkW>%X>l7BFNt8j_tYmzphd9TSzL)X_X-v|wT~72K38AU#;5s} z-=aAq7OXJFQ4|}vse9&yj6nEz#wo!q1SKVrfb8dXwo6eMT48pHD}L<$H+0$1=`vEB zxfd&?4icfnMCW)m@9g&NuWvs`G0yVeW52-o(f099bM1{_pO;}x--WQ;w=N4Fh)Lfy zOhH$5hOGE=Z5Cl9ll3RE%lz69X8P*!Y(ro`^{eSvk5VKlRz&dJLkajbX=6@z(Cupg z6>@xN9IAkEIM!)T&Xoc6UC43KXzhOoDVeF z7P1N3@aHT(<8pETDW|S$G8`a=vW(RdeD+*X{0;b2N%2U~-6?PW;QZtOU%V*M#^R=; z09)33ivh?_9_cZ3gq8pjx_t1dqFb0#2$Lh7>*nPog<9PZva{HMD#?7}f}Ix_djPiFqE#!_QMk(A$CHIYBaa_Iv%LyJSkR{n1$_ze%&NmqpqXrn$;bM1IM=y<3j5-Uyqw7 z-V-Op9(*IKU0L%Jx_x$)vhvV$^3Hp~d{S5E69AV&)b_H?g7)@3S}C zKzTErQsNOhXY#4;O{M;>hv7ovPbw6a{8_2nB=JIp9EgTB1Ru}!Oh<`z=E?L+Y-4bq zg6^6%p7_;NnHV5tU_P@l?q%c>^$sJKVp@WyFZJ?MU|p~iwH#fKaK$pjx$&&<$|AplQUzIM2>0(4+J6tZ8ol1&Uw7Jmb814-(S%|$h@@w zG;VPJH`11qkNbbi4qyoOzqfyI+2Ma8ZU5^`0Ef~4EiZufXu!^aC;W z0R|3mfRt$gWJ#S=R3F&+z}I>navnZ#mKT`Z2Id}s6K%^Y{#)ZEOG`sE`)$ z4;&mD%n|s<$l0yrF3+>R6sAON$v_gYZ99;&a%2&7dNq2QpkL>a{# z#o-fxmz57dH4spu@L^kV_c_u zm0D~ZtAggpw*}5mkP}OA{{XuoedL1v#P@!p<%*gNxxx;(K-^HTfUpLZ9SvGCoV+s|?p$;L_Hojt4EC}Wcd=yaSyx}l9kna#<=@^i+=mjzf}*~GjF znUFuI;tosHdbXc9hc!4)1LtlcERDIweuI-wrvjzQI!JWK2w`HQl##)KUK~V74 zWuz8Avo{*2^i<&SHR>vT&>~l=^=#K#Oba(qM43W~tXy0SL$M088aT>l%EqfJolpKS zfX0Bn)~QxxVyd-nw)@#t1vYCNG)sd9?+jO;5%_+1d%8Kt!}49KIDU`~eZd@dnE)e@ zy@uyINZp%#w#=G>EW(kCCti}{vvn(ZDj5^Gx@a-aeVCJ7mIC}A9uyI{?+|Rkc1J3C zHG@9KaRGy0lUedNn1C-I<0ZWy+{q&ZmAsv|ZzphE2>J#Nw716AIYR|&_Y;|mCyw$ms{M$gHXoMYuy|t= zLW<(eijf=b>oB2M$0X^yiVX5!@lw!r-32KnKp6tf%bdPNs)rgMEe{1>?y5kw-NEXT zt7vL<~f;b`)85KboG1BhLtLO$v4tm}*#L%{)s8@vo?o;>P%R zOMuSeJ0D;MqfxLl8+CN`%I6x88HJ?fY7w4@A#xft<+!C#5>)GppCrzAhWrJ^DKj0qp87+b`b{3 z!?3Mr;|--wEliRW!hor+kfI79dM;z;&QI@!bNZ~fOZ*RInOb`xwuioKp@Xx(u}Qln zmcuDc%ofYB06m}bqZg{wGR}%RPQ0>nl37xQ|;M ztJgciK7v%+#OxIBaYpg*y}^TuBg@-!GH$x%Y>^bf4VH6hT%kGR2XV;Nv+@Tee+oJY zPeOj)-k&U)g>l##jvwEG&@!sqvz0rX)OO8NW)nt`hcR3vpTru?MN~#r(f-J>{;=hn zm%uD0b#%Ubuik#W)V`wrp*#0U7}#458FbJ=Y~;6{ZxN*2LnLCi11;YqU@OG=7+!Yf z{Ej#At3R6mF!=$O`RR-A-hRxt3z;?pMk5$KX<|^P!k;Ht_6Ebvi5c4hc1h#o$`eA8 zi^luphp-Mbs{02mQnrTLqMZJ1S8{k9>a~>15J-!GXK2`sIh)7A<5L-ySK#uw$)1Y+ z0gIIa>y%3Qwur2W(^$+pS4ZyGl+~^FnHd&A4rJkYhi<+xn*84W`pY2fYNzA~!S?g= zbl-Hr;O7E~ucm^NwhsXev)J?GE~Tq$^5MoReO{@H&~tXmdS=+e5)vS3@6Vh~OOjQlVJ->9uQi zv|4RzD__}at_U~Bb8CohntHd``xGijp`jDy+T!#%{_eAleQ~GFriLZ;qg15vmDs7d z$8FDvMb?{}j2O?3LYFS7osQ5U^aj&|5=*6mLrk8&l6!HUAWgQ^6yS}ZS*_#y*GI0; z{jA)%+yWtuNz0rRy~mRj*vw_D=OZ7xtP#DXf6ozBmfm3K7a|;r?z+a#%vF&edy-B^ z)Q8~y0%Nj|ZduAOes}iz49KkwT@8h+M&%_=``#b6N-R{EsP^gO`)*zX$SvQjz~^b>P!F z&tKgREDC}XgG2cN+qm5SJd%^MN;)~Ze|B_tBj@Jf1j$GO_@H=rKtYlKdMF-VP?;ov zACl*9Y7Pin3Lp*s>Yqkr9*`L|D>mp_0KfoTFdB^oG}mrC=>A0KBMT>n+=bF!*nrzq zsexdHsXVjtbNSq}`RBnTaWp~*1*_1V%SViAlACKdP{>&1b9&X*l)!w|HzxZ`ohCLqgyu071w(v|o^xcUVwHKXM z-`qVn{{RoT9AAsOp{Mo;+ag-X90iu}piN?IrV9AgN@ClX3e8Wi%JGn+@6zGcD4g zbr=2k`0E0an%ofhu|+VD6#F6kS<~05%dz@6eedf>U)~Nclfb+Ny}fuOSvjDOO>vb= z@Q)!g6XWd_F`1W}1Z~VooASDTS`+phwgNKO1!2|@nE}uARq{bcr1$eVc9AYLzsLGq zaVJ#%7(NtXr;13!;OBtZ>>T4IhnriV>99b5tU*DPQ`+!aLIO@rCQpF~k&R^~ z^(w2$?@!SV-9m*s;7JbaCQ~a!NM1a{5x{m^*~AW zVb_+b5=wqLj^=K^6=7D9kcpk4VR6>QD9@NQ_8;`c8UGa&s_{ zPMO)Sh!Ad*MKwz}rJ1h<=tL4;({5jE7D^aGm$be0M~(@vKkkiw^$lh~5i1bO@Kww| zv zdSFX`mdK3|-An=6DbZY!mJe;LmL9ilf922kLS!3(5e#z-vyBL=H0~BFmr*q<7&|lk z@l!I9VbrBI<^UH}L>02Jdo>z9MTl42=qJlx36f|M2#W@h!4biu+mr|6Vn;JdMg)gF zW4xOFJ@MLwhsvb~@)pDXeAE^N5RrvKX#>V6J};ifZHfdyZ4Tq^Dt0EsBWSmYmzjGe z$d$!?sXq#KLp`)80a{N*p;==hfCRURNBYG1O5|4;Mg7EjhYX6Vd@>ol>SBVq+sEK< zxBQk2F4y6xs`6b^ygbgkGn|isYo5oC63TOXn_Rst@{4Qwxzp1ROgUp}Z>dSFXouk5 zse^pse)22ewfuB=2x;%82T8ZIifBhNl74Yt%>V;R4!k4nZW$j*cVb#NL(7H!x_8$}Y!KK?n5Mt2c<_ShbIJoj3eYd)JY$5T%cdd@*f>3ZoT7RGr;FoAu}xIXP=a@0(wEA&9S!Te zysJJrMoY|nNKnYypR>bRVzAh%Hlh%l*^K%jZgPdQBb=cndMY(|ZL3ZpsVJHPDzzSa&M;pL)+ftGaU+xf<%$@6A8t}Hl>B8&3BoKADR=3LEUhT8 zla$#ANJ+$yEoZrH@qm<>HjXBS*c*nxyp8CPo_CdqVw#Ho{Oc2Y$ z(D?Q9iWm74`glqTM?4@3UB~nR#hg_#O5|H7R8o9pppjKxh(~69=ng{;l&RHTWx8u| zW0KuOPAhL3?L>B=r;qEmM)$4(6*K{spX5W+K=y-}aw@voVQ~Y)%uLidvVO)?C4fdJ z&Li$0WReuB6r81uFDj8ynYzyEtVQw0?}W0;`IaY>>Ef_xE3UsWBV6T(?LV}MKC`IG z*LVU*?9-tX_F;rvOkcfRo-hZMAnwvfgbDA_Iod;@CVQHZz@ zae#5P%cq>*c%mjzk2C9U+oLSiP3bGX4b+2lEX#{M2PyKUapcMC_ck}`!zkJV2iOP{ zX?=u=DJY};LLpXhiwbGFYV`rOWSCWJ`_uwXI~rOOrKk4*Q*hJEb~@GWnz&z z=p(m?Z^;}|7?b(1gy`zanOsJ&&5f+l4mbG8W)^yuEbN%to;t`uh0#NSs0y_!38-I| zH1}n9USgtU@Ad)(h?Kb6HM5YBU@c8wo>@ZRz(JAWyWwYYa_4D=J7uBGsTL`s*CuK2 zX=0OebmM6Mq~^#LlO+%`J$EQ8rm^!&z8b3#bPMLcMB`~(S2BADA#X884~SRse()ku zF3~bawoZu5P^Y+%-jR|cIftk%0^TT)C67Q};_vdU_)YDNsnKrB>PcLn|mDGmPRrMa=0WR+I7Ebr>fW0-e8dg|ivj zj0sgaVs7qi^A71!ziW_oal(>O7`hBubV{L7&hS1xVo%aENls?K z@(_WyIp?1=A4LV`XMXjw0IJn(=;IM>c0FHuB?gAVKJ#$j` zgE`fYL8>$Q9BTDO?X$i#>hPpzrJu?<1HVMC;XUdAx1CBIb&<-XOlPeQD)*^N+ z@~D_sjqlf>rx_j@;DVD`@(T0W@Kla1m^%{(ZOvzsXOz6^b4yPteF|KUmXQkYZKrBK z;Ue?3_U@-Q8RUGH2a*@gL=uS+))TeRYgcwmcGbhJuI6Ubq= zwM%X7-AhiqE}#eO5J(@!eGYW47Lj5sVMP@qr{y<&LyEjR1>RUq?rPD(ky!?_&Sw)0 zTt=WOHO6K{U1GZSyizf^b#}W>gVcZRS&if+SoGHh`d1?SND5@^rBgaZVq7G-$itmlc(z{TT>Z&>4I%MYr$`Y&vv%UGQHHY zwWz}(jEYGvaku-}R&F(95@QOCWjDJ!JtvMnOeZ=xQZ;t!tQcN4L@5bBb+`5Ql$0Yq z?b>nHJQ)~z$BXxE%JwwwAMfjpPl|ghJ|5OVyw>M^2F6KFnCa0kdDj?*#u|{T<4vX#!8gH2JlC9c20)$ATRUkQ>0~3^p?j|`1 z>n~QjHNgug$Bqf3hTCFAp3i(hT`Cb^RAer+>fiGEy$Nx4pI)`4 ztH^C9Bu6|=*ZR11P;;#5u*m37Zy+^A@*v0==;g}jwpYgQ;<;S!WW>j55?x!J=Zc%BFgck$3&&g_9yiv$V0Icchp3ryFG9d>$YbY-##vzqd1s>V3j8vO3BtsBs8egE48?;>qReCm&iu@G* zJov%e8q%q*QYxdnBl;AkfmX3W9h0j~`V#%z0OK~jPdsGGcxe-JbkHs`cU_AWg)rSL zx=imB1#&4rgM4a_8;OCuAS(r>6T%&VDpD1MgNwqOHfI`P4!_VB2OY$sDR4w84}{*; zrA-><)*vvxIF)j-xDl|w)m?E`d^OFY_-gPK3%)5tDalccrwR6_kUl(4ZmJ!eY@`5$ zAxB)*9NohL);}ud|4 z_^cNNfVflvj$B_(2Sbjrg0<`x3a~4KcFFfUO9|JnUN=}Y9W+r*tRoSG>^C4M4=uX^ao%0*C@Xaj#awE7Wm zK`ncf=^c>^{_H;+bFnUBLm0HpLUeLWErSXP()WK-`KDS9t0~9F5-WWwo7V7LVT1vQ z*l89VCJE<%wV>Ql@4a~L8<0a=-PHOGQG7BQD0mwhJK9Gv7YRRRc_dS>B3t&`dC+de zPu8gXgUB3g2y>*}-brposXcIe2CbH;U0JNPyiBFaV5czq$POJPQy$K8JH#E!cb@&A zgjn%F(a0r;^Vg0t^dH?u(^$jCr1kobpf_sn^IFk1k*YmIt!me^RA!GsndI|^8pEA5 zS(Hn8$Dxu2LUU@;Z^dr{q^)x*t47k5h!o`k0a8ZO$WD(M8Cu#-9D(5C@=rHIsQ?7&9F%`))+BTi_ z=rXL39p-&KAAQNAM6uTvAE@aMOFTj=`JLNR>ykc}|C~Re-n)43x8`6*7@i){pSix( zvmXgW#gL7ZJ7>PF)i46?uXCHg$u@~SUurA}gTgyqV>OBgnjf|oSUgKgzR;EL842Cx zjBSd1sQjV8yRYJd{L&PTF}PQqC5I^=3-92l(4&-CW!Z zTv+j3%dI_oVLsow+UJnO9CH(cicaq8FL)6wnvtOnvHs8E`kitR*Fkwv_*E07L!&V23(`o{l1N^<&3^g*h{8FeZXdTD#+q{ z*H{qayv`s)EB5dKR%&aBg)0=5Dgm+Tv1u(iJIM)@(i0_j>d@(!#|;Rrezx#IYQU8i zAW+NEjHmWF#Qv#+CDn@0gK7PshB?1is(qcUUm{qZn5F4bnBuv*K>-VtQ zsU{ev_(IEpfw+ZYb@}-WMc&d(f3Mcvf*&CgV4skmmF>P;p>o_-4Y6*|_jM{`4d$dyc3f`hVrT9~{53CBpbYh!BjP3a!eaa-c zxI8sSy6WV4;IW}iZcv#10#b=!7%E?c;SRsJ%1 z6X-gst(gje+6Rg(1H}a@uxm4~Nw)d!{ILY0Lzw-m8J@|%*l^*>bPhhtVB!}n+fs@I z9FJhONJM`N<P{vTOy85T#kw2dOc z-Q67qhr!*0yA#~qEe!5%gCszZ;O@cQEogucBsjs{awd=L{hj^(nTzgKt5(_6aCKMJ z4Wj~QAXYDoZROz?6&a0zSZV+rU@Ec#p9-Z;Mo<-VL?`YaRy$|3c4KgIH5DAfcf{E} zuO|DB`NS6h{pP1=bYkV!1Z{1EzQlKbS#~=@r9AJ<1Sx^TT6XOsR6?nAE0V6gJH!hI z6HWsseO=bD1vo7c7;ZZKa8P!om1Sblb85QVKt}YCyfRyb2zMN1m~2MQ=gAU!st{A6 zeJWsE-iTJvRC51aM;?t#Lio`R@au~Ooyz!JWX<3&a|V>$-qW4uB5ch2#ul84M=v8g z;i#Dggvf6wZ_0?Z6S5f*$W~$6V674ZYiS(6cI)x!pc<`Zo>$flwt=3g<~VLQflJIy z5+{v)d0NSXkMeJP&Be-Q!q*##r+P9Y-2*Rf0*H^EzJAO_OdJk}kv`8ZN96mu?ZlYb zBCU7qKd);R)z$L*0U?k~kvrTaJ@2!+Q-SE}Y0gSURWh_&z`jVg$J0Ow+EB7FDEoac zBhce4foyF8^m=ybvjRF~3Crl(ttLKN85{!=dl<&5>2`lnTs3-@B?LNV7`#YABlbzb zHJ0-!(DaOnre9Gh?3ur9g<~uDpgp!r{X#Dua4j#o}M;U zzbaPKx-wL#p9OW`#Znkovnu!um}3h*{o;z7*y!%@D{PFg-TzcQf;pJh`-@s}%R|n% zi$ru=qGRvJ(enGc>L9yit<`w`ckQPD7qg~uoO0A)^dQ8+9UDQ&K1(q41zv%?X< zQq{al4RMb5=V^|ReXFnjT{$<;vEIMX&!TwazL#|ANX&y4^Wv~22b9XR-`{?@g!#@l z$amL_rnH~>c?dWl+@`Wx(Q(yCaxOl8C2 z^Dl~LEA>GlH7Cb{&#p<4k!NsyNx6DYyWH>Y4s&euCXLmPXwYiAJl0cho2E=1W9%-4 zigPJx0J?ghBE27(%(+VbHcJfz4YEF25*0RdR=A9H8xyGPPA@1Es?}FqpON^J<9`-A zefO|_3aT1xrPW`XiD-^aDn5Fjpca;ftnYxvN5qBm<0p1%7AD#KScbiYf#g?)<%}>s z^z`h#MO4+SF*_ProJ;jZ_5`MVWJYO(d-^rRp0y}D) zP=9dT`AfZZ@Qwzq2X!)d0y@Jyr%wuKxW=S zp7C!@`+qljd=7OQ`5f2%NaqW6H5DNo88k)EU;FM{!Q&zCg~;TYoZL|K`LD_Ef~wV5|jf2@|lm*Qkc*8!?gPF6V>QQ1xWh>N|WW>w+@*nXt1PYe5^0-KfYBO z7LP^$AyieUqs)wg9Vh8OM1fniwbp%Dn#vm_2QxpDXKRYK=FmAuNB65Vf^@63^yCN{ zRC}IwL&{vOcD^z+569FkEr*&YDWx>Uzv3Ei7^jznQ5!6bLKaoUCL#wrPe72-|Su1A5tQLWGU%-Ri+${9n4G<`}jPAOsj-Sq~2)YY|nVN@Dh?1VJ- z4L$EDpU(jv`g~nA8iSfL_!}vPTiwYNOL$#D57GpgN=nul0DAZGWAqS>1;LrJve>l4 z#;sFz?cL<$>-ptxY5fakFtjnGs>)arfXD(Vq53cSCf}dNv47ta{WXdQ`M*tLK=3~! zWMO#uz?@P5T5zep;}5C*6AtX*{N})L_$)Y<<;`jZpMisbtO2 zrYOgd507_>8tH%lVWBkA&^H~Cjz@9+fq@HwWTs8mcagb2`-;;?lGq1yn+FKn^z~2p zod5?#+3V?zMY^zrWgP%J2Pt@GlgE1R=Z44h?03E&x?i|od7p*!!r0m_KzCa&2uDxS zT?-FC>N?i*2RZ~_&$fJtf`n)igLig4Kx%cv-?BXNOglT{&wdyjr#5evm5ji=1lW&R z(}>9V!-zOeER5VLI3YBPrM+BVn{_^OP7++2$EiFos!4T7vdZB2$)a;e@`Q$Xp@~6- zOu^uj!ihN}(PWLcUMqJHf;b;q0F8KQ6mWeevq4;~gbXxmXS0E190;bN9@3Y|Sr=3u zgOp9t%H!u@qH5LriT2iF24tat?3(~@k{v3fzHd*18 zL1jV_;~hiDijccYnu8S6r6p6dyjzBXBJu5_vkp4OYm}IiAXh12*Um!Xee4lI<4sk3 ziOUDCUwWP+M<$&$Rhs+cnQje*IFB&)ap9gY>%@UJjmo0cOL_AvP%msyK<_5Ec9FBs9-NH&aVLLXU8!|;` z@yvyn+zEx_G#S;L-Y}`0J|-&kR-7Zwf#X#%ntf=YiGtI3RNU;s=4hQ>vSF7uo`B^YqD@f)8O<B+(fy+3(5>h|Z z^?id^SdSwaLFNnMN8^XC??85iF$Yg(H2>n#Ps<-+SYz8KZ0e!+HL3kZkSl6oX{3df zJrSghSnwN5ZAAB5(UKjfBa9X|JKOeGWCf#XrhaXd33VC9irRgZ0(3r)X}{D@fyxQ* z7|rOvY!yD^uI$LFg?P93_EifB*Ju$Zpbdnp^HR?cR}Xvz>DB^yVOH>Va*q&vkD2p; z$SKOPy*E>>xTa7l&BUJ6qIfrqxFBsZ^6wimIMn$JDglWL#}7s*85~&1na|T@ik;KO zQ|A*|vF(eiG;lo=)34E78;gFmT%BYtn(mznx_iiD&Njw%UK@QV_sbCs>DYUm*rwZn zmROY$_p3cxbl7R4qp@!tP25pQrx7cih`rvEvYivx^k4atfnHUL4a|B(H2t^oMl z20#MgRPPMoL}3eH`#+-ntHD2v|L)=ak7)dVTjM{Xaf9P+A%(TB))02X5O$<||LXG3 z{y&8Pe;b5L0E}Y;5sb$UzzW014_37WkU_wkL+k(~;1nB(LF?=QEPocZYykLRD_Z~t z7{(rQ8^Zze!E6rzV*Q8r-`eo=f@dH;07uya@W80He>m6!xT*e^>R%5@K&o*65(b>_ z$xa9+bpQbWkMjQ`{J*yN$1f0=vcHx2$1eil6bAr3IQNf~6Al12u!=1J5%F)u1vtP+ zjsQ~d$3HIPcLV_bEMh?OgHP-Lgnv4k;q4)|XmAAZ{aHXK75LYK|Jd!{5Rib>5Q8!8 zAhdi=knZO{v@imk;6Nvc)f#LeyepglpRoQXzW6!877hSmaF#QG2;AlXp&E1sFk|um ztIt0o@`8UmLu#9893l2&bAfn;pAUks194}#3jhoJ@z3oF7l=%Tk--vkB5{QqB>MW? z0m85l3h)nC$W^o##BvNC5KXGQAeX8h0BOK~xBsOu@GtoGKZpLq?eF&ZKi^$Q&|rB( z%(~+NpdkA{+x|@&5IP=kqzi;j$`cZF5cSah5@7R>IABkR{Oq2PzZ&cSOyKXX08Fs` z9||A@@yA~laYWKq})5=@Yt7~n@w$bU~S&Hxl}wije8i3>#Q-v6wkdjJsOAjyma z2rh7joXG19pr`zwXcPE1C;X!iKRCb}a?Xqggk7aKfCGjP2sUAO{xt{Q2Vl8&dxmPyig_2_OW+_(Hf;c|!Ox z`Tk#4oE+dMPe}X+_(BL6ydWQ+d;!e=6I?*fe-qr_!gK!XjDG@z^WXgScYr{oLiU4n z6F?fsaKJKt008FyUw6oQh#y4vBp*odHTVH|NdH~`Tk`*~`}6z20gn3PY z0{|@Ggg?gA4*=kj{V&u19uDN>2UGb$GEW68D>`_#l8p>J8vtm=`6qWlinkP`HLW}; zfn1#6gg~#c8KnRqkKEH8krqIy&aNLQhcA>JQmeGorDHXNZ^`XJ{G z(;3CVDaGAc5b{^GcYe@5xKyY9>9F3sX{UL#{MVd?0rB$3mj z$fZ6{G8}-&jS{EDdj>eusa>sMWIlc0Jz%@0UX5+__&wSgdux{R{sRg%Sx(ezuWbqv zT{01#S>GfoRkaXJ`!4PtZo+0AFO)=LQ8uI3Xt2*e7 z^H0#%Q2x*_7{miq>!Ci9anMa9U9cr)ooRmN+o_YF7kP0jTdXf|zeaXX2$yTbv-hdk zL##gqd6Liel^i@BcweJ-dPvYGKVWE3VN5y`eZeLZ?~wuZTeTsVo&&8#8)F_wIx=2Z z19;M8oe!8|i5X8@3KUIoDGG6HNssH`_IF?cDKz^5Hj&>JPhgFb4M$n`{o6o{N;{z3 zd#gRr(d0|ESkc4zt;_+kC-)bM1amm=9f5my;b}*62WUnAn#hhf=MIw9We6WpMAn0Y zLi0kgUeNusBW8MgqGk*yj~z)y+^*VexKX$qxLv4u<5|%8S!M8Sfd;5MhEdb9YjYU0 zGqY6J`2Gk>XD<8%ac$7-{goF`oN?a+({}Te3b5Wj0uy1bRbvL>t59lY0vVP<6z0<%x{G z<_aYoZ+~egX%hN*#8S`!J9DL?g9su86@`LFlmZXQFgQ5nT6 zt>XG)G0eW3Mc$(h#G4NmvjinjgkiBnBHr9mn!r?2z{g4{VP2krMpU8jx|4G1 z5Wdf_Nqju8(g}a1=->Y&u?M)ez*%~QZQc^wR9wL?7*(CSJ8xHd^8~f8TJJR3=qrjd z2=W#67js z>vGW$b2SSlf!EA+Vd zuKSUfWc&knjjR`A_%jZwN$R(0bSC;0_w=8FW}tV$!y!E)2p&(QwkOn^oIBA7#LO}j z+X!p|cn62RcGIQZ>gzYK1;C*}d~aepvjQhUXY zhc2y3fu&#|Ygsm5E69KPL`&OB#!vsSDs{WCU%Hc0EE7zU5H2M_wRz{~A?ysEH*3{r zwcfDgIq)(_FeuS-1%#;=QmwDB#s6$ca&6!G{8=&;-A7z@q$&Ou3%Y^WW{C)E)sEN9 zS{cj!_JfvYx_xXKFDJWJ(NTZ+EGE8ekRb)W?8T9$E_9&sdr+GUAyLseHnK-Mk6ExZ z2cioiT8-CDKcX`Mu`F?G6^XNLZPe}UQIpr!7iT9km|u%WPz%sJ+o*SEL(_g)F!7CM zw8WbWiv?dhR8THZ8hAT)^8#l)8!micOGk8bw{!*WmgjMzeWk5zbqy3w^Fz%(|vMN z{9f^KUeRM^3tqQYJau3|C?Z8j)tI-7N4`H3-xhYmsxaZ#K*H?J;+wb{b3#NS(d<~B z@>5sXF*`9s!ntsVf#b*-=P0-f)T9Edwa_PF@(a^Fj!O^^;sA?IL>LXPz*c6W|CtF1 zu}OH*q($mtjt^4!rIL91`Hf}BL^zEsJ5(X&jw@;VV_ z)Y`NMHIf4#wbjsq3^+P@zrsz-3Kf<+Q0&>H1zx^JQ;$bQY|UT-0(?)3vIWT86S>Ag zk%;oq7O9}#0%4S-!5tbnxNtTtuexN8VLu*Y^{f@H@pY`zeYj|-_ho)~ol9IDgs$YM zsoGukTG9@boDDk*+8PGp4(_*9_52%L{Y`0*W^20JjABc<7R$?@-|;ncAY zV)&r>oLI)^8Y3@ZhS65cFC<$#weY|54b+3G@mArtRA~fPLle^z35#gxiHQqoh>-_s zxdpc)Zy)S$kji%lMh=F_`>>aCbes1*o03_jf)prd-o_G?zZY>Dm+#m-tX()kUU8*4 zeF^Dx}x&0H`hW-xS<#&e>Tiim-I3teYjZ$@q5 zjaO@QW3N&NH>;EIU@@fpq_moGLCGW5P#9c*Ltk2QmTg;>NS;=qqnpGt(o;f!0_O;t z!xEB-%YHSWf2!E)St5Bg@WIwlJ*~ldvv%%k0Wr5T#VO&`i5?FA{rS1XtS^itstU*w(C%=_ET?FnM1A9H70pVqf`ZK7=;2&4#0`1tTO0$pA;8sRYFl9M`HeC5#gM$7Z9UpT3vR0rzFwc zPL7(8_+!xrEoy5AkL1$KOK z^kOyxasG8jG^ERczs!*1WYCub=7=)xH$oj8Hy$w^qUF z{2ftN8v`ecdJc0J_3sw_yL++gXN$~X3Sx9otU&5PBI)XOdeh#>E>mLAJJwZxE6S|d zlo33D?u8xeqn1dl;$3c&9!h=L1kTiIjxd)06v>ouyO`B38Y-NfR8di3aQ<0G+GJ>K+)|(EpqM#B zg9v?BCta7GW&Fy0fU4xHvqyS{N+yedfpAg?txuwfO$$l2WB0fXr#=y&rp^B882tM> z@|LgTyLHh}i|KZk!(Hd>`=_gYpY=&%4Z%k3T23+YbG_@gMFz$ou}be_xiIjFiEG7_ zW79VRr{^1t*6tt=2V|X5gr;-ms#)_ENmK_<`>xfpivtv1tDHzui^LI{pMxIQA(AdB z9h2XC4Ylfmo}3xRntAHiu!!W8reiBmSS?(pF<-Qdh+IjYRwnhi`%r5o^(z$at zqYb?)n6{`JxDbpPwav@Rud&EHx|)3BALs<7(+Dw%>KjdPkB^#eKDFPVbq-6!PU;-H zr!9_O8EB50aYgbzTt^tCPwaEGPe`@5Z`A>D$1&j2a_kM#Vfs}$%Xjh0wYbWw5w&#- zWT(tE(hb^N{Ra9Y5)xJ;XSXB$CB`x{Gfa!de)@cIR4HWv5hBA&zJ|6{7f^1fy*sNF z2NLd>7Xv7UmPNwFtitk{Gvd=k8fFtmuwHGRvoOv zf{FYVnT>f9gj|qwP$s{O^Y*lM@S{GIX8zzCB=yzuZ zW+`cc1lGgg1B1Hq4WVk#17|86EebRSuCS<%W|yWqZci}C-zJ5nhQ1yKiUw-bQK4kh zEkqWxHqSu;uY=>a!o|h?ysfOtF(~7PHAAcO%c@=%NLRu4NFtm&>W+wJmvIB81Ib%S z7~MXTkfXxvl@0ri0w#lm01~l$uq=1&315JNQc?CYl9S*rKkW#dyAjpM4Q8AKlu@UQ zE)K24hc|egL+>+;$-NN zdu!mEn=gQ924!i4c`wdzK~#h}f32qGN@%aQ0y@UX)dZZq#d4)MVZ+uh9;KC$W#XEZ zuUaY%?Sv+OAM2K_@wO(WdSAyK(nRX^Rx!k#>IwcSA1dmN7?6=)Q{L{U(h4T#GgXP^ zPC)v%1mV%J5$U2II~Na4ucaS?pzooX>ZnNAy$T7rD0#IL87e6IyM#IUuU1G5fyQ7ziUMqeGS2&|(li!njddZl!MywU14uE(*_X7iLZge+ zrc8)UxUhCJXA(Va2$Ix6lreZCa+z^sDob_X9iN= zQto6*{8=r*hl;MV%|+>5D*a2p0mFK1gLwGl>xI}#HVVbFOp$I8tcHrZM&3Od5~%4Q zD&|7Y%@85iXPYhqU*3DW5wNul-23Q8X%L?^`LrIlJ|j_kAqMk>c%nY*ej0=0OSK{d z3~83hv4vl=@oiN>4`kAV_Sj3{b0X+MXmhG{E>H%&^-cC%ot|#!Tb}sfH_AvkVK#QS z_6hXyb!c`?hz>}OEm&yNRlLWGdLd2LyaP;1M<%kcvAEpU8iD1IY$0m7xE{ZrsUCQ| zab@JjS7)`H%K7Rsn>@T8{9vzpK}duO6w(x0lu4hG1Y8)J`W4XV)la_V_zW6F-tC$S z+FHt~iot2+#PEHSJ3S8QeRotn9BfhOSD4+p@3)4H|zcS&i`-*fC|@$nDD> zC##=id+z?WOTyu5=mePOhYEU!AZX5He&JQvoWF7wL;-GL`Pw;UcV*`!y$@sZ)?#y- z2i`b-urMxOsj0-VkT=5dr3GuvjKs#{>0WAb?H;YctxP;EXq4RGGVf{et`4PG-R*eA zjrtpHxsK+G-;J*~La#$A{$6S`2eq2$`h+0k1&lyNe})c_KMXNUe*-k}T71x1c&>Uu zE$Iir&E1ya3)*Xr3PrvmaZ&o1gPz!rhF5RqliI2NKgwM7kiq5y<#v4m~ATiJa59qUh;REtJqSv}$aiBQ)OG z%Km|k?kI`;y@5tc(aCCq?l}KJy~FPhtYRKR5;WvOqCuT_K}eM#YGk=7LUk5bW^x9_ zl?tv<0RiLwjz;WmJSY1MJ-Fz(G&1|R=YU*& z50Lw_UX!2O3DFN165Kd^o`nb)lO#c(@>hEuCU_68F?Aybd*tTCO$}{h4r%Y`K6NRJ zF6CHdT6l-gdSPGf6%FP?7TVsu6hGEIX60xGWcr21N&DKQfDrMLSo`BnnI}}iI;9in zeba!5kJh^$_G(P0EFwn!Rq3o=`GQZZ%Z$?<0Ee%bERoKC$-q zpH4TflV%<(WLL7>6y&y^Jm18%se$MZb|H99L)E#_ke1r3IZoWIABQZH?&0V|zut z%lzA8Ywb~IiDWh3S}%Vb^NX64fX(656Gi38jAM<+FVF*uN>6;klkgyM#}dX;mVWiy z$Z-c7ZF;GiVAfJOBTtI}eY@@rOHSK+glFk$_pp7F+VoLJ$+quR(1 zTxonnDtbHhk~fvhHDFZ`dHb3AKlQUCn3Ef#`t#qWf1}tkSI#=_|7JeqD? zl9GBwoL&7)Cr97Tk6}NVl5;^*(66RY1{L`!Gzt{0@YXL=p>Z|q0aKrQB!$ky=&M43 zSWMA?2K=`)r-PG6>uec)?@!v3_|K$(vZc-3vek+MM~8>+!liw-ZZlogwZB4HG7Mcx zSH0nkH4=>n2H4aXM?m`x8O4fW@GLH^wA6W@tn#2Vm3)T?>b}4?6a755sMGk>%Zbex z0b&N#IQHGUFHSM-1Z~W0rN;V{R$0 z)iBb2kQ%~Z(SGd5wPi&l;)lys+L8>%erSjMz+QY7PlL8}<}r_xrFrz+Vq`gCN4Iab zY=>#lOUOhk79E=7>1GLO8l$ZA$ZS*WqihC+_eu8a#`-&O6vPS^H8wvgM}!aEYml|U zz9;4_rty)H`B*|v<`j1;LlN(;K0}IOCR>MMhdP{UgS#dZps%LD!n~IL{;keo9$Cy~ zGXfnFx+1G#$r+9tI*`eXZD%Bj0+a+04!ubn3F*vx%76?S@0AW8%YQUlA2R+D2uHT4w`L zr}|kB&bGQb7YN7FSVK6gUD_5Q&7Hx3M6a_)n?AjQf9KHC@f_>nA_(-2OJdF8Te;}e zbf~*u60S2}_8Mo+MZ~K9;rab)ZQ2%eynZgW*81K1^oV8o13_EAWeyozxeoNZiw+ca zrGWLFTI_FOAW}ysBN5QIadhf{JosPz7{F|l?`*{8dor=~+?7iQ%ANYDcf`#wxF-7D z9VhtQst5fTNbxV7--fTO2_)?kGJQrSL;KV^Rws8xvIa)um#N4{2cby`FYLNO`8{c3 zlPtcixjvW9tc+%pLJc?6tXnCZb>7y{4QP9jDKAC7Qm##8ld@ zqxHo7#QFn{nWdz5p>je>BsU`mvI7r(bDxDy+k<-Gp~;cEaDPuy?6J$6KMS~hOJ$uZc#Czm&ug^JU6Uh~=clJbSE$gCkWzCCgL$;;W z-2N%IUqjct_`bc?@G_!QMC6!uIkTEniWs&5RJ+o7+1dl^N0i#87HqzNMw`~I3lz-U8R~EtnoPN`^m?hC;e`-Gy#rA_mbbA2v!GTq z%gW!QMq4~-ynbI&hQ&Th!f~=N9m}%ZRf&D`R>Sg&07n)|a0Cl+WbTP8W-cP)T|Ww= z5YzyW{73m-Wdeb4bCOt7{3g%Wrh=ge-=-?SBGY7sL>2+(r) zK>RVI(T@65URVQ99~AOMJ-!j9d_Z$bvr*G;??(SM9WWUpY%d6b`F_1bgU^Nv& z2~|FejNG^4iR>CeHb<=6*D%jp3Y0od9~0+oHyV>g42nx|Ej#CXIzHw@M6cdR*F354 z-y9_tmL|2`Apvy)sqVLxTNPXSD*4#O<}C=cmg;O4UvPFUWv1wJll&%OG70p1o@N72 z8E=R_urJjtb$&AYFj}c!ZPqjOu3(t*Yeuvzz>$5Pu(F*fME1VqtJA}pM3u~?KrBh! zmH}5}?8x`>ZTm(|cS9wA1nV{=pcz0B5P$ea@#Fd&jy(uGZK+%*vNK`D*B7qg!uvp0 z$1B&njd5W1%x`s^VsG#XPU>o9NIL`;Y3vHDqKJ`#QVf5=S&Gt zPPksymW4VjPXH$y+!#fmZ=CzIEiHP+kyB-T%e#S8Pkp(|TsmjZ^#xCirat6+9eFk( zuRxeD#1J%u=1s0-E`$-U-2>}eBMP6)Wu34q?3#yv!{6Oy%y3TqYm6+x-RQT*#vGUzssC>!AbT6-Y>UCNSCslr_}=S66%QrC4^3>S1n9fv-FvpvT>SnA zgIXX*zsnmTlTN4Yowy$+1VND};mT)sn%Tyg8!ufM0c;0BpeORvgL{g+_gl9t46lC6 zjdw~&XSMk3>n*JgYcp(56Q8f{l6hZAsxFKReiJ7XygX1I5K)|PmtGH|d6f&4L}zP? zm;~m%3cm)fS)VygD(5_T-eqGPqG#vNi`al(p1 z9p76P13G>Ue*jm__@iOTEHoR}fA`DYW?r+ZQS5rRD(dn6bde}b-Ug#WE7LRqSp92R zwCufH<*fg6o@vheC@Z~Ims`89`gxwIt>brW zO#@4?xER5R>Xt+BL+&Pa8tz(zM4@xsXMQ&-C+l8!m946zU9J;zaY6NsI~#Gj&(!xF z9c+D{g-qICVq=;F|MTL=unbbsdv@s}y7FW~|O#K1{3WidkLiDW6&$sMd6gICEKBecMUAC^wjd;9J_HA zl>ZdJqq}EbHok`xWS%dZE>X#bJ*kdlm(GFxM6I`@`tLM}BtIZ-!ku&HS(Kw!FO2q#2Ls-FUJO%jNvkKwhK|5ONs8-0LvZdIO1 zuwITDe>^mdoCv&E!OE^M zMPzAoR=U*?VmU)GF!rSV23!Gk;@m!F z-*Dczy78?4gS%roa4XS$;Jjk~Yz@wLzPIAtOpOGbZ|h2g4B!{1+y?$KOhpasQ~=iU_q4}@lXOKxNN1TS6QUKdPbO*|%407sRLcoKmz@;oEr?i=gc2ba z4kwAL*A^O*ms~i?7O=Ll7UDz+lOEf4M;x)AsI}tX&H_a9RnH>=vEEIB&l~SGx+g8? z43yA|W_~V5`c7U!NBtl;f1-K4w0sD?tlnD26tgEs6SE0ooBVG7O5qO||6pS>(24H$`iZdq;lA}W(# zB+^scQhQ20BGdhGSB^$*Tr4gy^S44f4zIy@efu2t*1(urcrD||m>LJ!Tf_OxrnPF$ zl82_8K5@j=OV5oDwt}gN4A^6y7XGKgB__1UA&4zs6q0UcK<9K)yZOW9L%M~C%p*Rr z_@j?n5=V@-WNS86-5rKP|Eupg*Y=y6z2B=}S__>_Z{+4@S9R>eJ6) z*?tfciJZbtv!KzhrG-LHfmfqb(kgdFtqYYmrI(QBXm_~Jn$r(6#*{WnZo>Rg@zd-x zCsp{1Aj+7SlDUbB#n%XEs74fnTGzv|a(;o6YD)OJIZ$$Jn`1emOJr85+)vxfgR@+W z3Ab{K$e_dv)Kbwp!+0y2pv#5X*v2)*@sM9|zoaKkS5yNfZ@(_$yi<1U$Ps)LyIlpy zI-&Lmy8#A|!}{(HKbhZk++VZRiV*tGR4y5=t3OW;vPI}KP|$v+529(Oi6^Iv;@hGx z(YHY$wg&N{-9_3nFm8eCewJ{Xx_7QcEO#=_p*|Ra&24?B3c9D zKQrCJk+H7}KyKapPO8CuZiY@2V1w)0_ z1jTU>>jjp2vknA*V6Q%m~)gf7Lq2>aIAs?d(%^mJ%*vq%9wu+c9cHtT*0t9Yx^oKlF z`Or5Uor=h*G0 z(zkXTZY_31gl<&>-7z}$mX;QKzT6DONF^yTW>~Y%W{;ij@2Pdy4vz=$o#owM);Re< z_uQ!(mAS(7sBU`(AUH{Jsl4|rwYGviux%&6__iQ z;}B+DJ!)M~t(?M}&_wK%sH<;e*_5#T?o{!!2NiY%qnU6ZuAGQ=rcIT{tafiyOjsG( zof&!u3&jwcErz=?xd5wxsLJ=>ZZK*P%w8{EFX)o8;Z~1fV_E_cN!leb`hDm@>cVtW zxDrC&+zdctv99t!CK3PkM@%Ua3!V(dxatBz|fXpY= z-QS6DD-ejo$#I{#)0k3$H-`#kF>lI~8QqNQ5h7nh@08ga1tVuTZ?nIwFMnw-gApQa zPegt~7V5=|g&)EXEV&Ch3z*!G7mOY7!{aYunsDg#@>}b=vnRjls$FpE1MdV}(A=j8 zM+l8Xd?c+Rh|96?YtaK>c=q2Ef@XSxcalAzSElPz_nw~#33Bl!;w~!SB+;jdtb*8x zkz=6PuG5EQ&G~_`X-_Hi>=@hciIs|n2h;>%VgcoqbLXe}oHmY`x0ohzi<*hM_qTNU zO)8o{TCPA&5rkzl-IkqRj;j}#gcIU4<=bu*1LamVFg;&+>ved%EOsf~L6)Mc$Bha$ zDZWs+0n$@u-^epNWm0Ft%kIA^>NhwOq!Z)__$-!p>>1zgkoAJLnFKW<`ks=nW@+T zo#hEj@}#GYT^!sJ9pN!+2g)O#(V3|}Fq^rO_Y$-Ra@Xcwkn)VIo1gN!aAV}2aZ~Ul z`HNctQ=U})i${FoWKC-MvYVbYi8m%OKfb?%e)EXIDDD%-7EL4@m#<+_DC+Op==sK! z-shuvU3J>%z-1vh(*m(bJ`VR)=M~Z(X|YY7jCGxKYP1bd_$0ze6eJfAt-iI~NRV~E zbJm{kO*W#5;LSTSvSabBg#V#N{g}@LSorw^0X~x?0g_esibIRCN&L$rRVa19bN}nX z8~g*#e*Z7v@E+w(tK}osM5wzVT^p4=+Vl^fn~0}O(3H9;c=kQaFs6n}qf0Z(LvWSx zIeI_P6O<*Zrzau9uz@_n+2!q1^D5M|^@~2w&EwiLAJ1L;b~AeX1aAxPl5`_cOfXf& zmW2+PB2cA~{XV;6i&kYynz88qwI_oZh1KP^HOz06nU^rz^hA)?q!7kCICJDy+8kbu z@37YNe${vtp_!;!xNGON!+e584?$};F6;euYZ`gcu?{2{__7}DD~k>KkomB%>*@aZ?8(SLh75nx z(j<`$Jjj4MJdCQP78tya-mq<#^VIDHB7_E_%9|$&v2JJm&zcZ%QdaF@&QM-$#wYM>)PFh?t3({&F`>{oqbWf>2Vc$N8&SZhZdBQ($yvx>rN)BJ zx&MhjYwr%EZeG+HpOlo8@xY)M2C9P)9w4$99 zIa2fHa|qRfaAgs1zA_-`Ve{L%^LT_Wc&6Zwipvw56xeZ*rbhP7@(|%Fq>;>A;@Rdz zhRlI@2A$ozk-W*ZGbq_8t;so|dcLK{*(i6Vod_)uYt{27eJmHlN~k!oEMX?Ku03)3 za6d2TCHn0`uKbgCB5_m)zUhx|KRuNjzME%VBvSNAgHjV3F35+0uUH;ymhk3vhz9el z$Z+n3{EzKzTQa+iOGOVS?>F)hPRCyCUK<}kvF}fcKJ#VN8oV+-w{LQ9RR%VT_DE(K ztFZvaT`ux61JYa#yZ7Fn?Y1jfn3}zg_Z~f;io3ijhpb(Kh*#2jO2ut%a<%K>ki$SB z`dj*@?o9%OQKcLW;>Rka{lEKIeoB2fbhgqLNom4~1Ki*Gy|fo)`!v?b*NGBp>(G>g zs+!b5G<`|2by}O{@v$bnP%=XrW5xzqj}UD zADPU5m(A}z^6*O$SfjuGiVTF!+E{TLf26yr?esq#TuY)bVm5J`5+)5IrG4F+?5IL` zABxI|Y7c#?QNAE}O80Ti7m=r5%&HVb`+X)`8~dXhXHq-023Kt^)U{Ak}zt_Vw@8%dt78yT)n_Z4v-rxk~|=XoB4rk7@1F) z-1oyd|7QKbPH3sIZPNALt)?ehuUFs2ZIntD%1@&+P zc0%rgbz3VBix?s$Rh7I$Iq-nM=#DDb1bHpU7=lhxi)mW+9GL)u=rB z)WFKcBx;~#bAdcpPVRB7{3rrPJ9L`7zIKc#UbT)Ic{1xq znqasgQzKj!<^=-|3TWd^ERrKoFqb2V)faSe8S;~gV{)MOW)89u^k%nFeJF>Mg|fR( zs>0`poP$$FSPOR%qY{Oyl^Cl-@h)D$m0!I42|gD1zp+&d-VRz&c!XEDW0M47bcD!@ z>{Qp#kOz_C!f$hWU7aaTGmv1t$@uDI3~CNE+~O| zx~jglckS*zUG>@ir_l0R={K!v-fOQv%Zso*I?SW8%)fh;aNb8JW)4_JZHjW#L>g!Y z(^Yhjtp6n z;&J6WDb4R}ZB_NQ)#LH>Fz~OW!gdxx0@UV7yZk_$rjy%nAfYq#9N3#sOv)J1Fdcw7 zkNqTjB|5=J&5O+5aYaP>MA8D2glOW{G(kKh>=0qrNOF>S6bNouM8*WR62O9lon9?- z>Iz0ph;4ldCjxEKyAS7HqTOz|sfTq@K+jVWUfoy4wJ zJ*}8Pn$P)bC%IfSD0s^<>eNEl{{z8WS`|9)_f><%h^_ZeoE#Cx- zhT9g*?kv4MRldr~;H*i@AW99LB2LXSyu?7GE@e z!h^_e)2y1ETt3K4Gls;*a6Nm^Xj0o{(`DOj8sTB}vdOROCgi=T?MdG2C4Cw7rWN(JgJj^PjcZ^SC zadKZ4Z-1`+j3gocWGZimN}RXP9+W!uwRlqMi*lqcBH4{umv9mv)(-(JJ$1J)JhcyW z_glvQIA01r23$pE75CYD>LGMtI1(ia4s#NJw{F(yVT8zE@+QQloc}GBnl2)|?WTu1 z=&s@Fs8=x7Yb;Gv4pKgCW83tgoxH$(65?^2@xVEjp}5x8buj}a&?2uRcDac<;g{i; zed(O%jbt60k)L)9O2rAN9k^2y*q40F8zr~rnu$Hx6PCZ(M|G+T-H0tBUtg<6kjkDn z*_TS*V`6D4c^heb2O4HVsqqwA z!|crU*y$J#1TzMJi2{}${8uZ2i+P@yE+H*5=3hBoyWd5k$ad~|o|$AHP!fHBgFO|! zzOX%JEY##xyI;y9)aU$Kek}e3Ckr!*g)t(YY68(Y6<@nA`Ozi-{}YLg2-S=w{I<+z z?=o&%hb&eyPpV4F+Hu+$SDA|BC2!vmK=PotTG=zeN{%~v*>4(cfZB{s#9I}RzKtqjwi>XU56q<)JYQDA~5B(B!~t)-?HJ=y`)CRP|{x7?BIuQ`)u^!P|=j(4x+_B$ZLE)zqMTNE$g) zM?>6Z4XsJafO2$LTFfa&8Ye|l@$9hT_kl?wjM|^3BH~*vXkUM0F{+Ol7rj#{G&VCj zv~C{W=2GF@cq%4i8jLN~Ova|Jwe#rznn?*Bw7Pe!h~8Z)lVZe(hWe%!wYo(OMvC}t_yx4HFO$t8+_@iKr_LA><72&5ej(`pg6`hLN<9SK)Lm4MZT8F2% zJd8+BbPbwR)tKRlPj*rE7WFmWts~#&=RS@32Ps)Sz0cH>6>}uVsWx*bkh$8NZ9J5r z)H1^Yzc_}|5`2^-Qb_tuoNpX%G?X%N`{@|%8$%5ntu<0+H7XqufCmgaX)SJ5*{eOe zqm;61)POa{nEjlKK1x~Bg#9PsX0S(z7`{#|E=7W$7xBIjZA4zc&>6?Jy&7Mok$CHP zr8RvtpHUc>5S;rA3O6l4Yr?;ivT?z#=3A_ z8&uuM*JBUu6538i7exz9XU?Y4w$ZNglbETXv7iko;aKKa?`-G}+!xio;iJTs>b*{- zXTF!>Q)B+KfU@e>-Q4OjluBCV5#%?zsHS1-Dnk+IqoNsq!>`>f-Pluj3`uakYRqNLX zM1pO+ZCyQGFF}rW? z_vgbalTba$3jTTQ29~M_Z={)MoJ-F_N6kH97Aahl?9FDL2Gig5-XkB3$1i9S@3q7x z)Cu;gNRw$sHyGz1FUeaA73qFAasbygHL0#u(Fw`n4$73WFUl|ex2qp%{VpNBRP?Ri zy>kY18=mC5-nVw#l&2oi7QVV~a&rH9qz%_IH2m3OuG4k@RoK82ThGhsIvKA4^-`hX z5>nw$g&5ujyW=P)&^20xeS|FA#e-*y?Nqg0jJvv~>hWM-xCyW@)?vsJ z7fT&s6t1eZair=H%+(39?1?%w;o}ym5gr#RNb?IDkL+tK5B%hfn!+BhS7f9Ii0H@=Me-H?f20vip5P^`e-bBk+ZBEew&T`LQyLQ}qUi`iSppjEazcN6V03KJ^yh_V!gI8vg5GOc#Yc&ez2 zIej|BfAn~LVsB}*6g`&jmEmeWUR);3S*<~5Gr6y)KX%rCbX1-cT^N-e^O+JNBGj{+ zp-MJs_i6P6Ipyq7ZoOQZk-CHe3MsPUB{AP_3rn0U8H*?Th;jf3Mh<3}FtHdyPwa+Z zkyA^|X%OK`?bPc|N-rf9ynSc=NgA)>3Q(a zwXp-^Hc%&qG_Vl)I|A`a791B0OlBf3c6iUX1$+JI(kEZ?yv^kt#E12j)h-Md0!uu0 zG6BN**8cf~Q={|sRX0JC_MLo?_XS5k?j4wNY29!DR>BaX6bu0f)5$G z)q8H;J@}FUGw@LmFjub-S@cITMo0Q3*t(HP4BYtW`OF~Pau;B~1+jDYMMS^{LkI?l zE1@#mf{LKW2ziyi_t9vLsEjg%eIHZ8QX|A3-D+Rka|#O@J>~gjtG4sSwn2 zYlO#%l6qjlI)ug2EKGk2x8P%jptAt7;1gf_(a1FBy&+A$ zIqbB=^-`sM7-Nw=cjeTi9en78O1`h6Ep(H-aYn&QKLHJ3K2T`3^#e&?rE7u#Fez8` zJt*%0QjOlOFModxn%+mHsK{hoCLc z2Y$$ULFVsClW!}MxH%4pl^?C}i7zuAKC^(Ad)ZTVfg8AcCiv$N6u<8UnY~t6p>D94 zip~B9kE0C3K#TmiM&~B@L^q16x!J4gtWdyxy=Q6hxHNxdr=OPZTfOVF`J(C9t6n81 zV=Ps$daz32btHO0UkhR$VGEp-VoujMY>=P9cyXK3)@XvmKK1x~U|Nu#*4uAHED`|a zbtHG;b6O;~=`_eE7C|e7J-7VIxWuAL`(VGiH=59eCc)M8Ts+W~^B^{FMe^=UkVoO= zZWI3Ay(4J_EP8v=9%1^- z!54cx`LF#U{#HaCkWvzMQ2oEhIHdsRcf-u+7WC=4^@$U}0IT@Nq?VGIIbpt4N}V zAY3@>WJ~+a>T%JtSW?h8SK8{q@X)e@z1k=mp*tsYsb_Bid9P&Qg{s6$d?7~m&|<V;(xO4BTweAC4plsW+W4yrUu=7m!Dp_4{HDP&D(?a*yKQHc2T5^+n zxG0IolveLtZZDRta2vQ&AOC!GLG$mNYLzADaH z3;(gt?SV+=^wN{yE?xHdcfv=W#QTnTn_S8f4_syn$@Qx*dkSgEUr?)->2KwjR?e)< zR*6_)!rtkylTF6NJWY$VCNJXjutvd?(oyx+sCQe!r83SY$ecCg&6`|NMwv^w1l5az zdUcubd@`Z3+fj{FFyaB86Ogp6KU2`A`6hBR8q=$+p!SgAD?_lCe;KumHzq!Lb+&sK zH}`Lm4E)M}2E=E46c(VglQ-0ItcGr-7aY_P$!itU%)2PVbm^ryD9^p=&ag`-Z_)(} zkFd&LWb{}%32NC#z_#vpE+}bjP*i&dPIwBWM4?;~W#k6!=xYHEY4D_7=IKZAOT+jq zR1<=6$SDiKWi1KGZ|gn?7K~7Y@mwyx*mp2hUnV?KJ@-}O?C~;@0wun7sK6q8UML2i z&aL6DZc@3RE8N~$a9tHC>--?IpI>mh@_9#my^p<@S2oAiQOF&eXfEXa(hX#Hif0cq z@fMT(I2x>rNE88X2&hS$kJEZyWV7qD6v0=tmLZV24U{m{(Ow96(oHm_Z1Pq6E_&Z9 z4QtzXf?R+V*|@@P`jZ8PANb|7r0p!D#|dU+vhs#T)cw1mAG=&;g`m^F*hYRHXR!s+ zVJGkvUpcYO9c7Slhjs=LNN03KP7qRGn@w)*;7u73>~jD%ZIC5ewbe#sw#li7iyT-o zi^qJd<8ELrD;I`t-_252uW6~d7&!k3N)_T*p3cxEkX*V%yaNjTD}1bl5b9Z?v58!{ zBt}hH%!&43xH?2P>jcfb11KEP_nUCnCCY06=`7kb| zNhU?C3;Nu<2X7u@MRd-{{fqPt;59EOTblHGSRPW(%j7o$APxb_#ndU{AN%~sf4<`n zqz%SU>(#!slw|H6C6p0!cMG%$MYsqPtW|SPJQln!4bV zKLWhC3V%atS$|R!ZX{tX3+AY!`J^+I2#zg@<6nraxqWFg%P=~PV{FZUQ;c(~O|=p~yZkz8 zD%{Uoa|I1G9}OP6Q!c8$_ZV25=rK=qr~)J&-R*S*H#FC3EA4ONYC7$0?Te?D7rSiz z+lk{2q(_2vP*ll+P4Q0uEW*g*;c)-qnhaPI^*v>Sl}&Ghl$57lxJQINEpD2fWhb0_ zsM6eAU9Q!O^A6_nohoHmYVypf!^z9at;7Rg?>>T?g+)N*(M)@7>v$xQGr~cm7;| zeqopE-IsPzRdqpU*pWo-;`-GM@$MlJW`?h|HH^z^@hir>V0y>Y$jn70To_l58wP1z zaWb@0Jd*azD(95=%OroLw?SNeGL6)Dg0)cp!G4GnHFZ%JBI*%RC?r>FE4vGP2Jzj! z5BE*_i-DDHFXg(h@M#+q*&kF$_D8^D^<@am{hci?6^hmi8ypT-BTTxXmGGAc676p% zs9aF3+@YoE;eT-U+!pFrvIwvVfKk;wpTVBBZ5uibT$28Xw zu;rP9>2-dx+Un@5FTN;q<|+a7>!KFyi<`RI%A4{_>FJ!`aZa_L-b`1D6}-SIKL{q^HJS# z8flxZwR^avd7k3=2A=ebs-f>r#>+c&!68N^fsrP za6oqKJw=%sDpcbUM3rPE+3ORn#D*oHOi)Ll$O?a0?Kk`)1?`QKPNB8bl%!i$5~MXH zBP}e$`C%!QMpVk|rKsv(oHEnzBu2YmfHsuO!m(Mh6_GPHLo#EK1CUac$+Hn-objX> zp=>D8dMHRztwfnlg(&=0FdAA|G%C6rclRsTx(ICCT)D?GkWOIeN149lPlt3g)$MCBJmo`#Bf>S05Nn&l0lLb#MVW9#u zl#HeihhrxY*`NUp9+1zl@uyXHty0d@Snr?h=o8HU3bJ z0_Wncy)rx>!M#*@N!JNd_=4EUdA!T?^d$+SZD;kgY30n1wHUR*(j3pNV6zKML?PYR zJK{pWiye&b2jK3weCupwy%ABx!kz+8Ds*qEc1ndk0#U|LT)l`(;pr-~wsT504?I5~ z!Y8!TxU*T7pGy(*n9~;DS3I_L*d-6m;?~rw^LQiC4ZZ`g^AHRDh8yA<$#$8$6T->g4kRRQC<}ZJsQ@Nt5fGr^lFIvN_>om=63N@d_HP&!L$^31VbK;u*>fCn&n3aNbf^crW1E6X!Z9B;P{FbqF_@#V z&V@t*2RLDH!6$v031G9P1LqXD1Zk;AUgM23K&cq8u(2Sa8#V9&J7SqS703oT7pfbB zZH50WuntSR4$=yNCgf;_RSCjqfuy7~<1Yub_SN4G)UjR-9w`EZwM}!h9c&WagN}KW zpuZ2n5xXShydfgAIi~#SbT1#_x@ZF_JBI!DARrIHkw7KfzbE{)irWk+7T*Kpz{TXJ z{D}9rL@WpZ@=xRhqvRq~mi6>CZPd;RnCyk%kHz3})}AsLGg$_!-h9^FkZjqDO6X0s zU{rL$O6Z6m4i(>d^pK0FdV78lel^BXH{5NLoGrF|`6l}~t@3-G74*L8YQA9&XJ_yU zZ3Mu03I6zv(r(KV4RQM;f`6|n@-`lWlLy^TZ?4y&nQY)Yi}%uGUhs3g2Hy;z;G@Jj z^Ee3EMu!|ef7E7=l_Qd;Y=jVU;%*Xee znP+F%9){HTH^jv$&Ua0MjwTk7*syOeoArRsFovJzTc{P@^x6inX0VuRIIJoh)Ghp} z(-`<1*HLZMX}uQ`py(BIKfPJhEF7B<9yKbq9!@9K)EWK8LlZ{ZS9*ua8n0}7TbV9m z3WhtvpSqLFcS$}blgk^U#pp=~P>2V^vWLtr62>4cSKmC0@!Sv5?|^&(toMXb(cys2 z;$!b&ML8GFYi#lq4#vp{dB+`OIi9fw$yCC91$J&H)vs)0z{)y7JF$y!&WDH+5L6bloaSxXKTX zYW_G9Os0XxBjCesxM?7MW_Y3!VvYcdz?1YRbhk1ONt#)mH(NXd?hvfpwKDkC!ZDvYCDE|@y`RDH0cMRPYOFZMtb*E-MnP3pd#SmkpMn8037573KH(08sM1zf-3*1 z;ghxO{mE#(B%vn-%1_c@0-=iO$U&Ka+p=^xXiWd0Cv{=@`2MF6Bsn_rzeTga7(W5L z7WDeSZVP&bzh5N4D+_u=a5g4ZAR{;}Di{-cA`vnfKsRyJu8$B&^zj)sJ$nRYzHN}b}=>(BatwO954S)z=}=O6Zzap1=? z(U7WyG4rV|5LPIqt=58&jBr}Hb_iNQ&?cV&6Cj`?o@(VcfzNnGJ}M&?u$5C=WCArO z>9!@~w)G7+4SUW&mW<;kIy53=G0C=p0g3k6gexAjSi+kJ`V$s-VDBLocyS1L#`-c$5G1cc=xV*tD+<&|QcsWi3gUG_j@LwZy0CT}wNIL@nrKX=nqi z-JqrXteL);4hNR`A0Y2v?*!(Yd@^-$<>+uedn=zp8wsWMlipWaC57iUBD$ zXi0$vkhHL%3_u%5+J6TdxfEI`V9^FG3m7ZYztpn+L(l#H)PAzJ|55w5Fa)iTy^RS_ zZ;~b!lm!@PL5~L9-lPrtd-^}_BVdhB(Nwra8w3hGNuq^CVE?Z_v$Fn=T2UbG1x+aq z>%XzO{}symFX^9#^-unEg1?4Mx%{*%E5|=Fn?8BDC#c_8{|?7LCS_pb{Ey@O&4uyT zhl767Qv5YB0T4Hx76$Coz7*;IRtzc%+yJL#24iFVmt3}g7{mXc+|SVcSMFy?%P+Kv zV4vOo$Fu=wG#H?4|Bmi#|3vq{mVL!!`%f7EAJek2{8ucHU5*YB7_dW|N%l|j_fP8e zfAzBcYXttsuxzaVn!lR6pYxXuIPLS9&poOg#sE!pg-=h_SD%^pG1>St@CiXmNRW{P zSZKvSi$D)0=fpec;|C|tLgalfrf#On*+J2T=nry$LK9uQe|`OpqpPclDXLp{(-KZ0 zGkIB=1=H&{_`#oe!PQ#a7W3$P;<;G{+Y3#E-arJ2g^({j!gE`FDq!J8yw@nB32<=c zxGyfQ4t@dnOO5W3g#abFi-!~GY(UGSKkxIrKsy+oYby?dig}p7#k^>d`#KvN0fKSbiIc4P#=LlM>L3Ad=?Z& z)ACbH+=?jcVQUufE8&?G1fJ6xENFEO^0?~}1TwS|zCm=tUE;9?h+C^l0Pd|9uRB;S zIMOHTNJUa?(8pNO*(vrhUcWKGVX(mW$nK8jKv`L-P62U5*jke3-tfd3O<49Q_K1=~8JEB? zEC)I*=t3af(ccro=rLCu5FepBGX}q>=g*EHx;qtZL8KMwmcw3y8oL+cSgh1kAom@= zMFBa<65|C@eQSG*b4X5Mth9PUoF>a7XC+gs$;I5x!JMFwYT4%C+;8Xw~O! zsHgSG_8c>zJmVacmdTfPbEa0jj&MEkj}Sk?QRUHTBo^$ASM!W$KpzJr?K=@noT&-c zE&d5YgKg-{gt)dM)ZGx#9Ss+}?JcGYu5ZLTM{wBo@RQvuxZ<$N4cjeY9ndqo{|t3% zLs139)`i&%{v1lv7p^0k)5DJvKf-)B{fg`riBFDe$bFAo&BWe(vR0DL44-|nP^`dD z#1BnGJ(tviv;Ld_FpXc2`9}T%^5*{@GGTzHzMcAHxE2t*dl@crBLSW&&6Y4YG&=@; zV0R$e7>M^t(%m%T-&S)v4VDb_^h@`QeXf6&I}td+i^Id=;SW8@5w(XX^?>j2-v}xO zMDYphD?A4rJ`SQ@xn8AgZp}aGy|TPB`#}NV8blV@WADfTL0gsV@t#w1P4V7C9aj%c z+ulh&LVUy@g#1jniGz2hTB%{Fh((E
    5nHc{u}4~95PFgCDWMNw@DIODt%yu%&8 zGk2_?N?&!}t+&|>L!JnRclPvUO(s~l$9M_bzDvxYSVZvWhzndi3K6t}(!OLX?Cc(~ z+zBCxI}jy(0sS~D1=ncw z3SU!xAr)x)r9AC=^zpi7bw~L!@CsgD+XdlsB7&1Eo-LHUOFl*aQ`#5mXaEFT7A&a+ zb?*&PM6nv{&W7{I7zAn0>)o~<^Va_<`E~yc1>gev7S*|p7$AZGLc(h~Vf4}c&a0Yw z0)Y*w6^aklkseX?<#U}_!5%|_<%!mm3sy}5e7zS*ntxGVp}&`VF_)6IQd2QTv7L~8 zuRWlL_nN*Lk0lS)PccX@=+f(sQ4h+?WB)^U^5fiCPE>rVX(<-Y0qaN@v&nz8`+V!c z2{7qwf9;#opq>LInAZhjnUV{sJjH#aeTRyXYY$dJE+ybuo^uv` zh&ej34}Y&!2lN)K4fF0qHZUu^04Coboq)gvInDhOm}Um(*dX(_;DP+otI$phk_`yn z8Uj`DUx-HU;49n&rxqX+eX+flS^~nh6gaBRfWa^KEaC-aLo^2rQH+#B_F>c1!E}9< z3b5RB#1TBrpsGLBg|RmJ;8z1S8>AfhQ&Y71X;`Yo?eoZULxs7P? zY-qSlBUNYxbCed5|F|&|**s7tkV5(>k;7a%L)E>Ap7 z&NDN)($-Mai^YoNS{rMFQs+cAx5N9qp*s59qPgdcY+)Mn_GKtoU zRj}^3So0p&^U%EPtUb(IO$9ksrnY$PFLD;YU#1N`a9Z+9Yr!``tKnzUrnCxA*=f0c z9@LK^x=T!hPN!O%Hwi3$S#--O#}s#i3L5B{b40_%)#EN=#6B)T5KSjT7+KjDv#!=_ zCpQQN2kITqI|DBO66|Mm>Aa|l4UxpNbUJlL3+F6qfcub?{(6z=TtXzgZAwE5Nn^AV z6;b)wvZ)nHRRCQhG# zcj7!nDsoH_gevzz?w#wH9V4pmy#hzd6uX~qlJnFjAuS(c>?&j$4MIz>VL+(3Y$5+1kebV@t4U{cnJiot^qy?7#;t@h`LafOy8mg1yb*3tIML()M$EMFrl)# zXQ2^W#VgU6cy4`&Xm@-uH8Gd#nj6!~ot}fj?8uJ+qJ^dAB}Fc# zmbH`_Hk~AwFfltz|3j0W$=~ub2mGvh)J2=-5bCU_?QvTqlY69tXt6pXQMZeUt_*;} z0v>l3;8Dw=!L=}V+6&_Ad%*EUXJ7H@x&xX~Jb*u7d`P&n8JftFN$AEFn)2Boqc=Cg zz}Rd130&xfF<8d{(5f1@I1l~YDMnF)le?uE{A&XW`;kegWiXd_JKX1of*`(7Z89{v zU!X!fK`#Czu?qY+>SmO}B&|bxq(b$FNXcUu06KXr2z*2PM!tqUm&VjVei;)wxrtb% z7DPs?#+bTVsKkn1=uM1pjvp#!5V?#p2>fhgG=@+GZn7&6Ev5k)!T+h75gGwIbjrX$ z#Z_UGy5|KKY#;wd=j9vhJwb{<3H}KO?gb9wB{m~!E@X|PxDa#nN!ZjpQr8Q5uvlLm zU_iigpvQhdFm+HrMuE6~Js4e$pAfOL`{E0W0eue{y-R{tUkJy7NgPcLnHXsNp-eAN zn6U&{{y^-j2J2~);;d0tL9O1&&DTqe- z1*ykFNpiQBkA){0frrbJwriUA%24((0fIR2LKG==5Nl#}FJoh;W=$L z>0a$^#(ihN0y~gI0;%yvW{0V8#@o-^7xP3`C(%DnACOaSG170a4{sXgo*h;F^Af5S za≧rBjs;LcHUIvE<6A(5&1oWPUP)FDWSya>=4&i;NqSBqs5D8$Q2AuMD*#5m2#; zdcmBQvgg8FdF;^9axc^F>H$>U*D4<x1Od8f@r8S2l!~4lJwl^` z0iy$GLSWI-P*uR?OPV^~CxC6!73w0J^wl0{@JijRtUf+cVTB)p!1lwFQP^IWyQY z;F(u@2RO@;>!}-gSMU0uzm=y}iv8HW9$anWdFMz@tX0_5DzOK78~-+MVecfQzGBX4?g@Os zgkp=AJ)wS~eviHT`nGws2?*b8?!3;}*Hx{enq)X+u+Qw0%q)a`%Shgk;;)O-w$Sgd ztgnXQxmdg4JzBd=wy9ry%l(4z$hi)q-6G};#tB1R&yBJ|RC8=%fnF>u2)XtHzWHmi zefKwlUczMu$}?1k@qT2Lyya0zI#Hi@=T_cc(Out`@_-TbZL0;d`-vT*NdS@6yGdCz}IL_&QRaY4eOL45f7qs*|VV9=tpP+U8< zk{5r!32t@H_m!_9e{dRq(0fTCHUXb_yBCg^@3QBSAy6|yOQJ~=lar_yw#TNrkXk6X zQ3sLw2vOXSf*L@rMq&JW_5SCqp-hQ|<5oKExB9Y)8Ytn~Gn%0`O1LJIZJMD~Z4e3n z+-Soo%K9&|WrexZm?OH-O&Hkf-HPDieujBdNA8+=1y|?2lWkc)FpfuHr@ZG?m_aE6=fLrs?E$aN_sb%d0%8%Bzn* zQai>HZO$&p2cqz}&2}1s*vQj|e)Hc|Vg0hpmy}o!LiJjxZ07gGcQ}KM8y7laW*_MV z@PyyZY32juN;~zv1XfG!0$=548l?&IBb7caiF1 zHv1uQ<{~+SC1OC@{Ss=94Q*?)5Akc_T7Llzgqy0oYmJ4(^Co$AqV^OmV2e3=Bj1@i z9$x^Q+CtVRM0d`K>Dx2s)W+RUC8U|~AnvGu6JtV4I8$527{iKh_i8}&6aN;W;dNEa zMe-nchWPWxuWt5r5U;N0PY2!EULvIPY5^!JY^vyPRb^?<(@6pp{)YRbxyEnDTh-gE z8CT(}d+C&~xKB7`UGCuS)?k`fd6l914$nFO)ZeM!SBH(JbbRADhTfwmuIpRs@B(Fb zRYiOJhdB;JF@%UR0>fSjpbJ+@sw~sIKuc77r20<^1xzi+t2L4hnK6k~t)2IbL>)9> z6NPzf5k#T#mqYxap0TQ-o-^p!A2=g${$NSQLCkMP)EbI+y6K0-S_W)| z$6mV{xv|54QTY}hc2l2@kX|N1>I4%f&x3q~wq}U-oceQ;b3yrZ<7DI5Ol!^d0^uV6 zLfHAIUj$Cdn zpc0D5IC1-w1RKb+Agm|z!Ho#Y;ZFa!`2hx{=_EoqMumHj{kmG7qC!1W*|bB5!)+JS zLYsyDQqb%(x5f9k-4xsPSkeMHcZzROQ0zab2-$i?n2^(D1&WGbSs=1ffOs4Za8Y^D z+i@zyuxyz_#KP@^6OhN^?uF#~5z|MX9x!x+OJBo;Y`{|BODB4RY9laq=jOgRWB;qA zA#~eiWxRVcC+x7FvgNnKn(#0wV$B~$>nwiusOTPpAml5+4f-SgkX5nb0By5>CRY438&wW887;=CZx}s~T zfQ7dFJu?S~et_4Ud2-y%8Kjjqpzo>qQ7*l-RKyuX<#*=Wk9gZ902|i3G>3EYP1Lk` z{wL*^ej2(}99#_S1lIa3`jJXKzMIJrA zE!sVAk2Em2VX0ryn}c1w<@6+c3zBYrngrtVw433Na(g(Qq7JYoI zdJUX4*~FH;+{7Oymu=kKZnswkLg? zd)TMlQ3+*pc$h;4sr#-+S?O11_H&nH zv$H*sB1g=7or?!&(2E_;PLogDl^wr=>qZ`cTv1PqpHS1W05Bp|hdFxtBKs!@MdB-^ zR-S36HWD*@O-b%gBr3Ou$V&Oq_2B z#V=idnd7LeXTMdb^XRR+L)IGcXQumxqpF&zKt=g#hga|ANgZsjWY2`ap_g?m*nacEK^Y??k(%bAdM4 z=t$TVEco4!5AtP7aQYaUD+HR=hElEeNUU*k;i9p_Uuq#vdRd3FWIwYxocm51FUeTx zgv1?>P#($GB1(g@(y{v5PBi^lz3?&hF_{3XRDen;5>StSfxq#*=3_}p4olZ_==|)% zE5WGT4I}!QT#fA5^iE}U|m98^9ny!O97v_)h2^+4r7sqV0&g+xR! zh8SXjq^YD6nchneZTr2UDw&@=Wyx6Zq|M_vZ3BKw=e@cgbEle;YP!YKr~#e!DUOFj z4NEcw&3?@mEZ+$I3inl6A-YUN95ye|H@Q@E3#wQ36ZO)4563m;PvT3FPpDp|FSIwc z&8?T{%v>AZpj)T3CltzOiXJmFmtfw9Fkz&I|9*c)SgMCl9|OcT?V$%Qp%f6L$Gwa; zumJ*ys79p|&WHpT$YCrCnVeuohfs=Pg|O_EM`_4m1BgVVDeg?>XNoLfrfbm2(7XaG zXhY?Qe+>B}L`D!2$0ua2V291BXEqY2IZ6WG{V>O&U5j3DI<{yH)shOBLS32RrO`C$ zjG;wfctRC5g;X?CNCd|qnARd1cwI&gkN~B>k`B@i>VG|n#Y#Gu1`^RgNnc+%9fkBs zX(&pPmojDKkV3A7!#BeugNl{jF=}N$zjNg9cSq^n%6E``n@X%4UP#N)hZ+iie-oib z+hDS_%Be4J-ndqk*4LX~l@IbjJyP}!vu5dz{mIoS4Wq8gs^}ObzhWk201{AUC6aQNnT4%aT<=D4@{b^nP!lzxY=wkZ37j0~%jP!`F3U;~7s;&J6*mgnQH>4iG?$9jN$$5#qs zh+1)qUdCLQSkw!H1t)7#%78y`B!pqhD4s~($v)BTfgQ@7>FZQYb(eL3)z3 z0i}=&3U{dl#xqNp0_augVx04#PnC!Y27Svd(ze1}%61-2JL4lz68S-pY z1kME=)Ey8dkc5rnKjoXK&V){;;@bW(EUjK506F&F$saIX28^X466f7hutB^yXkxqve5)uM-!#LmF={{T`zt-o8F z7G10@$y=kX&D$n#*B;MvNwTEzd4GH~AO|#Wo{$%nH5U8E`Het0ag!h40@mO^StD4jvzYBwEcw4r`LNG$ z`4YZ*p)eNgom<$hcj!c~$KN|LUDxZ~%#cz)x^WjWs+yqNnyG7zcHcZYxPP=-93&mi z12W>PPV2d4A?HDRgt9M(_Bfge|GwK*od?YW0i<3B`x#P44ZE{i3p=Z2>#)wd!A_`!zlc~G8AtD5ZQwWB&QjKUt3FCCvQ4mx6O!*bW0+bT?)0E(X)&+n( zVmjoYG?4q_>{9(@DdW=>d5MbBKAJkzZtvGUsLH zGI;<)=CwA*F^mySmI(2wulG2WTAoUT%dXV2rJ5H`s=JmAE6!Smik3Z)4sE`42zOW^ z++>BB`*z!0X^%@Bo^MGJrUQ{94H$yseq2Px;k%N@NS%4Bd4Izx?oe_*S(p6t`CCcH zkLF=OUY!Tq-V`m5S2`bYXn-Nt0`Vaw%}3(5;mFCZ9uvwHE8lhQzEeS-_T zCQF%`QPj7wEpq{7Hq))yJ2#lg?O0lXH+Ppqb zFHHsY_J8M7Jltn_AT7pib68HgJ&KwRi;B}s9PA4#?2|}4A|+4AGSen<)B~MsFQkD@ z?nMne#UuCwd~pvY7W7m5SqcP#!S#J`AKZyM4H=c^N1TDu#+uAr#A$0PCv8rjphia* ze3Pd$F63@&4OxY=UcOsW6PEiht(?$3)$|ZV%6~jA%mit(?6cgn3TE_}9-mdh)5#zo z=k;}4X%%3`WgLh;=u|hblQ00F>!SIg#rO&_yJ*~vl?5(s*^v*IPR96!Keu7w^108h zH-Grz{Ov6>?pi%_+U<3PRi2!Pw@>uC2cO!v{sXMw;M4b=zw)_5vuh6CWh1vg@zBG6 zcz>+@A=Ej9$(rzDaI!j`NQ5H)U-W?w8I=(%hW39MX?TsQo;Nz%XWz zI?OXDaK9u`=h|*g30l52MX=eS?PXsNn--(Kd&6!=!Sy*fM~{es><`Ftb+>*ZYf80sH!v0#QCUF%~bP4m?8XTW;1WihZzfdUYU@Q!m@ysihsbK}y_5^nVETI$X&**0WyV>1X+j+AA$}d!beuZ_UO= zD6AhbJP=U~no@WG9D&8Wgyi&uMt{ayp|cs)p}j9DEEn!f4d26qrP7(Y$|z%y8irpn zDk7Lw8BSINN#sRA;ONLjFmG8b2+XWn8?pI(LGcO++W<~^asyJ}Fc5=nd&4-Oy?hV{ z({89>V0bdXmnXgqKsw37JIjmAPSsXo%sQZ1dVt;7WP|dTu zwQ8v4+5K9zVyHCd)NYmL(154BM4>4#TdL6ou0j1=5gc*3=pT+S3~n+zu;bMO*uLvc z>@lA-e>kw0jU|VWPI{dCggluX3$pQh>SqUC@S@#D+>Uc`H3c<&03P7@EB+Zv0_WxO zNuy(?gTt7(+zzLUaT9FK5r1YgKn-NKNA@D5$T3l}T<;Q2Nm%;dT<^#o^Lj4$+&%b| z2Ydea$-xW$4{M4F+RkS=hQyk*V-Gvh&h|^@q8#|i)rTi-X~;HDhDTjicVoGE5(ddH zw+@-RdVTV4(r5dGia~2uCclG90~V|^uV*(|zRN81fYH0kHN-`n34f+qt9B(qgP5V( zP}iW)&mn0XKkfoXoDqHwi5T%g3k(E`35Hiubi2(L5rcC<{~bMSHhWChEl^PAqBhD? zvuo2nv)1&Kr+%V)>O2j#-F2dvFrA-%F(#m9SK3=i9U)-86;fFE6&2QDzWkZl2Qe|v zA8cITV4lR@yQWXRZGYvI8LQdYmXTA zF?q>2!aMk=Sm<;3qMMzY-J1&UE0zRzotrqH)ehQTjeHqBtDVW?duU^|Y1)0tCg=9N zgQ{>v)W{zcn~^s)w#vE6y)y6ie5o=vn6FcYX$|(e$N;bi@_!3sm1;$V^52SlftNXl z6!B|?YF=JmRLIXWdj3XTN6@T6F%yv_8A2|-~`XL`*JOpFzXu|G(g$yK|C^;3X)9aEyK(DP~Tp2 zEA{ftOMfTRjgdjGw4WEuJvP!}px1;{@aew!(eeSMxeJ&r6>y74kvZfEKU-|oY zJ3y~Dg5~rafQbi1&{^ZQYWq<8cwx3OTiqdTx3x$2+m1;xFY>Za^vZp0b+$S?FeC}6 zj@$0m``Y^2ue8s%E!N+Y6&NN13$kH=46MxNC9hjj?Y7aj`L-3ddu@NTakj9gx;0I; ztA8HN=PhvQZrtK-cN4c8g(JYriDt8TkZ7ZBjY6d9U~0V|Ds1OF_#^yLp5<4~jpA^$ z6qswobFl@Mcd-R#O`}lzx!V9s)>yuK2(1BZRquGS4XiHg$gCBxcnmdB$}AgI@Opi& z2-BNHqYj7VbfRW$G`f-8^p6kPUV5=*>3{4!<{v(sH|DzOHGlbFc1^>O{JntB4R74` z*hg7aE1xvKz_m{{MUq>X5&4Y+hFzmt-VX&vsR_Vf6dW=~Pa-|RczA3N zgx1tvIM^@o08j!(5k*;5V1yw~CMX5vJk(2hRZ&52P8r_pFi;v!aif4zfQpp_>VK!K zLQ;lt$XHXYXDN~|!2*TCJmnYE7h0X67KT$nGOGYrprirfQZ-#6Wcag+5{i}!rmmr} z8t?~Qu9X`slc&^BSW>O9wQK{+u!l$~!l^dHu2vu%2K8icKz+GAP2$WD`c#BRUAMJ$~Qcu3dtl!=aZ;huqeRJSdbQq;Yn0G9QbN^dipcHx(=gq=n<7W zg0gX~F>#-NfAC=F4fa+4QUB4v(V#dmG%#ym_PD@-HqF ziLTnS=^{XDx&(Nbh3HN%m?PxjJp`-vPCU+t=BgzsQ4Ppfx4Ag!nAeLN=xTsm`!RhX z3FN%@Qks}ki|0&h4K;bd+JB60@ry!ug%hfyeX9A&|gojP7cUCym^io+4<>$Hp^ zYcLlI`sM?0QB?w+6!!`VCF|=qzI|rOp2=679vP#(^aw&s40=gI;|ysIxrMAF3}Jzk?3u`6Fd45q zAW9rYs)U}!jQ|IjG#eU+*jzTucCaiPkPqSQxE*!l!)!&4{O5R{+?`q(I2<$2vhZpm7gMYb_daNFJcnM2%u z_b2|(0;1QAf9L(3k3?h*1|grz8_CslRqn+3hT5QELt77UreSXc^JnuFu_?yEFHNTz@Y2xNxi?ALj?--ebOn z_!tTlcS}Q8S{y9rp#vZ=;ZkY2ObPHmEH>-c{u?K4ti3c z$Vk^c4#&DSZ`AmO^RDh&pF3|+X^wdE<(? zvw!RPl9@GrSbyWxmY#QPy=KMrt6%(0=(#&yG*5jAJv9#6)duZK4U>C}`bF|;c{|=A z&@tzL^qM4&b2NFIg5z>$IA(fh2501o)ufv5EA`celA-)ysZQH2y-8l@UzT3hJ|=(R z-iJjPKL)*6@F8f#muz#Cip8J>*h+Js4bfeK3-&T60 zS!^?gnmcqMZOK;l^+9{kc{^(rV_%0Ji;=Pq7Omf~@PiM{U*KFm(wm)VC{G96kDhX1gC<2P4~xxs|bi^dgWuBS7oFJ3|CBk&Fpk>3Ig6-@4Xr8{~@}f>a5X6sjduN86r-~^3xpuCxNeE z`)mDO6`{dwy;koU9J*JyUy@ZDyarv&{9TG-M{+mw*Lx5qW~a1Y#sALx(>{Rz#`-N+ z39x>Jvi>yZ=P2j5=6Zp|I5VW*{Hn!TQ6)!n2s=X1vhp7Wi9*D1^1t| za=N+o!a`!)Ojnq>5|wm}!RWODWI#gmj9QUnd9FYR zi>2ZV;^!h;B5n|gC?bZXq)I}fP|G*)guj|WkPe2GQiUk2bTNgJFJ1+1O>5T-hlB-{ zzY-{GQIR#0dLq`biRnuACsUJKxI<^n$Id42&djoPfBIY)r>(UHqph_DMi09BiGLf( zkv6V_JHj31zE3YOSkARW1_$EFz+leAFzR0C1jG4%Tj!Kn*_0X9GwTU#<|y`R;8`x5 z*y}9fu!~wCe$}23y_!8C&=XHjoEP#)+AZ8K!SOt+DK=gw$i=fR!ZHk|OP5-}{OZIz zfhgIv5?c`pdrCbm9)_CymVFXSSbv@XXI4(a13ZbXW(TEb}p2bmf&A@_zj!(7U zG|`@315!DW&cUF69Yjp(vpw)m%iXm!&?_M@I^*ICrRn@m`33nk=}q|)Ssu-_Fhmpl zQXM~DT)=btrO(+e_B{I|&wq^&Mu^k-rR<&TgX|XW0saBu0a4CnoqU{)bH#kIP%M^c z^=v&SUzp*MC5C4e&<48ggU;W8Hp>dzNp3KLT!~nnD_~)oMwA$CLzqqm1k~DFGAeA% z-oQxUhhfwL-tJ|R*6cf-r|F)Zp;dcrw-iaBwD*f@`n=}!%9id~j(;^kG>75|=6#^t z@0dT{&K){`1}`vwo4gKxw#Ix4UOfOmMeb(KL0e@%2kiU?ka>j5_VEFA_MFVX1lSMH zcV`v~0jDB&KfUCZr=PxM$`!LvPf&UlO5b8xMw^XwMZ#+=*(@BypW+XM zQyM1Dt};OLb04+`^f{@5%Ql{ z@hW)?+aynAZ;%(^rSiS(M&XeB0sE^y?iD=D+>Fm$usj?dET{sCAsuxuxcmqz5I%bTF6v8z)^+3gJF;^s)s8y(vt5s*C*<>0sotr7n zkiTZ^!+1h|vb7YbVJ!q+AJ?N^KI64v~Ds!W~i=?2LeN-IQ))G617I zZSpi&ccaAcE^E#&8IS+l8VdpRVAabnXRLB5L7jG))jJBnc8;|wnMs+uMOHqPGNGl; z@8a32s*H7&w#_0D2ENb<48y%(KHrwyzu0_*^nb_I#c#ZVhnsr?BE&%ujT-K07`JegUnS8lBZe+UdnhW+xqKTik@}*-opd2odViWq8CRsVx6ylK@0Q+kd$;x8(7V02817x#oAh?) zg;Cg53g!|ma&dg-o+O z>}-z@7;P+mgFBRc?fnyHJQXi|Zu!*1t(r*KSInn5Y5bLg#!6iBpt(p=n@0?|wm8jl zZ{Tx)<$6#Nwi`=VX0M7ofF5vf@ow?)i}a*J4LkF`B*kL6fkINh zvlu%wJ?Tty=VGI{rFd>}TXB0aAAc@BRZNO=3)@vpS5TL#4DIecJ^r0LLqDEKLg%5{ z(_L{rX{Pt{WTs^;8^iL`H5Yh&g0+6OdsQWJxCOqTZ-!NS=+er{SYf4?>vKcf4Fe6^ zfeky&XUtnc$A{uNysVwxTO}~g@0$_8F;n9dULZ&Gj;`+t=liw#;i+4`@%lV3<3XjFD7Va*w-zZ(P)7D>ZQC7 z{{`EwUbbWMrV+DWfANu>3x5V)H>9GSJLHXgwsTeIEQcrg5&M$a(tGk1BWG$dpv4?> z6qyNj-29QoCoFwhBG4f8nW~+^}#S(N_`Y$;~vLjbLbw~=B^~L zB`9UBo>{JkqcKvUyZTm^6HpV~)EspZ|9|ieP$Y8vFc72h z|9sSZ{K4rIw+2E<467tV4SyjilxL6`@+PvKJZ=*tQAhCS)M=RzuqCTZd&Pfo52~~@ z&e;QLX}XdpE-j4fa;73dm~w05Dq#kX9@H;u`kEIuZ68qolsRhm^JhPw|4;k`F8RpJ zIs4A{=4taBMY-2uM1QHW{E7l_s>_Xho}qB6EyL+PbnrVdx%Qa@8Uly)Lg zUoSL81ul2c9e*0wfyuJuwYpnCOP;=Qvtcy3}a4|TDtf@ z4IA)#F~Iml)+rK7ybxWrL@f-u6xXseibs!0Fl7Q*`GUxZgb0kp0uh4*OIP8tbQLaJ z#=mWO57!w1Lm8>GD9l`?O(E^d5rw3!V^PXH)LKzx8-G1IA(dIWdc?B*sloi~(p77F zVsrQERY+M{YdP6bdd2}hzy*FaAC4Q6tuP^m)9aRx9FXWrW{Vo6CzA$RceU+TD#SLW z!m8M1!QKgRG@Llb%akz&!`3l(fT71quM(i>_O6~$C(~EiUmw!6ux$}c4DqNE~$yRXNP&U?$9-=L* zRyqeehX(G~{$ShW{KO_X9WH0Y5p~|-8k*Tjx-sZLlq}!XI+sO9+rz_YUGK(S?EU z^a;9unntL|=$7vuGU6Bfw#{@Y8C9%{W~|b*rS(#$ZJ`TVQb=!5r#{R4Yp`EkFuZZe z;z^5JPHZ42lmF~_-Q;I6J8Ql9W(wiO*?$vnTEAh{syPvI&iwi367$r@`|f(_6F?$B zKl}yQV-)>*8zH6&^L!O6OFJ1t_!tgzrC?O<6e;9EQR&9Hnll-nSNA5f*VDkpXf!yq^8d{fd^$auavDtE){IZMn=dwJmN=vr z>(TahT8{C|j`oY!G_ZE_lssl&(|;}ctSueq%(HL*)%*e%|8x6ak`FH%HDc!6F{9?P zqjScLY)}5yJpKOP%u`_AuEF=Np2Evknn16gKv(|W2 z<1S**&5#zR!wKw}6B)i?ZCq-mKuv9T{JA-t{hc|2ed+AkbC)BWN&+S~La9Xb=Yxn% zJ#SpDR2-2p%di|HupG;9>3Eo6u!6Cb6Xpd9?@CB+geUC)VF)Dol{e)jnrh^l7cGDVo&zwjPOb%<2 zk|VQwLcDm*EnVZcO4MJr`ZM0`w{Z|}@+7_ndg5w4Hu)u)#f(ZmM+TjLI(a|z#dzoo zKVXr9R?=D@H~Ih@mX)B=kFAo2aO0F6%Ja%w%EyWv0b8FDP_9y?cD&z z+#zxo$^zxpz5c}9thOxDo`tircxRC{KEZ9JMMe=R>Lhm=ntxNP&1=XbdGyzLG$+V& zxyPi1@ndw`R_h;=zH-ZPUfOG9&*E!FW-CT?FaX8#LTb~kWloT`LTiBu%eg*9L}@$3HuX~w!d zX7KnWj@etEK8K~ZKF2xchu=T-KDln`)gxvAR^Eh1=ZqfNe*PA$eE2zbnA^?y=8fi- z15DQHjeob?dDk6nAZgLmN#-p;B`2C-Der@ z4Ht&vUmX1STa0~NxODQAtAWO}?4R8B;J^M6UVoNoXx$B%*=5dQm!=}1i$O!h&mt1! zP=LKGVR38yD^x<)C@_GxE_Q=CXa9bXi_|0LD7?*@Iq{%%#&E9?6T-f}!hVr!^I-s3 z80JmMJ4 zE3znXP$5P+Es6{h!#a?(S~^LGZ!u&hoPTS%@2K-`;~w>~ff<|B0!+ONz#n%n>Zbn_ zq}4^+mnpZ-k5%BZ-lyq_*@Ycv&zj$-&y;miKUcBkQU9Y+AhDdx5J@gzojU=b8N|~m zDk6xYf<#UX^KV-KPB*=2BefQdnX9#FggV#T!Rlf!>=x;^9Mu1H)7u5FEuC+(Tz^=M zB{R85yKc?YRF;!uyWWav1SHK4K+-qmf(Qa?N_GDubVULubchduI2x)_1CMo z;TQXwFAcgEXVdwqjP*rNt=1}QAUkxGHQ@d<^ATL~8CG!%#(%@b<`(l6^HcLPKrjdM z{dvTqU+UN)=Q=6tOPwVBxqtUSjH`@ngz-?q0J~$-)3x{?0BFoV0MhQc{#Q1gabQvU zwUm-A0hfca&Uw$gJHUPSi<@G>3T7s=hIq~w&H+*x})Y0b2 z*KfHq9i{b{#WXRk7H0U+GT`9pdhnRH>L7fpR3SSIr8E_Hee0`I=|7nNwH{R$*P|+| zma5;YDU}7wwErIeV}A?M!1n*UQkHM95GoVBI1Wa3AYBo>$}GZx;`tLQ#||N@xkGO( zxwADqA8LXF7oyPU8_et3v0w{&&|<^ZD9#dzf_RtRVUy{9uG#NUJT@g}v-XW>*3x+m zr!=h9_zkn6(q7J;SS`cz; zX-Tu|lK-C-vG&_IA{%fZYs%muvvR<=Og60AFp&O-CNq20=IbWkc_;qr+$U|DHz&UX zEKj8X%f~>!@YuEoxcC2S?_0p*sID|`J=EQLw`5zEWQ#6a*27loVOh5Pirp=#WeGiz zWLv`HK`nL5ZhtJRJN-a0B(}qZ2{r*t2uTPVEX*KCc(~mPAcGm4Fic>wS-iZ4_P)1wZ$T|csb(wXGw=Ta{Zpv(0eC={ez$O)0mMpCsNEt}mow;k#z|w)j zT}6{=lgodf`hMmIi!*XW)-rm~NKId&KWJpmwtt%PS6B+J$z2V%mFDE|gGQ-$W3y6d z(_c4wKk4m)_pW%kpyIQ}>jl0PU)snb-%?+}NRespfRtn6*Rb@faC#3bd2^|>*8kNF zPe+bR%J~}~*eWSA@80GaIrb&D`>Qjfa^8;K+fPbXNl3Qq_k8=qX61VipF9;k{lHVS z4}Z8pJgT;1`(YA)?y#CtBy-BrlpC-u(X!|^2$sKeF!iP#CRwe=#D3h7YO2R%XfmNc zr7tekxn0asJpL9zm)edzQmW(*8*?+Q>Di_GzvSK1jcp=UtOQdG$b*xPFD%p}I z)jJTQw<0jfm@Gk0wa{av348XEAsz5_VZkMlzr8ToqI#H{_D#P%0Ev^%cR9P zFPQ0|o6swTP=1Da!76opFZWdy7Z0ZIz0|aI)@qtxAly1#H%H$UT z2E@Ac60VcXDcGM%<_+ssELkbtHB`N}d*+xjHgn_Mk>%3Vf0bShU>hO->VJnb_6N*- zSN8hZe@L0cy1Y_UiH(x%_*{BUT6JMgL3O>YzUJGjCbPa%@QC)ERg+a2sj8{CKwVl~ zqO2(@U9qv|YsHt8Ulyh>UtU;Llvz-aUtFwJSJ!OZn3-8yU0k5pH!d$!)KaZTQbe9m zOdG3wkBc(lJ*RZZ3VmnpaKV;e;^DOtU|bZM9iXSSPHil~%j# z+6UtA%oc3w_Jrq^r{J+ec5W+YDOZedwJ^ZVu`;1 zAT_J55cFA4#fk$zurQ!sqm`KX>vc4~h_6q+x?iL(?|FGr{AuMSi+`6Yro^{XPJZ_b zp@CP9&;0Z81CKr0*8cY||MkyqeCR)}Dx4|Zs8!#0xwO6SI#*-6W3_v5+|zJ#%ha2n zIndqyt?>u${BOMj54GHO_6J`XnDEa0*0FE%m%p&a?o%>0I~^O^8|`)1&Ky~Lyk$?Q zZY!-xA4%U;{rueLihsH1J{_t0H6ibxj4Q&u`X!;NhWOb}NknGON{>kN8x7l^PPL?C zmq9LGX8I4(#`Ltbpj2vJmGlgJM&cRxw=Z9!r{Lea%pW4t<`i7_$~A8AWXNWEtH^>4aT>peqkomr8#@;r%dqH3irM5q zhDpN-R!F5pmCYrzDZjM+GK~oy82Y=n`uhk=WZG;?GL}@?v?fJXN>WsEO{pVWSj;8Z zQ=3v+EP+&sZ_1Ql#HJP+_ixhG8pWY>D}$(~N7BDmsvzy7C*oIHNctB%(ID2p&-a&n zj4zZXxiQBzn9-NXB*FW z(5y@EKvt~Fk?2ELY}@h_`SMP6?MFXX8l-gP+28;2qkrNLu&{qH`;RHVO?g958#jv& z9J~HktNC|Wn=D!Jf(bP zd1bv_*|%;|nM`>s{jtm+t~PDR+qYESkh`&P(=|$YN%`vfEM>*CeDBl+T(}xHueE9U zn~sc)u74w|q*YJl-)>2-f`B9St!k;-f#PZ^F0XY3u(No|db^OS->It7-r2t5+dWD z7OwWxFShcpI{oZR?=1W9!;daog(b-ERewvy^SU^ix6O2lMyvSn!w+w^Uhy0+{&ty= zC2zvU@Vg?E@h((mG+uGv${k3@iUn(q{5oL^Rj_!5#&eMg)Cb{0DJs85I+I0>;5veyIu z5$uehEHCAq*$>#$yX2pUt>SCg-+#%%DyjwiV{VgwfJmWd>3WraqS)bD3-vhSK1<^> z;$>lxR~ft_w#jybSH)s^o581ueECL$H-W#^;LXA#f6L%4SU9o_K2;o-XAC|wOI2=< z$Apxb^OZ#0q~zXj@Ul>IA2fJH*m6%9yeiUiA2;|Ek&*j-gExWyvB8_g+JD?P4c;R1 zbM7_xRN-2bX7HJ2c~KWMOR9ppvI?&=cvTb@-oQM0E3NR5!K)&#@GqDrubK+)H+WU# z7M^6@OnxgoWAN}*;aTP_$j>PJvB9e%zwk}wF%so#3jf96b^q(U?th)v{jc-7|8-vX zzs~Fa*LkxTD#|u^-TykT`+r~OGqV;KeU|w&YJ0_P29LH^+{=7AeW+dWq`|A=nu-^h z&mh|>es1uvr{W#vvnbLk{+Ge4V!1t)dHO=&TziSZLyx_l`9eOg(~ zd=dBQ8H1-j)%(uI@-BlXc|B%UQJ+?N4IX`3srz#c`KR)j!NWh5_b^|{dLA)&(nELf zacMK>e@l}8ElKUNB(*CeNj@V8S) zI)=poL-tyn?ME(^M)=pH;%y>7__!UTpJ>kr`$7{9I3Gd@<$t=_6Z6}s>+u^c)wq@j zN{6|>NmnFR7AnGJfd-$js7R6;X z&JQ7n{1-^{;9NVXJj}m1I0`xX4M38l7BxU(6y;Y&#pWa#Eg_|ea!C5PCV!%RtFgXN zn?i|t>rn^w)qk9h%A}h5=IM@m(ak=g2=s7^$!7=HyCZ*6^nP4&E)ttqb{w)}=yQtI z5&ZKR{Z`5G<%iFG+?q}<+0XX7VJpR7H`f*95+B>%i{o7XQj6A#O=2UW_TwI-zKy_^ z0QaQcrz31{m}7K+<49vK9OAgq`#GG5-MBR6`FR9UgnyAuUbfG}asea8?d;z%t|!1& z>)2sKi`O`Jv+e-5;2@;JTt=uNwj&<1SH@r1Kugh^SSu{xOW14?g3_4 zgc%c`Z}fWYiF&SVf!<@|?5AO#9TxK0xY1G{&l{sWL&RsYD}7IxQRd1azk1$yTv)qq z!=LKgZayZ)uH+&m$u|nn$^naWBu0YCHFgn|Y5B2Y(-*wXYlr<-B@PJ2zwBUCkv`T*m>P zo4wqtZsd`N_CX?ER&D6K;fj@DwK00r~T-&teSLkSu>(Gi4(R?HF z^nQv*jhFWXqsE#z7u}z>UdN;IlhyGdVlLt;5F*n$FV$S^t+wg95mKa zeShwunZeEe*ZVmh$9h}^j2T?7fz|S+1PV{tfyf;UPD--EXWp@%h8U zIT83qA0u^6)A%I&Yr&cpE=OAJ4u%#>J%< zp55$M%x-btUx_BK>GNrFyW@7v?I`C)&41iV6z@Yj&-Z?=Wh8O#O^OhjxAYzgLEGF4 zt6M$9ws?(|Dw62SvcSVfs&mdSH$q}KY3&Gq%6zQP{2p#We8t;5Zx(k$qn~G-g@3Oh z^!;Cam6`KTd?lOnQSzKJ9~I)cLhq{~qumRyx$aNs(O{zWA&$~9)~=5tedSGF(f`y4 zh_7!g!o{Usq8Vqqv0CAlg&XMNmPRBAW+vWMGoWv#n85?w6i`dBGirp4AC+n$e(#smhrAw-*Q1Tpb)IhGo;|;rgqoFEi z(B~d)@Q-@puGq{u8h>SJ=|8a~Zhz1PW~L2ros zMjoH5fZz#TTYuOCUVqQd(W-A3ekq<_)!&(k#GP}>+w=E zNoK!yG%(+z7)fJ?3~}lZD1?9Z`-Xh5xhgZ0Vr0ZWI_l>TFkEfdhTI|8>K{u)cDxhH z_lLuQ&DGW3v8r+30bjuD@wuz~!F|(T_HfYrHpkM==oD-SdYvxpLmX&dltl&I$3DLA&7sFHrk}Zg|FH*G7UEq==s3 z{qEpCw3&PiU!g|<*Ze~moMYq^H%~0_IQzp}K?b=)AwNbA#fQf~965*{aqHvXHww>` zlg4?i)qi@8ndPUe*&>gZhPK`h3(9HZzVLp^P6{`>5pHCCym-`yIMwSWT|s?rMGZWN zsD*azpx@&gA?js^1R`iqXg?2Fs2+;Y;0;lx5hZA0H5wlBVzPz?>TAPG3!17AcvPtm z8pG3U#Q1*y!B1%;4TDH<490j_fya*-m~FYyJAWLG2iRO3BltZ&9(bGe5O)vxZ}KKB z5SagH$gn*$$O3bbVibk;yV0s4@4S)gPHJe7st<(`Of>sq@ahBo(;PtKt;MDFb~X3y zcJ{cm)?TfTL2YMiXLAo~ado&l z`>IeY@-){joM^o*&h~b$%DEHP_pp79U4PvJJ*_)h`m~m=_9hoH8(gr=+0gFNt3sn1 z+nuc)cCE?T;oRY3R2Q`Lu$W=p?iLqkp*|=6H}xOL1zi zXXL51iVnKA{ZorG(h!yhXF=W;lu$Y~Fr8xQ1)<9M{X1xpLvOPxtBGW?lsep2PnZlE zogmt1AcbFN+K!9_2<7i0p&?Qtqci)-DsM{X7D%5SKYE*CIuLS@gyAuAHUc-YK|#Om zPS)bB_x`blt!I%@xqkcn;5K`*ah^Oohs~>QcdOGeee>}y7Q@G`-?4Oaabp^@?xk+` zsME3KbQe51hs~!xv9E6TJl1uD@-Q)n&980;yy|pZ-Fz%XW&a^3?v#HW6QR%bAsFXm zzoMg#tjVT%xthUkKY$re2tQzr(BFFXb;rN%5?X(qRNhbNXC%x8?zi<7c65&D_Tx=% zGtl_j!uW?%_4&FA(#`4!CL^C;-ORIYh4zNCu7Nznw^G@)B3ni5Irw9-x!j*(Hp=V( zsWiRDdef$vs#A+iB5?&owhmv)%D|012;QAOVcbxP;~zjhyk?suiTrvwN;mW+{5|^M z_`(93QJYK;9qyHrStQ#r?S1$}G_IObo>D%%B1c{>HQZV}Gj#-In(+ISJjp~))Bi{G;A4OmehF8F}~?vragn4T8DZfTv4v5TP!6Y{Bp+~ z*#b=x)D708IIuiJ2`^mJM$IhkI)}rbgn9#cDW1f7>V2G&`epyUT!vi)6;8kWKL-dT@m)riig+a- z5gM)tJGNnSe~-ozS-Tps^&T^TSkx*evheA`5Wy-?T*$&oJS85Dmtna(8gP%EKrPIkHl@8Uu!L8p(R{Bx;>SXtcH}*P0~S!>BGfX#F^!?>Q%_5nSKT zluM3`H_jMO;+N4AS5WITzLw<2YFUo{6qFR6EOL;3E>dE)lILJf0PE1B7AyqTnaU^*@ztxNlzhtR|(1x)4B^`!iggo zO{r8FMq4AA$(Er^uo6h}k?HN1LGq#U?saVY#F~Nf7AFW;PXQkVvr9ME5Izb+{Dhv< zEjm9#^qx}yIywJ@y@S1!&m7_(1o8>ruhXwJYjAJjGrR;Q{VTzJ=o+`buhjGKLV&H{ zhnnmw8ttVRexHLhqGtS6IQo^0y=q>Q=tJPWQv>09RX`=!Tc@{P`4=C>BD<)%;e)+u zcZgeyF)eL@E;C@ND{fLTbaZlLGBhejxrT;`5Q`aw6PFpugNp37?%Z;P^NI4wK@obI z+^8^U$j7?WIf?eg<1k@YeAm8wDEUMt0YCn^@PUAZaGik4aZkC%Q^}FYRjw{GNq&eN z=jkpQS-j9lsf4nmq&!un9=SrPY=MlmShW)lWk?cpM;+({AN0%}4#gvZnt^%Jkg15_ zqR*H8OQ(s`@8I7ehyy+bgHsVUHOu0Vg@}Zd}myuiNFW%2rdIf zESw<9hz$k=34{nl#1{#a08HSEFEj&DcY}B=G$ZsMf^Pu=UzrTQg$fct`e7Jpa& zN8IXn2npn2huVrcgHOYYuzy>NJcRsDox|52WDBIX2O8=d0s)Qy2gYXwG%x^(Rp2j( zBDe@Vv8C^C&^*XcEV2Ktvk?4e5;GV0&lEZ3`#E74K=i@}eut(eE*H@7s|GVL2~2t+ z_ZJC`5YYLuP4o>N(*X(i?+P&;m;+L1#PuWQp8t)B03C=UXe`{<7LXx`e`P;HbdZ1L z{38R`;K*vu|NIBY29w}kc#AjPS!Smm2vyL?2KfRaexYDUCL*Yz6yFpH@*+Pg5JV%P zFa%`2zd#KAkx&F=Ko~*)d?6;|uqT!XeB22Du4B%zo+6aGAgn69RkX%$LmydZ`?leW z^uL+?`l%8z8;6vS6#U{EK)sO{6pjHoPtJ_9fDvmimDUh?yFIJRmf z@p(ENIl-l#vvx{(D)?j+M4d(~Zba)cY>t^SSu)u=%%wPOTFv8G512R;4isf*w{7_V zyq+s|2@MhZ)rnQt7KFQbgDl+`z{N3>_#pm1opr{_ssMdKqIf~Vttu{~FgLv9=?aOG&or8*^S@?$Wc2NV2V#l|FE;T~-8rwklwjNRVhEnj@YgQYA_v zSmLmQ-+jTQhEF<(9f?&Vuuusa8tnu28QBN7IjGH~5|ru9V4qbZG&DKwCkIC?1&WMJ zbO|O0MuM#;RE{te#oCCDEN)kKa*B-_n-pIQ4=WGma)&Ux<)_^IuxM?_OiT=-5Ol@}5#=P&Oj4RRc7Hl<3 zu0#9PNlR=N2SZ(k`ISwb*Ek*me~%khwl-KvXHj>!Pl^k12C;71_EGkE_aSf4oN7D@ zpRk%C!nP@D~BKnOLX=_C_&}Q8%RHn4&BV=EtIwcG9N7@0^qWto%c6w6xAkoc%Cpv!e9C@!C6*@H`a4z;cC~uhbThBKyYDuN zP7hh{%<;|(AddOhpXme+PqLFuDNh&tN{|MfB|ZyEvQ>D!&0#r$kCC(x1}vz`{vzK!Tu&c4e|s@s!MpVj1y7!vr< zWF;_b^Q6#+XMqU+{8ZrgXG*+PhjKGkTkwmcMQUE+p42Rp zrd*Q5`51Ou*->OsjZD}#yXrA)QX)x6Byj85)ET8@s`-MS@$t_<1S^|0D|PJ>fs%z0 zl1}8ZMx5;SNZyEA>Y^{P&r^%R^f!I_riD zKWauJSTH(vir${4^M%t~_w55=>whb8aj>*!|6ak4LiI||3yDatXSUMU%6TNE($ijb z7Hi); z>=$6kZzfC&6A?|CkRtzXkfErER$CX9_u8keM&l*tIYv4!Tq^ zP^|cXDBlzZV(oFsV+Ko~t~K$pwKRnm=(kFa@3{K+sHQcx!nK|eQauI2k`MpJDZ}iG z42O-N+@X-7B%$2hl4eiJ5Y4hlN?VkBDj|JF*{mY{=mWQB^YK7C_M&KP52el`D9SU; zAtE8|+;)7=Ms@S-tsxY)3Y`nt!Oc4e`AT{S({V3>i-X1}po3u$TPeaXjkEvWi=-s_ z{)grb0C~B~0RCxjsPO-ktN(CdY#g6Lm;UCb&_yIm$BxGKe_<=;|L38xasIQUcv8w_ z>DWH?uK%E9?EmmGrT@>ZVrTs4R<(b!T>tT^K3OhQeb!H4i;apL=`1d9M z4)$+jsyrPN_`kx4JRNDuMhY!#%9T8w#%B}$0~4|{{TED_I9j^G@Gbbk1C~}E9ZDOE zlFv^#rvSC~FsR4}M1S}1)Z%~xn#X4r3Np&Sv7>etVmEI_@~#{|x$f7jYcFOMvbU|5 zEA5Khacz}xWxr4AcGXO<=<=3HZQK>&urDEdx9T6bbKal*2-F?7`I|Xl%%9A^;L)0% z5;LyQk5~kRoq2w&{QXmMGP%FEuyxz+)f%;v?H=}KeeFztFZ(=>pg(eDG@h0A|o#6o8w4SzTF=R{-Pf$GI+wf$hUf&C&o#j4Bn!>(fyNv+}T!qL;# zF2yQ4QCB6a4QEdQUMl_?Z!cllQbz#BGF=5p0m2GM+k-E(;#Lt!`f~*H{j(6YoQLJg zrD8mur*%v+u}?u&cj;kSa|!V|2eG4i3+`;*e23-J&qz@3(-~ zDKr^C-)*)<{iErUlgH^2h8x%09|eJrusA@TW3s~AwqHi-rC2jDW}WMir?{;NHAQ&0 z9spRSUP5llfr z#{_@{C`5Euzmh-%n4@{3vP9fNYgHv79W*fE-1?hwY~77dXL3|^y1U?!^Qc0#->aOl zn3c*EDTq1tr`fO)PE} z!9zCg#1sZ#*to~Cp~i>aF{=Gt>l;%Mtne7Fmuqn0;ukmdNiQiSED2y@w(?b})9%f87`x^*?yy|JRE-82$^}``qnYis>Xx2-xTE{nw$J7=M4t ziYZ@J=-^VUNa$g~I2ix+pQf-9IxMghnj$)A1Xia1*Gdki|7qn2)_+XD|J^{2|7!B5 zvR7S1_g~5$3M&&U3&+2mWK3+$oXrW?I9UHj7W@hnbijC{s?M`}UsN3Xl$Cx$^~VjK z^2G_xu3#{df*?r7%CTQUt!rVy6?GKE^P=-Y{qv&R#+oCfp>sf0Frd&^r;rvLAa4bA z^2gc_AHNp7a5uH0fJJxSymylMER-G}drj~jyiRh?5k!8g%|rM@;L@v^DrI(5&rjqm z4IT+hq?;I=9u{98cZ&q;0Pd!uj% z<9rWOQY*9e@4WVMXF?bZ{Gyw$+V{fzjrK?3(zdP5m0-{Ogn^S9wbtj>B8=fymS61syUVuGF@SidfI zYcmt*0w=u5UZVeIXeY?`J75e4RfNJV=klG#3u3ItyOBMG7{7Zd0q)|}is`jYmYRIW z;R!1<;y%Cd(Dc>|qpa61cQ7^_hoKXn5n~JOuP<9p#I%>W)Hyx_)@K^?3q=^LNHk!- zHdMS!0qp~g*B6G!UJch1eO&lN54j||Ra$mN&cOl%J51$WbW~C=+2aDxhnG+rRE`dbv7<|SRM|s3Qr) z_r}ghtzUG5=X3AOQJz730^h?i4apLQQTHWxg@vbCSUv}kgRjmSnbULmMgh%Oh&@!D zX#9e7hN3VoSe4!`Ccq#s&6{_Jo5!oJlN z%R*_uV0AWbTIN{1r?#gz;sf~RQNRo$h!Ssd#|SHLRjTKeP0QUfWxSAi!r_X2_^TRL zW5QLV!A5U0UuixHHAj$NJv66C2y}7P20F*R$)tHCWRCF7wXvRzxSDiL?mMPCX14Gj ztWO5orb{bZ|pf}4@AhVnnJw9^u+P^!i^g2edqwM1OO0xC|9O<>LC=8 z!LKIs%wC$Q9mL#xZ7g2muF{oU>Kx#*g3z~3+&OIrQ?^D8RPps^u)0QglSwVk?Xh zJ+g5Ozd51J_SQ3}Fap@WD#ljEQfLN~tM^VhT+!O%J5)lPBlVa%9aeq3EiN+F+dMxq zXh)-mvQjz-Uy4s(mL?sOS1VT!Bw|Lk@U*AFng=&}AG*-i0?(n|-Eq#Zly4!PAciejHGanFg;CCHzk-`@n@QzRKkWk{9#S(xfJSISK*11@g7KJQ?hlB?+&{dpsF z`#bZDoDYs|7d}I#^Sx9b8nR~P>4!p5kiY1SVeiUY6W4vQ5kZ0J^Ok)V!n(8@W_*Q) z+2rpjhWHgW28hha93%B``;Dd$P1`r|l_Lg3?tWD%B6K9DG%2cu839f zOoJ}cVYE$YZ$jc2v5lt+GQSosSyltckzv%zJ_8N&rho%`#J|OT2(Qo_0C!gS@nXQ3 z7E|!+_krXb_(%CmT(>+@WAb<6sX~PNohNsq&X5uT^-Wies2+31mhYlNj>w=tv;5x? zJ7L>?9N>X3{~7ZB!^Fmfenws)aMx5LFmn05yI6V}-W{H*r^B7yGhj8+@``6aXvfhG zsb|pZ(iAXdS&odU@mlH#45EI6QLDo#2!X}91{n&atY+?$0c$QoIFf+51h6H#nZL>Z z>`qA_HAm_Uc!K2@3C@Kv$8!S346>Vi??x~x{n790%VIH)$VQ|aK-aAX-rpq<)g1*V z&_!#EcvzWdv#+~vUdf6+G4Xe)E;~osz(p*;xeWllpE18>OupoFM@}a;TGBbUt`FlG z{~7OOM2(Q|=2+cVyJ8O>y@$G*RktbGy>)Pif6|fA;nxw|5!->|Jj)oHwveYirF$qk zMTY3VF4fDG1zN@lZahgeg|zn@d9J(3N~BMaDigs8WqOG~-pnsCBj#tovf%Z4M3z9h zpfC^>bjJZTksgEA_Xv@bODC+}{jMK1@Bg(Kmp5{qFec$8m7yiNU4)J(VruZvX#cxd zzghm<>D?)nGg^4Aivzr~HK+wIjPp0N zH~ZVDPR#GQFpuK%5iXWaqlv?o$~#lR&xA+;Cy;Y0>Z)pqW+PJ4GyNrbDY5l*ga9dV ze!eMXW|(HCH4&GmGv%4MSzq@lD0m&$cML3-YsSnGnlpyIU1C)Z$9GEc(kJ4%cVfn3 zmYm=jWD{eRBX1`Wm7{@KvIc>d0irF&n4`b!Zdmm(ifGez z0k#TkDW`gMjp@QpriB#;Vw0J?`GpCoYf}6H@_h%%5vR|EnR%2r?>!uDeKC9I@#p7W^b*Ry#(&p?CYXxoQBi5rt&`*@- zit7zKJ!cZ!)CP?s7SRxK^h!-0IEfwI*{}&6%=2r{xwDplA#Np2<`z<{bL(qSZL^q5 zJGALEW_8;*RZ%{VqIzTILEr%0wQfz(T*C$&`{wzeKG~JSCW^NXFk$S-KRoLGmN}vW zab7?QyHOI2c5^(H*5YE1(XPdqG3P<2V60eN;xUh93yoWR;C8&NqoR2O4u%kV@9lLx zblMxrH}U3co^UP6U)JNT-^QGIPD58jV`vVU*2~Lg`Ia^lAJICT2OK)e`N1Y5$_6%M zu5pookK!XJgKbPzTE@xDr)BXFy34=l^Wfd`aWwW>X*<& z1PiB=IUw?p9gvVgjE->hXQoPKa&$6=mzv9UrXI~?H%&9B0hjx!K<{T~B~Uqa?9A50 zz^7!6gr7eSraW=T5Bze~D$lgWdB4R{&R(%$08_Ul*1((_9>>b0*B;-x1U!`7&Y^jw z%j7cDn#U&yj}l()$nWG^c~7t)ZGXwe)+7{HXd3ZZ*rH10uv&?UCMjB(R-~?Fp8P^l zZ9Ysp`{7SAPlL}{7L&Kug3JEMC0=0@L|^j_5!9B{{BfV66R@2z{jTpeU!Q!2~vKtGW(ZH*Q`1@gA@3h#jt-~>dYOn5s+=M6e zB&_iSZOH3@Mqrr550p8RW7$LVHvZC-qvYoGP^zM)NEvhqOx$JSuBlQpzq^Y<2RL7% zeL_y|LXj^nyf4HAA!Uy@aH~|-s_wxL@YNxfu?;=PCw39Bfg~}J~V)w zJAmGz_xR90W99biLT5rPXux6sOSI6Lh*q`!qK85nF%er%^nMpL*WQ72xY1K~TiiOJ z58kBqpbb@v5L_A}#sc|lC-7^Ft>4{+2WKDy#sE)TmMjB|ZC1>~MP`iQ0hknznVpt= z_#IE|0VjU8$j_yMvalVvk9wl|l>r~#ejl8MvyOo)zPigHhSK6%_W*aOpG&;zrG?&H zxGT!;98zj#TbSPYH#ZEEfjgB%BlYHB7d#-aymc3u!wdmy0Ks$+w6;SF-ge$7e;8F5 z&=4VG?loQB&$SV0ci=i7h_MsgKYC?A`H7R-qtq0?((}9;82Q*j_vX4P%T}`gRYw_Z zDv+9Yjl)wGYZ&v?kA9ZzTtOc3mGJ3vVEKNIh7FDM3NU)+x17(qIlr9I+*pcSP69rl zaGW2vi(^`0ytOxUg^#H$vQLZ53IDMIP~Frrb2D;J!@yIQJ6W8AY);aHfTM3Mnx@yK z^Au_|M-Dm2F&f@T*Iy(u(`hE_AOTa|KO*9*8#P<6R&BznC1Ltf(#z18e-b417{dhO zK7)JaJ)nt`6PIlxtA>;e6Vjm|hDK5pPqe^v>I}0cO4xA79yvop&#_fPv9huPtV64W z@`ww>_}4hwK|KLm<97((AtlO_Rdgq{<|do+^f-E6TJwl5n3~sRL>!zX zYtWITq|(EErmw?aMe^jUrKK|fV()a(Dt~i7-0kP6K+*qQ4_?t`M1+gdA@V166(tT! zJ6YVDH3o{qFKrSpD(bDlAgj&c}&*kE3&(fSa;K( zc)#4vI*m)gzlN4$UpaJu&DyD2|7hx3L$u487#GNdECSbz#g0)s`4W}{h&25%*8DJU;OEl+qK6!+N^Ezn*wmhE$7za zrV3tJD*_xSmf1rC$|)mqAl^|t?iY7!63SVgm-spJ?wC(wKiY19OOQIXe}lE~S&pk} z1c_rOSsa?T+qwAM5j2~o2t}kR#n*b@E4w-09~MlZXrN@du_Ehh6f?b)Tq2%-@Kk++ zm#p_TWhkI`pjkIrq_STv`x9M%7h!?o!h=H(uo-*#Vr&=~Ohznn0(-Mj*!+cdueax? zNMjevQBS9F{A&*n;1BCgmmpK7yi@zuIkHGO)11czgYbyKc=Sfd!S27abhp|Uz2d5b z+XuWN+|%6>4Dugy9!kd8`kd~^x79B0H&5>Kztb@bj2e!u_HP`MrX*ERwzZu)ycE@@ zP_36ew)bkF=5kMB1CxO}R}vgbBwsSlRctWkiWrnlSd;#`?gSjOc==5USC z&u$=+@aLG_UFU3Pf;SyCulG48G#;?iSRPv(ANfTsCRhZh0m^;Tc#FUNy%>tT)G)>n z-=D;i;38|_Fdg}Gk5`dY!0kBQoFjhFFyA|gc=?5hM1}a z71pymEPUR`1l~{muh6r*oDWu$+}2u7XUuR`U5F15*}T52pI)MEa5uSiVY89G6Hm(i z=D&#yQa1B<6i*l6mNlXivp7}iA3baS!_w2Pp+TubzgpR~U~y^nr!G)0Dp)Aa{Lh8c z8G$?@qMB;L;Z^gWPY{j^j* zKwYkdl9Vhjhee+ECQNxh$1xCpjqgJ*gUofWj(AY(%d(uK7)7UO8>d_*?Wvls!EG{9 zx3TfVi33F9kwxq_`=0zRDVY*tq3|&{@1>S38oBf5u5;Slw!SB_bVvv2ao#?!)f?}h zcDao3R4KD@?Ty(qdEg-KZ%V0TY{?t|yP|~JCb(71)H^ebho^gH4F0*D_ue)RS>PDe2|DpQrOqqQkA z%oK9x&Nk+6uQut1|5^p*CX0J9l>Up6AU`z0XBuPK=3hx%-qdC%nF(HqHO%}>o1OJB zF#;rA=v)q;X#r0mO>6I4Ax#_igfIOyh0d(_9xi)55%iq)M`e#Y?P$x7!{pc{XkY>D zZul>zY~j{JjdKjR+KV!fCcgz$2u!l7Pi+SAa$uuv;D#M9_?l*qitIQ5nA7AE0?Lz1 zOP49H=Z8q+n4d9mJU>on+%QvJg+o4x1|Y+VXJK&l%u7O^4$h`W8)gTQ76Bi{g z;HX50$Y*mORv|_`KK7-Ro#hTM=%FBa(By7 z*@`-{zq0&M@z2U5lKGWdp7Vug%zy>|3ofmhrPEl3Y6Y=U54POJTUIT4gB|p&r{)c7 z$H(D?-2xlEeJC7KU1a_Hu*;MS*UVpr)XJ zUL-$JF!JAE#F4dd{(X23{lXq(VfIMKnBOBsgnA^}8+rIO`c>mf6;n$~uVo5c6Q!UI zaLotC^V8DO=ZYjO#PDSjlh7buJ*_G53g+__pSKxy;L~Ly4HRr_c+QztPQ$g8gjx5L zLPQF7!UPl~$;|nu;|Ribq5z6~7FMP2kyvi5=T^p8d$Zk(SU-q`iPxs|?`pIH$X2mE zAN|k2L*{>kFUTD=G<+c69Qi$wL_Nuw^n#&NiBiT`H`a1V^YoJmYZRx9pMRs9`5sa| zcwC4BLo>`;Fst4R*U$j}W8A>hc)}+{!yVfZzt7C)>)5-kV5c7>XdhtDJ=Truj(xZG zl(sI3{&yJ{WY@u>Q6MWpp5dAjC*uMe@@q!#jDA*=@nVMk8ia7sLROK(`Pxp|$UY)= zAuG8`V)9p;`C$J1ehY~8EXST9#eA{(Y{m*V>^;+KFPG~fkB$q=v-I?0NTq{)Zt%s7 z9E2%F%uPp3%0nBMIL%LWSd?nm-jOU-T91sLTR(vxjW3!1>MiErW~z%c{gnP*J)w0I zV*@i6v(qBiq?0t4+S|&Uzw8*#o6VB!9BFsn^%D6j&!@a;X9?gLT8q z(~6DIOUFgswMVBpT*YIDH!&o76t}P!km#B`9J-&|ftd?@mBl~^Rc)4VS`gH!fM(?Z zNzNQ;m_P)vwvQo&L^sI=`zph05b5|PRkuRNdtg-N!iV+Im3ZMKKKDU|fgJb2)(Fsi z-FFIl>V8LSoki8vWYG7MzVpFP9jgq7Y0@c4xyJ6I&Nu6QGKrn%?oX78*eb013lF@V zewmo-(MHYt>l$iIqaz`}Q4bma*|)F&|~VEp?voPCIg|se`LOc){=^A^0f7#2bUg z(ZmxXdb5zt+qa)d-W9KZ9R7Gre_W6h9!p9TquANriB|>^8z1X;4F?T96kk0tX_8j? z=io97%WkX}Jg%OG@jJLW)c1APQB#n&*I8HBR?o6l+7Vmu{EhNq{M?4}5QzuOQ{HSt z;2GN?bO%F}AxF{FE`7`$yixh&mh<eaM*RX={%z(8}uk$7-jHv z8tD0t@ATM`Yj`{t+pRrqzr;*>yvd*swjJvF&=eRq6xIG8@p4u(T3)|+8X3l7Pk8=gDF{wciMc$|qas&Y`@D(Vt( zLSNCA_x{{^a)OWCCUPTtw<2U5&zKobM)fxreoil@&(>ZOD*t%onnGLcq#C@cw{-MQ zI3yT&vS-%FVAaMf{F>sjv!V**vEhi_1ycs}q4+ZgjQYz=ahDo8*7NPbmZ6qO`;N@b zn^0!Ni?d;4`1Im5W++z+lngHgvR3kj&+_Wnt>@3ItOWe_HxI~5fvQi2vUnR2%Afrq zh5K#SB_J3soc}zs4O^E`NoZ8W`4Vp=En<1OaOVXs@Dn7#oT&>T zwi71NpaWVIxBm@q0)m%9Od*F~=!-eOP~iA>@q%AcE)=iy8a5FvB#2LS0^F)vH{mb< z#^fx0tKTC}W?8PG{6pqf{;Gy@rpzDYC!28{In3$&D}%MvGCLf7{?gawV)3!8r+MnC za;8>mUy%&|)9Ce<)1Ih=iLp+{HOv=wp8f*x?q4SXdXlyh^YC&DET~Voa`Ly=(+9jv z^hC=YJ>;N^%_Bm4vMJFR*~1ibaM;N}xlj&i7L>Nc%vGr1&w44^=%J)qs%*;1B=w;# z@K>W9EfGe;S#4QbF5N#=PkSpmm7&=lVa_|+*;gkvYOw(Kl?+GrWiIRyiquv3}m?BkX5`RRhCq+Zjco5`=gn4%0ICPz_zZi*biVA!& zL?!H}43{fDL}g%7mO+?W9h^%~^{kV`uRw3RGMw8cxx?%X1JcYMaorgm4HGPtA#~_y zP}uyDMqnp*iWR>OI$(HQwtx@`X5jHH&-W=xE`!{fPravMF{RG6*4_fg8|RwvmQYlP zO!wNBALgUJTWmRi)Wctk<>EH5q2=}9RubO2S&)EL~jA|3Kx@0@B9hmJ1h-WR!^ zB%*<&xp992iY-eJ8$laE3txNDL3n?x?8 zV3!>}5wwbE0WtpZ0JV|ds1?#;r^u?VP^^w;*hmt2KY6?D>8j!HuvjiQy-$gNHm|L&u)-vh@tGr~ZT_D8%Q&?;9^V!h0>8A#Lqox0 zpv8iCeIxq|Z9Kpmr#{CV%|slfNOTcL0jmsGK_$GlDlQ+u%83v6{v9N=wwk$87lcB| zw3xq~gJk1NBmTx9S@G`je zqk!l#`1Jl%&c@2P3(*sI;!7vfi~AVNP4;Z2Bi;R0cZ&+oPdPKA$HG&t0OL_<2_B9Y zDLt)a5^!5!Fh6SfYou}4p!ZL8O;X~hdE=@n9!dulKk2z5*g7M7h?ARtnfh}Xz$t?*U{?pPw$9;&*z-mts zMGAP78lkJQzC?tGGC_z$+LT1*ukTfb6xNybqB&6kk?hrwsHHI{C9s%gv<{jjn;VhR!wS6}nIb_yQH7s=_`U+K|}y|O=?&#-Oe|F zgNp}%+37rkrirpd%<()2FPXy(Yd?hfk>ZLR&{?2f&w1CeA~E;f|t1wx{Ut-&+; z1Z-iBZor_n(H`eFetso{#sr1CPSch5A8=pD`sQAz)i1W_gZ8yN3U0~`Z`52b=y!MH z;cR} zaN6XQw354EWeqVw_B6jT>fFYdeC6!enV*_pyI$S1|4rSZ>xKF#mfI%ITPdxeu-*%3 zXm34)u_(T+JvX^RIg)-Yd?9y~c^)1|_mF8f#T6)-EeAKRPICc?@>ZFhxC>zwRp*cl zkxCw92&ZIZ9l*)0h^lEa8oSmIkF>7|V?>Tv(?pFRjUd`0GM<}7QDT-YlR1$QXo|J) z4|`e<%w{`d!p#9+G;UQkV>;>~o2>!D)$6#x{33Cn-S9i!6{ZzT;t@!l(Y|{n5V@+?dYrg*jZ>pO~Z;4qxQ+#=Cx0@R$ai7u96iI5Ks( zoKqA3ex7>5Gre;iOAR%Byi|X`CyRfy8M{gq#XO)qd11&>u~kcLN0I_ekirm6{MT=cEM(4IAS5Xloqk+Tyka#UO;T`qO6w~{~N6tYH7Jd<&c zwSHNNy;yH4 z&9Lp@+wUPZ`UPq3j;ubWg_V8a?sk_v2Cpb#D8VgU(IBs-Kbp-x#5}(#L`y*ocFiT{ z;TgMrVnyYs{y@F_w~V&7w%5z#;7`6qJKfCC09$IN5wT=$Q2Wa#1MohQ)C3htmSbl% z&dlOS#s%8d^^VID)U{ImsqOZa#*CE?TgJ7zkRv*}-ai{&(1_LD`zSTQgSO*>0QG6| zDrjR?2Sid%hvn%n#1(Hne2=O2@z=a3-5bKXbE21q`;}9~75&C`yXm&5MS&d^8a{m& zOwIWpkw4^ETHK)q;qv4fi(0S1sqo8V`oG>`5O=WBX#Y7`w0-?Wm7ItnD@F9mbnW!B zziYK?v&<#jCw7A_`_bmc2?rkA~Bc$FqJbXphu z&BX@1vf%uLZIyi8{YoW7Ij=~5UiJGX&bpueoQT_6(3!Fx9?3FCjO>&8OK|b|{Hhmf zDuYw~oY%oEr)s$DK5pY>Gb?)hSKQYyJdp(lu5u&lp*|AV5;f*FK$b`p`5p_GOx8q; zS*&c%IFjRfi!{Q{o3K6c>+Da;#pfDDDH=32B1sxf5mKF)c+;k0KGd&Vkwu;px#)e7 zqKe-frCFALNIA3{u9|fR+rEw`=gpcvgsii&Gtd~vG)8w|%^uun%gyI@A?qDFU6z`b zD>YRzF;sWM$f;FD0Nk1aGX8pFRo-|r+;BQ?JdU7=DFSn_Et9bC{IneDIMuIMHjtTz z_x+|-KHGDnf9qJ_pJ#fn>}+R-E<5&BI!?3pli?&4hzFv~=zh{|jO|EB1yJVjr})2@ zgWuD1%zDWX(zt#`dZ8aL>}dC3dBr+!)BbsleK+V+TVv}R1%eUbq1qv<;@l35#0@1# zw!g+uwDKao|6N2fn;49?US#Vtu1l3gqQmE|%|K=gpEtfX$mc3Acr{>CRrzzHgKG$% zf$8e&4Ps1Bnkx#2aYpr-3vh%I2`XFakM~~*ICM+@h>r`IoDaZ(c;aLh^VT6-4#A+R zCp!jnZrCa{OukU1tPz%rDSZOwJ=mz zdXA7RAIumkpx@&qd$H5^NIe_# zNS?4_*qdzoddxO36Izu1$@89u9t|8Ye>LUXY`dBmpY)($xj5rocA~()u(reAyrmUs zP7UZ_cE_hr9|lL8o)~Ri?9$$@?K_^~J#HLDfIFP4Sfc*LHJ*&!Dcwj?Wxix zdXY&(?LSLr15Gq_$E=$5Ef^-a`y0X+vg%(+0o<2tuL#G?IGnN)#=lQOxJ7QZ5m?_A zSL`_QTDf!<=0D?kpJ~Mv?GDLHDr*~qahhL8%7G}c-C+w_T4D0fs2>^0S&y!Kz3la! z<>*YW_2-#yi`{tbuAtrrCDnG?f>2M2$P91ZcTdF;?1lPlUB*w{<=X1)WIk1nZm;jR z$_{TPHL6!|Gw8{c%vC6Mp%oF>#r8MNs{4DZjz3$fS1mB|BjK{~u+ux|E6be!QVaJA zZv(RZcSmv2vmE)9#MJNA^yk#~CVA1@^$w&DI;TlrnWZ>+f5tgh)E2$6?Gc)!8`3a7 zlX6BW2!N$?M>%wBR4%5P$8{)4LMp*frZPZ+_k@o~4NH(1v7A>2mt$HbxcIvixunkh zI2%weE1BWg=FH-FynD5Mw|OT30vGz&qyaPtMzClSadRsDxnD`(t9wBiB54$tM%d$N z$8go=hsB#rA1$1SUThfDNFsIpsS<+`{WD59?Bdc6VXfNgw^%Au5y<;urhpLicRG(xPa~X zh&t^{qXaq&>yC@yQ++aKedXA?vzazi({oO|y+LUvBn0UY-jC6@Dti?!suTSRd>#|(2nw@I27aDU zCrN=6{t6>Paye~lTS#Zb{WxrkbC9l5`q0s}g{x3E3%B-4a!`3yxEDVYu^BHh>z;=W zyO@hy(kAPZ;LE@+@ZxbB8Hk&RtBjk$n8dth8Du$^>G^g2)!{D}R12in0Kh$9PYjVS zYRC3`%V#ta=S-#x*&#hpK7CYOGqf39N5*Gsdg?F&3ri)A9fzPxGXQ^$W_MG#Y>Klm zyHaFvi5GQx-lNiJPA|nxWmiJ)oo;-8;}L@JSI6zVnAX2o(qF3~qLXZx7$S|Qp26=| zakTxf=d}4B#$6j5P0;lpL_mdX(6XczwtSx)6x^NSob6tkPPnYOGh}W~)*P)|%dpz- zlF=kCo${b%VQ>Yu^`+1=1r6f*J81;;@f{*$ti>(PFG9);DhcL+AgEQPsEa`hKET__ z(t^YI$pYZ^mUxl1;r$gG&-0y|>3I6I7~6rm(Fn?R>DSw74g1yaQ(#@bbK4O_s9(YUbfWQkW{{t)wtFC|g0&X2tIjD12B!!aS~zLY)Df#C-EmOna%0 z@te{py$GwJ?h1G9sr&rrki>(3cQ+Zg$uBoLE znP)L;;A-{_BP$ZrS1&XvgowoRyFbyjSl>f_^erYS08oqjo+T_KSyX~8QEF*OcnVM< zm`$jx^(k;_5&S3ov_q(01ZXbfVPRVYrb zW5eY)BX-4W`m^pakfPNuL21J%DSu@d{_MrB45ZLA$9mRa<@6G=7PJ#aM zsL-uZM>)eH{$j||95{KXwz4F{y3zA$&T@o^fI0H*VRuEI?`C&$f~cWN_6WZWmWi$}={zz+18A4;tQf+rpN zx6f=Gt=+B93Qjxyr>B+JovxZisViR(fcmXOA**-i3EZ8KMmZWz+(^3CY-WKNESlfz zsktW4s-D43i?jaUszqLN)67{m&1AIr;)oYTtQrDM+p}c_Y9sXAmXE%=myP0l&xKWr zt1N*@B$ZZVRF?^#4HL;>`5Tq;8(z;JCE1*2t3oi${TpFKibiByU|&qv!@-X_c)$pG zD3CmjEp43*mj##cNQN~`1e0n{O45Q|!i5qq#&457^Jolu15W&lSgh3`%}L#i*N?96 zn}iGV>)`1%KWHs~=)PkkyW4nPTcmpo4a8TO2^gX>9{O#-ig`1)8hF7%zRl$oEZ zJEuYkj<_pW`x8u%Bfm=!2fwV#ou3Oz%c7eEu=wF}2n-`W<9qW5MN^W&8Qd+J!RYR^ zX;&2=gI6Ba6m(I)xf(tpc6eTNC(#ht|%s8S_?2j*_)fKWx;BP7`0?Yigir;g? z$o*6}hbGO5lCDX<|6aQq(tT0o`0i19MC4iN`zE*eJnR?6O>(Kx|0)3i); z|LRO~#gOv`1~$0-erqc{a?~>*iu?Z#>b2xxOmk-HC@9MlqR+Q7=tOun@BgZ;Iavxu znci<7S^m_eu%+zQAgkdfZ$2{Tl}pGAKq#A8Bj@lNUOeNz zx!Ooy<}S@xy9Vs{2Aojq)+%=?B*Qgv6FBB{>!{e-mNx6zv4<@W$DY&gu$tJYJDRX2 zqls8;OkaxzuSbIiqd;vkP;33>Z3#R7Dh>q!`E&oL^Q}3Y0`_3>k@09=dwj2_k6ONZR^y zeNM(Es-7~3G>DbYcfp0{is)ksCkev>1@awk&q`nRip$MR&gQqf&$iX;9qlv`Ge_=sJ*)4Y2p3=q9z;VY1eLaA1&tXso58 z5g>65g~U!-ss)iLM+LB32^bi1H(vG($MMt|3Qbkv{2Bi&|2{wK@HhD<_-Fc;gPrlT z|A61<|0@3CGR@`Nezf1!Kpm8)NTgHc)6bQApHMo{AnQM--@f->wEQ^xDAmWzlUlf~ z@wjwCXJ`LL^*z2gd%aeFM-j$v=00OE`UfCUziP%^Mhp9)MYb>9j)SNG$$)7p%Ht;` ziIOR?x`~qp(c&Ky>V}M`Z-hx(_Biu2`vvl$iE1)sE#`>MZFialNw3#&ZprCtbn3>L zOwNt=nC1>Zvj4RmSO@x9F7RsaQ7ReoE7Q-HnnheK2>l*yKuCf=yO5=nAPLge@OaW{ z7i7b4&N=5d23fFMFW?r^L@R%%o^U~~bvZ`>G zmEbNPe|T^Q9@lrjG@I<`A@!*T=+A*pU+U=Z(`4|ITLfZ`?#TTUF}b5%rN-1S6SRw9 zA0~7ELK3`Pxqm(5x0~!nJE6*h%l)$}U@xU-e-r~gjiz*dd&nLUmKuY80bpWMXNyGb z;h{pwP@SOD*}OwhH+kckir7%QT>gh0Sl@3#jqA~DUhUboyvWdU8T09K(?}VY@W^gi zl3lVW>-2#0d_e$ctUj42N~99S307~hnyn_Q(Q2^j^h_ec$5f;^y(&)Y<0>j;Gb)Zc zf5IyE$xtM$B`PW-P*Av>2hw0p6{oL6eewm@4Sdo34Hq8-U@iuEcN0$wDHs%*BHg)T zstS#y=;xvEaZtz(DBSj@C@qqrWP=tIj0nduLBY~gYKB6ht$-+p=|#R(-OzA|?hrjI z)I`i2-{_{re}MVvd_uO1GZds8ut5!{f3dL}Y;ws^UC6y7Ao(|~ zrQz&V7r!|)Do3*icW=M=t)US)hQqrlrh6YD<^$mO01Bgb0QE3PA;GXN5b{ePf5r|! z69~K@Ib1?Fo}~(Q`wK2599}>e;ADn`LrOQE{w%|=x^U1IgmxFQ1B(Dx1^helbR;1M zj7cu0n_R6ru+F|95C|bh5ThUt?jXNGVLV;6z^21;gk@b;;Hkd=PsOyHAes@Rx&PX! zg{MhIPD9_^cbcitkT>x7!8FGQe*n1WRCl1GqP!i4YjD+x-1bvtCLhHN)B7%d_vumE zvl&{MeU+B?mSm^mnX{SX@i(ZX%>K|1hi8%lyhj|S+H=?<}ShLqi#G0uSZ6c zPxVa{Ci+;DV+VN*S@GSfNplpuU@{UqqJt4Z1BEXb3qOTp5PaeefARt1$%1E*&SbR8 zMBGWPL_Bhnw^TD)!1H(k4KqAXmLos<1AZ$n*+7#?F~JEHHAfBU9!JwQ|^#)RE_Y!LfI@4dbE!nwC)uVC+B=AcS^P#xw$9>Ehy z+rsV|T*FVWsqSOW|BYBo&auuhk%f36e~I&w$aT)^BHQ`rojW2gM?Q$yBOa4u_>`zt zA}v+Ko=+rI9oi7WLZ!f0I`b)|L7OeppG)eC1Uq1#-x&!8e(L$;QcYiZnW z)lykjR#6#AS2|7kCl7VHJM+&Sn!s!owTuvVxf{DPU|);Qh}T(J9HXO4lgUgpnT$mv z#nFhfvQmjgM6f`3K~U%e4T2NlN|+FMjEq5@V4|6)KA%|c^>X!QLNiZe^~DwSpfl_! zI59|;2M-31f6yfI>WMmxbevAnE!Q2?9ntA^a#isTEy+StbBEg6xx;Pk{ZEPx$UQB1 zb?Ac+x`H!M6G{Jl!s#zf_jS2bk2V;&24e&6Pf`sRoPocRgm;RUFie(YFO`E{n@c*Z zp;bH;pQ$0%&qH7@m-T*owT49f8mAfIBf63!D!-$)0LlEEdPhQpMFpHG^YK@u8`v>c!&X zsAawrN1e4!k`^bVK8k--{cZf)>SOU^)y5(5A=Qgxi)%KCo1*$yO*D##Q42fkGA5nQ zXo?Ume}Q=`O}~-CpecR==f==)Qos4m54`5;<0!vrqoo60Aiw|Q*bIMDk4A(&YGC0i{e7s zvp!K@QdM7CT2ewR^#YHK^_ZANnj~vl7RAxKf8wzzH9PP|6o(Pp^6HLiqEwex&#Gps zX?#2|$cd1E74vdahly||#Z(TBjiyeM-Xz!V#P_0(d@4|X^o(jp>J2#x(yL8#TvL86 zB>nU`xPW8XLV~O_w|`5pfd)JFZ}AVx*K%dPc9&{(msnJIV4xMR*8O(RYCEvG?*GE) zf0>_!;D7m8VCJ74Zm@%jZY+E^f!K%{(Y*)9pmQ){A|TbcwSoDH8yHX z%+7Y>`)6ym#SuDMpZz_)D7$9Bk68R0f0yK+ngC1VyKHN}t#JYDs8zWG>>2Ens16-a zFDVsq1~s7xh|x(d>1_8r@my(9*>dp$X}M>s+dRl$TRuh_Q#;)~y=Jj{Va+xEJ2U30 z3Wws0XwNfA?m-|ggY=mu!4ln?7UF{}H?YBYdJw~sw8@?@&I%_I-XXpOb?Rj*f0`=T z3VHDAm8UokwC81-^7cM=!eLOrW`&2DPVx$DM}8m(FQOf}Z&4uk?KZG<{T`{`9YEK< zz5j8ilO8}Zl;t-i=?~ zsvn1^8)MsA>;wG%=`J? zHmH2M;s0)t%$yw8J?64-)GqUYeBaI9g6c?}+VolAaFC2bW9oLJx6!-!L;pL0AJLEa z$ACGGl7VC}QFoeus{hg8fA-*hv>)#ee2u>h*jj=ZTX{jVCk}3-4XYN>ao$h8^&tmw zq?`_i;0#zp@x0?QGBH9TsYE0aPXt1l8qG^tSyfwESyLOxSae$7Sj8HRIyPXTf}38< zgY&XGrHSr?#UBU+F%dB>e}i=h1p`45h7b+> zSc36HC=?9(0|`v~WBh(!-5|oa5frIull7B3V}#2nGX#YJ*7??Zctu z%;d7<)5%>)T~bY^s*|cv<4CSczMVXnJOX3gQ_(G%#`!B{BqEDzZ9D~@od3=IE36c zEBidBIcBr3Y0nqe(DE(ZhzGw##cOpQz`ah>KJOMNQXA;!f70BxC1k(mpC2#|Tpb6l zeh|32h;bIu{X8xYk=!~xKs;lSSJWpID>220E0xCp)J4~zxua-0f=@kXnRl6QnSWW} zI_X;XZryJ2OUX2go5jx(W;xk?1alJSRwdQVdWg>*ltY1FQOaFQYNZPIC^AYK=5EE) zr55*f?nm8wf61%T$8PcGN!mhRlD3df(tc3oxru;UYP3Ao(;L`{HoN>+C16xZ|caUx>i zqgh<4f4V{<-YsG=!BHeILAOq~Psi$>huIVwi6{4GTetTBM}J2jMdEtXz3tQ_(h{xP z`x33&+h`WHhx_it6KVy-0DJELJw zY^S$hJrhvR#OUtM9oZ~#eSu`{S@pKoaFpf~f1F|g4NSxC8)H8B-FIL8?(&dk`qa_A zxM$h_T=~_~m-4X`IuK%-j{k{m?2DQlk1(0z?=XMwkD?ItTfnSWFdZm~s&G)P7%46n z$tU4=fY4NRhv>9t9AtKc|b5n`x%=8 ze{b`uaj4` z%=qKmCl4<bu;be?-nI>+?ATl1cyq(8Z@vk#^K*oluRwN&&k(m9<`=~uB?<47|E#!TK60(qxJ%l8Tjs(r?Ksd-x0C^}YYY&|C_)kAt|L>A6PR+ph z56=jl^iw`ja`P!Zor79x;H~-Cf8nVrD36p0+5$Rfx)xh1MMqQ*>t%h0APPBsLMZO5 z@s0FtPn(N{WOwd6mAlaUJ1cLgpuEu5J96n4K&vYQ4hK!M$>6xU;#q`c$$K)e?m6>f4`LU zcL8b2!>LPpYH|uqe~5?sQ&Kwf3!L>0$VvI~$-kafId z#mTGv#`Tk~ir0Calh3-OaqfN8LvcT>`wCe1YV;5FoLM^TFu_8?Du#W*nn)zzt15Mr zhssHLy1F`0N{q0ou%v_-t-a0spHmtTgT4Sv^@SFD2T*qO;gpkIyc8 z)_88kcNy=pY)IapzNhpt{6KOW*=62g-I0FN{94+%5Os4#yt*q!@ZS9!E- zNA7RPmHTNcZ!9W`f6=kxq6h__@!Z#4$w-(6ZG?1@YK#s|rSyTJPF-fG-WKh~AE{g_ zC2@(N%%|R=P0|F-Xy{QbRmxEA(~_Ykx$>0ISKh;-{jOi;aNn=N^k^RT^3n=lhs7-q^N^{txb6;)= z3Qb{}V(PYQwOTIOnsgrM={(TFw1qv|m}qTsdEjst9dpq!7acn(Jk^Q|Kk`6XV^E)? zU&Gsj2569zw25=kc?UOcJb2#X8O1~1yZ!h3hoo$OxNyZE?!V~b``u4;bUg9os#Q;t z8>$|eb=$`uf8RFqk?NYkljf}1w{Ojyi9^0xa`!!p=WN)JH7tAZ!QY(!=%awOh1_SX z7x*HI%JJi>wJajWsw2U4FdR(m$o)wF;~CXn!`2&{*|Ekc>@=f3-ktk9eRe}B)Df+Y zRs+TNt7aHT!PzmRjXqetie*`&$cn}Un=lqThd9SNf2TVaJ6Afdb6ykM>D(6k*z&RP zjm>GnI-^0+CuB!ViHGMYbHgjcD~m43EHB>@*;)L)^)vHft1!(Nb%Z%yP@H1O6_f&Q zne*5pC}y+9EeSI&&ycbbw#b+=q>FWKz1W9*-e<`K7yqGP1p1g@F=_63cN*;bTGPE2Jyj-yp*WoKqWUOgS*W1>6=)})U9>SUf zCjXly8uR(%B}$leat_`hIR3x(z689j;#_p*9PO5@)!J-HN0Kcsl2>_+?dZs|<2dn# z?JOnN(-&+_s^UoS#}a0x8L{PcklOMdG?uqX8!r-pP4f=|FAN(CQHM}0+JFQb4JfB zev;2YK2<&s{HsU-o_=X5kKI}q3CY3*8d$#;%M0mdP)aV9pPX5{Ryb=@Pj@n@t=^B9 zf5kW>q0>AJzpa>Tg z2a1aP0Y`yKLZirotXwW(9V)U10d9+*?msx}`A*{YxPuP2+v#xR=K{H6XI`!;FE1D8 zBBS3|P~i0C=fhxpWewc5*pOSBuxfww>`2@KK zycR1=MImg`=YginrTu-79#j5zPlc9$n60d!-|XDpi=HzL;$>&8yb@+??snmH>1n#* zN=NeFFk@6~rEu7)U1Ir5^RlzZe;=?vTbwyjd7|vEfJ^vs-4SM^eAaRL2^pdXX99U|S_m2a?`3pihn+sY%OSI=XM%fw?t zK4|IDMC_?#`h|D<2kTN}e|(O+CLelhjUWY1CLT{ob!V9z$>*4F(v`p)7=5yP!1eTh z8GphiQ>t}(OZ-Iid;jR$bjAFyPY$?A(@lT<{r{a9?I{|2~7zEfji3{_dOYSq)h*i7vEd_Bko7VtgzV2&RpyXvM;l8UKYh(3i~>J zM9gJsYX{j2ORbFtvJI16>dsRs$vUtQR)ijTKDH@s+%+n!RlTeFSjDRBl_hR+N#Q}) zVVC3;*SoHdU98Jie~}Vsnz{a?U*w=a!rG4<_ohAaOJ6fxzAl`x3!lo%VNO$E3XrKy zk;xC!m?Oo@q%{a~!Y=yJOb`&3zibisHYus{QMkdUwn7}8+YCH z22sq#sjQW`W$No3`DvJVU*e?^g4b^2Z*{hsoB*zi*l)=<`7W2HFNOH(8@}Pn(#cl^e z2F_MiYLzJ!Di67mud0QP!P#G-qGWI9HRU;c&P2|uIg%V(&GZTU3vs&KMUMbCk)3dI zErYB}=ID^Pf9#gnmSxw)vP=BAc_n!P7xL#83l}_LguB>0IdxMyEe(sJG$*wTEXS0e z%~8P@r;ii;_>b3+j3S_r4F(Hq8Ed;*xQ`Az zW8p)IN%ChR&m8$t9g5%QD+U4@T<7K?w z8rIXLv%P9#Y&N#Bu4W-zSDsVHE@N+j7+k&A^Hj6*tb*;{ovZ9ssb=m+9EUc`n znq~g&*;XYg&m!{t$(a&hL~~otp3$8VEJ#OBlgyD>#}+#2-LiNu3otrR8o`#P>Xk)k zMQwc%f95N|)=~yGox)IrvQ_FLY?6S@%z&*q*jR)c>Oc!shKo?76g0hDTZA=Ppc$n( zMZh9KOUo%sPgtRq1c|@}M5Mj4C2vKZIWIe}DXd(8SrPOc9!wo5)54jhUrkaoT<9s8 z^30`+XEX{@(_Z!>ahdn;jPJbTj-5O2Xi8pke@T)k-1(PJv*lTOxzxa_vK1<@xbEB; zzY`+e*_?{R{%P#4yT-=uymPF7YN{X9t8)^ATBVZ7L~~v@cIRD}lSl)}ss6s{DIy64 zAC?%IcVMNomtRw$@F|O(?4V<(?k?Sle2Kz_RSJhoCuPl!T(v@`a;e=ehhvjUVN$6S zf9N&$CfU=**VLPG6D-!U36^2)g=U*C{Z<2v;pJU(=g!TYxgnb#qe9{lA@M{5Su~Pu z(IwKU$vBZhmUwI}NbX3o|Dv-#-BG%ET|=YQVfQvW^eVl@-ZENQ+#8BjTHAXaCgwh? z&inmfZ>>jXYImzFdY!v3RM@b^v7>;Q5L?T232Jn|^6|+xR~%|7!f)vQVxk>7Fr>V^?}| z>?)6}0~FdUf03Rohmp#Pq~1Jab8;mc zyeg-e&C6?G?JLSp!Z@ABT>EU6tsy9tr4C*N77t#XIn%9sANoU=4n}Tz_-gKqL6jxf zpci*WEveI2dDS)f1xAxZT2Srf390iqzXq0t&^Z=QU;&l;{qDAe?6Ii~* zuYRdvJk>bDV89xuuKdI7*oS3We~V6My7#H?{49EW3rx6dt9Rda&(}A6C!o`3>8%^~ z-t)jOwlhDferEffA8fAB8FW@{^4W>C2m9$go|`sZcCe}1q_pUYn%AAWe&7yrMr$F= zeki>J4d9)8mB*vjh1Pi26H3E!2fUyZFm}_TT&3!Mxglir8KAmFG zulRFh;eM8GXP>2qyy$mAe^d@5$yw+c=gyWBpL1uIEUKE?2OPx(1#5kd0T++ly`XjG zcksBq7&K>p9*+kQcZmmrJiR?@d|l9}-p;PoMXO*nRgx0@C~Au$AVn!Cgq0m@cdj+H zy}0l$atk}<$SF#WrQ8cG$^j zWPESk*o3WmatuLdmdNV+61#5;SK`L{-kzC9N%%c+DufH@L8D}34}9yEA2jhL`+mH( z^C8L8JIPdHr_5Dkv)Y~CaN2Wh<-XeM`}+f)M!WZG>n5Ml|B=M_qw3OtQEPWT_J;exfD;5V;tK{j=Zy*D$bT8zIVAic-9%cVV@)}T;9&J04EuCfd!MaSPBNE$g!OOUm{aRu{M< ztb{34xar}Kx&k6lm2uivnF{zypV!BS6UA2-A1HpH_)xL5*w*&Flh~E<9pVg;vzL~j z8cH7t@u#GyF3M09g{V@|3H5@MjAh3?1oCw<8PY~me-vDu9%h!1ZLo^HO;{KjELi%( z>-RrY*12uRkHQ-U-~Y+m*9p^B67t;r_dmO;t>Uh~-@N(trw&V2(i3fOImro-eFvgd zeL3C2~5EEP;}5YAWXR28aTSUT7YBE5>+5TWJYX)|we=+j#Xg6y<+g-@=M z?|goWR8ac6l#HU+7v|ZwpbRM!5i^e+vnXxmf6e%ke|e^h9&-)RgVl3Q`jnV-eFK>p5Gl^q zB@0j}eT_TSKJFZx8g$re=N_RqP1yOT735ZqOfu%9$9^B!_ve@GdaF(aXu_nQf0yEN zCC@F#r7p{$RORCked9^M;$Ko&T#iHO;;lS!vd)A~XYRusl7z$z=*nKJsO&3$u!p zB?`41Y#JYUXe8SF;%1eUd>iCd<;^Use{wgQHNKNLA8D9JcvXJ0)?Q=(t-Lw#0`nNE zUi=KGJ4Zzqcbz@=9umGdpAqFFq~0x|<5gi{na3;1(PXQ$8JQjib)(LtBQlFhlmQ+U zWx<4_(C5j?Ctv-HxJ*JWRVa$VGaEFo&JF(0pi)_R`a598hd{K&Pd@(b#_8{Ye_)rT zUf7@=mY&s;3(}5Ka~4EyvSv!MW>1BWUbf+f+fF?=`FwSx!FSu{tG;zb;pOdhaL?d3092CCi9|AC&z1^lis( zx$!8^`>8YyJ(Z?WhF0L8^ILE5fA6cfsrpX;-PMm3JyP;enZmHMG9F}9g{(if(BwCj z`p}w+NOh#PqhaleE%}!gtS{VB(H~q_y`gSX!{!yk{^81z>TPvTSNyR0!MYbJjt6I| z57(Vo@wfiJt?*Q+>t+}JbbN)XLXl6b=VmGuK_Vt%(62636qgn^)D(qEe^!*PXz0jq z_urDgzwkQ$^<~#r+*o^W{=J2_`45)eUU7Hr!{`zJ>;8Xk_`KrN>Q8GwUy)Z^*RVoT z9jssjJ`YC7DI9cm>@XF`@ho0HleI%Wi*MwOY=NcThm=(X#g<~$tIXaJK(lzu3_i<| z502KF@&d9U#RVz?4+f-xe?2t?K3ikt%JdfuDqjQULubept57*P9ttzp)R{~9!b>ws zUA2GkjO{%u_|wxu+28o-qAZRr>Gf4T7Ir82Ro*6>HdHq3G=%&`pp_BX9JNl+s)<%h zZrW56t=0+gYROHTYNFMWn>N)%^P4pKrP~vJM4mB_%0)6tp9Q4ff2@Q>iNJyCd`u0I zoI&Jg7&ByNFq0GgwHwzpxmUF3sSOrY&YJq#lJB-vu8uXElzOY>xko?3HK$MGn)z4X zP7Bs>59m2dxxr%8W?4B~ty-^_T1_V14aIoPheXuI{A2UKpZ~-Bqs(xozzvKpM@W*8 zdem!NH<72^6Ud=D=z($Dz(Ke|t)ZS03_B_!#8V`L_99^+|lb zV!zK<;&*ubD2tM!H6h1Zxl5jqvvPScBbNhJW--`pBY_)sn+t>;&w< zG|%b>#%8gaEEcQ9T;lWCd~TCZ&HA!DZns*U#UQL8ALV=%)?Vvj>&I4!m29eKSr}h= z&eoh$e>rRpxQ{Mau;nDa4w;!(j|Qj&7b+0(P(Ixclf6z*ZqcRde# zB!6`O$;-&xN^g$a=9Q$5ONi%e}hg^Mn=%|j|d}4kq-h6WSt5E9TPK|hQ z$7UoeQ8S5?7M4UVJw3z+3;p!YlcU7z@nD@tk64?s%4@9j`SX4L63$m*cN+|pe{U-l z3a_uX3d1mR>KPympB~1XQ@qygLxvv10U|&nm?@6|rjUY&#k1nob9zQmN6$+&HKmqs z8PvY?632PGSh1AhUOc8C2Yt8r;vxC?aTHng)|C8LbRiKoco~<)%RQLe(wE+Cu78+6 zP?Z)5+mBb^U?1VJ^tMwKqnmF{e_ynCo+(SS*FyY1GIksBcjy1YHqd_cCT}e9;43|U z=lPw7eZqtD0tKbjR&vrH8!QeFXfC2zs94|9>Kw8(0F&PRbc4l75=e+}7}^cBQfHUB z3vqJjiCU}`dZK?5Px>V95PG86{p{%uskOVHBR0!-t5TgZuo92fuaU4yjlp0IwhqtVMLVU@L_6jDUC=3w2DOrvLJu7Yb(60&^$R5| zqBkw9Xd|zGDf`vzU+dn@fBt9P$Jw9hoE4@Jwxw@U2v;lU0~92X`q>s=qp*?* z2mKg!=Da5<$h3njk(@f7JSf4ZACv&v3hA=RTN2r7Q)=mx@dO2V#Nv%*k664RK4S6V zQAkYPke-+`e@j7-=O`$<72llrJ#Wmmg!Ec{UPv#^A(}2HM6dN4!OLxhzzETFR+tY{ z_+VCn`7C)nINM@P3pPN)1o`~yaOXV{@qgvqcjE)XIm1tgzHk2F`N#KB_c6n;PZ*MOJ;JM0yG4f}A_>Tf945Gc$WxEb%*b-gCeZa#Z3EJ2WpX2H zDaAS&jN4|$P>RH-1$9mI$xA^nxWLv6MRvl9dw4a=&Nj1$&fLHC*)jUKSl69v`a0@1 z%|A=f&g>9HBiZkMcf*iyc4z*R_Vyy@w=ZGdf6u`3AaItu0n07SFu%oGYOS@0^Mf_P zy4rU4rtpY+EWF44_3%ynP2s!wd&7sq&xKD1japP2YzuCvmS{cx+Evxzn)Q`G4gG|F zDXhr# ze=KDxN^xoF!OGIoib_XGr8pI%M@g0n8q7)`qZZ_0_4>#YZxue)=NIK-dEDV@zE%=y z@l+xMa=Jah**wTy>5*`_!dC(;tGL)!>G5zbQ8r_m5Is6`3bCTXv$rPpA)MV40v(H(5zfLL$GHO@B}T@sYnD7F-e~=3C`AY8T|6AC&xA;ir%> zO+jWm0CDba=*PcYkS|;+`LpQ1_}4G_B^m(*G0;g++d-9rx)szxP(K27f0YC zHK4vLhP?vn1yGHkVq)6oK-Gi#4ya9_)`5B-)G<&SK#hXZgW3q{e$ng~;T`}?{!;Qw zW($}E)_b7d0`*f+XFxHaZU=P=)YU><^iQCypb9{JLrilN)B~VCfH(#)%f#}MJbopX zk*J>taG55Ervm#4us4JGe>%8{pHVFD<)C!nCV3Bm3H8VIBD`J^_8`S>KYKmuKnIZw zF-V8X2^VF5C*6P~;6`W#^CF=af_la-&<<@UGj$O=gR+?l(as{CSt;5j8F8e@%3LMd zWhjriL$u4$I5Q*K6{v#cM7t8@vAv>QlPzP%Qgnbd`pGn{WErj&f9(vC8*UQqEaD8e zigrmx94S&8j)-;{$~L?p+U2Oua9Xs(bj-+zb|uO-+C{rY&KipVGM2EAm)h>9b}7M_ zy^h*t)W4nD<3SMoTut26wwiM`|mwG%OE*(E=tb|csgC9hMvDIX#J(tAsv()a$h~vtz=acw;Bej=MyPMieslA-o6&dAMWaOpJ@K>km z@;LCKDpUdM={m3tpivY9vkN8AIH)PK7fn!~2)HJ}PV^}Ff5&NzG6)HyG5B$)5Bx?T z{S-=4R}9P;#J&RbVH#5dzt!N{4!$w82N4Od1o}ASo64sXpzj6P(*TD9xCww6M?+vA z0{aAnO{VkV(xtCJL9qGKt~wN;WrzZV35d%*e z#35x8un-Z;85hgaP3uG2bp-s!XnB{@$%>1l;!qL9e~r`J6X`k^qxCdaGF_Hh$hQI7 za48f4Mlb3I7y}p0LBMmAVvW$~FvSTe<>eIrJN_#A{`aO>4Z6^lqz%?moK69@Via4; zF+kdH8emM&))Lxn2Zb|5>2Q$Nm8138OKC%B%c(SdreYJn1nnh+ib#24v=0o^coQNW z2PlTde`!7w6o*3EArVSUbVn)d30mr15NnEtkyP7hIZ|}FoW`ca6avLhp69b8U57y0 zy-4HdV`qZ8hat@nxC0`+6P_aE8%XD~yasVfPkShyhA0QP5YG09HH}l=Fh;pRid!wm zJxMc0ZAB2jcuAkTFm#~|e=Tk^do+PG0)CUUe-BU5mK#db+=Xh8%KiLuHD=HNsfkdR zDVkr3nkOk2*h}e$(DOLue9?>6RG_`+5~>nJmQXWq@bX>%986AgG{hHKajMi>X8p}(mIzU?{O6|iU9h}dZ zf0y@!B055mdai)^VmS>dqj_9T`EQK2Y83nkhDIPpDy&?Dxpf)0FBW_6BDYAUF_tRL zzh^xClH(Vbw+u#S3I^v$Q}a&n6WS?7H8DEwkBQ^nB6WY!n4F@@i;v5s<$BXSCz&yF z2<rU_%7kT}#*jI-D`Yy4LERISfhd3RDl9V>ZGG4rG!QQ`gW&*8U zoPncZI?9YK;WOvg@eANl?i;7+Qn4@0-2%(FTMGBfQxmKS{4}%Psd6pO8W(%bf8t1# zYQq5K_X(QIjtCr;e}dejxn3&>pl3TpM7t?4v#%;Kue_)ZcZD=FS zqYa?-)0lk}b{F_|g4rg`DO;+QCubO8LhbPbo5s)Od3 zDp?n}`T+iFF*FRYJ19I-evcf2^wzkKkv$7(&{Jl&=$hi?s?<9IU3LS;Sui%w8xtf!_|H z19XJwft0PZru`IqZ6eM{Z9A!Ju~tG$MQCjZRtXMT!QKU`J&n6QstaZ5%Ye2NcN=K< zVl1J4VNs7z%=J*0&>9iy8lY`OLIYx3_R)GQ&vgT(#5Ni$Ol#bqf2NRClzN5Ir>IlN zqbH*zLVl!eGs}{qYVHgAhyW!OzD{iE^YKEk9;P@UJ{jA?^-RRZ z2S}RE=-$Ni6gQR_e~AxqLy3vKlOzpCz*hu0A2I3zTwio-Vw77Q9Un>zT@Jo$5~JhX z>gnMm$!TCTp5(?d;p|9EaxL-gWAUNr7$@ckaT1UzmrP7g4#mLOF|{W;8RMqMhhvl6 z6sbqY0M{8Gij5~@ja)Jo<6^tE$A*Vv!`ztQ%MHhpLzD3de^No3$8c;a8XrrRMF3_T zpmfLf^u1?RzOM zQy80q06_d?%Cdvx5FjSSc)B_GKvL?pU#GGn`qS2|Ti52DLvGKA! z@yp{Af3e|sv@9_>Qchgu5Ob?Y_QlY=lq`~@UpFg65qGs1rd= z?26bJ^gW91r9GLTa!Fs-XnINGBx&b>dIJh#kZNQy3YZxVa62ZUUjp?EjYcO&pw0xx zfGcQGNX{j;Lw_76NQqKjlA^OOUkg&8Xfl}?e~L#5eGDgtrguS$M1?LN9|O!35r|7_ z%k_)Q}&qTv_-j0^2>!Fb%B_|z!z&LFpdNN%L`so=3V(5a9&fi)?xQ^c=I!hLOAM?crw*R#H(wXKya4EKY(e=xvp=onbtvu=Qc7=7XHfkCck6&LOv+R`pgX~)Ye{6S0 z_o_a~rLC*2d!P(*1wXEBJvg}j)#1)gnpJoml)jIaFVfRH*w@j%dVpKq)7jbvo-J)q zvT#dhn~)V$D$*J5=n8PH;jVCd8%@;%Q2J;zv2+_&w^1+1FAV>YfsUSTQjJJY_dp*w z15nGpfppRh9sO+qF5K7APY|-If3F9?6Ldm~9ts4~b+-vn2&%ayEdo)9dtHCqVp&?- z!kqxCpCrzVT&97EO@c0xqI88_37k&l_cvo7%UA|OKfIO+iTAzxy_TB6Ohz#iiJ!41 zi808&cCAs_Q?E7j%aV+-WMqxT`m4!ANytu$Y7i3f`=0wQbMLwSpZ}=F{l4dUo^#G~ zp7We@p6Bh3&aVF3{%V~EUY~OLzt0|9+5V|@J-VJQU256hAIF}kbG_8{2C4NiPmMk{6^x8Bmr|#6HS!&zeC*^znk6n89^s177=I+mmez8frk57M5w9^&; z+b8Dz5&dPt&aVeA$ZWl2UYo;Rl6QPu_0PBB<{YRsUfZ{0(l-~2zNx+weesXY({KLZ z+qdN7^gi>Z4ZiZkiqYPfDoaO}t+}*S;Zoj>UNd)HshgX7u3LWK+v^Y3rcYnEa>bPy zVr-Ouvv)kH?+dI zc9V`I=a<~Lxy0rpn-2E9*=^44jYw(N&i-=5o%&r0?ccC<)BU+m^z8BEqO`i?wQKdSMYepWy!h3w2Fu3Io;17RfZl&h zZvRv9mD{7+46ix=*E)GZ{H`hw{wVrr?A}M+e>J^d#?0T*xky}>-xq(oD6!^-DIKqS zcGTz?F@5Go+a`8H_A`GmUh0%#2yREw2Z3JGr!!Ib<7VgpRY3MY?~2rQ{5~&q7s)+_f2Ld-Q1R-&(k4F@e`EQWgp9&*MfHf*RVEB6>X}w3 z?VXzuA1vNhb>W^%t&Z)#{#-lX(yt#@UHxu!!-c)qRQXO#t+;%BuUWaR%beU$^1|HD zFE1YxpHXzUvZi=^^~ja+W-Yu2^OY7aO#iLdn9e0rN8b2$)vBlZ7fUZH9jUdT|Je7{ zW``>mUi8klg_n1(KSYSx7Q1%QkZtioe3PkHV<6G4!tZ!?mYefpi9_|LL{)C_cZ+Jz zCtVz0q<=(Asa7wQ$oC(Zd-S`;sh6v!P9IeMub7FSmN*)daJqK>_~OG}=rAY0dunkr zerbiAX7d*`pRYGB^i|=NjfyvKmC+@y+q%AQ?M~Wc7R$Ba=clI zFAC@1S048>zSAb61#)Iw&`PUQqu*ws2}xC2{e@ z#A<&=jqQA}b>3?q?_Jj6p9X7F+Am$bed^Xh$Cv!LZuIEQSANf3bV^HC2Ob|b==i#m z>l&P0w{ZWd(eL)SmEB`#Ue>?=_~E<173Iygd07qaq<=Z4*>r8sUpH!hpSGrZ;_&G2 ztB=Z=QLMqTdeP4`TweU#m%V>C)4Tn#t#90@1H+e&eL3QQS*h#dBLA5?s>aBUpFh_% zV(-CvvG;zjQnye-pJ3-d~s*Prjf@E z)QL66mg_og;h@}CUbft#cO8qcCw)pPR&#!;IY}tA86SG_AH`-dd_N_{_ z(hqHDe|E##+mR>L7mt)H*C%&=<-|4@^l=AIKAbsi+2GPO*4O@^sCn>Qmpxsd-8%YW z$yFbIl9Aqg?|0>U558FPd*y}kX*F+j>UONj=dsamoI5)va@*Sp7i-Ph*YfnHQSDaE z+SkzYR=Fd|7Y+Zurbn+2OmBW+#Oe$3F+KPBi!+{{DYdvbWBMDNYt3p}XV!ymmsY)T z`sTOA@3M35XT@%*vHP{A z2bac|e^9yPg|eG>XPlar^mf&mxfizX+;}{v{Gf&-U(J30=V>)}&nuF3BfCaIr|dEV znhlAonZESjIa&Q~C8ZUgo&DVNA9k7d)67q<&zj%LTj=RF6W*V*^W?Ja@%bI z(z*GAS8B%05<44w)M!%5sZ|kTyT;}7KIr=Jxx`|%6A;77t11-UQ@cXD3N1Yo5r+a_|!jc#cV&_{poDE z{gSD1sqLe-U5##gztF?E9a{E&;^4MJr+zrDC9inv)w`XgqxWVlJzVx+%E8@>+uj*p z?bi-V+Mc?d8VcRwB_`+46_U-t~j@|PwKAE;CL=VgytGwsRl2RF^?@Z++JSDN=& zziafPon7w_zx(KTsTG<1ubsX8=hN)Py$(775+j{5z=ghpQ zlbtqIez8)Ul&lvczCV}Puul4<{zi14p~ugp=2uFK8MnV|(H;>yIzIDyqj8B7+ZX$7 z^4`5Y-(S&c@3~%EeWNm`?0s+E)LN;(8`7bEnivpSbsYqP{n? zd)GCCujIF%^KQ;p*)7iRe{Xr6loct5q&e@J=Z@W)QDj-fvPnlWpBgvjn~FC}{}pql z%8?G``*^z5O8-{bbSLkfn{yY=86J^&_5MfW^NpJ^e?|S2cyIabeB4W==Vh zQ|`}uza1TwXOydv+~K^D`1A*v4@V^DWY7Mkr{~O^e%q5L=WLt&--&fzDt3R={xXC0 zb6*dCIa9i8l(=?EZY23;o|YdR-}uAr8^(@y|wB>%P7ytPZKMrip7y8qdyyumk(_w4I!3TxMBHC3x*=&t2t=z({Q zgRqjn#Oqj308Zu--A=te-a}B33nMMOv#|2(NL?=OYp8`@ib%eI-)X z{oZtMtZ6M>kDyqu8TSaPMq_&f&3fOtN3i&9?-6~0&qZJ0b5RKX7RfK*b6E)fws`l5 zilr<34nE@>Y!;mweAa^Wk(%buOZV0@1GuCF_>ojg`yNRPKI;K~WMAlapuOx1w3Edk zE`@#v_><)T-*T{DSq*-!1#u(rTX|j#FsK9tQlvl!iWC??2?_+CX$hjJ0l}4EM?z2m z-8?Xls(rmR{C5X<+f)kMP|EOO1Nsf@Gt{GKs{iE-Z?b6(Oy-p&3LaGo*cLs?(6K6q zTPA@sRk6gis7YisAV#3Ih)0X5z@zL@HH&BKQ4r8tw`2)E<8uSNmk!u=lHwt|cxs?G z$y6-e5Lt4D2$Kl6O3sk*7#<@zLx!P*IZDn@@EGPlIYY%`n1kdD%_9V6FVM3Nqq1}t zcr@@BAU3Um1s{yf#)u%`nHG(;6q7Rq(IevDf@cZOVO`>L8PB%S1;rzZ_Rm#3+a!Y_ zi=ri(8DI#FMae#(foEF-SejTcJJ1vu3|LuEb6`-m=?c>)23A+_b1-Pvr3eO9Vz6yc zLRg?!FrgR#90sf`*Z~+Um|=Vl1`B2wa|H%dzY_l}1XxToo zaD_6IRWJY;v`;LwB^Rtq*f|&sc!|IOaB;GIm1tnlzDzX9^vD4}8*Bg$K@=WPQ}Aq4 z8U8NYCtd@C_LYUtWZm8#4C=Ni0Ih7F0^JlmiqEDZG*;|u4fBottAHVNrr4(hv7}<3 z5(J3~{7qN@SfzsXSMaRk+18(`8vtx`41-eab83J=yUJl;iX!-{4f8fZK-qDaS9%UJ z)U27u+ri^)h5rtN#PGo6g+n_#94I%+O!_wjF0n2O21P@xvFMiAkeS2+ z29`x^imWZxk_$u5Wja7=Y^{3MSa(Zo7))Y85p}_3n1T;~9AiKOJ~1q?8CZ6L>cdXX zty=I&E{O#!2&XNeEj*1Aqd}}d${~nA7Uy6_FjYyD$xkst!zHmmJv!(FRR%ZQss%xG zODsrXi9-Twi2Wpwwf@4E?h^!S7jQCz_=^v$OQPg-Qji!*aH(3*nZzQLZ<`V`==H#~ zTVhdQ5?hU_s^DZ$l-v>{PI9VR^uc0iM{%?@1<`Wa4p0y^Cb89+Ndk#Q-7T?bFgj|h zQc&d_LlQ_}OaEaXAV{K1Vnfv^v0;s6x5SddBnE?$%9b$}w$DeQNw7aNu;~bhzg%jS z47YJ1Ov0vXV@4EpRiNO@hGAgY3UnDJQK)XiL`lH@N1L(@q{a#bXADziHZbxmtTGp! zMqvtTYtTk-!w?kXO)j+RNdE=uM?~UcMzK-^dt=qmtysv0foVmusWqroMds?)3lHVoJhOEy*$u`A{y>DXF%1NEr9T=uqOW0FxyK1K;o% zpfen^MJ7Rn+?xV>OiZe2%pp=+1R{(<9bqcdssM~qCWj!ps+-)kgHRV)WAx@47?{ph zE;d!iR zG_c3eNspmJR1_FDoD!fzMPc&}Ol6xH4eWC5z>vCeK~P$CF^E;{G*%NG$}S&7m}@?< zAowalbyNvS60#4%_`Cztr~qu&G-0~tObL84Dque5i3#IYEyMh|RTD;44(A})%$W64 z&SHd^38n-->MldnKSDTJ^-4rw#4w-)&Nqy+Rh9xSB8zr#O}!HG`L5O>8_lGVnEdF zf@1_z0t2}()hg`+!wtGN4q#A&i%zCfM0;BlEs)Q-F}{}=ri4v`bx6!`pH3JRZ=Hi+ zFJZ=IAVT0yiZJf`DWjzr*V2Dz=@3Zef5#xm&G~k00OLkz7^qP=nL!STFwi;g7G_JT ztdu~2^QQdJKCp$cSQD7a;|s!&nDVwbcVPV?E9J2UT&RhX0&6Tt3io;-RCr22m`ra2COA8XLJzm3_y+z?l0+6o-7DO$lLC z%?`Dt@rh7na}41WiS2+E#^#+A!`-)qG2c&^?%aAQZ!=7p&mG*B!eTfgR9NIA5FKX_ zn8r1zAj4yY6*NRXXK4`fhtv;wQPn9>4QU31DqA{M>BpW`S#(I3*iK1ws3Efckis4{wYUY%Yn8nga6`2sKo`j0xlO4h;LYa|{z8LLVoHJOZ(? z3lq4+l!L(h$S%W93Yc}+$S{QqhZ7PUojBt^fj~Y_z@S<_2M3(Pv`vq^^nxUMF>ClGAfa0zv^* z2M5nTtb|Pn>I3 zL}D$n<%EF|8t`d?V|CMM(5sU{0c**sJg`8TuHg8C34+2s+ZB-k>cLt9kN#K2r@n+B%4GIl|zoW-(Z zI=`^4xP<92J`N^ymt_Kz3cQqcZYAPyS7dpig>g#9E859uzG0Oy@$_h{- zNy1kNVXPd3)EFnZ&iw#waoWaK7`9F$c>WoV|3o@rVF3tXB3}`}_(7>PFfWV~Gb{%L z9inuHec+~!jPS+XSoXOa!?iM7KwDJ7ooXeFFIk+HIhGyfA2mV*itI`NKy2@9o(N;R zBIqQ(VFAM^O#Os$rlC|d6a|OkKSZpe%9j{Ie4M8^r9*lvu(Jj0fQ$QnqH}2hUV#Z` zqA}*3sg+`PcItwfF;*gDhAcf4U^qT?Y5~C- z7N`reF7$=hHs%;~E(6xEZG;60#(zYpgytmVkPzSpiUjD%)@ywja@&$OgR1n$dBJ~AJ@$cVRi>X{sWjRe}ocTp&4Op6GQ!(E<-xik2}aB zs-sSISrr;LhSlw&les5ETi42g_K`}k_>ZQEXR{#0-2~Sl2DcA#tV|FH=c*?H!?qn_ zIu!qb5Sbw#1eFbkwUVk4L@=8H1{3CO5xg+N#K00~5Lbd^cvn|rl*C;as`f6-wZ9uw zi*)se4b{aAB5jq$e=vT2`56+UhU6L;>)6#H5-OKwP@8bm83pG?!T1kc<7k~rN2O^O zrUXwe!utl=BG`7(@f$M`1ipuB#>BZNkKwQlQE8Nxv275X;kv9JrEb?WA$@l-qbY9N zLQsyjwEx=&aa%R{M?MHJz5^QYg*;~MA zKl z>zlW*(vV?V7^{pDhHQ+fnog&<27$2(s)Qr9aIzAL|16Nj0fZrwa}B}+Px{Ij57RA- zYY=oQ+X0JHtf$~RI3035j~5)(W!?O(A)2p(Lr2lGsXl w#?$QoCFw?AJ?zkLOdos=Sc<~8=7tXIHDuVRA$?wpvcgM6kBW;+Oi7CRAEhkhhX4Qo diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804.pdf b/docs/MCUXpresso SDK API Reference Manual_LPC804.pdf index 87804209b20ac13053b9f923bd0e87972378945e..b20c488d525c2eb5458c0d11b3b5dcf14b1d4c84 100644 GIT binary patch delta 981109 zcma&NbzD?Y*T>5s-9vY$2uug4AkqlZ5{k5-beD_>3P^|?U_e3y1S#nbX%LkZN$F52 z0YyQOdq$tf2HOk(z_S$Q&bM|-r_MUG`@sO7I1W2M01W$xE(aR9ro^N? zl&Mk|fhAb3y4eo+uz08ZdRzC40P|y^TbrLW)**PEHU*_$oPXu?MKo||O+_^)PDDK1 z^6agor|oUO`~~^7MSUz)-SJue&Nyb={>*ZY<8|MG5oNh1GC`3_Ex*stlcBdoHzd$W z!nZz-+#N4)IlF#K`-WZ6jije{Ro-E1Wqg)(V%?@vrnh!)Z-^0Feo)10yGtHfZR**iuiLo7HskeylL zRdrS!eV84^vX~||bxcqsnky!BVbq`XaooQsc7O2fP1z(GSJw<-W?6B{(JM9@3_3?C z%Q-`a_U4BnVSjFVt^P9c>6SitiIHx5r<}`OEwpPeOSmjl^2ZaVO$~T$86Ov@!geV{ z&9z)^GD4jl7(d~;vs0Xk(6SP5-?RF5^Kh!-ORjy&&{ixKfNK}m_^Z*1jN8C?#$OgO zg^W2QF;Y7c-Cs5bwAL!D9X+~ZX`=bbdU_|JE$&&K)OK(&*F^GlM*x+6Mf20f-cXqb zTBrEHf$;lCPS@j^B1NMuO($c=YeC7I1DE3s&AxwDB!m`Tv3*LY4JssGzxmwE_qVBq zUcaMWAiHtT)-yV>Q)rTv;q5!R+ktaC?yCl`&TRK4n4P29@W~aXIt6vYGH)8pj`jXi)Dx8PqXRf6Gi!=0o>&TCS9_x3$N_YAg+76e$IPRx%R!P zk@Awsx!Hzs!uBwCUNP?p-(lH)QjP>R7_akMf9#S`Ue!^TfOk!N{b)~GRE&|zM8}wE zae%t}6`mQhkhE_Ts{@#Rv_(H!r+iG}+Hhvr(1VPN!lnad*Pz1V%Ck^c)~ZU20>qH8 zL)(phLThPn{`+&mU!0o*izLmfx#H|p{0ZHkCdtm+;zfE00%=m6!d$RuM%bj`x9wlW zp*|VwQ=eRo+W4+E0n}^0x24w-y(3ZzHC9U~3?8KP3F@{7ClYR2zrA5?^-TcSF5kL zP@N0UfC_s;Zo7uLK_g_s>*{K6FXMDbjYfU6w^@@6We{(j;)pgs_4=)>V5QHIWln{2 z&V)!Q!#+dhh3IM7XBYOfP?Be4SZQ7kke;;RW?JXZNwCj?}0* zXSTgrR*L@DuDO#+p2;YFsb*jNpQDY}X?Qv(-rXsC5oc6w=%X+i7+ z97Nx`BMef)E|c)X?vkYGZ`lM3QQb%6byoQYiW7$Sj)ZqD*4}ifJ#F2s*-S}Z{&LrZ zStRTXCzEX0jFe0;=j+-t-_EP>1!ha_IXI!~gOwgiogsU0<}SkKS$`nXVJRnwb(iQ?;4aTPFS6|=?dNg13!ip?2EtygYOI^BOIw$0b=5>qc7>2K^h0&jytz@s ztzkDWBO&dinHG(atWS2=nur5b_JUP#o?5sCJaV6TYpTHb)kk4pSK?FBU0`M*ZhCibV^f(f|E7mIzg6 zHvsNSa6?Eh)3XHdU8=}JdY6VpM!jr$8@wqZ4y}0bd6Ts^3fjN*NOrgb*;dcwMX415*+|rQk}fU5k*I%ynXOkX8?-LPb*~ z1}&KpQmJ@&7t=FTXN&JMgqzd8jN#!?hUAmUsNBAjA4ppio1?D2f6LQ$srLFMPKJhH zs0HkXfL^ZJm?MACNO%3tTAyMjg{C|tldSNDr3DqWadegCLZSq&w@di@@e{vgJAwc| ztC*@y73)GE|4!_bn}5m0M|TFe-k!f1>d7|9K{$2I7JT@IJ;#Bojok{KUd6~?Vl=Fd z(BqW({Dq-)0{f;&KxH~_=VbC`{DUMKV@mUTId^n~*<@i$?(yw6FGyO5CnDbqJzdEO zh%Q@Wc2%jg{QjyZiI(L;wDtMx3pl@XUx%?)9|GnIJ#swmms(LBQQeKzY4(m|U-Z+; zn*Tx=SaxoGCn8HxalWI}AjKwH9X4&~dPI=qV>~mR%~?1U&z$307+@chT~sF^o4x9* zWvVVz)$cv{J+nY*$RkOQLJzKPXBJ0(s>AV#iIcuwvNd&-^ngyP%Fj_KFmEJbZvBZTQ~sn@1o%D{#NYRJl~Z4oAp9leV2}Y z4}I_1o^kK+2?>-Lcq;!46JIsx!C2@cSG@(N+pSejHh5-3`i9cbL)N(z+SbXM=!$Tq(kBeRS{oi&S?Jxzy@;B7zHa4f`z1ZC zn=k##?PM7(rihHGnVlcH9X%Lq4ZzZbD3bkN5Q4K1vH z{XSxCCw3mKUG_31BW!$ySU%ZU(L8UlizI9*zAm7S7YHA7UF-jXol^+qaw-ZEdE#Fi ze;Hc&XXZQm;KhLIdpRDx;X)6%uwkq{fMb}@Vqlx+TFuGg{twh!dr9E@$k@E{AZE`0 zaaDYFBWmi>^Jh_lg!sRpLKh-#z)t_$H00 zo}$Y`L)&HNM-p_R>Bqlc$C=zdJ4v&Rr$-he`fuLDo~vWR&KA!xqh;kY;tbp zt+CG3b%NgK7kP>PGFdp#i&hk(E|(jCi;5odcb&c9 zIV@7VrO75BL>N)ztNC*W>ci^#L`~9IOR=L{h^&*?RKLy#I^E9)_`2)su#hJa4-RQQv`J7cmQYPruz#H zSbaa}i!`D6;?6h9??fuG+q(B(!Y$1Xz6pP)DlOsP9PoR)RQWu83qi7JqRfdRAhCV# zH=I0?B48PElkS&OrfA29xzHkE8abByjiGwm=mjk(IRoTjsHTi#iw&z`ua9;AQDBD- zgXXo3A|(P`VSLk|x1o%a@+En~h0FWPlNY4)gfodQf8<2A)3#DB+*jZbZd0nz<8Rxm z+MuYLH2Cx++YRFK&E?+H*SS}#2iQe^i@@vrTxjeVK3ve6`d#g)CB>~R`VE->|n#QR2FiTkRIXsrsMSRMUEx8##w2*Dkw~m z5@!8bdiR{&=d7zO%~GN|%RfBnjyUt9vwUU!;#j*&X>n})6J`^;$1iW+Wt}&%QRATe zaWtkQ(r6^%iuN=EOCWT%ZK9j!sCLJj(MI@iDn!-lOBzIxzG{n!``CYu{zLr?Z0 z%AWqKnzBNU@>OFG>SOUBDY9Q=$4yBA@iy1lVcTC{mWvHBH(9S-cs>bFN`|yS=4*9i zE-On!8&1<4Z@vFc*Dj>xJN)fdL$C8S_uHq}7Jm_R`tUsp0;1Ew-sV1hDlf#E`Cj-+ zzjNEX67?RR$f3l2gC}ZiXbv@6?VBWnVdY--zd7WW%!qw|jAQtd)ZJNqm%6yZ&+gU3 zZu*$3x5bk5j6TUz_N{;WF`>h&*gXknDxmr0LlKbYkQ8g*C7lwb8Mo;n9P66T!&lxq za!zoFz<}J|z#TIm-_;P1N!6m2hDWgPN@o`#veSWx$Po=mHpZ6yH{CuzZ%-=w3{iEt zpYB~|PRwBidbIxV=*1hGw9e;iC`*+0-)2uC8oYq(i z&yq-1%hc>7!Yw)*mT;dS9;toIRpr3)_Lx}RP6(7jdaRZ+C??gv*84FyM{npP0rT;D z_aG*>M3jeB2eVyVF>C5|>V4J2!_J-1tPc1U zoMyURVF~1F_(ZSdyMa~ePM6o-Y~`CG7o!P$?>Y0FpCo?`(0A+lhBfd|Xs&=Czc;z; zZ<|8?ObU1N$a>5fHxSna(0T>FgcsGty6jT25AE$S4-=QpwDCJU z8gj`OQ(0RT{_IRqeA1Ahk-)e2B=C72T;F=zZ zpH=49=70BY3Gq!xX1;%m)5KY0WhM$e=C6(o2VNZd&^B`-_9<|x`3m;v2+(Jy)3Bhq zyG2Q_kab2^VKfo->GRD}W0$-xxv)~FISk{%@36&>=~f5I0>NZS#ExPrwrXqLB(DFx zhy35_4w9534;a~Vf&v<8DHC z%AwCj{<4EY1gm3{*;=(aGr{)C6)r;E-EUg_L34+eK}XP*N6og$l_hu1f)CLv%8p&5 zi<-IxqBM6b`m0_F&1GY@en78*5a@X>X@ih zbEk)j-FBEcc+)Rn6Xy=+)Tp*Wq-W#_@10unv{QRkQ!cGQz0SnEu57Mkro2rM%gCmr zJRqYpUY2-Yn9T#-kHT|mrH{b>jGkkm*?Y6od$DNQAxvUDQE@)$Xon`#M-6_4i(cjK zlGy>IOTsAA{hmk-Cc$I?CQT6-6lr!)*&&`=bZhVR zlop$Q|6mnVBaFal_EMTq&SFSlO11rM_|n14YR_3!*_WTiy;?IYAXu;dy3c5y zdgf5Mw~Ak>=A34%9Nm;d#-^U3R@t;)s}uX0Ir}*%#^cfoqfMJxb3SggDo|)}?!&`# z1|`(C@~+9ES!NHzVPwFqxq*wBuJN9hJC@>C&%GVurYVs2 zwLpIr4M^GM*hM(GSkZw6!E1WmVoRyxU26u#SaTri-W49t{XK*uWs-=0|L5L3Ls>xz zGOByK2krf8NB*2~y}5#|jq(HUi;R-FwFlY_$!~B?56lJo-sjeyEn_I2k<9l?Ku{bNxqIs`hvd>y|}4+6Nm4eS@>pz zP!gT`_1WQ`p!`pJR4u%gpmaK?M)8(cneC7@&a090abHH$YwfgBDB-I0yCA7;&Ik3e z3>=~*qFsYci}{aXxVQ?*=t=%0o%T7t2ELF-{CJF75o#f+aOQ&>@0Ultsq@doLxy@} z4Ic>Q3nVDav4llhSMb5Rk+PLU#d=>8*qCgvx-3c?3(ym8-7%4M~!#(y#nd6jIJ z0c_{Qi{!M#!mXeEJey_{{cR~4Dg!^K8<(z-b1q;>x-c+etQ?p zU}wvRUshaqt9iOrKrCn0K;_1TUwmZsMtGEhH8NZ5qR9E5ApsZtn6A={Vgw9f5<|aa zctu%OU9YKZ7}bu?6F$uw_nYNbiU04zFvDoJD}^PN z{DptQf(*7WMC#TBtBUh7U(3TxD_1pr2sI6RtLnVhQ>j}wO1T;WIH9yvV>bY^ko8+T z*^PHjA!jc!$^P5b&fgy4{r|D6$&n*5#L55$_A?kH0@T$|gx!wipxdYr9|o@{-59DW zZA?Zkdzy_YZA?mJ_1x9hV&W>I-1g`Am5-LBg)Nzn3jbWa`qT5nMokR*(Vaq*6bwzeM+KTZT+V`f!gU}7q2|FO>^5W3M?E!`I#J+;p5UnLMi z-CYzCQ%)GWaQnUW)-zr>bq8nqlmkYj_j;lu)Pt^kk0cUrm_0;F2=fWzI!V-!Pe8YyZ%KMg9g0C+^yfMPDO*j7F*76MQd;HulxQ$1A5F|_$^2D&} z6u*}Q&lrCF01Zb|dAT>w>!5PJ`b@f&l`&AqqzMnn;Fko4r6MR(fxUqE_LUEAEBzSW z$25rw?IUw#4*ALFGvL+l1<4;>XV$@Y!yE=9jM!}Ly1G%@Qhd)1x@nqkaarBF3Ob^7 zA%W3$@Xs>W(DF4TY~-vrktaGxrP%|O*Ue4fV0zhk&BakK^m&rVV*dlF>f2}Di4wcH zAO+7f(+t`5rQqUcgjHJ}I*^r|<+0KDf!A`k5j;@);L*E?8YBb<@A#Gd4VEN+c*gd-DXI!*NNN3(j~SJnthO>_vK4!F z>k<43$)$0qO7VCOtvk;1t$6*5&RG46y~3OKQl(OnfjJd3ES`Z0myn*Xe>aUcMn<1u zxYRvGeOpwsY_Z3xQbXF#2y(|}b!zBb8G+#$d)1aB3K$o0D%YsKO3gpfx>76Nz`NG_Vw-w_YWUC zhUql|=<55J#1>F28fIP5#y1MCr1Z%ZhW+~VV<(cViGl3?`lw@0F+DHEIwO9H#etw} zp7V>!CMc{2TU@oor$J=Ty50z=X8(7Z}dv3Aht@;>0zQ z5YqZ&xd(&fU@3c)_`H`>&;Pa`RM2DLh=+B|eCSdPnqe!vYyw>)6~a5MFtI1|89X6= zbh?os)%H+=t9?M$+j@8#sUH)Vats##hWU(|ggo$PAX&Pf%Z>s*Ou}A_A&ZLoOL5 zS6_eT(zE-+Gk=F2Kg>b3c|7;3f*LTOfs|fR#pLMO&_POD9?C@0U0QNXtkRB9(+uD6 z(B$Zs`L@!RZ0z6IclI>k2en+0m(Q2{*S8LT@YOwBTMeM1F5ur-cP*@x@Eia<{O%BC zl^ZM+TPmJ-CzDDpS8JrwgZ-hcP^wFhTQ6}l6h?@@3w~|Zrxv+vC--*hP2UjU+9{II zHLJCJx6W(U-H}h=g%7{?&ur(^tZ6 zQ^WCCc`OVLJa-cZ7VcAm$3ISw?O73cc?=pUjDREMkuaPv28AFRFq4ZAZLBzHfIE*F zh6o#WQ@@NDOU`&<)GQb9{WFsa#Odbt3A>b%^JO)K9 ze+T-6Nah4)lZ{xhV0SnM{2FK&hY&{LaKyz)hzKQ49xaao98&BVF$j4W7z*$M4MP(v zV<8e}z(&B3pABG2H6kjJ2n&y1-60?;?eRj z6jm4o#{f?z|M`apoYU;tN%2I%!4BYJAi!Z@pua@h1=jxzL-895FsGYvpuq(J7aa+M z$s<8~NHCIE3;^NgB--?GQ33r3fMcxuFs2V;gnfawC4M`1`~Bjj;_?Vb>^ z-I0?5NKJ(T!5PNvShPGA4Nd@@2sm3|1P%w<+T{RkwG$bD50)$dTc!ysXafmDgS{|d zP;tTtEE4cA5cz9~NO0n$BA)Gq&;ccxCQM-F;Lvzs1OhIP#^Z@FbBM&hZpybfsQ|Y$ zdO$PFhy#Iu$>T8KOtA=gFs=x2;%EdAJ|qa7q_F`@Sw?IK7?_e6@Qw-=FOL9ESi#gJ zJ{hLu0o|el($d+0yV>9a0S5zs0oy=pq)!+M5Iz+lX1Rcwt@M;0;Cg1vPI_8kphGB} zJPrY-5|%VU$en+|B6{5Bq#>Ra0-djXW&+$;prHd31qUkfa4;J#J!1Gb-mMIFKtIO_ ziUg+*CKG8q4A=#Q2bj?!z-b02@FmBT1q-I|e;!Ua0tt>{i1^Qvq-U}L5zoOT0iP1^ zkQf2J3(y!a=rGVH(!vQ5ue*aTpUI2$v^2yQopk2_#e62hc@*>3dw5-}D_%0q^~G6`u;C)b zG%@31GKAj(7Z_+{sgy)J3KY_kR(Z7loHpGG4z?`s%@e6~(x$dT(pwR;CYA?F=--@E zP3*$g_TD__Zk43fEneSiykY-SBcw>^K`YyU*H5>|;Ag!a=Wg4p1?~ngl z8I__kAFqBCAeLvQ%(_y}BGbN_lb zOU8^cfeSw+P~*>0;MO*W>0y-_3z%9XyygbxXrW6T9-)2vOsy$IZ=te_jAE&J zCx(tCK)v#}GK;gp5MHr+dcjeBLvjm9v28Va8iwHBr9H2HJ! z$9+5NZ)45|7sWJe)V3}kR=qkF_ZvHxoy}e{BZOOMcn1E3snXpX!FxlXcD+%j!uRgi~w1LP1m4qk3ajqR5!;Enn^GuzYwK(`6XI_b_?DEi<0_+Irp_;qUdo z=x$5y>2w;<yVEN%<$;7;zwD#wc^!89XeIt=@?55h6v^NQ1g?f^ z=qb|9FHqiZdgA6IVK2`7TZYcBq6fiqX83&i{a@#5_EzwUz0o#}%y(z}?#_QkSuqga zMJXQSed%g4b5d!b<8LRh`kv4mT4r8#Aubv`pEkyMnBmlJT}l~JP@ECv${pgrYY>7h}pa?QY>A4|Md!io($6DwC? z8u&f4r@o-J!sMb?4?geXu%(89{b1o@<{JL*NyP3g3y*c{m6fLc+p<~>GTsvH{%11e zCbu!Bx}I&gX4{sbS@owq!MdV+8c75MY@Y1hT;8W-VT~`=D_6hnVa-Bj?OQUWzGbRg zr2QEai}d{@JeCMB*wm#1=d@!H1%5n_eJ|d~Jk-AJuu0W%XW}le@;T zVW(Ukxp(1ok|tQ0T;{sMV@*$MvN(fFO%nlf>sh~!`XVNA6wnS~HY!W};87?Bqg6Cs zka+VYv^rd@%(IkOl9|FOVnq-)$Yiz*^4jMT}EBu zCWpaJpUVAc}rT-Zy4 zrV*d{*iM(V7lxUraDCSn4eO3CUpG&p)S*`=v{_B#zn{MPxco3;@2wVLWL5k5wZ5PoT z%hGgEaukYKd6z*70&bT}5J6DgsYaB@L!c<|ek$p5Dhk}eNS`+Vl{{|Z`)+1s;xL4q znHmA2CJv4#UStLVW8MqgJ>jpciSN%rXsAfLD;AlgFG1Y)2LG<;Ne6skL9ofUgajh<5x6PB>V#jV@?O8Q}^fW07Hc_Cx*mTcsL0?{!%dpfd!!j zcs?fxQ0KA&1r^4?g%MghEE42Tv@iy=T6rvzO5mgF@%;1gObO<5X92|Hh#dd0BbcSz`b!W zZXk;w;dmf^T!Ls53Pv@c)|w3mQZW+5Aqoe^nHNK$zKmH;p`iYQbDA+^&3y7kt?S7qR8 zqF`WXki?dM4V1vGDk!j5Zw%!4Q&WOGhCl#i{{KvhiLe5X8w}Y|aFBFKEK7Ucl>;mV4MB<1d zAL)4j&jv<7y`B{qXfb3*k|s*32;pFCK~O}3#P^TgNxckP9oWGYL6CSI34$gTv;xD+ zgprHi{P;?lxlExs#9w29wo*0(|K4x)k48T;UAu}Az7gAkC>IuVxWm=~+ApDvQ@a{4K5;|!q!O8@K z1%&QP5`~gE>Hn2JG~LEbAl-wj4So#=)~r~d`Mv~k2kZdYw9^BN?~NF-FfgA$*Z6pRg15<`16JUhF`~oU1g@8vLoWNlo1M%3ILHYlk+cn9- z2`wrxg2FiXO#HoY>M^bL_wqh?;q=P-?Z-dm9*F18pxB*Fi>yS-(^>Dt>)mx3_jql; zIk~_h`~jthW7aOU8RXtL&G1P_u8jxcgnQ4q+uA$XEEoR>o(=YMfk&`7&|iw*y>{8N zOO(?t71nFK>=Tkd+f5%a$ivZaBCnREu#BZ59sh<35xNk{Ub7-qTM}&pgU&bNtmZA% znC~-I)w~g}aS<~k z-;=P#IpwHpQ#K#J+T6O{zv_JJgN6xv9yE?SHw$S8t^TAew326iq#v3gG zsdw2LM>al;@a%4EB&ROv^74Xn&s|qeU@BT?I{3Xn=F-;CT&ext@|hWW9a9_}HYAbx zv%Eu$%wK8=`t`Zx13I)HN=w%SVenFWn(LCHLPI|R&J%EM?oXriQiJv9uM-jC%C>yi zWUae0dyRPdobnErFumXpc3N5+`Yf!<)&n1%WG0;MCTPJol)^b>pj*%OVevi?*|MFj z{Z{?pe76YqjyuP2Gt41n2g^vVa&m#&ui4cRwjqlvvz`|N?te)Tj&Z4TgA9|Q2stV7 zzZ^yg+VVv@lesgS6r6Qo3B9K)oB0P=ShWnsH6Lsve1=>1L;gv6n!yC zVa%ea_N5*T=lsfJjnY?a^3Y&`huYZ8;>Q96RV^#^U40=j^ftro&U+p9Wv;A>gXF1s zG+AY|pXe3&>t6>*yGNZmmh2ZR^Qy=(I0w1Z!lpr~eogiw#az9~7F}IKJVo6-zJ;~N zy9n^!)=Ed*`OMcyOOE!Y`aw}c!|ZBcGYQtaRUfgv(h}lx;g>97@x14;XR1*1V(214 zO`nz19#A1@s zxQ|+Iia+-;1(c%sUBc~0ZY(q=JllWPt6mts(XBMFnk;H%sUl?_WVUr-wFZ_D{R-dd-TVBaxCN;~vI<_ibEs|r=a!5NfGv1K(g?p056jiR~&xfotsx_8Z zsGdN)Lk<$BuivTnCOlD5h(Nh`l7yBSz5ybt2A*Ic}VGy`1Pgu2fE`I-~J{z z{~Giy&TXZIV97ECa<`iJSo&Nv#8zLW-RZlbp=b;J^76`Dcz_mxw}&%{9K~$b-DR7w z5^eI{GU{{4Ygpm*Ss#3GM)}B<;I2P?v5RV_aYxvlx;yF9G#QeSxI%6l13+}9>aj8{ z{p3@cC68dQ(2bAX57(^>wHv3OHt;GCG{2TSGFd3rp37R58oaValP%-ej}QsGC?U&t z%Qoia`Sa{yILyl<2cNRwfS%nLx=g~!jiYzZI8<{qIcZ$}*oquW2j99A{CX?;V95NA zb&vJ=n3QMACiJ&??=4ina!DIMncO6!4^j1Fi<9C&WuNj+3Eyk#<{UuQFR)?hadTlO?wLdq#UbLHFhqWr=(d7;XT zqc=D5cwH?i8L}P5ZUd_8cT``Se|$R7`;PmzzVL40D?GZ$-|*Q-*`mk3i0ocs8#2~b zf{Z3~Q|I1zj?Q~@ivQhJwW!bRO_VtVs|A{`HD7%`>peX;>fmuhRg*J1MAPotPJb2e zx!8X6VL}y)N=bdl21WRu$Q)&0w&I+d_p7=MJ3_N-csLzZ|B1FNxA%#mSkL?s*3MAw zmafyy=pTpln1Z8ukDASNxp<+sz}mxh9&8)@c5C@v`-$n#s(`SY0IQg2*)i*!_1&{= zxeobj*?d%EAM`q<=W2L08U&M5dFK6ob``3(u_+|Pa{e&f*;%rcjR*^ay?gpDRf^Jm z1QE1FQ3N!n9bdkkyC1PQe)d7F)Eg~ZJg<}nZl~`%>4B@m#(#O93IQSz z9`_gLuXk|*8^gxX{|fE@k;(t7v!AAO(gJ_F=z*vaBjS({ISutcF&qt43`+x#yV(HI zQ6ne@RA@=84ywkWK=+U7MFHnQ4xnw+_N9co88sJ3A&kJqVOAjNgAqF@U4y6v zRs$fhfE)rcEU5I`(lGw(H4XNXA2(!1gXIYh2|5c3y*NCYxDW$U<}(nj{YOAN95-Y` zgNiSRQ6N(w{|bU=IO?CINg7LZh84K^(TE)c4LlMJO3h##K{`T#h=(SQ>@fTXyYxP? z0*4=sh_eO|8ixNc7YfANf8r)Fr;rm0Y<*+^k|vDUNHx^|@QpAE33^Z5-J#_o^`Zyv zO|SxTlOUawy!j95AkidE9i;P-St?L)UZe+jCfR{ElSZr{L!q%CxPoUP7!Y7lFgOuD z!Un3bV4qsBkNuPp2UxG*NJY(mlwBk!Wg}2vc_sou0$Wq|Y$%YvNhZK!6dVYa7;t5Y zl`Q`m=<5_a@O0XUm83i)LGA)OlZ1Q_bAgdk5n^Z==yJj|1Av+VIU9sBl6L&JQ~;?T z398osM4a>A#7L1Go-ty?qyB3ABuK_0i90xm6woxo0XWYZL%{+GPvYl)DT%=1iKhvy zJpaCaZI%_F{A2{Y&!8cdl33C)3Q?#1uX4?2{4>ZIyeGd!vdeOC4j^QO5kvw z6)0RVVkdDwiRej$PfA5_qL?IZA+Qe@aCy;)3H&=6{FUineIJ7%s!W1~1Ni-aCF`d} z6CfuC9GFy;Vt`jU|7^cs1WzWGj5y#Vl^p?k4a(t2a3M*WI+6%|3Lbd1FERoyORNCr zvf=+|>ZCFb54!L_n)+WyDVAA*ie)Y6r z^b+4PE3T5^NbN(I(y*&&ug>q>V^PIuRK>9pzKbwDSaIThJ#iA;#52e6o2xrrTwwDG z|7NCNLR1#kgTsgBk|oVohH`-p0d;me9bA{}x^93?FDRZ`4M z2{&x$y_*&u$Y`gzvu)G;phEe^yR6AD&vl2>(q(?{#kDo&A`raSuDZ8a++KR%r^?Jk z+uIQGLFv#w=ZWMhVWmH%QeUoO-&0}H^b_g&J)z!o4jI13 z-Kp~-U(Kus?zX$Sy7aIv);(UFG#YrJOWqLNks8$i1utwaF$uU_>RSw7`t%G*Xd(F0 z@8^43+bh81SHwRks$9E(^(Qa4-g5l_i_?y;@CHj z87`zhAnh8ZA+?c8!*ib= z@RNJxhV!c=w0^Dj(=j)dCCD}5&z;-ndATmxCSxv>E`dgD zQoKCMDErXE_$sk^?=^G5$cg2n6wBg=*u&s?Y507dB($40XGy-X(|%cvt$peOf$Xs! zf_e0bkhNxp4PzrY%LaCx?&*%~wFUK8-{$z%r!JW|P)qLrdj7ijiQ6*;1J~u0doVJy z?vtYMx6ly@t(wURowEwY5%m$TH8ooS!*ar(9T$SbDdV7Wn6n0=GX22c+~=|3rg=LTBq5+*9lqW17N6YUFD<{)R6eScrC`PoW9s5hXfsc4 z3ryDj)_vbEJ8rWg4XgbWje9#;0I6m%$c{@<9G5S(Uhuvpi8hQfD_U02y(z{PObidQ zOtHE5wj?V)KE+1PYNgxTODa!|_css|qggHe%})8G(aV%t3z8oglfS@WM0jVXJpGVi zIFs5e`@)Nl8T&Q2pBU$M7L|(DjouvlJm6|a)Jll60>$mYo&%?W(WL8k;LoQq@)ZPZt!L{pgR+6W{H6lREr>oKyIxJ46@FQ8C?J zVHLYb?ZR{qrt&L5)%==p=8s7N`xb^T5@E09Yz{yUE~v}aZk$-i)QTt0h=$V)erlW*j>~-errh0yJW{Wy!J@ww<=&F6;SC*ykJqXO1skVp? z_&MGy7K_QQ-}h|MHC|QNQKfp?QZ@B5WbVX_tE#ztP}sts%2D9y`t#Q!1SgyO$)dt; z;KdT>v!mRGJwJtK9HvZ?f2#8l#CD=pM<2+gwh!z#KUQ>-Nl3eX!Mw=IfwKg*@h<;@ zPnO34hIw@LBFFnH#@sRkIZh1xQ@=$yC89@8D(+od)SLf zm`Dls&HB^N_HmMqQ?e;G>Q3FkY4Yt50ot!8J=KLwg{mUIN9YRk)ib{mGz`7ZKL)xJL03s4HIr|)k2a1_GkGMI1ceqTwHWm~3^IZzd)dN^09ztfV-W&_k&wVXcpL%b+ z;rLkO$C;1k-+U-HrPkl^Tj*o%KWPt&Ncrgf`cZSCn`)M6PMh9d0K*$075}#@<)%4% z8aK$NgxY<6Zi4@L^t-1^moW5)?B(wr+7{rXmd@G&vNLrw+GD|TBe>(MTVn2=rS8X_ zVShqzA~QKIU2jh0z8EVvC3iORgY3P^AH)#O)A=xgv$f_%?gy9;3O6f#9Iw8vPP0EK z|08xf`T>gbaSlg*4sH6;^s#n>KBlI`tyH7iG!`EEE7Y*%UGJ5XO-P>UAufI?|CL9U z=k=}6frP!G^{J&#SZyO$&kLVVOk2KvUkdda#!fixzVN*6T6{D|ziyp4f4C$mm&Hka zQhj#NqxDs|SdT8{_fJ1hY8$J44wU^Yx4`Qc_gUG2;|=S-I8ToTA&#WXqY>!ph|S9o zz-rqFuyLgZgtpHE+AH*cTa!k0>2@D8BY5?KBol+`Bm!iJdw)`?NcTDLNPzz|g#o-M zfP;ap;JytbcAy}_L>4v(1+aMPN(V}Tf2jhGBvuqdM2Hm-2qkfT7F7A@mg!RxAXZc$ z8enjklpRPa6-a;Jl^9|y1d_@Kk|8Ldf)XEypje<|Oo&*q2+HzCg)=J*i%BR89`SK3~qW`6e85NA(gDgBjln$6C5(bMqas=Xeg zi&@1g8OmR7*A$sZJ7C?kUlbIKK6w_ez^dFD-Sx#q#Nhv6?7icu{{R1B$I9M&CuD_V z9wZcHWUuU%L}V99r>LmRPP{6sjHJjWTUp79WD7|u84)Godc2PMe81P{cU`yZ`sb~; z^YGlS^SVFokNe~C#H;8n+qtrM&_1HMuTJ7amRlZ^$t>m7FmPz{!Ma3)?k0IT$Jq@ZDJYSTjGXNsX-ib z>>8VS?W@-gI-bN!?Mde4i8nc#*g|djr%AI=Ea2<0C+9{oQCyD;9zHjNtaf`a}kg%miF40keo7b&BR|Ql0 z_E|`Zl#JN@U^6@*o8{S8Q4`zzR6K*?Y^eR*&5=tFun$fwm-hbtqIP!T;eZJf>v48g zhSgW$dYLqV??|rYWu`lHSnWG$pRQO&rEqQL0E^M!+soAEH=FQGWOr70$tAj(l~$(N zo;5$~>-!O9|6(5-mZE_nab(tfRLFu(-;p*XI4ZG(=j0L1wJTT`IrpC``DTBd|G35phZueNa9-(9L|f0mvr8Ufu1D1; zJJV0ai%-%rsezCwS#bOGfUJo8DDIAM*1IjP_m>V9W^k=|Ug0!9_IAAUgNSb`qu98$ z0cXIH(axXt&JD7oUUsu_36%I}2gOu=H2zvm7cP2zF?{A|HE)XNAx-@;wl2==$3m{# zM9OIUs9xaO8hakNe)A7wS<}7_^kvS9l&rI{BBqY4Ol+Wm{4e@W8He;;rxz!_?5mpS zF##AlMc_(+E0PC?T?Nr##N4HTSDp%RF7aaU(hH=7z!?NvQ8teF4$NYLck1r%(8#(N zqV*MM1;Z*D#Dp1RMUMtY;l&SB4;tVtVa6oV!#h$1c$VE?5d!>xiI|7?Xc!B|8$!^i zNEJ))E&lskc2;N}!i36LMM8$zzFpHIR?L|_3cxV|;ezaC!z2)7OR30#z>y>LnTru^ zKn6F>hH)o2TcB}mAgvVT3BDG)jbc=gaCVFvaA%<5MJ1RJ1Xc!GK}jCK2`3FVF&K$V zv0+#cQ4Wkb8_*)EfP?;pBNc%JlHaB3rs}DYP<9L((g=1eO0Y5zB8Lz<@DHGLg~h#s zK=2W@V&=fGA~u{D3szt{iIPVZh>3#{DgZ{bYe63PgagAyxN_c{T~Q7iLWPr{NCgQ5 zkv+o^_Ew?NPika)71WH!IWcs|EiQ~XE69L}@p*tUst_ht0F5Uhz-`yG=?9qda$}s> zfy2Qn1L^dSMguUTq(H!O3n1sXFzm?77DfYtoGKLy8f=FcG8U$&f&v=Q+zRbu=0@JE zbFiW<(IE~z7z-9ac4%N6rDKRx89*j%9#Ke4jTCajdi>(Sm@`AH6o-;kfYh+?Q$Y?f zGhyXHruZ?mh#n7E-DV**V@J6(kkrB~0e{KE7*%1p5o`>|Yl)U0QOg5-7;`3AU)Y9! z1RF>krs+YuiLOZmFW9t$4KR*tbjP31Td0F!ZsY!cmd2Q8f7IAi$E{H zXAAj|bE5+lM3eUiy0Vk zIT$b+pH~KQ61c@gAtvHh(IbSt(Avnqi;B@q#MZf?N>POSg3;kC^TCgXTpd36XDD3f zsjaVV+&*bPeBJc$FDm`vXr^}D8QZePiR5yr%yl(iH~Ks!ANm76j_=;DdFLVhl~mdc za|2%|?9*&->zy<_yS7*$yY@^a=1;&d?jhfhU)$sF6+ho+(e1;(EZZq7c`f@*Ddx#$ zz*MfyfXs!8C8aFC$OE@K%Gqx-R8eM+dY#cJ`1E`|;L8FkKV9`btwQyz_K4pz=GG%1 zKRxBzf*Z=DQIP6)K8PEseqy^n_UZ90RC;=JYr}a_WIfA4%{7iS68lW0w+F9~x7?wk z*^`jE{U%{TXa}D?^fg0FM)SUGhlX`Wp-YzL1bnN5AONV zcHdl)>eltPb6kOn=9DCdb>cfMVsEfe(QaHm<{kP?N#yB{I{y0}h47@~an!ueE&N2& zuX-yy{&=RDwMlLC{fX;$i<9CRl*NvB60E{R{dwfubf_IZk%}^}t>dV+ii4YLyn^rQ zoaJ~~KyLgw=KAs+8H1^6pyemau}2PqZ_`7w_xPKV9g`Y(c>2!q4@S#VG0c0GNe-^_ zWF64Dk_3eTn8K^c@U}6-KCC556T{uppWDpjV+i8>1NR>kstSnglZ_pE(3%t@PZK4T zxKbW-L-HEiY;IfU#P#EK1HXh%-amCe-C)}@hcW+!FXF#u8N*Voa?FfD z@WizEq4M6%ZiPQ@y&`Hp|5!7r3JEW>OTMwLkamTD3lu}{o|cpdjQK++cAu9ug4vtQ zr>*AHhFEH5L|~}NjeaAu2k(yMzw0b0Nz`+h<1WC;76kA-F{#HH=c#X&Xs!sv4e_^N z3&gcTgSjL+vx4n~Wbo^sFnZc?aspHG)O%8OKFV!U+wE-84%{ls3=fjb@|W}qo$SvZ ze5dFVnIj^nMIqr=ppvp7-pUkG>2LnDIGg?3{fr&)P@U*|G>;rG_lEX+aN8Z;8)tJP zWpuCIIf_Cl*|QgZ+A?^tw3K-)y?d-5D^jud1DW1ANe^zytNT(H@YH11pkEAbq;LvK z``mlJBO+?$cRgqBhh>Td*`peg*dzOYtEvaHuV_=9j5*Pb@r0r)d?b7J2#Ai6_kES@ zkQp(h&HgxFCVZ@JCIW9#=XH_$`P2421E*eH_h@ye`KGGsJoT-cKH^AQXHHk&wTMe&dy*zhG z8-LexN{k>eFi2{C%*uZAWaXeV+jR2is3NxMJlRg80ZlIN`+KjGeDE8kD{Et&{(DG% zrcKM(cs%!;(fHJ+QD@FPwjL*tF#aY}lfK} zVVWbl(2S(A*)OhCbIh4z#`&D)kGEY{^A_SrYxVdxWmp2=$*^peb&;Oa!8l$Tlc{eVwh3B#T*NkfK>k(%*hS=KbbImRErTcpY&Bv~9JqWV$ z2z3o3?6sBO>UC)U!a%tx_~k^bC88*a3EbtHlyP!Iu1Oh(t?HG;_+ThO&IpJK>5IY$ z5HT6(NA34v_>hIi)S84QS8BquMM&qN=X31z~Cl z@<0v%!ZDPA|GT)XfTSJ3a3G&$Fy;i#?}`Xc27m+W4Q3XCshJj`1b)*m1E2HB0hEBa zmK-4Ae<(*u5zr3dMI$Pq(U29In1U)KL3l?=DvD5m@C}K)OKFf| z0xx+G3Zlw5Vqg$)+5?|Ikb@!3V^M4gac_twW6|gxs6uzKB{mn}gc=swh?WN%kWUh` zmv$AZ04pKlOL%Auc|}Z|%*Z4bUgY}}Q0yZQ8DXG31IzXo7MUn&?|p!iTxADI0M{i32oz0{#p!Molyn zf|NtZY(%#iroe~1(S$vLr&j@T;N-!#Qic^(mPeM@G0-16EKyDPzVOz<82~|D zr9S3yGo8JUuD<2PWllW%a_mL0xu5Bk2}TRco&NA8(|Bg)FH2m5_>mj+YJF#!;*JL% zUGObh_lq08y@p) zeOV=#_Gf6M*lpo?-Q5lt*w%=yA1wMh>6DQyb((%YLf4wBRWC4Io&TZqYF~X=G3C3O z7nDORuK8({(=kESFUdr!vSNal)C$)%)4jMV#I!Br$ty(8tOe>_meM}M=OKE;CyGjp z`{gkv>+5V2T9S83%piL|gwCu$Yrxr+>0V$C*O8akb1$zCtI3e18z4bc88hO13-X4!If9*Y&Lbyf#DO_S!pro+9hd%H!nO%04`Th1J&m_BZ zf?qd|_+2?xGgV?^GyX@F_V>2{q5ptE}8hrIeE&|1yX34nY+bq-sUg$FH+*JGR6O_tMkDtX7oy*`PM!XSPk*DooOa$_yhMlg z72=?7K9+Me>y>@$_|@sxIpp@QC#zWJAJ4zuTX=CN?Orp#?by>Z{vV$PfAn3HV!u5c z-mtk?XdP-+Sdd50XeuMOpXT?6RTlnhs%Eii2Iu?}`L)=}+q6q(TzEQ{J3ZP91vf^T z2IF2n7i^uLPU3l_=TD6{CA|~QexV}nrnqgj($hDELEN{W#$O3L7#c!-Eh&qQrN?FV zW6+x$TCum-X-Z35Se!Y2Y9&P(HYN(&u&;mIu#f0<5}~RISl-yl=hd|3cVttr_|ipR z^6Dsm#)HWGo~a2=<#+eyEoKYIKNgV4pN?C3Se#g@U1ppf#z@=fT7> zQt=*}Z&cQ{JqB7mG!DP71zAU04HJ&^(A2Ft)P6@!ygV{%7+RjXz_=kSpt9iOp3>+( zkZF8-qo^5o(V&a@R>*K-Dw>3n(ZObZXF zlGb7Tn(QU~sw?d;a_)4;+Lhli-tPLg%K44%+u~0S?N45F;TQUcnY}Km1=ds~T*KRs zJ#R=jNT)FhC=n zz=SC%qBN=`(ytHiKpsPk9R(yuL%b5GQ!(U$A@E2(2JlIxAuvR{Oe%^q6jkJjOsb|h zyv`U50nxbY@e)BT10YK|1vF!UNCgp@RTvZ}GItzfj%J1c?*{M_V4j>Zkzpl{SnD|S zv9FfR5P2|%p<;ozFr=UZ!Kwl;cO`h)Lg8y8c)bz<(mT|a#~5DfD3gY!JpTnqN(w57 z%NWfrMdxb-i&JTgv0}iXiBh{@LkWncJ`70yQ09%&7%a?9Fm^OB8ab2^R8=Nw84;!f zoUF(bV?ZM0la>jF35hYqSh4}=LgT@Z=nu3oAZZlL5i?MbVp;fe zA{Y`j14Ez)aiF|Z0OpmL&JQpdKq1sYK>MLbLQP>hO=cKtW)vc$sqR46LQ)q18zN!K zXa?ZS!5m{j3t$8wJLH%E@4P&;ADJ?T-FapPf4**xt}Vb*sB3`IpDH*kA#PY~*TTRY zED|hG?iLlj0lYy~c~CF_O-0$QD*ywbMLw9rdPrGftQeJXFcOfHD!@n}oe6P0K)JhQ z7jfYG)LLSk;V3|WdUq^JDg>udF@)U`;J3RKz-2&vSOCEQ(G^jRBp|?~L^RTl8vL=s zSkj{$CmOqlC^nolCu>+&ycQhUcx#LkGo-dDf`s$mAm!u`(>4ZfbggKSuU0TbMH|4z zaN<#_7u*D*JAg8Uf8Btq*3iux8(2?Bal)d|Oc?+oP{#m^uNY%EhK8f;*}C~YxNQUJ|`hq3&f3aXWaU}#ZKYe1Od zr(%Hk0I@d0-)Uj&U|K&=3k^G1dmxDA0L=fLmOQc01`+iVCl+T50 zhEb}b>$^)l!_mVcSLjIv5!36O6p)ezn~6R@K+;~3g$EU$U`6om{#t_~6{01Kz>y0( z!d}rFgTDXIT%`YJ2?Hrq8o~JPVaLcJ%rtO`%YuWw#RomMOLI0KnFV}m)gvZUY|cuDlUqeet{%H*?Wy=tliLkM5q0A3fAc>Nfpb2k=V2(fVBMZVOTk(-F~Sfxr2iywYCX z)LuRK$H#TwkmG=V{*r-uU=E6V{OxYbK6zg^TY(VDtGp1_UUi&ZDt=-0&l-N za{l0QWD=n!t-|Lmi`mgho-vZ#V;XUyRCxw_bvMXATr`Z~jS3u{BL9AMZ(9rbckz*% zB3V3nc)ebs#pttK&Dxxd?^O%E zSQQFbcPfR~`j|74=?CRa7&b!N=t1Arw;bGxH z&VEYUHQp1cMdxPLPifpW8i~AinP+CAEbs>JBL`vpYTmx*u1U4iCNoVFt#kJa_a0R| zDWg?IW@~F*V;)Oxu_x#Q;Z%}%dg!O7)Jy&v+wayh^yls!dK|(dWyl(S#p(7? zQBM$gmI530?nBjVR*-PVeuF59(p&vnZbS6CfCHhxSksmT_JoGaB#rmPa#tUVyT z|JJYfy%lxufJQ%ZkKX#w>Ggc>{_Ezsw9Mh=#+E$#+NtDH4~%-e2_NLv()T*pPTm_% z!}?6MczhJlHD>yGbFwYqcK;*U^g6Q-`vcT?7=v0pUGOwV%p>S(=k3F93q4{NX(+PP zN%L*xZt;KUe6`icF5n5@afzhoV)OQ|I>_A)A2z16e!t))P0MPKou6_2VC)_DyS7Jf zx!3R2n9Y*6m54jXJFEJkQGaIOK>%rq){MgdE?MpPPdgK@G%s>l%EZXU zusf8eUO%<92{)VVxOX6k*)vcF~tubwR`ht+Gn(J8 zjfWB+eX-g)w-W-zdA%hcwD3kGzHkW1O)PwLvF?y%*jmYTeAHz>e;)PE96`<6_GJP5 ze_U`>E}>cPJI4JJD}N3iyfQ6xc|HGnRQYiBd6}=%ZXA#2*Ib%Nsg{pYN|%rCW5;!M zU~&@F_E*{bqPa^TD{nAUdFDCSv68uJB9lkCVlK)kv$IWR?-;ak>*4jnNb_T z1k(B1!$jXa+etDRXBXt~4Z9JXJkG{uLSKC@vgEDFWZ1eG{d^f`Veeb%bPcsfbUU5d zo3on=$2{Y|;jO6$U1>bdo(u{O^l|K;cAO@jj}5m7iqE69$6_vL@1XLwD#rV}Gm zm6IbRbnydlRzw^4*XCEp=T^b{e)Hnh$BXb(4+rmYN z7VO z0jLz=Z_rv9!qI4g1`&Sno(3Mx8)L?<46Oht1y}&Q6hKgA&?Ct0W+ERzGRYw88iQ0@%I9EqSHg^VXif>BT)G=3LDzM`LWz$b*`E7Xu^fyVv` z_A6qDNgVK>iQdq(TL*(7VcC?50qqivy#ud|g;h#CgW=yr5CUfaLHzLn1cAbJMR?o) z1KPlgDG@{F#MxAyfkEE&Md?&P>QHjvFWOdA0rKn__3o4z69H!IKZ|i<0cH#f+16-( zz`Fu)#v)TGfLe;r!l=IbKwG_MVVyzap#Xb@B4QxXfHVdlhoJ4c3tA4|hSp+z0S9^e zVbJV+6iWUF#sPfB{$-f?&jP}r@Q3z59iW7U;s0VeMPLMp4D*A0KrHoV0jHHFQxUmi zHUQW-*ppo#4cswA#{Ys#OFw8%IRI9Cf(uHY?V>qko(p`XB|lj5UigSr0T4b20{#Qx zppe@xtqe1yM-u#DRXqbyI~15fh6`FpOo5oDj!mJ15@_J%&>@lmuo`4R7)Sw!BLb?2 zzl)E^24eOj4FNFT2SLyXaOzmp-P(0<~-2VpI& zJa{5#JuR3ZF#FRVfM$OU$Aakb3ZlV8A8WnQITCnSF5kdPdA9#0`BQ7Xg2a_! z=f)@zT58s&_+tLIoc5H}O837O)#iC#_|$qDC-gqJ@5TFp=J&R931)MxQmqFMCo)G~ z$nMRU$`Gc(iq`eDY!26#&nSY>ml}k=Vn^#uvWEmf=$o`7*j)2GU;uQ!IS=uU@B6}3 zziy4~UEDjoulo3-B>9)Ty=t;Oo-OKe>PyOpGE7hAoUU|zv+w!5iElRZJ3|oq{)CI7 zo@ep|$p$!&|Mm|Z{BHdGP4zEUZzlHKU)vR$Ccfr+dC$)umTQ~1HT}8jL-sA{<57f% znJM(*?}I;W&t!BrEpGIO2pz~Uam0JpM4Ri^_%%v9ztcab*+{;AW`_D%kxqRPowknr zPb-;O*;vFpXZ(WbdfigKVVKMkroJSPZO_Y^LYM3NW)dYa53aI5A8!>neWTd2Dl|tl zp{VrnsqIG{x3_SqvXz1QkIP)`{&>4UFnEkNb?P>q>d+;>%Cv)4NY&vuC+8CeOX#^4>yM3Ki;ovz9$~b7SSZm_+w7|f;$;=EL96mla9GSuM_Cy!xzNR!eD0Lh=!P4vM*mRkj>I#cgqTGYyfWi?q4w&aUb;lG zj{>%@#fDm``)><&g(ck-by6#`&|LGAzo4&dTz0Xc{D*VicU0Aq z*swRhdlQ*?puWjqP=4kn<+J$PPmK;~gZea#BvVK-Gja3Ey!iMmS@Gc$)NiboF};HX z@wnKBKlA)}&z4`eZ}6Y;<}i^acOseSqjVbccU5wTOqIx#;@!YUt3SN*j_Sc;0=|Jq zyQ0$an5AJzik$QcJGIR-{;o`!COnC0ZyWyc5&H4jHqv|QA46YclaMev$*q?Ocq}0yziR5v)LUVeN7r>U%P*B`vdPhrhzo>sx!CbB6K29<7+RUwzvq#!L{9{gc%o+Z+(ODQ7C22jzW-S*C54Z+h9o7&wMGz43H zzbX}e32Bg;@GfgB9PM(*j=dt`{$K^KUsO6p!<^69!f>XTwHL#$HMfy(+?AWkKlAWfHEL?dH6s)*y3B>9&yOsmBKc9_NYaqkBdlUwLwHNY;%mw((qpLuwLOQzwWH0~Ysgwai{f&*kq35DSen$cF zIC2eeGm65AY6xP*Qo`brXi79tcPUrFU=j>i0X3t!+9>)3nehJt;7!*6tz}SiL3rPe z1_`^4u|N{@Fyu_A2LVljPC=1aFqfD&jexZv!WoSsb56+Pa)GDAO72EBLB@X(siwBej9-InV(g5H% zu->5WP$9x_;z25igQZ@J$5=2yt~;u9!J!uaqw6re10fv!cnAt4CZIxPa5CVuVU?lo z6j~ow0Y_lEzZaQ|hgCR`xH~VR_XzXBfxrTFf}l7+6DI-C7g!XC*(7W(%%YVO_ks!+R?h`$6i z3<}EU60tW#EeM5MP~;A6%_0e`(r&}EfI2Sd!-5ux02d8v2FM`V_fau(JizHC)DA}g zJJ@MrX*qzmFiR9wD-Z?QyS-}iaZw_Pw_*7Qz?cCA%xHxcrlpQLNCT0gB)34#n09`XutP-+~ zU{29OrDQl*5GsOtcgBZ_4mJhyDg_4h^e%>2N&q5p|9m_lD4D=`ALP|d_uwIWwhxxm z3xOVW@4=44*;9pG1l}Kw`2qg`^g|LIB|I3Y@gD@%YlL%X8}*01E*|mX`g%jcYc>3tyP}&apmCzIL|l?1w+~Wv6l~WOXi|y1d?IIFiFA`AsRJ!F;fO z)EsAN*RG#=wb|#$W0Aoy$@kmerzSUk-qWdJ^}{Q#XSbxQJHMehJ?mfolBPoV{LszO zf@G!r%^8uU(Lx4i>&8-ZPH^27%5{=kCY7EZ3r#Xtu9k)rra)P4>mb{U)1}7y<{vy- zEDiegx%pg(%bn9>Y<~uWX=t9#mhn2!B-7~oI5p)i*BO>*p51y?Pya`L=%r$R8%gOD z8^fps-v3(6Krd&1O<>E-z|S>-{X;AxrZ;1)g?JOYc?I|q>85#SzbIg z#ZB@iYK87e{)_!3To=_+b*0|2oYPOC0*Av7in}FPtn4p~rK~!ePpn0K_Np0%%5U^p`(oZ`Mf>3nOSxtGy6=n^ z#Wa=-9Vgp1eH|1&IpDAJ*`UXChzd99J>L8!c_F0hgIk^Ni`xNByil0TKG2))L#z^6 zjd(Hsqm|Ykb%qCm#r#O_xh+R7j$W&mY}7J)qv#FTtxgZpQP;4XOC1$+p~^9c3sLQ+ z@xsWFb-Ag(G}vcg89`OVbJEczvGj4*E8nRk#EP(P`EqtgfLx?nIgd}(OK;9`Iw%hIz#1BNv}(6x(#2d6q0GBkTo2$Dyf>3S^;Z|K_@wy2=w*$t3!F%$IAQbx{=seW#Im zd48(>QvVw3q~Ug2ENKy!Ya6pgal?wrecioOw~s36h)D>q1~=GncGd4GaQ0k`sDJ!c zc%W9Bu7GU5=vB>QLWuR*!97EHnJ!E`S`+R}b_2us*6g~-Fv?R`Mr=+gd!7oaZs;n= zcw=oS01dK*K4yR`@6GU!ldr3L~`HrSrvbq$PuX8 z_Dt~3SuWjz+J3qZoR@zh!(|rUEsB164j00ItZg5Ytyz6*+;U!TGU`2#;p9+F>pcmo zv?pZrhCTQza}z0Pn&w-f@8>^+{E4ykzG9`O;kv$R^KE>jO7leVy}q8R4I}qsQv(Ch zJy!EGqs-5%e_zu%${TI2Q~r9?KWw76@_zkF`xTWNi>I9A@3p0#+Z2K9y3A9L9n!W! z{>T~iwyn*CmWtCC&Jg6P^| zW<(k~Sq~AGeW(%VtC&3$aN~pu4i%ip+{3VAu*i#Ps^dta04X&An;?(e+RsIe{K|!Q zi);=6zNU7ll8e3?l|TkLJquvh^*-RYjvR~?;`j=qfG|pMQ6cuZ7^nY1*u>ipKn{&w zNkK%|Ddq5btI7dPO7RGApAxDY8-yFiy3D+6=s&ci@CEG;wSK^KMw>W0Ffptn?jqDGsG0y+5@K;^T1fSy2+ z10M=QfS5c0{lAx02qTv(F%&8JU}g9OW6lT^Ie>B)06JjE_lGMh#84A*BrPB2@&#a&}%DpKTnYd=%D!o_Tl9DS2^RoF z0;EQ~zXDiOCCH+L3oJy5-4PW1nUJ~yj1>zwO*pg$ETDK8Gsv)scUT~Dr2r=x3t&tR zg~0lv$$><{32ePGr1?RF15iAAlLagsJyL)g{su!<1!64(l$-~R3&1G_5Iyvz?%^hy z=@)_-@(gVc%55qA#ii&a1`6oj@0J5|k>z4Q=1@z;B6MeAS&7%0fJ^~`H6UUETD%XW z`v}qS>N7w|rHAPZK`IVOh_Je_9;hH1QZxZsDI&$vptwLyG4hC0G3F#2q;z6Y-Wny6 z0hXgYHfS5r`oF{m8;hW4`V#0Frl^8?e?VTVz*&LpJXmB*0%R8w4IPWY@M#IeEYO5h zdDLA3H4ZqQ=rtaYh6p9Nb`29WxF}OfV3qVsQT`leit3o;!DCcGe}Xy(A~0}K%dYj^ z5@>b~EE&*v6LDuiWP?*B2p$qecZD0dS_TIImIb~U-~^x$8Ggd{?MknSV;d-iMpk-u zy#;1;RiGmX>7Z3AV}0z;W?;w&_Y*mj8zbA(LLw}??oId z{w@gWB%rYYbh?0)<%w5@Fv-E;EGUPa_ziY|-{C2gho%5h6LSLLrVoq_9eM^B5Q7RZ zLn;Aqqt81I71Dq=qe?8Oi^d$#;&Md8&lNB-r7BRUpclXpHSC~zl1J-^sUV3XEIdT3 zYn5QtUxg}Y;M)PV3B5r_84e1-bvYk~U8BikFh21r*aH9OV2Mi2Q3W~C(Sop)JmKNX zy$EpIE;l#A&rrLtsI|&RRLI`*^ltx!}5dsj~2JXBL2;P zJKaQ$4%fgJ9PBVEl7cNl^K4a-zKOqscQ%A^Wmbbfz*GnS*=nQsuXw1 zCevco6pfwC`1oKEb{Xc&~E{#<>t*@!kL74}a%+$w+RE{tubqU=A;rmRTA;H6f(8t^mfA1?^qHBzW)-tC22Hm z)hB*(ro&F=hLTJ91vDTayY5vGX()ekV(Yz+ZTJ)KA{{&$5s)@ZRfB*)y_ci+XU688 zEf!Tx9=2=R{w&rBDta1rhI>W3guAc2v_tmxS#8qP3 zY%lP5=0`{!y4Pac&&Wak-dE1dr1ZyT0=`1y*SnCyB{tP68B;H&4F#qR$%lb%ysklf z59Rj{oSVX{Q?HkaPz&$x|H=O1oVli>tWMT9kH=SC$P4)Lx=*#xq!F4Q3Q3ClN55?M z%HI5`NU7P;me(y#e_MoCozAJ%?0!r0n$YP;F7jCykDaAw=9-`Mupvc@IQt2H=a`H4 zZe6UUZ=K(XZ*I_(Zpk!qNXT6>A&tRn*UL(6E4AOm<42^oebb%QUVi8sl6#`_LOUwv zccCVaAJv|w4Uz`Nsf%4DX^iK4=0fhoMdpxRUOn1Fmfji>e$e0+>mVbkmpyw-f^%ug zT+XrF!z>81QeM0F&_;oyAY<8kIm2*QMRA@Lr<#X5Im}ArI<$+QsgHMb*U~&pG!Mkr zEynk6_?*O7eDn&>dHzT36o~rQURhgfH&geQrnP_i=8|1^QTes~VTH<~R=O6k(>Ypc zccY^yKQahhH3RRY{mK;^7jdzV=az*isS8LbwZ57a6db;$FCrOn;F6Yki@e0x2HlG! zF>*P~70n09$^J|_OGnxVqpaOLo=vU0TfXTgJt=VLJpNoss%X3tgV>W*-uh2&qgyQW z;Mq}ixn558TvxPKMN3lz;%SxyWLV3lOZjTcgvO}^9>z$J9XWZqOM#ZacEo(XS=)Ne zw}8}kzg}-y`8~$skehUqYup4b34<4XSCXR!T|5?Z*q^M?E0BJ~P*1Irvw1a-eHMHv zAhg_f43E6S=rb8MxKuuJuYJdNnOx|5U1B1;$V!MlBnyTz%JIA93*9#tv_#ohy$Q zS=8X=`Cg6Q=HvzCFX0c%jpRvF4@}lAZ?>M&mEql6Y?ZySEm1qS-?Si+<1&|!*y1`n zIA5LD}RXBV~O+T26fc*P8j$&qnz#2Ww999kwhE+!0{} zCvkRgPwg#y`ly%gg1Ur*n@-GSTRN9-S1RRf@AfiP9yb(g!Q69U7ZZCS_c<>p-i2-M z+B_xuTdyUf2VB?0qaDPHOS4<@2ld{{m+8MEGf0!g8(utS+bpeod2P6C_%J^cbxFg! z%=KGB^X$u8++|MJ4h;@76p)bbJ+#4o`DIrgNA=HE!L^5jqT=a0k9y~1hk1t+@Kr5x z+4ZSk^!J$@f4lFxQ{KAk^s(qq%WN#%zI9vee&v#1mlrKMMGui@7YiPXD#&c>IBB&& zUB!9e&#P9Qr=2Dcp>tv44dF8xLdO9{=z27r)c;|)*ul%krQZcgYi$EUV{~^V}5-`%@|H1*{aPh!D zPXtucyPgQVG5`K!SDOQ2bEPWF77Q!uoAlOToQd%P0F!{!|5toKrUsmdbF~;VLcyXU za>)pMjyE;%Ml=p*p+^>1FuLq;o$LQwn836h+zIzufEDei`K2h#QHKA-3IMagJzNkg zKm^+W(Qcz=r54nN5Qw1q7ccLeW>dJ^Cjp)Z`+rf+Rl>=nI%kUEp-4;a-S?oGj zbO_BWRMY|0W^rhy89+n0NP&3Io)YoKhTVSF+W}EzzrtA3K^+BDVnCEtASGA;^$D*) z)}Yk^Xh{+HaR9)mhyw7Q5PC7*-me(plunq#wiU!c?z_<-qa7GaMvxMNrU9T5FtZTj zKpNIr`FDGI)d54k@ET^M_%~vJf+aw}yAcE8tUX?X4QD4zi%Ag!<&xpT3>;Lp6;y=L z_}hYSMBWL*Ee8VzRPlorJ_cVy83@tZzb3SV`=+#rQ5VQmpbD=F3d;Wy_YfpNv_e^d z`$qTnU>7v>tqV@a)N^uLIl!=gJy2qZ;Eg@>HG-Pl=!OA9<|lCDsOAI~6YxJVOc2-& zU|g&RhN1v0D|{-CQrS?Y<~OS}74UenSuf9f6h;U^(0n9NNuZ2-9@^rlKP@0*(VwOM^mbbaCksL1(aB zLjQI94eAiU6^O)}M!Bs6#-^y8TBj6J*Cl5zams z`YZU56=nJ%NP)t8NX&pkq$Gy~(192W$Yg4ytPeKJqaWq);bYXoQ$H@ppOY&j3>Zn@^AMjQs!8_3V=NRX=xyJ&1rHofLk-(H`oWpbGe3%mSvOwkk{Z zDvI~YQw_0W=kmr}>*9}SV3ltzh)g&)u2A@}(uiRCMdmPBm^r=mi<8xcb=*ICZ(wiQ z4|8!3Myh5FXr)MdCXrg^46)K z8u+ap{PBXDw0rooMlZ31j<6S=j~Z+|khT8>WrxRo8vR?mi_h0Sg&vP}8u;Fb{>ZVOS7)T%F0pdC-s0xM_N>*u?7I6^cJbn{=4&y+T1QRn(~Ffd6-=|UJ_9;@ zNydnt@aXy+;o*YlezWN1^^oY5%2ku8^v#D06ukxW$;Bq1^krkTihzh?BbmDu}NEF}n`^O!oZWsyA9LY_i97YWQ5JLer#GCxGTt~V9$;rZZik(os#Vah^K!Kle{1-X zt7-w?_${jJ?c`091;Kz1aksu}vwdCbH&Y7TvGZ#$gzY6#^a5O@^rkg+74gY5X2OE;pKGx_<~t^27X@bcM)7nN zFVxlf*MGNXzF&*}?ID<%{*1DW;a)LQFMapr=EAF@4#I!lWt;wHos_m|J{fObX4$-S zxbUQ5rj$)>RQ$;WLDr@B26x5l*(jbzBoCgv*T&95?X#dgb3Z7KcPC~-obRj6iJYP9 zXWV>y@QK3YZoWd30=d$+I*cO4In^z;l6SfdTJd+UFCIzrjYx=LrWGfG0LyaR z>c@lHQqh7ZrXTa4Opl2Kj4xGc(xkf>z314cZzM#?2C?60_(pd3!7#%hC7 z<;sgDT{U9L#e7ZgJl$1Wo=NYCjz2Z^?vncbDj(L+YqQlxuNJhJs%@Ha26@k_202c| zxDOws8^?$9NEq6uQam}Ye5=qS>uLHrx8a9_ycz?Ya_MGthggg0FFp!6M7Z2dqIKD` zk=w=dVfEwv=b~-N2ECQhQZtD@q!L9_Y}~mT;%D|>O24}q!o6@I?97b!2WI}e63d@1 z%geeeJT}#P`!p+MT)3i(ry{;CXU_3cG|3}vdG$yye3au^Q&WsY_~>j~)iE-sY|NSd zsRyT(wg>iJEm)GLWFeu>kfQ!td09o@^hb~YWzXqu2AM0&d-<|12~Al9o8RZD<;Y_f z9pI4I>wO1Dbqk_8(n7(KA>frEedn zdSwY&*|_*l)|iF&(QeD@ThFgxBKKDNNeLuh7U%=Uub=KNvpS{N%Ys@hb5n;b% zu&`l)vxpC1ym+90GI(GM@ukX-u)>ou zagzxlKnx9NJaIVJ_gAPc0`%;lAvPmybRNJVU4MLy)FgKdU}grVX8(aJ0QbRo9RXeG z?hZhQ^fyFv;D7>%YB>7|m*se7B|=w`SuO*0g*7AKZMH!Zm|p4 zK>&oRJPhCs=kJJiKu!U46t|)U01QEOClE5ES{yOJ0=&S^%6tqMglZiTG;#(~bYZw& z{4b6L?W_N>#JGiFh3klRct8yUU@#nT0Dum9IfQNj5GAvL=s*OjC2uDQL>3@H0=1)} zd@%QGyjvYP3T%1<(Ut_51cNPz|2Z$90U~$@U{eQlCkFs4GyMSPJYo}M6L2p;_+H09 z!YUzvf^KE^e*$r~02dAy#KYD0|2T=jyKle;1Coqw@L89F7*auyCH#@w18F!wV}gL) z1@arKMz}Cy8+^2qJ;Ai$$|?Y!0gV6S19H1Q%3VYoLZHt88&Cikg2Y7( zzIy;l7GD(ST1NFOzcDL4mVsH`7UojNi8W1=yZi7!LmDkfC6wnb7XutTmJW& zf%k_OhWk3w!$QNrAOU4yIOhYnL=5oX@J$m?9SSZF@Ka1M)slt*mUIYC-me4r-UhgU z;JYPo!MzaNW)S@R*MhKMsfXay@B?W)ye%|51p*}*U^MXaPua`u%=wPM^$xErg{$KJ zHtL3d4Po7(AR)UANr(jV;$Vef!M+|L-UqEXKoJQT>2{@X4?s}x@z=ZMHr(kL(GDM+ zW3YChasx6saJS+6Qz>$LTsj&IhxZd+F$@%7@WbF60$1dV1HHQ}I8C<;q>TZWSviQp z1=RxZT`zbPxLRPrXP~o91i z32FPl`PT3+;vTHJSdv6&lF=1s;nVw1AK^0eqs!-XS08!au5l)D zYS-+;At{M$z1Zvrs<1+h(T;4lq!*@Z-rSl)vtSM@qyp^n;ZAk z>)H+4IXh=6P8YX{wwkRP7lyRLV3DS5ncg#3PVH(M&Ow^T=!_|I8kuRGd|DN%#!r|< z+J5*o9hrPV3ba<_Oh+$6!V;vW&?pH*c(9VqgGV6+EVfG}g9=gT@KW-G47BXF9Pn9Z zbh&vkpbbd52E5NR+Y`lqarb7wYZM}bE z;io1p%4T{zdt3%8c2sK=OhWCEIrFg(FzHJ^#}H1%oV`PGG?8neYxU6k!ChaiBOIz< zeS~VJ6`4$Jt@LWuYnyz4RO{mimi>T_scb_^3{(T95Sg>8QFIzV$uZo<>n9P*#bHK?T6Dp_(^{Do3vwuC1W9xPo6s@q&v(E{YFo#Wy6(J5dGS2C-Iu zPeIWi5rdW=LKp_LQSPO}pC52BXf?6CKa4~tvsE2s2zerz5M97my;qQGbrt^%ZYVs8 z=7~W1^W(f+Jb_YV5TT<8RIKP*wq>gMSJ%+-IY;UAk{Sb+(DSL^X+C9~3Jg!SDDGMr z$G`vml*Zb=sHo>r$mLh}9730u`G`$!0<HHB^?^NqHuLrIkgp-H zzNBV#lM|w*BZR8(vN-DK^y)i)8HG~WB);`$kcC_z1c%B{O{i3&BcA)d2rrRrwnr;? zud0iRN%0lB^Xm?1L(vot)&F?&Wzx%@N>Gld*V0Ye$WcU%+N;or-A7Rf-Pa8D$#UFr z1!-Lu9_uT~h@?izdr}C}q1Q#>9bES_NyhLbGz(8m-ZP_)A#GZ0sA{{nK+K^O+u|c- z6uRRbC|{8dpmbl$Sf6SSb}c)MvTe$DO_Noim3;jCmfY-fi3zHj(t|k{EJp)Q|had>&~w< zg_VdOzBp}XxjLl3RsV4I<++=lG_)7z?(xM4S}xU{y59oma2?r1sAK2zw1$(YU1s(4 z%QVL%`QvY+A!nmjem7TddfMwIuICP~)$dJDed^Kv5g2ab$sWqWC*hwITp%1NYb; zgLIMIViX@%xJ+gO-|s1IDa^>s{r>q~g7*!n@(N>iKi-!dI_}c2!1H?|QKQ;9@8lmz zn_R4)YnWWGqqX-4v>Sx6IQ0>PDbHNB9bTy~&&mA8D=JKE{zHcM6G8q6bHLU<954R| z&IWRChIr(E33DU>@csu&1JrN}v%yyRKfnCN+X7%~`tPBC#fYbgLVf{$T;=4JA4f-n ztCHdUrvFDkKYfB|d&`ZxogxxL1O!tN$w0~J|C19>KSQKRB}mo7yDeS)PtiQ=$8$he z7M}r}c+mwA{PhTy44e%o26#~n{#gAZW&d|NJP!iFftf$Q)z4#r^rI#kpb-EA;re<0 zzsmOi;lyvF@gWdG*mgG<-_;ylHWmL69r$zH5|040i2~)zt;*-%Ie2VWKp;dgCJ5xe z`EeyA2q~-!93cVSME(HlzjzLiw10s$SSl851qlpcf&>q|wHOBA8bCx5APyD=AX^Z| zU`Rv;b4P~I!+wGwA^?qm@)kg@zZJc}JMp%>^>!?B6fjm2G6XQ`06hV@#w{)cQwDGx z#=i<|sKElUV2h~$w6vmt6T^uD0d=bYRoyC!{(wcegW@gNz8!Mx1pp=>)|^NP4jOO! znE(+qFh>Lk^AFx$M}?5X!s}6Q_4l{Z6L_}q4;>DYA%3_w_w8t1>0q>YH1N*Xs9?o{ zz%fM}2$tcp47f@HG(!XUaU}|oK0ODV-B&OW&5jPihGn2ZfXW%b>RY7(oJ)oa-~r^~ zPbF}tgC$`?Pym(yix)u$3xW%6;5;Wk+(ZaqZ9#y#U{B`|>260g!vJv;APzu!uu^at zfKv)~Mg-x|^pA*nEED8cHyB`=?ilbmptAvzb`S{O7@Pq?AwF0aB^=ygf|X-q!ru}u z-GCds14%vbUIcB?;UC>GkothJaA1WRK$~BL33&i0b1>GesUd*Y@PcP}6Td&k-?x$U z*91s_R4JHYfaqz(vHy}bMY1-Xq>zy(9T!vUWO0JDE2N#G*CZ4e1kAkcgUp3~i?#WCY{$FaD|GPAv55};9K@8y+O~o(dfMx@VT>>Aj zj1P>6c4ju7QGrA#z9htSEf#c%UctX}qWNgUU3{-kR)DnzC~c@0S+_=P!uaiM3zwk{ zp9dvQeoNnVeM6$|iovosm}wy{Jwt1SUH&5bm#7kEekQ86#;Qds@02`WZluQp$(0m? zR{qJ3^B?GB#2KbRqXn$c_OUAd`#AP~@}9~?-wm%z_zclmoUJAV^D3Cveh)fsIefzp8s;Q^Pr91=qQS^2tU9N5e?G*4Zd$Z-ev12O0X@<3`)+QEAz%^K zCsn=JGD=$9sh34=;Ixyxc-(SSet9i9TfOQO(0-n=-X(Qx)V%n%p8IsY8_|+jr)U6! zh(2NvvC9vU&!J;^{--IsrCMfZ>6V3MLA!SZR^IARn1@UW@!K}Ww^=#zSG)NQG_0lF z{WI%#lXgBk6~vgjghB<)aGM{gRUQZSNVPvKvHkb~jaDztAopqsG9t|(_k_iR2bO(y zky8nkk?MR`{=3J(?@hSfG>v@?dLvJ5i2FCIrD`TBPHNcWlg&=56P`!UjXEEdANP2- zZ&&UNmjyPBJMD*yY|uJ<@Nl?BQ>#bU{?J`eOI`KYplx5?TVM?u%ddLpZhK<3lTLl% zW>@9A@d`^56a`0Y^s&L070>he-_+#gR<)mSsjwuE;4GOgJ@ml`ZG%R2NA zp;M|GU!9!xH&eqOS}hb*&u8W=5i@W&6+>Yex=D%VN$)e!W~pmiTyAsvu_6tDz6&qo&seR5TD!^70)mG5NBEWVMU*{Hpw-dpg$O<5rO4;kkp`Fz z-JviRT(hz8A^Wu-1sJhN9}dxCnPeqIUapeIs}S5ZWUDr$F`HxZrT4whv}c)!tE6kG znXjJo<8uWudzhpr3$%mzbi}R9HslHZV)XLb7FWD$6IQsuSk}r5B9nkrjIJrE7J@F9 zX+dKX16nib@6hpu}da!;m{=;Gq`pB1XT3sgZ(OMKkAQvQOM#K_t#8h2>(CvD5C zClp(2VlBCe_J=km#AAXhnBTQM+nVAZ5x=;(6vggI8}v#$r5j|ywf{r!3Z`07+_Jl*f`b2z zIt2l35s!QaNl=gT_(M_ki!p0`V&Fty_Zkhq-;X1QG}V3@4rh7l!MP3V^FC#y_260D%h{ zOu+39|4xjJ6oej%;%KI8B4Yg0JOE!?_43GjqSNa0XP^;$dKemSg z;29+B)gWVh=)EJC^Eo&lZ}2KWdr`UNH^w+4uv6@U%SrUB2oj5HE#*uE1o z;KqS33tYE)3zgyQFfib*`8z>DS^xoX(m`nM7@{(upuy7}juif3kAVvu+y(K^!%@15 z93AU_%5C{!$$O}psdAG9D1g@$7J}iGf>ZRh8bChUYOp59w*VKvp@H0o<&*;LJRm9g zK!IlY-ylpFEB?u!>T!j}2R

    ^V85HEg{c7Ml z_dwVV5ESe+B_??NDmT#3J`=0NARfxzIXnNK*|Kpksbq>=PO^(Xc&N7 zQ21Kz%X)hhxY8{#KtSVcz(9(@$$Oyxhj()ZZHE4|;`=j6_*p>|hXpc%P(gq{#o)%3 z0HMPj0R;h;Og#+zi!7>`00LoW0ssmQl)>c$E<5@LLmu3MW1yM=nhubSfDSZ*!Xl|vCJcXK^WK5j z1QxLNYi2n5g`4@ouXq5o;g}YFq5drggr8`7RtOpFB@4Jv{~P%Hw@lbh1VV}|08Aud zH6tYKFqS(IZ;0}1*vmT*SxAal)8ZXS86vdMd2oZBN@)?x7}d+b6JXd7Z(0(42tjSAyMZ(qyFoyVr*+oGsN*T@T6s_iYHhw@klZ7pVE&<~0C z4|t6wecTKWRa7+~As{91R+K=*l}Lu7PT)B(r?*f!7P8lplCzqVv!V>yu6*VzKp)s_u$N$J3(T%|1?A2LZU~(Q;U?LXBP-e^GLyN-iCtHu%rS!PDUI<<7 zypY+|a_vQ7-n`}04Lk!r1@wDc{=m(7^!aI5I!BHB^QZ~3ZD#4t=>hUdVWmTHS7Q-!_y;UAeLgSF_qBs7M#=KUXR(iEp(s+* zf?Nl+EZg^|)S91k2IO}m7?*`|1q$9zYc>B_G^xxLr=_t^`_$*S+PL6UpWRyy(w>nY z*O914+`{zq@Xj(G2aV6cp(mGDy%}W=A_6A+lNdE3`DEC+y`wh-eHo#52r(A z3GNt$E`GLiQxyJbj}7~kFp=yqGE`+eV2L6|Ra&85$(IpZ=OO(TT0P64WP0=(WqqVv zg>tIKJv*K}NQOb>!d2j;59t9>Deh$8LgA_d86Y0hfZby0_s&~1D zeAZ%9ad!BWROQ^dcvS1Gt-X1qx$O;Sz z5*rCsc2_w`X3YdM;**x(daL_n81;^RU`6t{PusPt{C#Hft|jB}G{Jd5Ysi%KMQ99O zZPTn&+IKR9q@{!CViz+-}rJf|lo;;IeHgK8z0Ewi` za1u&PE#aNA=yYz2+ZnJJcPxPB4Za-iN8^+GKA2B$$W_x&Z_;Qj8(A>^cF9Ubti%{g zcvg9K2`Q-a>Y>CJX-1weZgORBNUVo~>-t|f^`KiqyPQ;XgFm zVu+Z??4Db*{AsA$iP)VnQAykA{TA0?4`L=xdn$yI!Lr5i_Ao zhcM?nM06xJ?w|gaPxUc&|GkM(cuaJG9eYFEZhS&?2CayvA*1_l4ib_~KWP$mv(|W75FR#WzGH!|FOyvPjCFk< zc45ZQUMkjCnpeAMz)gHI@Bm$ngNGP07vvf zqG9D3m!VD0Q?h-BZjDS^sEX6ZmLeV9J1vQ}kU6}|T};Z*n{hR0tZ^oP=vWF#h&8BClrKW2Wd@JZzbF;d z{r!Qf|7aTEYD)MkX0QNGD*9A>dNi1;8jucf^FzE+YhO|R`D>9MvJY1${QZ+x2!aL! z;`$BPCjp28OhgFc3Cos*h(iGS%$0=Dz^>#_K^i6rVS}O1aX2e0t#eZ2moim4VM@R z^s@k+A=qIhA`on-h)@+H1{Y~R>z?bp&C8EGI(cl64|?~ld=3Xa7e<$p9VAmYB?ulD z@&-R+w~dk#^jcSVBGK7gycr_QxJgU!b7r$MnHlofh{(o0v`|i+PqRoV&RM9vUrd7c zuAAQxUSR$B>xL+1R3g$zN;(-r;_lyuF_x}E7xSvN^o(Bxp~`}@J4P)Tl;IH!8R$N$ zbcMnOfptBvj>mr%t;Xm%7uq;nW9X{!GZ3Gqh>Z~sGySv*qJ1+Ek#Y#T&^C<%t?kdn zpu&OtZco25lPBaA;ClA)D}*w!=$kR|#3>uGC|^r^vXe|dAO5n*5U4;^9(fvjnYfL) zrA{5Ut8!(#k7@~c)c28=NLh<<+ zA*)LftsP9AkC_xM)TqRrijnH$k*c%EWor?HRcA}ty19+^L4HOg-NM^tynzT7-uwJ9 zg?+y-GA(r@&r^yX)R@hCmKi+AQ;L!gd7lmLJuOthRZ2H$_#Ot4RKum-&5u zPDv7{$Uy4^br5}@H~bbF(Hs>J{?b}0g9=mMYNEF?g)J-@D`n-e^wY>9>&qj_PT3(E zgi*TnT?|&YaGBX%t+B^0E6eHr_?Fy>L|z}N`P!;D#VM1QR}w;-w4v9bXCm}Nnf`${ z&nP>B)JSXUFi@~L59-~BYJ)#_zkgk`S&LtfbW=tb4Q(WG_js`qqme*DGL2|&SQj_< zO^HuBSKo?OKDtT!jjbJ-y|VG#^%GCq#ky9JRKB?_if{DB3J~FM1 zai6|bVt?ut_6eBZw0yGAzhU3%Q}$9L{N7#)#x={ko17%Y?0RCA636(^$Bn6{Jn}AG zPjzh@%;%xeG;}rgjdVcHwq<#9~6>b?vtoNa~=8?IqJO!Tu_SAg=-!`)k@q%e8^91?@= zNJ8!q13}S$A7Pb(+(8sc)m2hw16wL!OA3C6{$ICAz#W4^;J-&end)mjd>th468z3c zgajCR82$nl2MNW3@4V{Kl12AIY+Yl1tK}EIkGT9W2Wbcs^w>%q>MdDz@qVjZLTh7x zbw_y<*%#09%|ugy7Po7s6~=r)JX0s{_LL=GffozH@Kx4A5m zg<}~Pc2II`r_XyRD^pzxO83*q&bX?6&m2uWr3$HR8^it0kjm34-B+5T>5{TtA;ToR zjMwC`=wa4M2aTfLN9FvLOB2ac#m8g*$thK+h0C(%t-a&9$YV3X7in9r1+jP6QCceo zE2&JDy^94tPTE!;wY$SE^_7oOI5U@Lp3n1T6QdQ+tUsCYDK8`xXrEihJiDLfJmqbs zF}*OQaB^@NvzjmFYKbP_yV_M!Yc@aWOIEmR{HY<|wg;*@jh4rDUUu+HwlS^r@!c0B z&_jf*h%k8**F*2$>Q&P?1)e#j`3CPBN(seEb=G`a8SmHXF+H>`oi1Aqf*BHC6n%F5 zoWVruUl{z-l=8GoZT7jyaeJ4#Pujls{o_rRl7RP32OI=bGs? zUjIlA#K7Bz>Q0F+noRvLAW6fo{Pu%2K(U7SxOk_~sHEjy?vEB@n55oY>-K#Pqxw~O zU6DMCC}Ze|JdpPr4lzx><8iKw-k7cA967An4Saoa6;#r!{#iI&`^0U}nQHEu!0(>H zwx|aetnI48I`4^lrMdsMX|ny3U~J5Ky+iBAZ@#Y&LAjS(*V3x9X;%EaAD_H`W3=12 zQ0sJ^sQ7aa0n&TUAT!xE1Qo}w%cY6B_j-ethiorzBZ-c|q>XIJa{0N!PVEztca}7m zW>{RYLkUST6tYLX7U)s+bV|VI8`5gQ{JEy$;&)S>vXUD@-sJR{3N4lCrS&Zee;;x!i z=6$dBYxZo5ii!#{hKkL@7?Bc;E>(_)UT?9?tOA-cRTo())##{Kkz&57@T-_A%{)xo zmZj;_NTu!(UKKEV6Ob<|jE5(A{uFA1?1`EXcH{$HJ8Drq&RL{MqP~2&CECJ2j)rHT z@awV#X<k;x*k+s>B3~1T7p+GxCXR#DLw|F#@kLv8*|;|i z+*P}d(Vf9?lJ)J1IExR|RS3-efS`Der+VJuIKgW5)|_*yKPxW{&-;Fi%5#N;M@9MB z75u#SpgPyN;|_S?JkU<7JoQrP#QG%L3zEYs{1v`)!i{kwC(n{!?~GDJB=zoeJ=E9j z<<nu#XV=5mAgp4E32U0Ru*)sFjxU6-)<%Y*jlD^w6n zo$^H@9amqU9wl#@(3Oer5^G%1_rDE^-FZr-!wx-=Pa?f+Mp}9FB$vs{q>M?ADk_QE<3W)O(g=}}9GWa2>5IdMg1?};Py}*)U`hd79?vLn- z_5L#olBRfr9RyP$=9?UP#+vgGKfXIl@kl34qd|cAO{tv1r|10JQ>_u|lH|Gx5pGMN zy7J6W((+Ofg%N!!1bYACxiLpg22AnPYy}5A#x9eIUrB-P||dqn|+oSkH?MT?kGBWylkfdhyCz&mDg9U5d%jQY6v!ed^BXvUZCJ zbu*LY#&!~G<-dFtRVO$-@XNP%40d?Z@2=U`6n?(x+!cp=C;Y=BsW#_2J;jYvq#e8Z zcT-T3%rv5$VJWRmQ}ot&)3enTv2i4R~<5Kt1kGpMx&OGLxBkjb~`@azv|GXP0 z#lTO$aj(xWHM9BdI9c9CUH7ofr8}=;OX)z}*to-m^Ll!?IQ6nSk9}ejlNYhV(4kX^ zC!s-wy)+F^PlGFG(e{JI(Zt@LT6Z$~$n)r+3PEl^$y(&nFlP=pUJO`emV9^c5F@H) zjODn`b}H1%8WV)JDkbO_QD)isF$3CY{^LM>dc|^u{0ClzF5=4go-ya(#8csXV%2B* zURan)aRSRUVcPEa7}L!&kxoLkjBk^_#!a!qsq5e`_WQJ+D>IiW+ zb!*$XvhNfR9z8m^{-%$UcU_KMqLlGv09%lo(p*PtSw(GhMfR$gY?r~I=&Ia$S-rZCH>mk#9rjEKVh*Wvfo&;4 zRPh4c2fV_a!gY9+*kll4qA)pSh!B)2&`Ca3lv(tNj>(Sr0QXggTd?{y&I#eX2EvR_ zVyTVnYA9vsesWMLZ9ZV%* zaHid7`pl>sZl;8xo8Drx#TDGC4Hf2LluMTD*|=LjM)MGP$wwD zWPcauGyVC!8atV-aT){GHK#O#*!c`Tkzwk*qG$(#t#2i~JxSkxO_!_dwK!>?%6+~6 z`GneW%BGYsYwhaMD`ms@%?Zwr{0&$K!A(-4lL!+Z2#=Dky`JMT^yOo{KUaiCt*_*% z&tyGpLlv}&ODbpYzf>{U#NA5~`LK1vA>GaUPO;ZRlk0cU+xaXxOqSGC=$)Tu{4|{RcMz$12-S^uZic%9nr667dAKE z(B1tMS4Fm1)cngZnr{B7HZFidqczVPBB)RGT$+PYVQNepl-oJjfv7lH|+=*LU6y)=# ze%ZHX$TRi8>V?$3SQ=TDn4~H7z|ZHSH{pjpT?uA0&ik*}afwgqRJbn^Fky@h$b>NV z6C_+1uRadytp}1IQ0)M0_#aX$I0OVe0R8|{c=}HTq~*6b5un3=cD;aT{7=?TpbPm| z*3SgnQ-#>4BA(o)`E{W77w6v-ej9bj6QbMhvHyW&f%6Ffxv;qtBoeU2(4Bs3|G#YiZ+;K^cnTI7#6pAt0i-;^0%&++py9P9g5B}k@PKW!+(Flk zuFkj7N3i7nLe5;~E-m@9MzQg-7lfiW9eHwd$p&+C=1NHrIVl+gQ)*wl`0T7qMXg?j z&f)7!lmu<-Jet}<28=UR@>ff=~4B4cvLlUXJ8^lhA$}q-}^D3t0iAuT;NCzhm%0 z#Cq0YKdKrCq4(}H^mRxy+V9aCMp-Yh%lQQ8 zljS=f_T@P1uD>J_%j=uQeH@*k9W1L99W^-P*Y$~QU*s-zkot=tg;8pa17<~z4Yy!~ zk=kLKXZ1Bp0Y3tvdc~^!(++Fy0gM5hLy3hP+oi~Kl%>Ow-u?yN?{IC03ZMKgRYbgN zX)=13`2zZ^|8>)TbbsX!83DpPcn!cpQ(X?mERavl*`1raXWtU8~oMXyYXUNChPQa3{{&t0~+PYQKX@f!F9H5XDg8dRd+?|PlV9sTvOQ?e?} zM`WZFjNH?h&wb4fGMV3;4OK4_xH_zZDdkJ+um{4L<{q^}Hx;i7-=*YJM2_YP4CKTg zhU?UhM67z*eShmy@lE)=^!znvyW#ddjwOuT1#X8Ik@BOBQJf~mx$zTjp=tTeq=);} zA7-`9?VviV+UBU4nz_HT!XgxKVc)oVp2 zUZK=abXt|4dLg-%bN9H&28ANj`hwb9HdnhC$>nCDmZ8704WH58AAM)0QK^V`$Sp&u z7EbFRNS<&ZLS@ph(Fa?Q;mWsgh-)%4JTtWu=JlzdQjJ~Es$#7~dH!T+|76~_%ia8P z>i3AnJ)1W9FskG;q@;~zs~=;ohEeuu1C;E~FM}K27Z>1R$~_CLyAwWDl;*>3d%=LH zjlaB;3#Ct7rb&6vA1}AfZSA?Afe9HUHS(&Zd*e@DMPK;IUrj2pmbM2PB1~h)i-~b1 z9IQ64E_~lh1F6T$Oy?Sx(u?#V(ecK*Rd}x!HHv_h;S}4(cK{&kH#Q3;2$+58I<4Be z-Vj({eZQu6$FIIY;AQir|M%7>FzyCqG?* zU_Tj*aEMd)@adv;bRkVTx=8Z{M1WEJUkNw(zsET6EFEly{_jIVe{N_W&XDlXZu53A zAz1wh5*rK!37HVsp8YsMx$dslM#+T1tL6fBXTdHqtcDX=5LQNvj0@Wf!UKj!B7Yi% zJvUzRS`Z{zBabzt%XHPj>XWg5c@!Fo<7Zz;Sie_baMwVi!%GdF;s`Cn>tUg)9 zyZWdGfi!MunJ4e%Mjx76!;h-PsgUoL*C8k89G;4I5a-Q`Mtn&k%fx=t@WvVh1p9ay zqLukwZ1=F;EFDh`<9W0lN!@wQ2t8@*fif%z`7DYVOOur?Py0+amd=_!ajchlTdqU% zdF5ica>sCR&h&RlXNb&;D;9$FjTV06xtJGo{`3Rh6;4aRBodD^`{nYI^(7k)^G`Z< zakQx`ENaaU35|8VOJT--CKvkYbniO#&E{wy!1P}(zq&zu(s8PA2b<~3!zhhk9&J#O zx7dV!iF6si!XB3{etp55-2Q;^*dz<-zVY%-+fY15I-0QJRelR?JaHi{xf<&j+sE2G zqYp!OuF^3c%NJIlB)dw|8j_a6z8`0wYF%v2p*FS2e}U26+e6sf8!DEL%TRn1ef9MB zLo<;+o<<_Ai4uj^dN?i=$QJ57WH8&LN9l4gc@ad!xw)ybp|<)YX~*H`f}B)H1};vZo1dt^sH{bln(9Xy3B_H*>z8gq>Cf-RDr$ot7$_cm;_SwDDFAEB~wM1WM z(f+!swXoY1N#-0u=*=|mQq<|@eJ4|H5&Yeta;LGpACXBsc21LZN5s7L3#akw)qKK3>`mr&FtgO)j_NoEL^Dn(S|i(m?Il?R%= zO46bNsLjNCSV^KE*=pI8ZXS2V6DyFdBf6a}O;%8>o2^#+XfM^>mz*j=U0GS^=#P#uA3{^pdWQC_x920;*UYctrP$+0t>7>X ziCGyh8XG51YHGu`2IG$Su+Hp`C4$JLKDLM?GXHMoHP0XXUaen{X^`-AN~^Blbzu7e z#}Fa450n^q{hT>aPuwA~F!NPt1v@j*axwo`Y-uu$uA#apj7azCRMAIuG;ezmOVN-A zn;jxv+c(M+=tfvJ>}K>CK+xGMXmtvnE1TjDH^QHs2{Oess( z5VFxl(6sGG73J>Z+eMSYHNBwZf00(aJyw0t+uXnZj62aTcu~einy82X8a6|(9Cn{K zF~6a%emt5TyLdl^`@T^rI~%?p6*~J9wq1LPjMKqyAxH}XIx0)p!>Y&5?$PXV6LX|9 z+))WCMH$3-_#>r9qng`R9*vO?^xq0vsnZ2xsYn>3UOGwge-e_rX^w9W$sXaGN#tIC zH2N*1JHCcEW~13s=ANS}9u$+Fjou=#E5US#)33Z`nZ_(6(oaKu!zO)_O zyZWQpp3wdGc=WvKL9?u~ccY%h=H+V;)~h_{(J6hrH$~PI>?c2%gWh~ns3TPGlr_so$$3!k$BlnwN%}`K;sj6&h&PK8ID)k zU5|rGrCuZiogt{{(!52=;Z&zu2zazQo8|9_6dAT7$%3<-PlQi>L#!5F>p+<%k}24#1JapUu;id_C5cl7Nv1#RNs4O0) z`uf~nzaT8$)1T;DGDF$T)nnTu+ie5hJQ?^QZDH#yE-^e?mw%L@3G=!>W{sIXKDvV~ z^{^IE`GDX(Hr3EZ|1m#TP23tkEomapbKN1+(t^&?HHeWg|zHle8~KJ31eYY@<%;sWt`;PmOuypQ7J!qipBvziP> z*PDg`yc}0Hr6jL-L)Wz_T|B!juA1tu3;wSkzG)>`A7)zZaMNI`FSH1~_&{8T@!)H# z=}Htn&Jzd){>p)(-J7paWXZrgh#|?D@#pSNW{t4SqDvC#m$o`|qOQE*&rR`gEWWbo zEADr_M`=7^>MPDlhq&vx{YrCe6CEi-NaRsGcE(nSTpzhh)of{y^kaZ8D41J$tV4xy zsfnU}BcggijT}E>wVpas2Aqwi(2T;6xV-Ye&0O-gSQ%k_h6JOEO^6@-BQG9 zADPYYGzbx!&H5OoO<+Ib`%xYDCne$e!+^fNeLYql)Uxc{64V;Rtz=p&kANq~M;QKO z2fh*N^>-x#*xyK{l{b&v+Xz5Euxaf`Whhd`%q99>@wQB8~*xpcM9=ebg7G%KRgxoDyMs4)Jdx7s+~Q)nId`gkS)Q&-BM@LYJ2YD zbFB!=6-LC#mqp`toS0YI*rOVg}pjXl3MTZU3+* z(OZoshr{Q+i?!YyG>>LT@xj@s;j1dC3uxb1kL*oYKSntD4bSDC zpPxPg6|rZSka2s8PJ8Ske#g}I78R7)HAhIzQ2wjGHSReZUYT|&55xJ|L=LS5r+xBD zWcAx0J>Ql?@V_5X#i#^Xv%LP;G5N)QxT_(?xptu{!#|sL-t>eXGiUaAcXfQo-Lm=y z(Wi(+>=wSmSQ`K${Vwnqx04BiLY@EOD?mY!yefnZ4%Y!{CkFKz|AoV0_(>RdU>4Y5 zFl-_$S=xUW4E*~J*&p!$Ecqq6782YE>9(3dObl-R$qo}kM#h1bYtl?2)}v(4YBdh8 z%?lU?cC;bZ=)ZEx#UrM4EYwX3ogA^(htTY0aS6s@sUiWpaH zg^z1{lSQrtZ@LWBdZ@!roAeWYsH|(H!R~$=dq<3&&(*22$@d}$Qo0!^;)>>(QyW7Ec+Rek7u43aHZ!`&C!t`Q_g+PC&o%#ups4W zQdWB#7`{B~I{Z{6IfU`;?4Cvqk^aj@WitXE7a?Qq&aF~x=o!o5z=!wx3Y^JA#cy%7 zk}k_DsM?diz}_Q@sqId^FgjFB!}^+q5ulD6vsQx?HtS^+MsUCQMrNb1_i(jWC!047 z29sZv2*J+vLb`)4=3;(X7wGG8cCkD4A-kN-`?A{p=LVkgFvqTB2iav?%Z{jfaLX&oaL*tVk;oHLB z9u6AGQ~Dvj5Rwdg6mgmWo+-vvyeYa@GB5HMx@J+CCh(xoxn3nsp3~gO9C+ulteK4-B>x$Z_ojm_q*ciFDDtD=4K~zCKcys;IZNC2w z>zF)n|z2PwE9*N(LlJKKNExM{e0w? zUXOPi9CKJ=(A8?Pdo|0ks4rgDSUYWpc~J$^2|kJZf|Y^GjX>nqoz;fY^}2~T<#G+J za!KL%Wea+Zyq@#bV~Nn5$j9HgP)sY(GrZcSm#q_a zqSkcDd-EP~q+Qb2h&ug3s5LBnON*{ZiEz){k1qqg6#nZSXjVmctr0n))I~d!y;r?}V*Urq@l(*vElFC$iLGrse;j9!vU56~XU! z==uZ~<_^p10;H!~`w%((CS;*iFGHahVUy?RFR@y>sbgN5n);)MJw(r5H}}gbaiUwZ zK07fi!uD?P9Wg4E&z%)m!tj}@TX^(_gLqxOhT(xq6qKHZL=s^TUt*4w(8s_QZ}M!} z!TPI96q$3DJj6j-)n9;?c||^WRb+Z2f?;yQb0q7vgH@^SIK&;_Yp94>NF>1Ns{yiR zn;R+KNjqT)Csx->uS_1Orzbg~|YZND?Z z|2&QdfkH3o#oj*GDA!4DG^=wTkBl9_N?yMk{VAW=bKjQ#p^ZErE?4>akSQ|bpyy&_ zwtwCmXZ%fu(Gq{lr5LT^i-b_)SSeakF~=@7y<Wl!sg6<^g`d5nPp?9gqzZS`P z%?X{j^0+s|k~4FC!-dG-JR12KrAK+dK6y=A84tapnTlqVDvOEX;r@n1c@Wx0$%#qL zqfaliAcOu+_XTBH7GC&Hv*T7Z{Q;as{-ZL5XrL21r@?9t0) z*ZK=+<~JF|)vFFJ0m7R#t`35Ni$XF#vGY27aemR!tqu3@yK%>;ude!LyjpB|FZ*-9 z)Z0JsAX@f_hSCM(_yoRvPZ7kx$Sd~ya{W}io#d(7&C8)6-swB9v`tU^hCe_b%lJWL z-&;KXAuGU%CRF%z?M}_f+ZbO``(IUs^{%+k7Q=f}Yp+v#pM4KcVTGD^wtgmkX7+UE z74E|8?6`QU(6JTSSYNEh>@~Fh%z#i+ELB2fC79n&dlMfaf%@d(aOJKOV8byLOe>bW zaKnaiCjlw?3hgP{Q}%zVaR`Lq)i?wseE*kX9P!&?96*{e?BkHYk|cpVzXS&v_m5Nt zbebi{V}*^AA`>BjG6Ps8HZlt=3mcgT)FOc2*xO&zudtPgr=nmzM9p?w;;8BH>=MP@e2Lbf?Ahf%o_C->ek8?|WHRa8}x} z_dakAcj&K8`zqA@PJ&`kD)aK;v0>+^Uus;WBU}HpDbmBi{VpZ$PoJx;p&YI4o@If4 zAtqza5Io4*QPna%z4z=svCCy(XO|CM_-0_v#Wh^*)hI4mI@`( zTXwy;ZRa08`BiSNxzCpJ&Cd_bAxY6%k1Ul51s67d8O0*u5~63~`|Lmm-fDe^HR?|2 zpS>r*Pum?e4pNI_j8D{K5PL1}-oL4+wiX(2&51YTJk-PJ&@wfQJ{uPws?7T;bhVD| zVWbUuw@o10bs};}tP~#(Bc4!lNHrTr*}_fZm+c0lS1G|1YJr|&iR#7X3$hjEQzH+i zsy$zS#IIB*yQ%gjv!yGnLT%#uUU0S z!9bBbT6t4tMbzXW2^Q}_)c+yvt>dC@zWC7xSh~9f=`Mk#q@<-0L=Xf8lokXO1eOp1 zkx=48hZv;NND3k#-Q5C8r<8}SrIIWzOw<$cbanK>uOOr6mb zJEY5MQYarmXlWqq6T)vH8GeV7_gV;nsi0u0iN)=xxe_h|T7j&9N|;y2JMM!cV$<~$ zrtzEm2PwnesUM$iUTTS^_EkU}u702*m@VO3Du^YPBN}^Gf01a~|zkduA4 z2ti&#gad7?O#_=@0If}*@JQvDxLp&&<21|S4Ek~0qBMN}SNHD`BD!EZ2-h`3`pYST z@2bD{^ghJB6tk{svi>Ed+yu|YfOBc=z^Qa+&Zc-fu_I}9`*C=ra+zPW0!*}UrXhr% zZmMojAB1znj{f>%Hf;DOh2Et6NX)m{s&MsP$_&Qq9k6$DoekbxO=$*i1*z#hLbG6d zib}FG>Mc}}dFjV|ni>a6`v{upF(+%sHm`=87_CO*EbZU)@_G?kW7aOh4wmgg4DN;3 z)HPUqNvVkA-qjX%lUx-`kqt7Gn03$p5z6e=H^#!_$06<%_*lOE_~W~7jqm_V@y98b z9Y1`DyLRX-BergRB1R<8 zr}JEgKIvX%^4*sScOO`N+}s>YaUC0+z%RS(u@}H`7=z1s1e z<`wD19^-MxY5(`J*H-emtTI0pxY@>DbjbUtZPCW-(PH3_ZIT*uOGMcTIV=I5ETk5vtbINebZ@fDjn$N% zeEG>xBe!e+GaY(pnYVDb8-r5QMb}4bbC&F=PFsKo_mu!u()PMLmarH-VMgow2^R*5 z73p`n)1S{&7|2@k0PB|y``^8Fu%tZQTSo_%J%JKqq5^BoUt8;^H~&v})B!~tnqh)b zKy}nGg6Mbovd|s{OcLr=#>9lgC}D!9`fs096Dp*Hi9-bnm@p`&nUMt|q=FHl1MzwV zM3G;il9u8Bisj z8pa77p8vJgbjs+XhDiVh0S$~3thE2LZ*^Khl?HYZRLBd)W`}Y)F^Q0gxBs8_q4Xj8 zLKq>WB}Ik@DO?8wbdtrxLs;CtvC(PLgGn1dL5=63LGLgW*AJ!Me@oU(oq2yv z6sLdLdS6jJVu&adewn*nKp?8X2V9we6@1KQ*Ssn8qeUtP(a;K(k%$vPQgPF+;4u{^PBH7CwryvoC`OsDO@Uo0ED)P}c%&9D(# zEBw#-P?`{bf?Hg-?h3wMTUL%Abn=~5XJis?-XQT8>5IO(O?u0#2EiLN8$;jAcwQds z;!i=zJWb^XL)Q!gF}Ai1^;(^81dYCLf0FW}_tW{0S#y3eRg2_#yrqu4BdIix*ZOqb zAFpE*W0I-Vj*+!`9^g3E*_~(KKzPxnct?DVkbZtM%yg$%^uoDxTEFHmcI-EtX7l3o z4V&G6aJ8&pJSW7hxyoaOh}}PL`J*W28uds0`k6?x{8QCq6RmsQ!rS$t0KMK*O4 z!!@(#Zw8FHxLREjd`GqwG_$X|9Fv^9ks-CGb*r4cci^E$GIB3zfETmYAxBgvTFUI4 zX5^84tvb^HVdSdWYu@Wx5j@evF!RjDNO*tPM; z_eP5g8#9JSFG*b?A|ZD%7){ffiuj5znER#6Q)(Ob0)D~SR}$?3)dgqaR2}Nf(0>ky zy0c`s@iJT0=9nFlnPi?!+n@wUnt#m)t}PDQmH2 zoyg%yi%P@dqQ)NzIB!~6UgEV}fTwKR zI2jP+ct86dIxOtey@Y)(RcW;Hfm#c*wnpI&g-%GE%(=C9ZC8}4u@53i;f-i4kRT(B z3Xw>;4XfImdhk@(<)X((OhhoQC81crvv5Zi#Y|mAT)##V_?1n2n(^cdMB+=Y_50n+ zDvZQ@zvhY*U61pzS*MceqX!vUOC1x z4x;+OzLy2XPVINKdrTUi9JyvcTfBZxaJ+$Qe|fTt@#z`4!v%q@2!(z$Ay_*kM|bJTvSvq{{)*hbEYTzCmgwvhy$z2$vJk z;?Bc_&S;UJfTmhG4f44LCLC>9c^TRe z0}Yn`rEfFxY979iQ43q}In;DHf9=XxpRz+kbV4lbDBnUtAUMiz7sjls7Nb`I$E z86P4}i1Gti!HR;&6Moz<8FcVj%MEmJ*asLXl6vrq3g-dTpD3y;&sp699n@+x$@oNaS@h4P?4JwXKlSq8%VLSM1uwKe1>Q_V8VnmlToR;`t^{Z4Rv|6tBzcm@ z>qk#wc?_8cl8&veaZ^)|k(Q?DK8;mVx2lL&a&lBF%oP7w8%RwSO}t}aIMa->s?;r&1Y~Vl2n64%@ZRj%pHkj?P^#6idc#zszNPufHw--Qc%jDLSqQ zu0|7$$6x5#V#3%B)P#d55j|Yy>6fKFVhWhn;#$1t-QwAHk2%Dmt%!=3_uFO%cW`_M zYNsNY87}1O1$z$9_e<2?)D$;Yg%~qJk1d~>lYT5}g>#rN2VgF64ucQ`7RNV*M{CS; zq~z8cFHB|ZF0?agn-A>0?7K(GZcMIqIYrY#MK9QuPnojjLo0bODdI2~*VFTMlSA!W z=Jv3U8NI1;@ncEVch6bJ%-y|Ik1w(lM{!f{XH45CXACM`1(QHFi<5Sy6ttK zOIA{gD#9CFg-5sbDYa=B%q6zED{%t{|Jkgvq>p&6uac{6EJxJjQ%6>Fe`n>9QvQrc z#AuX2`U}GmS6LVjYuy$iwHYtu4rR<;(TfbN_1Wk=3>>Cz1y3IrM+@kN9u}J;NRoHc z9B{A=4#6mHR$4{8d5%h0{3>9|hjwn$&Gk@AqDR zxG>atCp_IH&?ShYJ9WTZGii{S%`ER?xJ2`n_Z%<#!U}%qs13ETby4lLhzp8SPN_tz zVmh=McRX0p5nM|rkdYRhaM)^9cfP|hAtV&dRihrR7k8!cLh9|kx&k)KfJbcN(F?2E zQJ4KGU+sLYL?iP%MstqQg?g-s$xjimkP2y?lTjdX?5~5bq7qOK8OguKIi0ZRSx6XQ zlY>MtXYdCCAcF^p<|0fT`pN`AcZdf7gmcX=fDjf!!Og|b;GaKO2>kPyi39Xn6hfiG z`YC$J3j+of957x8qZl2XfN^ljxF!obg*9x*R0PHBYXnRTIhYA_R~r`>qP&3WNBsh* zvLXlY7clUkt_x?_f(d=<2Jl%fk7^MtifVD|w4Vu4&`+AeSu^!8T$k*uXoem#Fu_xLqFyM!CMl7J zrEo_+VP;4Y;rRTKpG`tUqTve8oU%qS(_&UM7rZq)Q}p3ufI)F21d{hYg;P~SELfm;E;9lI!o*E%puH-*7{WR8((B?~= zHgBC%o?a-~L3R?hdh!7CE`nWoR!rH>vPlzHP3YAN^y=H1{qo&rfu>43v+h^IZ{2iJ z5`x5-huIijxqe{^%-rg5wYL1i|HfZsU_jJ^6_L0;OI_?+OP$aj*seeWzv+a%|{E(<2?9$fK1>&sh zUv8BwiAbAb;*z|hvZ`q6lD~*>mM{8I)NW~fEhqs5pNlsVhGm$ch$S#>7Tuf?w9K*l*rYWQ{?=F>7t;={2^+dwPHOh`?x3(f< zb-2fepcA5u3fq3>T*BFlQ|54xbV4}uIazGug$VniTURSr5HkgMMOyL{+j)XQxv)}t>k z+Pg|quAA4ub;KrgkDE)G9ttLsEd69%)Z`f@_5n%c`ya+GOSNVywwYcw8NarKelOcf zP=DpF~AvGzM@fW3Dj}2B_uVge*W;oPi zCVp333US(cQkNmvH7Yb)<6(kR)g_$exkbE3d}BwKXFHWCOM;JiXb$duNThg}B)8Wa zKICNlw%X)no)zWO6W@{Sd*t*|Qyg!0z?H^BLL6O+muIdGkU6ynn}r(0Fh|;ne_GsQ-FES)srnK~he` zu!9F^$^wAyn+Qxo2&N3vgmg6k5a!FG(56@k#teHU`$icXQ|9?{r z>;+WG0idi<0rse@JWLoxjRnt8v?`#|D1f|!zv?gtsaF zrXKeJlq1uCSwgQa{g!Yv4ycSuU^GV>Fg?gu={L)401d-|jLU$z)Rx0XxJn3OaAl z15~ZczytN`Ev#Yft1-=B>Y^jOGk%Q7-F4x^6Ie zh+6;m*W{=Vgr`n|epA0;DnlEfh!UPY2HKmhfTk=auq#lT0jPQAiOT}*K|ELsa(yW9 z3bH}r79%?}dIh{G_$~0J%nW~1555C2gN8s1sTE+sjZiFAsK5wNmrtprSCK;kVmKke zZANA&^eSLAS_9V7zbugX%NX>KW&@}V#waoD5ZyKKT|B%Eet;?0kkdzwMt2AFOJM?j zn}&CQP6z)-IFN@4m;=(ssN?`+g4#@Aq>$Yna1)9_mZ5~4Q0^}1)!`?O9LJw}`_JXW zpUVo=;$avQllbf&4qOD%%f#kJBuqJfkpLk$;`o`Q%DF z-cLa#;dn3E)ys1Sj@RL#wwNzh&#CjR;uAz%+}>;-B688L*~Izmm?!LdnMShjoLCs{ zQhGWV><+A&% zY4LB>Jp-f3*Sa6$ihTFUmE5w)pFhs43N;#OHkWWsxOH^i*5r75#KKG1{(fbRU<$8I zp@V3dw$!ms5q{Fm3*OJQPvE>w2q`p$pn}PlbnOjdCs`_31E1;E=j{iH2%Q#n1{O3a z-Hv;Nc5_`qz1}CT8hJjOnU)gU#^;_S4t=iU>eEDWd!{V4>JhvCArB8e_o)5}D8%!@k{-w(IXUSLKH!&!B zr=?PZcW5GR5;VA*-Fhcq-l)&#yU>mK5~K9lCpp(N>@h17O9mO!47=$fYORJSK3w5) zO3Q!xWpXBWS)mpoo9x9NiMY5`xRd6!!W=8LEm5Z*#qF*AR>OKwX8;iradAPy?>WBy z=+CvZ_l_TQsKPQ0&LDhih>6=rQ~H3JqtWjXTm^dRFY_pN2SasM~AMQ?bXiRe#i>V)rp=r2;L!r89?n`F; z^{f!vqR5+xd|}rN?TnQz7oWM2ziPo)k}Y?hdwdj+W&bnS;Yp^b^LIpf)sjasVYHCR zPw}Gb89bY5t?kWnUYkunb>pxPmL7~|VjWx-{cN6*Kt-9QjCf^cexaz&rg}oR&;9dW zb>9(_aqmF&V;n}Ol4 zAgTK8;Io3g*JhMl49ulkjDP&4k3jg>EY5J3yX2p>mmyceugh+=?Y9LuLl0t__E#o) z6Fz2?ahnHVtXLCW*k$rkuk$_gaV2765kWIHD3hO2`kX5${w`Zz{G+!U1kk{Jk|m5i6)3~q4PE{ zE}*B7?VW7^s5?0V))sg|QB%JFsrI*lR5d5SYQO!P)jbbb%69;Z#Tl?(-}%itz5`Ur zu`^I5ezw1<1WTa7fh`!p&|SdNodNSQw}bJ4zQB}0vdI-N!gLofuM76STRj2KP^c^D zfzaVMm1zpddh7r?zjY6=CT59opmhi2Y>={K9f69w=muDIj>uMeQ{bgaI)Mh1?tq%; z^jntv0+2QB4*GR-{!MKI&rpj8sH=VVH`RBKi3MuD3&gy*4_I6-$Zrh!0)1V;qA!b?gtS>gj7e?*+PKuE2&t759FBPXunDU%ZDvc%&Or4I_omol@sc zskhyK3$F#wP^~whDtP>+R-V4+N)H$@i2a)J9bX?}xc^&_-5eteCejxPMasY!p{NBi z1!(t=3YQ-MnG+H)!dPEyWvCOBP~XqfRq*|&dV;x+n*d7(q$f~@Eb4GzP@X4p_}~?D z#Cd_%%WJ@cSsRQd3kk(y<3f+TkliD{m&w!b_|Oad1_G9e^`Pqye-HcT={p&C2!<^R z344J#!M%UK+;DFo*J&4Y>)U{%4pI0Ze>ULN7(pzLPR$!1z(<-@vvJ^?7YPTn7rw~$ zb=$zGLTmxybO+eEJ1hZjH9xH6V!@<{noVPKt z7!^^xsiMb0$Yqx(FH7@a_-E~qORqTlYOntAwQv>p+Lh&dT^D7=Iiq{nd)9iX2G>OM zc1(%+BWQ~~u&R<)!v9)s3gk2?i{x(hO8t>DQ_=lH_@J7Gj+HO|F==3-P?2yS*@KNQ zU$X>N%ZyZI#UJ@+sh8feW9?(MTNqiK{_;KSf?ME%XmU9DJLpp>T4@qXl$@c=8;l2g zeIpeHqHo`(XqVsjm^_Yxx0^2OJ0Q!m?2iBLo zmp|q$bVa0})`&O$&@|INUZ?5f`WzskNbhx9R~<{!a3i3LVDvFz_3Mx%>%FN_j=>28 z+g(H%?%*Xk#}&H~>l&pH`547W3RXppbG~n4-mx~ruq4j!OSly?$CS1{O2yW*(d8Ty z3*;fc-#HC3{7Tk*LhM6X%R&Z^xw11Enm!yUp*{TI(3DO6$dZaPoc6ue=;K$1#1%}Q zU5=eQUUcT4)y4Sy7mb<1DMS1*j%0C+5w%a(xVNLTo=^R}AfmgDo!MjhY$V%FT7AP< zXrRb_g!#IT0>Nay-%l>~<|*RE$p_QPWufMu9dEns=1aRy|J+~sQmuaHRm_@9l zS5+B9FH}wJiuH~)LItdTi2WFk+Pt~LMOo2`T@vPP5gQ3&Ct@9qv5t61cH%`cPu)j2 zVSNuKjp*OGF58yY$_r1-X%c-v@R|hg&e7|_W$!w79zNG3^Hry5QxE5w(gtNZVbF4jq17gvDohKs%b@h-4U<#B-=!CNeOE~=1Q_DqNq z60{@#d0;R4_pz6)MW*$!%Y=6e;m7e-;&m(+^Kn|QTJ2q7Tyc`j2}L6qaSgmLQJ(Q! zIyjXvN{&;jn(#~11LsYeDB7SLhU^|Ao~xeAxl^Bci7)j^2(HzMjkG)(ThF;tqsJs7 z+}@yKM~lUmY{XjHzg+DhWA*Vzk$xs(_|8%ct0lkrkHyy=y`o(W{I(_+Y=wlC=FmSZ z_5M(PUH4#uqjk0o&ug;Tlizic-i*&c*7WN@u7kvUk?MiLIVT*pr@gf;B~`e0@Ez2b zqz~6#?sT&>`ZGLhb}8Z_dF`OADnbco z!)L=^y?h7Fc??G+!;4G%knQm5r%{zFu4?j4N}h_*JVZkaE3l4`ta7C z`Jg{1GVSw66ROzEoD-_DaNVIKqFYP*iBU|I4Nr7~f6#N7ES)G%sfxjeL|Fc`tf}O-FbS55#DUMOS?veQU-@ zIAJtD(memng1seJdPRca?)=goJsSLap7jT@yESaSg5CS)tg0j!RFaOiYsGVK>^JvH zpQtEp-`sIf#s6+e!0WL_?YV2zKX}zGkQGbTE_b|mNbMs0SwRq1?b9m=QOOUrS9GT% zjyXi%F5%`6V=)Qnocw7u@p%dOKbYnGbbl(kW`^{TN*+AVXO zpW&9Ix}UL_N|IJA+H!?;f!$rjp^M#e?Ki8T^V9g`kVGm_X_1v@b}ps(S$xgE>|Al^ zSpp#^2FND@xsYR0K+F&p9uqJ@QN}CsKKZFFio8CKd=P=Up2Cb2L7Kr|Hm^9y!H6_$ z#YKNL14%-EQBb=b;%B=ZAaMyuaer1>401sOA-V6L0C#`@4N!pTBgFVnXgE@LM@0Zr z_U$@Q%g5nh4tnyKmm$ANOdJS=MLPAZ0lpE`!$a_kDjA8QvO|%PK&>)j0^eS30*M?% zGDwC4$y)>6N*@K|JZs7h-H8H*msuR}SrDm^U_;AMC@s$P3@|7m6ywD+APlMATTYKg z9Xtbr>41RCkXVHT3o?0*;y&5|mf!t&utkF0xuA$f$ul|Kr?!g*&Eyh*&G0E29Ka%J z0cMdE8I~Zln*g@FePU3%BPhosDO8XMDvQN}%E-=tGi;LpW9^jj+r@G@4!BtAMF8VX z97+^0bU?(_N?>=~fKXD9v}Yrvm!WAgEMVWqgFzzq$A?e%$HC}Hpv5o{*yS!ImK4+% z4}+g|jxsk$p^hM6tb8cJmWAr>5#d136HwE?+y-X3m;h!uoD8a%6QZhIPDJ(L2cCcn zsPHSXK7^5kYUpz6@-#^T4YgB1!%in0S%@SX?5bBMq23@kcP0ddq<~)uLXPxT&u+;m zTS(?qKua==gzWUU_vhI&ak0})BIu9;lMF*#3=VOofGuokN=#B@>E>z43sp)vEmfdO z!>6Sx?q4;@Q^EN(WZc)krNbd@z=84vf7J^;E&WUZ#;rFsy4?S9pZxDlslVJ_zqX|C zxPhxHcdMUl)bXx2wjZ5Hsaa#H#lSeVb}y4Ep7TJ1;#R71gh% zEA~Dv=o=Y0*{g|Q)Zi+471XnKiGHYXy+ZcekIAv*h{qUDoTw=SoJbXJ#|DF4s)s@x zpPPxu7%Ik_uHaB~@V-frywve>>*0L4-h9cbh!Xd1P(jZEmT*_|N5t+k`>J7fSvsxm zSa(tt+gL9n{rr&tV=Ygu5JsJ1Te74CHj_^47i;#ILVa(l_}3pSA#j)@rNLh1z2K3x2$hZmNnMYKIpp)=td zYZAV_nb0oKAj%p(VkR9+oTO&ADn>e|}+*s7^yQeg~$T7}fUUuG067 z*Glx=`#0D_P84K^p(*Tl;^4E3ast^qKZnB7L+#CoU z|H{ebslDy-E#RkvYMXGEh=<_c*j9+Jv2OcmKPmGx$$#8&3e`~2FQzxdGF zWB+@Pi02XokuDowtOymN$4wl+n9ax8Xe8DwE3NIShH%v!@AEBHwv|r?Bt2LBY>&jz zGDplfojw>S-{bmc@)|MS#J!6P-?azF$S!u)whR<$>kv0IHdS2>YiGIk)rCww)+f>+ zmH51_LC($InqaDL@vGsFJq?2INxUVh*I_n1D(jnzJ-1Plf1s84bOuowY1lhD`GQF( zWk*ZgR7X&?-APxW-05+k-V*L{hJyM_gVCm7EV1pSV60Va3%t*EuOGe`&fvusI$Br7 zJwGT&?r3h;x0)_34!0OS7^jPv;avFs@P}hY)`|JYg0Ay;lr^b2bLp7}`ucLt`Ql&O z+9q{NW2tgu^$wLV3ch3`V)*+psY1gUb^Zx2=((lyrl34cG3}p6jM(`-S$)#Cia+F1*TnT?;1_OJhb7rmZ*b zH|EI;?3yX!x5#KRM{IW>);*)^Ab0z>ftc2q^YjYE!9CBz#J(!nBUaNBBP$w87o|IV ze2Nm_`Q(>+Dlunne%*X-nncsI&Cozmh$!1CA1S$jcD2i=;=`=-;_4%e!f93<+}3cq z8bOQ{YIMmo#b)ViDpBH8V*!4y{{%Uezo!px6WlwvuT)_iuM?`!M`0eKf03q@KAXDn z8FxOlWi^5OR2DHV%OYj{-TOBh(jD)QJh5mM|JiXsEjqBZcjn5A;{uCbSw3Q|G5hlXmpHWPgRcpt;}hUOVy{t}?Bvvh2zw2bop~NGApn;I+IT8@(t!y!>q ze&W4xr~0t7YI}H#pzndkjNKyXdvx@7HG-*Z=%wMfGz1EGx&h~7$+mjw&&4rtl*JX!O??)fZ6{^tTUuPOGb#!GhI`piMr|i<=_I+Fe$tW836xFCbjO5^+LP!ihWvE+I)~4jUm{1R+84H& z6us$EL^`>1IgjO1Mk)CZA))pzs^u@r&5ifrCIO0>IF4{r>Q7%2JMKFXld<}xBMK&1 zvO@jns}A%;CbO~~#k8K$9u9}Pk8Mc5U<~u0R(t=rE*-}-EiK98`qQngN`p_bUX#0b zOj%0i8VY9Z%hl{_xLL+4-#JYXU9^iD6^df07zyA!oY7L5{TY~or>B2XKH?It`PgTL z@IlqH10$zyg_UXG-lrU zS-xxy#m+JPTsa2nU%g0Zje1<^%D#Pj8O=z33wqhNG^Hz|ZoKK|+l% zN=8)TF*qvm7#x*&3=T4}%QnKOq5Ej)glO>7t0X#*Lm5mAtg-&xpNCp%fUi{p?9~57 zjc)|&9`K(pfue#Y9rT(TLw#lkqV>~)X4@SNVF725lvDh z4+*vcc2y!mEBut*r*QHDd>`)1vzK2#{2*W-uNI0?>3=z_z! zpw@IJjEn4aU+F(}3Qy~N0(GFJNuVKcM1EbIfrI?QkzD|+Bm-B%B67CVUzU^RHFP{}Wm+!AqjbHe zAQq7s)5X!IE}ZN2#6hg%cAMUa`?FLT6Wep@{onkyJo1@vGg>iOoD}fCQb#e@i?OJ1 z6HYy?@s8+idaMp#^AMQTnb>zLFq#z8)O#LSGRW)WC~+>r$>^YppZ>$Du`+?grE6le zj=C$noKuIA@+k;53bwegfLG7kUACe-PfR)-a;_2f(@^j?mMw3BMq;Be-E_%rrnMf_-1(*-o_wG6{ox|LACK|4Lk*Y2Dezq8&VIy0 z^*y;7IgR!#0ATX(ov8Yu}5!_KsN?fznVBGY$sTi3A0v#AlXn7WqB z(}e3y*b_406{^d}U2n=y9!*B0`)UfC6p9!Xx@UdlVhy@>J7*hTqiNVDz?8J}d6jE- zj0I7{6un4Y$&P*c7XxTyE^8yaJOT$z({4Te-r)9#U6c;zeg@OKB5UCr{VC`iE_$6z zyTcJ5k|*Lk`ET&$d=|fx@}ud{{0sf@5zk(r&9$|v(aaG$H-oitXEN>s6~s1)qbYT7 z0BPhz+h+wkTuZ)AgihAH#7jMFqF~_ zyZ~nB(dD4{W|$-jOHik^h@qPgh%U$Jpy9?<0NW?n}51gaZ?X+%#mV*iDEfCJEQ422{YAhaS%PUspirod+6raTsiTzQ%+ z{6AoJK?sta1c=q%2`n&BIE6fDRTM^WhDR5m)DHlx>9<>IOTl1PS~$ff>tt}cef zCV-(mP_VvAbm1JG0u9C+3eH7L(n0?(5j0M9x~jbhVM)TnYqbkP^qtbAS4CyGYV}&% zTI@swms31zQz_?pa?3hN)mNvSi z>ODew5b5!CpLt$md@U=6oO(?9phkO*7)~+ex*tnPZ z5nR^4O8z5$)7IOibW*aW^80Oqt}_)*GpnAs@dll%5I4K1 zpc6+?tS&3qyzDJ@gKz9g9UI4dxsHR}xs>aMvhR!q>~kRohQr2_^l#J+UtVk|Sex(X z`x?D1wjHQ&CVMkS-QY+ncKH+%%LQALf*$)R-g>~nF9Y~u< zN)R^=(}o1SfJ;4K;5RIxj<6Czm;C{Qocu zF@(X&w(vdyIl2Uhwob|eDbK*j5M*N^)C;58V^S(mp*n`)D?)>7NpWvySw11Y-AgW0 zZ|Q!ozHRrta~pDSI~R^S#%7Wa@g zP}sEzhr++9-zv|?L`yf~)_#6piAE(nH1untosGH(xaY`QmGU~Q-c_dUV+ewmiY+6q z9`amD+qJoLl*;cD3~#AV{On}PBJ~ExiNTBQL2`rYc(<`%Fmy$~z!LVfaJq-fr-P=8 zp-f!i!lIjLjqmn?^7cl*r8Bt=QH;hdnZexdEeoLvt+Xp44mh;RqNRxn!Zf(TyvNh; zd^Jx3^g>-TXf&T=5}nLgcV!?JMG_4~-FnwUiz`jjVg35F|O=B54OA! zu7aqSwdA_$qCEJqcI~Do(=y&qgpFB!b+xi@hg4Si+R=vW;D}tIwv5^`qVwHP`sD{T z?wqQ(e~wlL>$?wJA`d#i9y}iO=k_hp#yOg2WZd0LW6wV^&z+ETsrO0&-WnsiN@imCE%E@=DrPYL<$~$dPXzhkWZ_uR7!4*u5GgA`r4% z2zSxBRF`X`|2AuW+3EG8U@ru;A))c&>cr&G5*MxRYh5*Y%?fknPFm>te0Aa*8@RNw z!mE6VLUu)j%x_6zpUvMT-!`{1z8|G>VYFdVrw1zWoCvr8DK4=6}S?cc&t`?qk^ z{w*A}e+&N4Pxo)*7EjTz1z_*W{BNKH$LWQju|)uhO<(_}0$7D+GO#qEbML{LJ@Xs# zI25o$e_uc#hU`{=Z7b`AFMDbuLp}@0^JD+1qeqB+YT)8PR~CPF0B$x2Lu&%`T4(l5j)u z8^C-$x{SX>1BM8wX1A|M^+-vO@vIAsPrNuZ)Q0R(Ijkn%vwbf1Kwoc>G1UfdXR6?T|@0&nwvrGNK1NvozU%EIpcLz`MqExSR$(>kog~HHF_$Iib zp98!OacD+4<`i`8nOkZ;N(CO}quf>7~5kDpMmv<{0EgajOeoE6P8} zGs!Iai3u~iNy3}H=`0J0F_oY>TJa_9%^yWd`kMsI5}pfS*TeUCAb*J8WI}m^iOG>_z?wJFtP+yvz z+7_bJZexKdm0E}N=F6xZx%-Z6nee3Q-H3E%a+CRJe~Xob@2(eX)+eq{l)*WMlElGr z1Ri1JE5ff~8Hyj{xEaITK7M+%Ic6Ll=YycL81u_aWLDXmBv*S{k?iJsM+==js5%zf zvw!B1PIT3brj$6TMl{!9UYm}RP6dzf*3gULpj$sIqWkzBaX1us|;G%e)g*-tdIZczA!v*6BI-NooqtIHjq;{4wxGm|HfE zg?EVwWyVKO)vy=++Rm-d7o4&ze9m~UQk73so>tm(S2g7})C^&T(q z=&5FdK)h{r%K5|D5r%QwZ__TBIGJ%J9ll}fWrDAqW9^p4SU+c1>BZRv*2c(;e!Kr5 zyq7qbeY8Z_JUd&N&pL9emm9y+y(M7uZe6jF5lkyqJ$bfn#O$3-lXD=|x=^-vV2cEz zto}G~cz-iG9rNgjwmRGs{USASV+eU@fuh0evbl227n$^VqTM=2ng?@E4^NI|jjZ~F zBGUbh9WXXpJM87F&3H9`B+{;95l;;zs4H`hJM`*X?JG}wZ-y_~kjXw?%3#B}ZyM`x z-ebOXfTfsSA0E9N{n)0gZY?(>TcUsg+jvE;R9h68NRtA}sKx%JGsTfRcz^3map?Rm z5x9g1hn{JXQ$q5L;5<KG}|da)W$+1)hSR$+>$3ql4-h zLD-Nj268f}fS&>e6(7N{LSq9znGI4hDkv5U9J)ik zn7iM=Pp1D@kpg=64TlOWro^G1C@c-`KehEQ^?J4;{dXqTU%6KyURq45SOQD|gx0-G ziPPvBc#IwOMRe*|5!a-(t)Dx{5M?LkByeBFn$6=CXFK`ad35L)Wf6!=TGoPVnF*;* zIO8vv3(;bDjvGIfAyW~^qrNPnaFOA{mp<8N0q;L%Ftg)cHClIVY!ND~H9=q+InTMG z%lmLMlC|UR(d<;qx-deO?VR;b5#H;`%~ggazQY2~hu5T@yQ7a$rpR?YlcMK1f5PNE zfGHO!h^gkg5?*KR?A$l6*(=Lw*4%)RH6}yY*;MaV;GG!Z5M#!fySTIOK_16OI_#4R zL+9G|J`(JEKXPoOTM;Lflo?NF{lrbjHBErJ5sgyOuDW<8UGoh#)3(2k4`Si!e#x-x zX)rP+8Dnw6M%li=mdvdy}&2O zx5{NFU9@etXZ?m$2+@V!{Z=&t;U1}2buLI}ldEK1@6JHx*O;_9y?xP0b196$$xB>U zr4P8->^JFXfj0j#CT~#v`lP?8gJrlb6+NtIor$FJ>so&=VYH+8?HtaDOJu%L@KSF~ zYLgv~k{^$+G)ng*ZO~3W8mz(1_cBO)?(+mb(^u^YuXS*lY9BD!wxL|7KsXQ1q|9|x z;+$LW_`#L>-g%JY@Q$-@)J9*8Wa4#(SuIulE^5mD>#H=*b}g>2u5KpQxS_jaiZlEJpa!k`pg5e=w%&?4Hp=3}u=6+X-q? z+qGdGW*Cj@cz258cW6o&P({ioyGTXr=UFPIk4+5L#dy>`!Q&RMax>@G16d*T;|7;g zvFD$iOTMi$VD;qn(CmV8Lg5#wi#2VGJTgA^dGwf(+th8=HgfK@W3u1ylip=QW)#8V zpF5d$`s^$FgXgvPp4{#sMl>Zq&>Q2CCC;P9FB%kk8E*eHVA^T4{NyD18STjtW^T~o zxdV9B9c8PsP{Edzol;%Bl-1sxjeDcbjW5GvWpWV+`sL<_B_@y5WyXTu=XLxf^{HZ? z)kFzG+ZZ;()4bn)rlr%^QY&t{{M7?Q{?iOh!m2RX#0hLA& zN#S=>=X^Qmy?*cWUf1)F>^(DU*1B)^HJ>$WX3YqPp12IMMWsB)?wi|Dey{!saNvpl z9^b%%o$X&8>y6XvxZ)5`zOImkoDgFu>-JR>AbG*IqY~d9^%rIPX;0df-`GP5vG^Cj z$peHapglFEf?xt^>;$M+pr(ZaTBv{1E|D$%HtiDL25-?oj3WhbQ2(Kh22ex2r3pkk zQsDVNMNqFGulJvFC|9_Lj`okSD3{=;bc=L_fKj(;moWJ0HtiA%kv zK!OD!2T)($64C={2>kpPuZ0$Pi3MT1($|dz>O-f6sQf>oA&8=2jQ~>&0z_UOfy?#_ zuyH*Vmv4%=Eqm<8s3_hI$4>U#jSOI1*&_J0e9tpnzWc|c3SCbkt#T1K7{h$O!g>uC8tV;LjXYaSa z^P6XYyDnu+hTGRx0STWr_o8>S)PN4#y8rAw)Z~&X=xRhsV7a`xJ^_|5!tQUVh826s zr>~#7ciPf>w)NSsoUQ#NatSI&M+X{Xoo!z@Sc z4BhcE#af5&V%vXlt_Dj>j{kkcI3dD^@%Y{j}ozF8WRDAGahX#EQS>Ng^sGi8exP z+Ul-sPP(Tbbni|NyZ1aV4Va~Gh=CRS{vKh)wl;K% z@Dg?YbQg1>r{BJXCVx`E_NOw3U|rCb5Vh<0IkDc~-(zPpcJDocp$Peig*{6!zde5@ za<%YZ+$FfexF1CJ$QO%=dbku0Dcm}_+1>gCd zuH=PR=Qp>5@OZ(yfiGIZT)N-yW>aaZYNN>=Id)Tid6_2ySzvBk)M{HKL=JSq5XOFM z>{#NgB19V@&tZaHB>JGO&iI|LY(1CB&%*+doZOI<+9d-Y8YT-J;f|Pft}_ zEk4}+lLp1E9(L@jAW%>`d^a4CuGKKysP|c3yU79I6ll%gkKn_o7LOirCR!u^1j(Q* z&a}&evBQ5T4|nUEwb!3@K1he@SSiZ}IO9y==2vU3j&Q$v-X8H-T4*_Gnq{BwEZ4zG zMl9G=v0SE;H-AQL%cxX-b%-Z*`z}|9%%Z4i{|8A)HL4Wfx)~(5ngPUF(UEq?M{KmB zD<^XsUWW(y`RVCTxbq1n{k^&(m+b|S0C;Pa&=-r}J+cNNBC z`5^y!TEzBK`g#7bwCC^6aokt!DUG8R?Ov^%S#BlOKY!gg6h{)SCC408Fafn z223s>ga{)D^8you5U_V68w$>)frCfFApq!!Kx@iR48a3)pDqa&M4;|`VhH{XA@hPj zPI5`$eFUy;fe6BOp$&r=0%lMCm(v4sf`}nZfFr2mLJa)E0>BDyyc>|xNPM-N-=G2j zTJ#SN7YPJM|wcKOgov5(dNN^Zn|AC~N)G4fSP&uN+t3LRpfM&nOH@a5HH|2umk9P3)d%Hb^iF(Q zhb=~qhmS?=-ZduQQFdctlnrIYPc@f~w;_LopVf*q=QAfBTV;TM0-w+!rkdq%vE*p% zh=szuUa?sQ4+o%-L+D^J`~&g^N5vjq!y;5vQzZ!;n=4k+NehpGKP{(w%<&yKIXd&9 zgV8`AQ=oaB>&Y0rgLk1#lshGQDHU!R%Y;1QXN0zXj`TrGF^)kYUUrC{&Ewr(J#Sa* zfNyzy7L&9g=1g-V0hFBPdZ4ptY^lb$gKycYEE$lp(hGHJD1^13K9zn;3h!Z`Si~Zw z;JoxXf8+@Vdx9!>2R`+yQ#XWN;iVO|^V-`Yzb2hfU;LwIKHen0wc2#^N~lKyOqA}C z$4-0>Yh&frZi1$5*uVIy?vS{+eS|D`tTVh)5^IN%g1rD3RYumA@5%(OSvd ziS>aDUm*A|K~xt^y7)tkHU>j9Lh_tMVzx@%`Qs<5?YL-6u8L!i?k?8Ar@oHN3MJJY z3{wta%#~w?*OwE0fbtifbx2rabm(>HHd(C_E!J1qe3WPa*E2!jP=vfNQz-L!+OR+` z+(h!vfL@JBk2vt$2|}Uf7JBE4zixqWgV6Sv;NK6P{cp39VM92p$%yp*lV-z$`Q#pj zLT!267*9Ub8HLZGHs)7(M~reH>8o@d=+#!|tNI&Hsk#*)&9I31n@p*97&k$j*QT0& z_I2v6!_&DBcCk=%Zxr~67Fa&UmA8bz>3y18s`I<^A#}XQ24MXjy#E?|Dx&DrUdH^q4izTKPcn-E>U_ALC3ugX9rod6Q%P z;)rw*btf;;_}{a@Id*n8dy;CFXlCD6jJ=+B<_w?U;=U?<=QCg5aQ5zZ;V;Kzrq>DW zqwa1)Vq7EvV((VoLaSCLj-Ugd_UQNcx>q>aNd9I|hb@HR41}+%SrVlV=ck?GbiMfr z@Zw;D^$&%?=G>R(XC%T`9lUQFN-)d=cG6-3?as~l874r*4!J5GE)4KK!(j%(!Q&eQ zFpdOfN-_fl#W&GvkTOnnVLAi>9BRn_Av6Lql&P--!l*&xR9trx8UZ;Ut~p24pi-wh z|4=GGb2Y}92Es%nd|3(Xwz&}m3a>?$XduiNCKF8cR{E#W`KM*!1@QsgT3%fhxP1hZY!v3Nh64B z58|#UeYI~zOBlhhZBB?G^RYG9&X_mzZcccM{DRjqXL){Zj6h21qjLhT^kDJQithA6IfEpLx4x_{e8~r1 z{LuXM3+C;X+=R^=wDrP8XXH#uIUEmZ&GuK0B&yWpL7)7t^=E+tQrtT{ns#tOOqr!- zug^}PYTpF>Tx*<+UdwQeY6MQQU@QF&S)Lc!S(K`xa7Tc-mK?yNs*-wkrFJOG-gyz6 z+L3R43jK^$!o{Oo%14(brkSCA?v2+!>Q+VNHD^BN5@w?EB+>fox@M5rWR;gq9EF$1 zHWFo51SwN2N@^}b&jYwmk3LE~G^j$am?8~ zj6!82uemFN0995Z zF#M-}RBf}Xesn~%;877~JIi4H!=1o;Q)*Ndc zpCB6uV+i3?y+MnAZe4Asr*B|z_RX{R-pu?Oj!;b+$Ffb)UTyH{eXgC}n1Cux!uO>D;58NbiF`6pqWk;^T9y!=)Mr(L0GX$27uU#HjbDFNTyL$dDQ+?VekzqAs4x46+9x2Vh5n|&g zcp^%&ep$HWn%t+&q)HTX+9s1sCB)nR6ePg33WzmFmY` zQHO1|8MVFd*h3@!3HPkTw1Yz=U*rwXQaNdDdf*3SznXEc@P7D5P3ZApo^wj_yZxv3 zki0LW&V{xQP3uOrOF2}sYo&;3KNj^Oq*xi3yRMXxXat{^Jm~p0zLNib6Ki#`?j7tA zay`UzOW{o#f~4KP;}+GI^i4VGEZry5sXol^qtr3kv^+v^CDHppxiDAB`(Y03YEP{Q zx$l|p0?T_`tC3su;mYox|2>|2S0-Nmq-}F5SM1c+<12P{Q5T0M`GnevL+YD-iAWFk zvyJ+8JF=k1qtcTQEshq=L(#)?lsXCoM6k2tMV_1|6mlK>xhfy|AK(Q@DI#0|z`wAI z;Ig>nEx3RJyuPRxVgJjd;@d19uqrtSHc%emg!vL|E?kNK6zPwEFaky_AO?bRt}Gys zi8S5-8AvH+xzex#azMEHubeMXgqHP6#PIs>D^^fRgD`mb;?#g8srOIh`sa4}Haz|R zVA>6GU8ersg#3YFV~9GUFjNqDn+N77<1}Ef!(QuN<-iU;*vRILf5>fWMr}kJ1;$ou zWMo*-2V^)XDg0S?4$^*=(A}2~$)_6JNUu*N8{FFPXPYvSz~6{P^Md+OlHu);TDRg; z^AS|AXc&{XkL=qW*p*HNihvbF?ow>tr|-{Mj^=&Z?`)7M_&~aip6Hh%gJZ4rgLxQ@ zq~?5953z9l{-+*Pmk+8l?>Lj?5?+VHBu;*6Mie_5AS7qWO{i1>+?Puc;?S&ermT`_4hhAX@8Br}q8{qIj&s9*o?zuW1dtnfP& zVka;CjukN1ub#J8Et;mzb`mv^X+3NM>xMI8r|!UhQrqJ|BY+%ABn=T-5u2rBjs-YT2K)WFKb(_uvnkd3;&G8xKux7Wn=A+9lhB&7>G}oc*36c*E0b z7+yd&^L?dja0|b7xvb3iNzbdF>gwG&yF|uE$26Fqe4wl;R@;aC&RUC}2_uCtz59u& z8SxtQtSrB&>}th$1gK#L4+42Y1DG03nA{TV5p$zl<4sI3z}rcLZ`K)X)aP;qLsw92 z2e`~T_gQMzkPTAenB(|3#HS7>2YXpNy)3>M7!vmig_k%ysBX=AC53jX0N?b)x8dMq z_wDY(jj0ANqWVcmrmr)t;%vIAJzfb%PuJiSK zbEt4y(SLPLmcgXN(oPm!9(E&X%gV&gF2i&5DK9v9e;9Yb;fh3jnr9!H$x8n-=T~WK zbhr~K!SbTeH{!KK%26*AHJj(5qe;;XnoBQo{f|yvr=ZYU)q7%-yap5|^WCt*O)m=m z85w}Ofj4&RlN-}Zo0!Lrp~4?b1C0kF%88c}#f+n|lZkn=m{z*7Qis$|MV>vdb@F4A zQ*|_OZx*YEI=(F(59%K)3vo7lH9cDw$HS@otezVk%OMvhR*dQ%s~6OkJQPpWu}BzK z(4bJ9IRZG`JuohN&=Qki8R`LBASm?nfI`Q!IkX0q2yK)If1=Ube#DpN zvKdLrw9-(eRqil?Bf5p{awarDsOG0@_Qm=ZpExsNomo-Sr^P;rb@nY!Z7(ROd zwkWjX>^v(oDtxXcqk@)e(5T0cAr`h-kl4)KnNv@jKJfvS&KFISfWV7F6Tv}Dhij6L zbBA_4lQ@jxJ!OCP*=uyoRhXopa$Up zNfYw~Mo;Eje&kNGvSMcO%08)MP$1*y9_eV6x#P6%{O>jw!}ie~CX)JQ>Z; zpj&(jbr^*khth$v@&dbuXpf6y!-uSE*2c?lD}~%ED7i)-P)dC7x5IP9qHh+#W{aY4 z8o_2&`%i`~)i|7tn2jJxXSFdWFDIWWiw-rH$`|qIY@HJ7_NumwV|%@qgE+zN8p?q% zZKTHM;==LuEJR5ZQJ**nH=-RKrrS|IlF8V=3^44RykBi%tmon253BpB*Gq5m4N0!9 zPcBo1?fkw(d86T(9@4PoiO#;qU2J!{_OEl(y4Ft9Z8#zB_Gyo`3r6_9eibIcyxSpz zDxI?YYaQtx%Dsq+}2T1vervQ|OYfZxA*^+g;pO;0axww9`cG$3;oNCCnUFE`D$KOE0ZsX0npXIyv!8 z{mJQ`6gz{>UAyHKwFC}jtvOjfcCK2uSDcM{f3u4Rd65dRa;1wndDqf8pJY4m5PHj? zg%dRIpF#WHj=U0k09MN>ZgutWPkQrQEKfJ2+QAo-_=MyquU#+@e;@ZPzcW@-JFj04%lu1 zf(s-`f;AI^M6T(^M^tx!FCrjNT$UENEV3vfdaX?I6lA^-1(_Vu5N*JG4+9C<7lp82 zYTUDifK@Or=mlbz%8-HZb1E5tRvet- zKaC0&yp*|KWG4c+z!x$QZoo$f{O=yp#g+yLWFcIa>OdwPU?&SMaFz$0Ys;KK4p;}j z{+sz39vp6^00vV_dywUUu6x(32S>^RcjdrAB?{m_XUv$_ok%j}uHXKs9QbHPiXfBg z5Uj+cArFE6qn4GC00j}S6M}IUz*e|i3=$xv0O2F}ucmqi3e+k=To69p1DKT|EHDBacRkxReWp)kR@vAd zl}=iM|DYutyWujO!bZ$Po2I ziN~+^Z)d@z=?@AE)66_Z0{*yW`A^L-s9GM1Lgg@3`#q#lZ)7+1vPsef7i@=q;S7Qm zntrnvC~HLLe8AjO`c={IO z6uqz8PkG*@h?A(~VJII?*f^enrtjxnGv?4p#|H+rs=dK)aiUpxjz_>>xd~e-=jYW%Qt+vETYp|bhmvx;`8}>WMjPF zxK-CW#A3hWS#O@dqbN1}7ye+RfRDw!+vXgZ(<$TM#VBe5Ywg?yrB*l*-;p7cyn2u6 zy@2*~@x(UH(vQoKu(>OWfWl}j)GOq;l>6PUL_ca+RuHAf&oYtB_c8D~g0gNgbX2zX z!Aq72A);>@1+2)HWzmWRvh(h8IxCv825v6nEGPFFByi_$&wJ^_HuyYi|K!9DpZ*ku zm&m>#pH#0^@EOyvt8PRUfeU$$(_&il?2zavPx1zJbIdA&(+sZ}Utr^&bFkTc9D|PU zq8onnunhIlsR>1?zSdVhi@yjt#u3dAs=VSx+$z>(*eod<^Zqs%HwBI}S#`bvJi(F} zP3t6943TWMJ@S5!CjGk|B@yiRDpyBM(5pK%x8Yb27&bu%oxLkOMgoBsOjc2c+ygAFAcQgkH{c7}zRT;E(3`tD zP|!iUrd(fThhEoXyj6$@#Ev7d!z(TpCNe;zac!1)4?rk#&;SumL=S|bOJ=XewUIy5 zfbapkdJs(@So0c%-f4oQ{0E@u4k0oMAgXmO>ZAqIP4sW*yIR-sOxhrw(BOt%tbHv% zuMMJHuK|d1mwK#puH_xh!1XlffG8be2r@4V7g6e7Gqp~r?p!psE4G4|0;4xn6g_YX zXKPUUvRdFXy=&=saQAQ_t!@ki;qfKQ%#AI!44M61IG z*UQ^I1ey2sK_)NsqDJDy$TIzF#ozS7386-yr)wHcc=w9=(BOI`6}U#A(EuC?-oI4^ z%niVu0;S^}@TzmI-;BTbwpCg|*LO#DkdM|z- z53enm^GQC5iVfDtJTO0%<9$4DMNG$n8)BI7B!>T)zoqY(EH!osOv!p~a$C5Y#YMV&dm~A% zr;C2Cx~voL?MIpP){^=mqesT}1cgZve*OG4{b&fnivP@k17UceQwp6O_{?~=9(a+tSWic+WXaGrBtRr>;cC`>q1lrH#&kl{NRZmR}YYu19Cj z%oFkXJTi=q0Mi}HL+5&xTHwdtJt9GKK8*LX_bEwc&dZjE6K#k_M<&QXb&6iyt@6G5?*V(O7ONzWhbehNlb80rf@$5!Mw;OG@l?wKNf zo`b*l0y~NtIf{x3R}2$Zk}2~!LPsMy4#QpPFc}Vv2$mJt3_~sogZ*%P41=Ia5@9pu z_Mhf+cKZNXsON`O#TQ6} z*se|>wt#04#x&fCMSA#sw>eaAlVZfphRw8JXPl0PP*PMirt5bIu(^0GXE`Kx!5%@9oA@4s7n*F0W-&olatV__<0u8Z>Pv=tRj zY$bS>@@!(Iu*v7X;VyepXK`efuy_5w|H#Skoz6(5th*|F=A_uqs~>KECpN-sIztsq zf?rh+9~yDN*RQOt=xXMEP5gJRs#3E+2fi;C_hpXiX|ap!b9)Zp7l&F_Kc>Th-;BD$ zYI`e7Y0v5k4J^q@t!&y zt|H6O2CVNvmFI8+D;mJneqqQ1%*noR&J}r~H<6FZng&3J<@<_BQWhaeG2s1Ku|*g(5=~w zKET%=L@bwXY!E^(3JLl=yavLOhaj`n4n$s_$Jj^!rwxSv--CvGz?Sh}Z7y9~@IKBl zs9(w!1UEisun=*w?KSfO5a!E?E_`A@(-R^FRNI5jVumNU{&9OS-Mm`|9F^<|y2RKH5cUfO&c!Ekz`_f3 zu|pgn6mOisMC40)^S>m(Ph^0H6Sx}y8JNKQGWf7UkHAsYPFLEmX^$U)a=W)_)u0># zA0OC@1N5dZN4lDVjaB|Uf)E3n*NeLz?n(yMd=_*B8HLVQdT{_p$D3v5If4`VYFw=! z?@HAQNJHQQ3p;p1XaHKL8&N|iQ1pl9Ez!%1M`=zF67a0x-)q4&055XQf>gjj8+(JAXh!;dn|9`rlpyj=B$p0fb z?>}OSH(4|n$ua7{Fzh0#Aq?PN1Qj35I8TFhb$+n_8CHBab+S^4MWo#;xz zHRg%R(GdxTd;V>j?1cg40jpnzWO8}NMTLI$?jZD3>IcLe;8s~Zm z_!Wr_Vd3S74x26CiPzK2@76~;Ik*nKy34sTuuw)LX9tb>ghHcD^5z^dU{HC?P_qQ# z6D@;}M+v46EQ1Z2rP1hG#l`N*DmDwXZi_NY<3B&u{xS1nbjyT2Ir8AKa!BQR%_)s! zG{b(xE4IYgtxRL@LL5~hqZfV8S|_Y+VWs@VGl-~9OFcjGbNbuwbXF3@TH@gGGcBZPQY6A z+7unVGmG0To%4#d`T`DnfPL4tX^MOGp5|&edF*dFXHz$_e=5VhMfHL))P57I8nU1Q!hJ6^LjP2Ipmu*1UGd^>VlWHP4k68VOgj*05rdq*}4*@LJ7!o^FnKB-kZ(WOwqY zovNAr)yP5!Y2g`P>E7@6)XQgb-Sc&OzHeyOyi@P76<+ql)s&T*`2F-lQtqc;+e1?& z=(#=3+%@JzBPsOu#;v6H0 zGq_($!6MSc>a?G{1^N&Pgp47-m>PprZxIe6W?)h51*f(B*Hz7xoC9gQVw>ZbNfebd~ zsKS#FxE9GzW8k6?Td#N5vyVgQS;SC#6s#w(N|m_Gy|;>J(;5?M_dM7SsfVS+^ZDXh zL?+?HhmWSSG_4VI0buh6PpxH=rrpi2hum8}#V6YU!3O~XY)|X2{|E!?*enmzPDl)^KJv+|AwW5NBb|{iUe4BrE^L)O*LeU!sXT1#{lIg_PQT zZezEk<*Y>?3qllVKhQ%|5 zh&@ulAx7LDKA36d zoQ@~UzA?R9z)zX@^Le-KN4M%hn5?pE1lDo~v+1y`OJ+m3>>w8ctGSmNs=5tLR-$Z+ zqJ9eSJj~Ox@jx71d%AWDb6Z^4Su7N+ECAJ-X8rnD^c{-S-*o zWYcs6a}G(fep=RBkK%E*MopsYIG>j6Rd%3t22PJnI(_p@)BdPVBJaJRsLldU-P4pm zAih`kEl%dFr`<@rp1ILk9vWJSN*;+$guT1(PP*pzm3YrSKEK#rH|*2=mWw8vxpu96 z-JaxGeUq8|*l!a9Vzs!|3|@;}w?h$C`K=Pa7WzTh7V(3*x?%RiH(3{xSg#{zxgMl_@cm@TZI^NWPm2k ztShbeQ73{-99{_W(n%2iGXXS0T}2CmXSS_Q2YxB4)lfCR05(_excl#WS-NGs*PTyW zP-F0j^lD%(rM9|%W^I)XzXUkM<#oUsssBX%j97OidpPW;{mV-*LOiNBcoH+`jUpS+ zx=Dp?<9aU9VJVOD;{GEtR1Q35YL0(ZXkvX0Ztgu(`7S zEW4Fl-RbPC6VlQ>lrz5i9l-vNgrQ_t%4{I9O9}sP0ZuxL4EvLsq9^DRvjy4*V^dZd z&KBD}J#T-oh7>s%>8(eRc35PXC_zG;-!|WECG{4r9nROl%59YN!(<~IJRRRz`cXW* zj9%pQJ`nG((CJSa|4xL1W0}ue?_mNalOrak1WLVh(pYFV{H&s%#ePkX@4MOg8~zOO zetDv^m_XP5R>jd@H6?GowT#IJ7$LKuoP43s(1@Dboepzb555W1&5rFP#*FxEP-~|i*YUz=g zdZ&KKIl^-tvuFloVxw+fQxOARLh0?sfNtJt1-snrm*{gd8Vj$5;J{S;73y zvh8H$oqUC!2!5*po&^u5nU(s$x`DOTpV6P}YK86RS10QAyrvDUTJL{nut9yfDX${I z%$um0SdGv8tKBNEb;T-=4i=l>mm`*p2^TF)9Q#DCYH)8fuWPUZe>CbdNd3nJ<6iy!bjK0uxH-wR;;?ou+=5)!p_5^oEN*<1CApK~%E2eAGw-7!%NYKuTnRu%I z)4~T#332DFhnR@|wP`km%%9p_`od&~{?@{L_Evj)w*S~9z)I`L_)gctGa|Q}c&cQl zS?i^pTmn!NoPNeK`@UTFT?`*?L5@_h5s0jZ>nlK{} z5CP`ofnP3jg`qltXX=fg?BP)Bi6YLCIPN|ksq;#39f>{OA_WQ5?z9p6)dsBjPIGtgcDEEfOmouUn z5GZ_@SlVv<_ctlsN9$M$V6Ex$?oNOAGi8r^tg$)Pc4uTa4t(^GxOB|oxRA*kSTRRh zgzC#hJ|kygA6DWYBNHI z?jNq$hH1eK1T5JVBjaeq?~xcQ&X6sCea>_lFT;9y&qDf+k7+gqbfHBePc!V*h`!vK z2u;O|xswA9k*xe?Mks!4J&&UOA#CN0v{;~0TRvplmb-}Gvtsn=aeQSXrIrPcZ3Dqc zYo5@HFLy;=%$)MFgTan(e1FvIAB#(tpqo#X>qJ>>iUp$UvnkS1VArK^RS?JDT^F)C62IMwP}3|_Y|gJ1HT~{ zJBhpZ6dgXfjfcmAl4t-2p50O$10Rvmfm};QQ3Fb6a9@_|UWG|6qpf!?tTFKX*UH9L z&l`TDuHxTS-(oSyPSqIP{CzjSLGh&|IxONl?(qa^h5Pp9y+T@W3`F5{2h$(muC#P&d zv{_x-2Ibn5Su>m%gL9=o|Bp_9@2N-$d~l+if5ow~bA{$cj@KK)R|`94SuHP>>@fcB z?TT^vz|_4%&TWsyNZo`j%uAn<&K(V;CrN*CI;R|Jzf(sdzlDrxx8np$_rj<+lH-Zi zm?V{fe?&mFW|y0;)NWr|YPN<%sjkLMr-fWsPS?&<6P6tFr$&Xwfh4!}3oEm9t@12I z;k)&&HzWqTwnXd2CMVAo##Q9?Wo++imY;AGju%&~%uPS>fYq#9IWV#2mFCY*y`vpC zwv04(>#O-`r%M8_Slzz|+gwtoAL0=U`k<%&Xi1jTr0ou=VZHsct!l@)bxL`i0i)7d zd;jeUxRSe-6Rz8zX`Tw|*V)j0kk6Hc=P&cB-fH=cqwz?JlUDJwNCi~CSR~Q@@$}Em z!XScFAwM!`xJ)V@hrR^digsD(eFNZ+{<(J(;SC$AtMDy)1pi7;I>% z8{PZyb@1A?_{;J1wCtdcJL1Q4#`Y257FO3)M4$QZAlA%twHTD`u)qY5spAX+p zdpFuD=XzR1+Nb;8^rK<&&m2FuYLY(n{8usVp`v3LZhNnxOq2@i4+%r=tW@E=@~`1`iAj~Zy3PWF%D=#P3$66S zUo^93-=d3KqzSkl;R@B^6c+IR-Wq*p`@73oua`ruR)v%O>8IfpG(~;}-G82_p zyG9%V`JE^@ThX+$14@$$PBL->z3IDfGSd=2P6k9o8&A@Z<`ea4ggG4pYAPO29FO29 z-og4Z$){)-V)PX4UQU%w??iN?wVuoP@k-$7*};#@$L>8za)f-(l-cW)@|0Qe#%IyL zPrTmrPcD0JF}tOa3v-$}!)Dw&!Jh3FLQ)<1LfjszAk3Fz^%4r>gqby#LSj%A!i6{@lM6Kd{h zok{QL(pQ4BGaD1@x=nM|=Mxr9`Y`mRRi{6afr;YJzaKr56o$>X9{m&%MObHj{FJ9x z11qn(POY+e`qYZDcQ%MLw?T0ySxZxOPB)Um@mv6>oHtK1QR3dDE5;ozB>zW!rKIwb z^{cs~1P=KmCg*A?lLUh}r`;kFcp#@Pg zIs(#2%xQG*jur0a;fh30o{4<$-#6Z&$|Cxv4=gs0wQ?(P6Vc1)$#Jh`KF~;5%mUlt zZN!oXmBZb|)BW0O*otzvn1#9k6SjjBhZinl^U-DhL;kcHW_-9k5Q_XUl;5C!LydC> zpS7O*#2@Wce1{y48%sx`7(IQ_@F!%}a;1T+QLU*4-_44xo%!9OcFsoyyp|;q)?*TL z5<)ahDzV~F6T2KavXh5jFkJ6!ns#q{QL1i{jjbP&Td?Br(4NC1{<1_q0lrX)WLw<9 ztSD@$`O8 z_El_(NikBpyRH%Cvv=)F|5#=IjoK|6U5(9v$hrI!G9^Di{+_fNvm(- zpT$M|n9nY(sTTt;2lf#EdHAi03}KqKvzVrd&jUsiFH)mAP=`$MlIpKT_!wXCK-{7Y z>`)#1EPCrD^%;MtaB*EhL(bqqk4g&biF80xX_nLM_cqg&L717N@OT)29r;L)@Xmej z;f+s4xZZ`ZhU&yG+Vo8=3ph+xQ7S2uNwlw5^--zLOV=iN^}ZGjGMYAMDLJ6>W_V+Hfi6eOorM2~5zLI@dMW<7P6Y!B1JP`%(Yl zPcxdozqf!R*N4z8-}9*PG5Ubl+yY13O78vwgMTykVYzZzXvqw0e4&U;D*Hc*aVC@7 zH{UO&kvRpZp>T2rCm?$~I(qSHRVSV+!bH)!rjk_Fdf|J-_9rq1Nn@_X=8SEd8QrGt zMnZOm=b_rl2WbwA#w}*D$ASW}{cOS`VuM@_R>F-tZ7Dnyg#B$B6XxWbnY0Ao$&^qX zy}nYFlj3y=YHhSUud-aGwOoJ``ero({OSWkf5YY1$ zvb-WUJwQZmdVq-B^Z*gL>H$Iv%3Q}(Mfk4Mtwi{)E8>grK>_$_@SL161GMF?_tDUR z%|tN&)*K%U1%@Gl$+kNg5W}l%;M^oIc6rGdO$TF=P9*9F%*;}&<|;%iSH$k5EZ z#h`(J!+J8oVLACAm;LQO)<*{zV}e|l0&qb{S+^Me7qj_ify49*!C`a%;)0Jr4`iZ) z>CC#>AeXNQQXZ&OpcJC=#+LvMpw0*LtZ&{NJ-~o(_mX14U*_ z!Duqr+69c(=i#6M5kRW#U3>~oL|Fp>3IU_9|LRX%)c|fZ_%AQI0>7?4 zgVnH@0rN62S?#jr3p0=me!ghS(i(@xh`Zv@^CyGTOwu`%l#pl2|sS`M(PuW>|pTl*xXw!N-HNqj{QakED3WZ~ea zWvKl~X>ep%XhqB3>S(>9^QW(2(1R3YuPv$#@kjp7u6=Px6k_nZR0EW)EcB^j)pFSq z#yVusAAb%)VEL-iZ8fAZ1X9$j*AnhbFU(TrMd7U%+A{LD^B^X8Oc@K)&N zaM9X;m3>T$bLI|s!E0iphc3ALAx2pIBXhpiua6amA*V9N=0S?pay(sIF7J^g1t&v) z`jP+14iw)EZ-4OD6&*IbW8)YY@IpinyPw#P#axEPpDiGa7haMaHdem|5bQ7Mir!P> z%+SmHjk5$dqmB@ItgeP~6avSD%VVDP`%iJEI;`Yd1A#?0v)0U>XS>gyd#|J zx5ZV#MxuR9A;cE$Ji)X_`5tKIz^mg+rq)J z)NgvLC8b$a$qB=C83MnTnMMS3_un};*J_4Wqh;3#DABCQemAw)@(yC|-|cNl;^W#H4^2ZF3xdSU)Pa)~FKM4+oAn zcK!A2u!gK*h4?VL6~7(nW2ZI6Vqf7b=ee;^2bB(|DKrO~)X}-!u&R-Y;|%+#l)iZQ z;h5m4P5EUHwjcmrbIT{-NZWwD-)Q6p@BFH<)B(9M9O#anQKWqvQD!Ykqo$S$qO-=e zD6;7oCoGY9x>Af!D>If!S`rG_x0GvTL?J_W^Q@I?eA4Jsik+NW1YO36Rs`!~sR2G( ziI$4WN2u3Oy*Zig8anQ|3$~SAe-AyY7c3QdU6#w8zF?TX{&l~Umq|^_*y;272>iXD zu(r|TPbNMyJa=x<8>U2QOTKgqGh$&pe)_AVYGyKZ(h2`;4IhEmuTRe3A^9ce553#8 zKSn$|2Fz1)zY&-rbclbxDJadyNBqhlX;?jB1gAB7q-G})na}A>JKEh5n7b%K>=7h~Sf9Z0Z*M;n;gdb!`J`h>zhXJQ)TtukVwYowjGaZ|r3 zA7eS~IiLT-_o4na_-2(vV}d$WKCsic9_2v~&E>-6va$92Yv?OB={jPx_fG6)sH4{z zSWe4r`L(||c9xq>tbdTzKf)dn3x6N$cmXYmU^>LxWQj3i_8hC@BIC>5C)H6^>*JpP^ zitP0(4xXXDCmVfY>uu^!b38@4utr-2Rh$KdRWfIbRvv%p-xy~%+vuN}uzz9o1mM<<1UskOKeJ^m51UjXBZwm)OHqye?`%nOjY zwf0XRc`Ha);AsD2V%!Qw-26w(xDZSJ5kFjrEB}Z@Z9ru1_%l}jLbU%!yx)dOh5uiE zX($pI4;=KmQ2p0Ae5Jx`2a_B&pyHrhIF_&a=AE%oApLe!Qi97O9)HI=uMCne27T^8 z#YVk!M_+aJUv!%MdnT+7R0;xc=KJ41=PP@4po1!!{`{J_4v^(>`R~)w0dDG659)P; zzf;EkeIn~`lu>z>J8>~@G({+yszBeC|Uh*ucs zp}66w5733HS@EABamZuE8fv`jwLRALH68D4ns=b&7RyF1BESBgJ`A-JgAy~_=fPb+foWH^+XQogAtJb6-DY(-5odQBOFVPO-M&CY6>R?=+q<2SphLw5tq z@X3|i+TX_;e;wj6s_T5kSt7@(3JG0#$S^sAy)_V({p_V5J8v9(&2&;}olmcOrJUU- zJ2SJ|$}=haBpkI!&qZ=TlEII-aFvg2+my*;}hw!DW zS9?Jo&)v%E9bPxJ^_3H+gDDSAY7U1q5}ijKayBY`HT&q#PZsauO`+K^AB>+o=B(@3 z_p6lL+;6^d3(1CgmwD#;>g$4)Cb6ZTgA%I~HtYQpU$5RrMuS%z2_zDtmtS1}a=1xA z1hTkn$O0D*H##g@VgU)!|56u9Kz1Q`Y^2}~_TO;4gs8|BZNlf*Anx*80CS06@_ljq z{kZ=9fc__O@eij#-y{I^yjG}aP*)KqH}uRJ3k9AdfB6)~<5eD>E=_#YN;fRCj8nT` zNNw51s)lI!YHe=w)goRlr@rsxTi2ELAfdYcvGE5Avns^C1>Q7g3B(z17>noQVJw|$ z+L`*P86!W7iJz4|u^IU!oNYsam_$%Q9Os9nuWL_1?iv3WcN9mu+vJpUJ@G|0i{;2| zJ-GAfsL4!lbFj8o%JX#Z@vdLZ8(9Mqw-}Q)%7`}Nzi{jFIcs*WJ6W9!iv5WG5t1l7 zF(0{)TR*Ox)7d#D6oWH6SNPDI^cSuk3wwFO4cu+6=_fBi(qt}jm9ovW6frSMtgWUk zx#W%g7QBjQ*jSV3jLP1%yIQp&+XJ>M{@!qbvV5F@^QR~EcQwr!o3q~WsRpHMH$V0< ziL2YL+I+`NFxma>E*{h|2_aV1T2rld@pow%FPYvgmr-`wFD~8LGV&Ty!+R!{OU5(k z`Lt3LU6)tcj%ir`HS>M_ICD6emFW|!8Pbv>&Yn7|UPCqte}*T9nzN#&E|!wNwqZJO zGVHoC`$ty%{i`SvvJc>)5^v)|df15Xzi!iEo6|jWc1~vphd4isr+>2PZg3u&rZ9bhvtiiGf$(vI?`rWx(#=aYFF8T^z)|+;YJq z3>8iNjuT2ih;kTgpo}Hhaoh4yIKy&o@y+5e1EmQ>GktLSfn?#T4;jI())g)rS02o?;es( znBC3VtfZMczIAY(bb`Xdf0##n4VOimT0i>9#QUkwiw;zhY@r83#^Ka*H|n}MFleZs z2xBv{wHm!t(Tk3X7B^e4Y<){I_3ruM3S1$#x%N=x)a_I(bOrI6aFOxeSH{zEblN(c zJ~y+ujM%}pH-X2gArpZW$RI5MW)hFCx8{|>v&H_r)IOT6LHyILB%-zaIgWR>->Z3L zG-poLzjeD%OOZcGAAL269vWS{&sITv!t6VA@4S6-uLys77-ECxyf0qWIJL4$SA_f6 zL!V=w*N7Q?uIm}TW)p&l&gnv`wNk^hc(uB9UHFh$| zN|VL#tuGBbm_+doH`*qH6vNgrG!ylys&@4YwrkjG(eHQ@K3UwfGhB{W8@kRKYkf^k z&-X*RNu|Y9(2QCB$l!}kg28r z)13Sl4!MoV$2Va-TtB4ImvP99nV3H|y{P(fhfI$wXqP>ry}a|FD_u%akr*?E6RyF{ zsTgP>kM8bO_bB4EcNPILj~iuIq|7&&+-A25C10-36eqojSstVLNG9D0Ss4MT$ZptD z&a?rChX!2297u(Q-%&P=LId8lA|JYMywCSvG=9R0k7g#|;&gg*AJc@%IGp1-g-M7K zyb=E66_&Ad*B?Xrf{z&XA=Xz+sa{l|x$JNa1KYHM{A2h(GH2TwQ8I{Oj)3)m4gECM-? zlX=C9NxU%kG}}YX(~y;)_;L4k;@$OKxz=&|tn8K*$$~L?bUUpttZtPj3Wt&z?hw<% zz7t5gz!)L9dAh+i+w^47VYW=Dg;p~+V=ciPZ$jN(#OfgeYRN=2QOU2g2UVnpt`YqD z5`6u{tvEwjyiAFB9OcQZ?>qGHl@J+hEve2tFikN7y(Bf{!>oCRhUz#R30~o;cFx%t zHZ(6(^1ZRwFv_G)9D5YEzwq;B5L~b*-Zs4r^MhTw$tj|>JnQu{0TF6fUKMd1+`CZ5H1#j{5I?65U+w^RHX&PpoU4b{X2rP3p ztp>8#L}V%B9_X!=n08{LWAaDSE2lp_m7%7w!)Yh$@jNaaE_dqf_Y?da)l$X4$>vX^ zP;xS;B8gAv)I>b6e#c^9KtoU5Bnx_91%ET5}0FeT0SXOA*b+?Q?2@Lx)eP! zj0;g`N>_Z3r4;>^bbf3+#^Y6AW2`0@y>1&>qjfBrb4tVrJvmo#(B0Awr^35(FOF`q z_7R@2@H~~`>y~uLTkAJePD;bq5Hgc}6w9ElOYO;5xy64DT)~JGO_1`{>vv5F2Fl2B z<6Y)zkV#y{#5YZs04r(z2oQws7sPYiS zFu=MZvp|#?`Xl1aU1>3(r6E*N$nP5_KD082ss#lM1Ct98Ae8@A9ZmwmPX#afqi?^% zdlKMdOK$|EXvmJB5+Gd(7deC5jaYzYPU4cI<8KsyVc#=?Dt%#!G79oYD%by-NpAEa z9Yh2Ie^~&y0lNwjmWJrxfaYI&$-iil|BccCZvw*@aK2*bg8O;Fn*fE1B5}h9vMzmd z5p!o;d+0)UhsU9;gGiL;kEwW3>y$))@LTJ~JJ)K3xro)(orgJM-PC-Xkg&ai+NUVH zIyNHlR#tzE7DvETg%as{#H*S~vScQh)@PMhQIhl6kl?TlMtApjU^wi@I)Jl7%?^g{S#O1B6 zkfiOf-{@Z~YlAT{6HU;we&8@hk_xA}&XJFvH-Yn$oz4E7lOVYyej+M5Q=dMH{1(d= z5rZ@v%CtykjO%M1>2bXK-3U>dlL!h#0{Fd=a(?%ovyEj9tPD!#pS8mS)|(sAnklw* z^g2xg3|uh!p9YD}!>t-)58M-DtKn*ILmZu?)z96nvz!L;;}uCp(JaX7uL_mlh=mf$qz;b)`kZjj8v@+S3MZnPN*@!($6Ei@s_P!ha-xV1r3>G z33Fk)V&RZmhclYZv1<%-!R7N-rQ&U4QRy1|e4X@RIG!<$enNhDnZ>);W{7ucadAA0 zh$3=5xC-O65YtJ?87m#E`#34agXp5m1&pAEbye>g&0Z$a8=_3&a3j_Mr`)FE`%b=R zrqOncUeTLBTL`~EfP?Rc=Qz%Wm*WCk3I1n)qVcF3zlJ4Hbh*A;f2C+YD{xKjvTblh z>-b9Du+`HtekZJTj4tr|XK(LYW3+oxb=Gb_r{3%SL{GOWXh^4+sWD08Bw zOVX*L^OJCtvbe_2C{y^IIjJY=a!)u3#~;9`_MT=AGWP6412I%(57*|pKTu)ryYJdl zt?7M#qb__Jjqm?(_c+}nCwGJ%c-&I+ zsq`I<{}oEfanD$Tbz#W)!Qu@TW+H2L=(}(13nPN^Nwdu2)~9`9@N^xUxMl$ui zqa8=hPu_z0R+>FcERvt~|JBg7ej&4&;rNem=eZ0Y2i_#~}d`6J-ax6xjj%QwY z#Vn8YG|6YB)`G3A7)}@(EV!gPexw_yb0};S_Jf!1m-w@SA`(J0zs4>$nO|C|v!PNe zhR%nDx25_AOkXC_xz47|d~1_5dRag1GOuE z_x$EPlDNty2XVg3lyOiL6`U6NZ6g6ieMXIjmJngAG`|Ccg8aV&grISC=n^zUuA+di z=fPe8sDCh^Dp?R5@}2~=1$he~I7c^yng9hZg4XdAYCNR71YW5)_Mptp)HG@Y#JLQ_ zsu`d_{sLNYv!FF|(XyLEjf0w2KudBS^xauPHL#YMo1o@Q`4Va*^nMs9c*$YE6=BO@>?Pe& zK{3eROLYZyR$suXMmVvrL&Ivg7?8jUFyR^nuDcozFdwkPfUs6C`r64cptT)D#fv_4 zXn7Si2HM^Ld*3DfQO8$Q2dHlo)e!Rk29{g21zMVGU>JNGv{=`{EwS7IfjC=ja1EsI z;HMJrf;%*M(fYA_btloG`X8u{(AzyAUJ@?3Zvbt?KDZIrHo>nnKL9PdEzlA^1STLR zhXI{#fouFY1d6e3pm=-qXR7KQFx8`DAbNMBV?fTkU@Agnm>wjz2iCHV4ExPfMY)ga z1ie9l=|iLYV1j&9(5gHDEfCqe{P#tN;tqic+XVQ=+XK72{1KRmp%VOaq>h4^9OW@; z%w>e`@=@452Dd>?7e;_|@swUrJS1E^(H9I2!he6>{l9L{(EKUBK9V3f2U~?j0zKZq z=Yl%Ep<%+&C*mgM2eud!N4sR72Ix0?jD83R#CjU@*gT2J@S(nyW&Pc=Lz={N{kgU4 z--S<86EnVME#%&cRr+>g;w9rpW;a_md%JbVCAT{cR4x2H596rsPCb0a!I*2YL;6S=H#oWJrvba`69b!p3lk~k9=$$w z@1D{og^`C*c9dH=M9WOQeh0H5Z<%P+zx~Xw?p!~JR4&9*D1~87w?fNmnZM8q{w>!U zN0n@JDA6#$aT)$n^Ot8!^S8L;T!-}+*k5&M$imMpLszSo{)EdO#gIZbu% zf5UnElrU5#G5=$8n4btwYq&5EPwOu@JBNqBh+*v~`|@y-n;~|Va^H>ubyThgs-xH^ z(l?F>V=Rw!`(-HW@g2Mknka0OFetlEHh;U*W0ybV!A?LHyUv`kxJ0a9`de*6WSqpO z?>7D{;qL(`v6Q1*VzTyts@F9hcekr@LqjZ()pTHZ}zsEVsg6~nI86@$TTWfApV@19)l-HOS z-+w$3ZWNawAT+k}@XdX;HT6deUtQ>_*?b<#71(!el2ou^eF^5!z$_*5Dq-guvmmO} zq9NO|VV4%cTUPuOpd(w#dd+V>ZwsOAYcKvDZH=szyDDxZ0m=(5vBn|s1!94swnsv< z89%nv)B{uU_aMQzr-5IRITgYQzR)Ojb!B|EiiRsuM7HluykH;>y34M}D43k?CF%lS ziMxL;A*eh1O-Q?S@)Oc-xcti6a9WiVcNw>w+h_jTYI(=z?l2v1aguU~WMRUcE1NoE zL+MB$^>|A(3qA%s@+1^vPC0K2Zz&WFTvTNH_USF$_9E@Y? z^6~}Td9dNjTA#&Z237pQ*9D6avV~CBkl;CMQC7MVyh^vDD&BGBt$J(t-cyaOf=bvL zep9u=EUs5S{$q;;o|WzJVfFAX0c)Ahk3Y1E`pP_y730GEc|RpP4mvQaOtjz|bj&6V z3~H2{7TsewJ-o5IlYjCVqWLhuZ$F)-s?JvlAFVLUFB=*i!eUx0NzxMQ$3?}B6L=hQ za~olve;Hlpj)S#kR?HczcjEJ|4_LvvLx#vg2#Wb<5^B0Y}@V zJw`=Y(SeQJd(GXo3TagVu>)nipfyAwgW2BlMIQXbX0*Fral@U~ENlAzt8@ zH+aDK`sNq`-eMqJ_>0A274HHPuKM{;6huFCp6)U4ARuWk}Oa z#AWi0kAwf3_T=_3F}IK6eNGIGA1^m~dDGS2Z)@e{lVLqY{BD0Z{os7ggHr6VWVx-GG}i(EbG)h(x{ zdvF~NJG(_?Yh}i4@w%4Xk-TC-8lAR?7tnK0q0b*3NLv+OW*eKe5*BGXT4yZDImrdM zPS~Up{OHT{=3`D6%1t0kOBLc-4jjS??#oLdl6$NY`5R>|NElmEl>^1`KRxe)RP?sHC z=-^e_@lT4>W(0YGCh?jc>v_*dNifn7X6@H(1q$zP?&?3^nl#l(|E9%I{W0jX^fUwa zo;vwXhZ3)&d7l)`W7YL?_8nh4VwV>T9got-jpeGM0fDe@9IxyajIHAliUt{7k95xj zs{*;35##F=UKp1UDcVDm!saEC$8>bB;T-IAH&CsUb~qy9@27(5FjP9^MISsqd%Cs}vfF}JswqI9YpqU>F>9k1=oo}L=?ZsLfXzQA!y z>V{q`wc70lv^24%C5)2D&z31)^l?H1b5`_Unm=Y&u|nW+PH44C)YlQXbtf#f>;v~}_LVGp+rE~OHrE}#%snfJ+s{;`A1c{k z^kUu#tvbIi_Ob5vqCB1HkoGF&l-OdU;QIKjJ9=Z?kq=_;=+n>qWbu%Hs#RgaAgQD3 zI@BGjg;(Z-Ddxwmyl!dmf2Y37b0&D7rM zSMGc7i+g3YZteB4K9ZXgs+klt=)Z|#NKv?#W_N7aXPeYgqV%;+lU|_MWHF3u2%j4z z|JKjz3^@w9t~bjTSb3l%dKe|Vsl5T2b(jw-%d#{UMZ|lJxH@#MlN;4SJH6u-gi_^( zz>&D;)%U`eOF3wnP(LM1jnqRz>~tQd(xpyQSgWI!dPco0Nv!%_u+mHct=*TSaIT8G zlLi=+mF}AXLt=gmf^IR0l~sC@WKVO1`TPRrgdXZEox|l2T^r?4#pxX3jKa^VZ@Drj zbSr-NbbFPYSSqE2I$nBWomh;;V>i-+z}Z`Zc3uy8dyBHR471rNK-sqck;|~yKmG3&Y46KW9_oYf^F`T^Fw?QvUyRFszjw4i*ME^rY8*xwLb&D}-l*rC@ zyJO$^#EQFOtI*ubhFoPCb~wg86ufj|eW|*y2csrmtR>YL+`J46kB5GuO&}d2wh`A? zlZeTEa;qq_KN@QJ9*>30#-RQ+=Jq^4v+(@dtAzF%8+!+ar#%#|1^SrxG&MTyg1NTy z6O_bLhdas2U+7fs$FI|Aa!A(q^Kx>Mz1G$#di7>IBitA@N<4rl?Y7uH`v#We>ta?U3(IIvjp*an4BqX zzyi6k>yNb_QtF5I_KhaqELGGs$K z==?4F<_Ft5Q4iSF6vy_M?cl^*sw@fHsjdRkBRdhuU2DVK=pJbt1)3u9ez%lJ?!7gq z;g;>dGo@)qRrl2TiJRu>gmCx}@2SIqIsyNldN7Lz# z@~g4Lbl4&b*S7f?da;_(UsruT%rhCYGf?fv&!@?<6XUw6 zT~Sy6&dZg=&*tlSXvj8vxccoZRCv`LZY~0_* zTkBsCiD!{c$g9e(_eC0)(W;0>Zx$slacdQeg8NkqZ2#Hv<>GDr*lF z*utm)`6h-6B*_Y3&UagYM(`d3$I}`sOztYca?B0WzQSGi*Z}UT;{k{XUlsr@Rdyh{ z^8%!HDV|@5OngAJB_cq9@Sg+LvL+6Iu_pPzi2v#H;skvU`2W?%#sw~}CU61&u+Y(= zxeF0P1c2X}CO}RGoKaX%7B|fPiZE1#2jDZk7-BGK9ss3iys#S&1p#;-6hx7Q8hK&v z(AtHl{Q_imU;459`7YKSiUF8(5OF0THhy4ITZ3T$t?+|6bA*7gY%t){P7{E65dJs+ z3IH`bc|tZwLJ&rTBq9iD3&L1P1^F-kN&bowfm)`Vc(5S}6oP?8EloWfvG{BOZg7{&$t z0s_>jKu82N2*XGrKcy?fIbj&*1-WS|?Efy}`G2Q||BWqy>lP%3|KS$9N*aUi=b-CA z)k@$Q!SO)DK)kB*47uCGu;GLhrR1}ISkK~k%@V8KR*PwE3w~f8kA+;Qd(yL1?;UB*3xhm#53cVg2?HueIS-qbkk!YKgM~-@LC@#k8o!%6+KpggjeEUT1GadqASqPIS_#-Rd4X*il^nO}On1A~gs(E50X&Tr3T z27a>F8#gv#N;Bv5^A`7~d`++#>94(-pr4e%NX0+3%kEXW`!jyEbd%1HX7yNl@9eH-}TO==~JDebqynelwW7i(jD&)#aNW$d0pOl4B^f9r$#(bAoOJnyr zf$@P5(+8<#O$RiOJ)8cym#ciNW5?J!JHBaSGN<^2Ytr3L=W=mG@NEChD&b&db8`Cc za>nGyc0>1=MGqLn3(x2={8P!d@4VKgNc8#OGCZ5^-(i^;jEljxvXe?1)7` zSs}`IQ$MDNYfX$^-C~X@=`ng*Si}eu!iwXAUQ3Q<1G(34=9F#+#s91tJJ?!d{~C!6 ze3Lg7U>2#{O!C$AK5%y>Ll5u#1jZIQSV|$gB(9e~Vu;apbJI~2LX1zdmvU4Jk|8b? zJO41fI;|q9YJB$|`?Fw#e)nQ@LlsF%jJ;cwWuim{;?LW0M$z;vswuUog1a@0J<2VE zg@z(5$Tc|~8C)0RaZJ(NTl%lv7m=E_&y-?jw6!x@W`Rh{TE3 zjvY?!Gk=Y|Fhfd&dpf6WRLbamGueP&e?fg!kM4GX58j*2UyrO+BA@#tITTuT5wLIy zr4CgkYxiK6s?Q{*35_Wkj(qSdT4xb`VS2N$FM#lDy1qL$Uw*u{Y87E>q~RDhKu&Ck z?e5c?qMTgAMm4)|l^R7n%FpZf5L}kxjm5ZodafBGSNUC*qx?fRALU$5Ix)e+`@ zbh0rp{0i;IsW4?yee`ok>hHJ<*!p{?tgi{h1(C2y>>v44-PZOrd&N ziQ~kcwxWCRH0!w4h@(^SJFoDyZ#8ODlQ&XXS@>(yZX~+Af!9v`;3{vpxh#LA;$)91 z&$%KWCjG>ytcKXf=*e?)FLaFDLH#c@8RtZF<&5*;p4YMxn|`cOM(w^26<0&tumZ>X z1;10gwr`*s7hS|bP>grmbqz~9B%x zPWaOgycLZYVeim?bwPb$wKgdObHz`Fm6>K;&dk7+ft??{7A}e zu&(!=_ujG*-&EZc)$bQ8S3o+6bwn~@p4s2{;chRdn_z_7FM|BSYrg#1T{}CfXcri4ygFri_QAC`%U74P3{WN{N8i~ z1%C6ag2o?Yb5#JB{%_`WK}c_c5OAx@0{&7v82}q*<-vA7+lwoE(dQ1CDO@0f&zSO1 zgd7Y84avf8fP%Vz0x6K9vq724Fk-*} z3UWwTp%7UZ?gf1nP>Mr53SiUzpbR4dS`P&n;IR5%ULRmpdSQik?b7P20ssKt_cehm1-3JIxP{_I=I|Hq;_8*z!CSK!`26V zf3*#Z>_dsMZ1~2Q2wQAingw{&%37 z3K+;~@z=nM9^y-n?0;=LE^MnT0QLe?0)YjhQN3KYfGUgyQ7lbQSwryuC1&_kc%431I_{hc^&v4FgLi1`SpA2m^0Mu=P;c=Ro~N^2GR2qb(Aw7Pgp3HtS3#`Bh?aWUGl|ga8jkOv?{u;_Gq zj7nd-duriJ`Q9A``f;K=_tRgBt$jC8w)4TKJ}wCC)^97_r4K-F3{=8>6}hy)xje(p z)2OkIxtSWJ9~Dt=e1noBWQO?C~qJ#?*s{ z&)6uH7n{!1VJS#(R$HvJFRQgVUK81o$4v2WLmg#2VO89b64KDer-wnUiN_Hiy^U|b zGwJA-pO>_&saEZIoM6pU$ed3?5T7g49a8dLJYv-26`xLrWsN}`yDsnb@@prLG?#As zc~Uxrl?)V!m+$NgCf)UJvGY_bzSSq(<9uE!w1lbN@E@>KnmUBMR$nl_B*p(}WMfCe>Wr41vvltQ#&G*AzSL|;mce~dtb z8bZ;75rS@FNKgkx2`TGc8WDqX6yRGdS`UVQse1;bi=;Fc7!$M!>>ld>HsjR=gXI25 z9v4zLkf1GB7ztFR3!{MA{}I1mh(G@kx%6NZ0JZD6!3{eybw*TeqZf@A-GAIR+lTmfqD&pUjW4jMhktq z>L!6|R?$hJ4G)+W*Z<4^z<-@}(T3CmV0e&q6&6VH<_BT_CukgylQ9ezZf{2VoNi1W ziKmHWkk_LrZYg5%sFLpk^z`_U#_V}yG|K1-1K-yuk&~62W0lbau^fAzcNWE@H;V&C zPG$>>lc~SvWZ#vfY!Gp>KsPAoS^D^%p4D8;<80<+zCnACVuW9Xx9H)@%*{6f_m}*H zodn)!n(P`+nzTdd0z*F;HQ_{0JoF3>v7OfO+;~-I{4mbhVt&r&9M&T0H?gf|W=&1i zC#dVi-Sp^-g!Os+l9S2{zN?_W#m)7pd)X;BIQIH}y(Q(u2QNOmI6=9TpSI3K-jNC(sqB7Sk4wj_AZB6sp#IeI#Ck$Ann8;Vir0ogSCslp2L678PSlZrMD%P?yi(N1L zOYrOayvVY_ICYl&5JlK5x_9fK8Sg#gCHec~h>Of8Z^^Dy1uQ!bpf``i@VgA-8E><_}@VDFv zO1L`MXRn#JPDP$iLm6->u5x|$k$VW=`y>#SZ9i4XoK4q^NpNM;SMaOd<-GQ4qm$XF z_>fx6*`@7(YttqsL(ls~La)oBs!M_27 z%NBmL7&rVbM1#WlkeSfCh;|jpMGo8ZCG|bz={gj*-rbgRWNc(BxZ+PP0bLS2*1P4^ zuik`8lG_}_`jXy5yQ{ipP#WYLki~ipR#e}JI9aoDdgpHV>D_YV4=N9LOOG%T*C#DM zJ!CeLutY5?lSWJNd?$F)|Nbn##~uO&L25IL`1PZC%- z=B?`P)|GcuvZ(FX*aENkSp2+wB~c7!Lb&pM%_l>q>izvaN2dHw_@}-kvk%BmMH0HF zhx+u7I2%50Az6XU9Lm(DR_=N%{~N3gOoLd{f}W?7KnwGoR|W@$g1_DwlAT|&k$R^yWZ z({Buw8(#MQDR0f2WbpEbnu8@Bw!K-s6WBD{a$bfDHIQh+QS|UXjcin;*e4r%4XCw7 zllgmG>!=Kj7{&EnXjG6F_fPHFEqin8{Sv;$P`PE)?VaSrrs;Y+gNpmxU%$I{Jj5K_ zHKh0!{vdDcn|y%NPmb8Wlm{oC;yXk>9K`w8+3Mue@;-OhQ4>umBnk7mQf>01C7q#& zexwIfcOa1uK`Z5dSXGr{}qoEyoyJvTf>OJt4{rLOY;g#(hd`E7~lfW`@h+WgiXTEfJxCa?nds?7#?x-OR5b}j;&Fc3Y%+>%NW1;_s#}}#vH^2_)XAL9!U%2=}n{N%ka|gz6{CCka zWBq$7G8?d*Ow7MjK6t===4b;WC%*jl_!}QyjJ$6H=HkNsYrwG0@3}B-!Ls;VfeVg7 z4UGsYpaUmYEo{NY=KfD#hAr5&=3D_v048M6#D$jD?Mftqi0pvY3W&g2CvOL1K@#Nu z--qIU?@L#nT2OFL?0-t}2@74;5keLO-bsc93}P^i4<8BVg)0sZPGNoRn?Q07MRe$ z{YYzfyJg&V9P@}<#Nb6pt#$UFZ*bNy?XFENe)`e))|vVlJ5s5(bE8((nJbA$$r}kuZo8o>m9;^q>V4KUqq6UlXJ_!#N zw3!b5xaX8TXC@0QMhc}nc+M4S72uI5thF<)W&CFCJk$J^4mz25{whJ~2wn=h zi50@9GZkkw6UJWh24{LjKF!_xQIZw;(b-2}){X~~N`Y1Rw`l0;Dy^!?$qjkGcL5$ewEyV&=#l^ZlRBQ@D)grj<& z8J`f_&4+g*u~oFO^OzmU#s!rBlY4>~FbyR#x|)rK(V+!8d-6i0@lLL8L*tm0{SxDRXZ>L0q)CCC_TSyI4}N zZ&Y0ggPnEyyhOw+ZEW_&VyT%}PRB`hKJ3Hrnf-KphC+4`YCELI5J z%|#&im>xorQ{qFu7cnKzx5OPBV;oCPFnI1(m1~vDEzLS3XjWx-9MZ9+=p9t|0nL)` zAON57_0NrZcm%UKv)f`dQ6-{J*t4WYTPY-;{+%#Axj5=l4jjA(t*FmvwWN}EL``?> zPc#n#nw+1>sgHFnv!rWKQZsu+yZ4>ld>70YMIN7SdqLN?8H5|pR$*Hov=DOW_nWj5Q`B<&Cw36Oi{iU7Qr9}7 z6?|Ac+=t-)$BDh{2D-0eael<1T5>0}7!rmRF%K)BbbK)B<(qp()D=?dQcwj5llyzA zBg5V#9B8arcE;4boNc~~-b@)4@S`*0GvZf^ySCMpk#cIB@3goP`r_Ud*RPrlguen6 zJh!+4X>6vECbVz^$L%H`G8nPuh?EBByb~SOTXhheVltj*Sp?$`pcs;0)I9q4Hh9I1DB=P ziox8#kg(q(5u|(}k_EvC5&5AJLvX=pHyAmT1UfFN**wg^Uj$M6)zUn4yu zR!Tw@lV!WLp6o@g722O-IEhWWKq*j8sljKxf5N~bWKmp~z>~)P^8mhbWF$!aFhEwd zwFd(us4$M|Q$L2!wjuC?BsBxViGR7wdbo$7@p~nr{i9}4nUw?z*F#T)P zuG#9T5_;UI>bk>Z1~2oswUU~BYtY!YGkL+=!nA2 zuB;R{bEYo2`35`Z;HJ@A?Dc@`5~J)J-{6hETC*pfAzYW7j=^;ud#IbKVCynpsQHk+ zSf-}8yTClX;p?aR?C2TwI7xKOFL_SyS1V`vn~XA69K`PnEMp~A%)#+~lq$`)DH=zG z;t!C13`F&oiG8uurtPCYNte@J60X>gsK)Sw--n^IV`fW|Snf8vI48<$4f-;Bk`q12 zd+^#8p}iXBs_H}ra@GZeGs}ujH3ai-_0eDU9l2iYTBxWSL+7KIuYSH?PjqwiCcjU* zLLhV`D|FIr&mUwH>c0So7c->ZP5VB9`IepwcJ4RE_s!em4jkVnBr3#6vH{Lra6}q3 z9$nPLW-7(?+?|YQEUN8SZ+R4SZRYHk^0FQ1PgbB54ZBKL;@&MfASdbc|E?od!9X9eZDg}IAa8}9aP*sSv+e!ejFgH0QvaBDBv2z(Ww;8+5(^3-41;lmxMQ0 z-Ejum83)di*Cx!2J`AaAeF~^HJ@obOmK|Kg6>YlD$;MM8o82uDRIbhDljOo2W1S{$ zoz~n!B?^0m^_BJUt(T!R(-Y-#h}lvc&rcdkd~28YW5ZP!51O)O-j0(;qmDV>7jgLN zYhgPfZ)y$yUgYL2Fg8AmdLws)#b7#$#Cy8g^p<=e{D;!hc>9j)2nmHbgKu&L-`F)L zCdl`7+=5ojvZqeNn&ruH#I8@1g(%qFHTP)2YH5b6Y{t(#UDj6U7vHiA_r`jx!JzR@ zohKSInf-}#)=}vVUB?{?ra2$;!={wjk9GZ_XcnLP;h1U;nF>0+m_qn^;r0$__9=*c zII4Yi&PMX5W4`H=s-w@#8ee+O=SRdATQ@!3WM0$_$xes}DC-`fQ*M(c+u7^T!hVK1 z_$kanS~gUvW}{_+@LjO&!;1G(q>8dL)n)eHQ6F}l+zw1zJ#mjxQ4?JpIs&^;lFIN5 zo^9VB#DRxmp3s^lYPoT?uJX>?JNv=Q{e6}2)w(t5HJ3Z{(we)}JK(~?g3&dCtum@& ze77UTLl&dvVkQlwkv0f%+HifFbC=~pzsCf()Q%A!4dFLy6HHJ25>=;v=pI&MZ8aU9 zn?X}JHT=Vs)qgCEjI<`$>-FN~J@<)pyfwyljU9T_dM&b7^UTkFJp2DR`ww`k-#307 zPvjWcD;e1%9A}SYWlPH5Wj91gMwCOc$@VfEM1$;vvPV)@N+O#OX$VF5-RJ1MKlT26 zzTf}<_j^1%9?t9ayszuN?(w?Ebzk>&RgL_L@4;lN56e~7TqO<}Hf%|`?7F(G*DZ^p zcLe6Tz@4zL1egJTTh#!QneEdkVAetXv29fYAwz(M^IyM{J{j-9YcTE>Cfrs z&wb+G7mWY3@A&i7;@`6mmTYjmT>*;P7SKu z6!bR7=U5Gjp9*8Bnco;m(zK^_yDD$4%XDW~v#CDDYNcoq5*#k{um2t>xLo9c)k$`g zlhnxNlOQyPiFIQ7TXK52Ny^LT@Lwe|izn0@B<4m7r7~T(PdaS&EzS1ze|pB@mtSp> zv3|!snt5hvF8!A5uT4gdmk-rRAB+!6L^fTuwp8p9*Q_XxyZ=bnDk|3W?%AM<#zAi0 zZ_50S>OpXNsaNk_S*AIfj5c99a*H*OEMdIAKk#lXK%}AVmi;#z2;WGjZFQbs-cZ(W z3MF+63^qD?f+ZlH?n}!oYZb|b=<{vLb z(W&idju)LYo?1WzhoV%J_LAfZ>1ibCIH#^}IJ2K`S|{x$+&QJPe6Fbc*}lmyLqUm~ zVl{E)GJ3dq`DGGGGI94#tvevT7WdrNqb@fV$;5~YXLn7p{PHS7gvLksZl$mY+Z86xgsDplCbH^>|>fQHRF|&$f4j0<7F^tI96OVQolm1>-<^!Y-+B_H<=^ zirV>*$V8c2oPpn89bMW}BkNyOUcepk?$zSDb*@OxW3i)kV%@?K$RyV2;mO5&%e3Jk zMSMHq2)SH-)_V)#dQ~yE?C10jLQzglvsUe*yX;Ht`5L`sgWlhw9cf$gI6j|{JFzV0 zH*%onj2YcC`kL9o2Mw3-`lKu?o~T{Hw#{^+h4*jVTzhcw+nT=FO0CD&gT5z%zcH|% z37=l#%=ymDK-*viUjl#Ro`q|yAhiFB0OPyd>8dzTrGAXgMt>Kp{t_x>X+=Vd~(0{ilA>Ve+1~0XJ zw{JMC;g8V9kHNHDXam`~xjMF@e>K=Fg#;ZzICdQp^We$i4&Vr6r#qA9M;kc%;gN9U z{^N>GY!7n_Oj}PUEzJhIu`uTG-G+JCtKrIMlrJt>pGb~+%k>@M&AwdxRbyVrf|;e{ zti=3FHp3ITfhwx!UG2qgE0F>b;V0O#KCAJLr0Hxtks12dBp%`MYDjDLhhwUL_JsH$ z?2m{bb6R_zbLDicN?CB^1Vbl{u^BA_#`7$CE85|vEX&%A-3S6i06zZVTbSPJ*`Vp+ ztp4Vt7ur%)4N+*Vc>e|98#b(Qt9}Jr(p-u|xw|01KvD1)D+ujJv-HbSG z5UE9zThjM9d`00}zAE=BhIrt|Bc;Ld!j>uT!v-sR;$2%`v3X7JcL9b&*XjGYG!VV#Ci68q zhlgLPGNi%m{385p9UGVDJeozXvuY0;1?|~wa756==snl3UoNu(Uy#;a(gl7s>CSR- z4dM7Nra>l^wKi*)H-Ljc)UABB2IGrgCp(V@es%Es%*S@AKhD>|57 z0lFIx#^}~yaKb0JANj(A&wquYxh0lDr7KhN9(uCx_<#NiQA( zfS!2z!)AXD?tc&He-8Eke-7?{59fq*Fu&CL?q+~^++lK%$2DNd2g3czD~d@fS01sc zi1sOuWTw-l)kxsCiIBhKeY&T9ls~adL8p4)xyotHgp*z-p-0^)z=N64V zzv!*kNu-z9neLA?y0QByV(gmUT;7XZ-xE-il5E^2aScKAUnO!2J4vJ_1|$h6vL|c4 zWWJ<(Xm3DLx-W6F5`+~hX!G7RW`s>GRI@5?pY}Z_DJ~`8L@g>@9XzxF_ zfbR4*c4>WIhaGMyBn2%L51N_Ox68H-Iq|V z7cs@3o}Dn=c{L_48^9s4Shv5#TuIE|HrLyzZb z-tusY%cp16sylA%fWC*(3)05Fa)7wP=_P2oAVN63Jn6voHpT0?Mw-{#?oQ4MaaMjI z#l5>%<~XEoDYk}5KYp1+LK@7f1_o|@ncJ<$sDLZ9ztC{++KesUT#Z4F=hL^n@<|so z!%j7R{v~#MJmPUg5^>@w{ICI0Mo-Adu#H0~#Xag^H9;?do+xyC|jz*lXkKdBPR@I*VhL><_H(KLjAN#rZ(rUdzp|-$8 zh&6&ywuZ@D-LFvJCDq(c)mGO&41OzO$)O;&i={WO;NMT zIuv%|^h^JPRa+(wllp3z?QHUAPn;{Hl`HS*IQL9`Z}95!kdxB+$L$An6upgKD@jhR zrqMD^zdNhMTeoAgGpy%aiJ-)#4ci}udthubm&y!EA0BA6%U)CFB^^uIeaxc3&`2YF zMpb{R@5#ms?i($qy1$j!H z$a8+wQI2`{t4sd{&etjxe$juv-L?5}(F@w@LfgSqt^SLO^94RPt32>SXku}+(3qK7 zSLtg4_v(AgqX*`Rq4|evREp0Um_%%`Cgvx-bd0Y#nXNGNRFBDyROvyd>>@ez>;l2Y zW2~t&Xo^_5@nMbYgL%=()eppL9qEZ`$H$I;N_Vf>b=vBVyV+dM^Q{<~{@WIeRky6q z z$Ija-q6g-7M_E|C$)wl2_+%m2xK3qgRCV*IrCED5`(;ReMw(vkfOnVqE9Fu6{wbv_h z&aFRTY-;=BwDAD(w4Ue5lRoXWx*yO?FK}WdbUX3A#k%a*2V3cO>$3}T$0ab)Sn=Dv zPu-pG{at)5QIqd&6iwc#YZ0QCXu25AFzq+L7ZEHG{;YSosH0NLjub0hDw2CT`;)$n zd!NU=Z1oT%xrce*T6e6Dd3ZZl{+;j}E%e?7wBOGfw92@@dgbBX$J$dTWeEF(IaaK8 zCeqlON%$til*d-r8%OQt&x@vvS9PaeSNXB98IC%r7(1!yhrVi$L%cs7tG~)%7hZAj zwYsd1m|&`7yJh^>tjbD~xT?sJCpT_dT^u;rfi1ISi8^lAm1zIG4cF@Gl6g_6Unm={ zzx1@!J^I{p#<|N;N2T%KTU@+yRc0cSr0#7dOK-aBy%l1c^SvB!HbtfQlcS00r}h3U zvvnai)@bR1ksz(l@h5w=g3X0_wYKsr3v#w<@4ubTu@1B!xSt#zc8OHh6^mx^`pIzZaR3nDD}g?-~{t9-@x##AkHi=XhJeup+eHsuaG zqqjDksL#cLa9n5F$Ao|b-fXB1-m5R(xifrNX${jb>(9J$(_CqlzSdjax%?XY%Prhk z+5xXrnYI(rUY8E;+S;*?_Jm=`_SfQ=`TJ|xgF^jxoC?ref%npZT~cHZAHQ|r6o)r| zeG(M6{L;|<46sJ)WM=&T=_isF1)S=89%lx+<`g!0(i^K`;98%ZG*jI3vNo++BjN1x zeQ|VItnNWAxYPc6VVZwc6C6)vvjSQwBP>z>{l%=iGrdISX3{k)X3u) zIkBX9xCADPbyDPn#m3ifunV;2MRnFC+?AFqg33I`!`!K{8(Oc-s&9RNQg?4MMB(0p z4=TEkALy@ckov@VjRTnn6b_wfX%W%nrD5RI|5+c&pi5XSH@us5+|uvEjqY$mKDk`E zq4WSl(gf*-rz0Ud`^L~xoX=MC9>0GLAKzMg8gCD6DKFe6MmAI9~`?YQTM~BB-QYQ)=-elp+p_$PABh}0Aut^Ph{Z! zw#iLcz~Y6N+B&?K+sc#pQuk`{}nZECF#qbVvjDC zf2popFtB29w`W3#hf90*m)`r&M~(A9Fd;GDRZ|v?DDQF4BK?$%9L(WwT9uD|`(Ac` z`~Lity7G_tr(%$pVN7;!e7>+=UX)zeBSq7e8o+BdyDU= zEuE~H;I#tMsn0*X5_G?h`g)6pe0bBb&_ows^0?Y_;PndhA+ET8*o@#w9eOF4mT-uf>9^&&+ZFcYeI?cWVkNLTyIy(bcKK1~$PW(z z9Ie<&@y)XR7SDg|pB9+X?Kx0?s`>C0FNs>t68%u|t1pFOGT8fA!}q)$)Ah*>IIxE` zqem?K(BXUM1M{FE1ItshnuxG>UqVmQ>^_BmW}l)ZO?u z#<-%#m2ZCzHcs!_318fS>DVwG5q|$(l>N)%s^G3pRHM^#?wuVR>h>(}vjalEsoXX{ z(RWiQxIvFN0V2VJKEgr#inJ?L1AkxB9`y^l%mSmzU0 zC!BpwXHpUGeMPln!#naCB2meb-7vuKbj!6c?K|fk7et?Ptg|gg&Bu^OR&L1QEY0@m zA}<*3{@Y0y8tSoQlHNXC1gcf&0z|J1nHA9M(%3F`w%@(x0pVX{(}DTv<_&riC?=f>ICFwu6*uWkA1T)PPf zmbc&kPOp3myy`0ZowVr|HB&BbPz!vwfvoq+e}e(HsbKMKKyfh6vox zMweuN0k4*&&d;InD~QU(D$B~!tW?&auO+Wt(n7CE-MKQLSRYl6U>;J=S0WwPZ6mDB zUGO-dbHF1rgZMTxa>iEa20HU{w(ilYgpEaFsQ|&4aC;1%^s2fhQ*g$&F6p7v$)qHo z3kO-Qgd_2(iAtaNbo@(S93-u)unqS_XRnldc^Oce%<+0pFeB_w%$8&t|!aybm!hOPsel zX6Z^uy(j!8cEVOLa$w~`b?uO=TahT9XTE$vDTxAlp7VO+Cf^>DHIe|M(L4 z)9RkimwYY94|K8Wr?uQaX5EV1I+CO+n0cVP;JUW{><;u^-k`T0dq%}hTVLg`+l8Ky z4UTCzq|xViuP5fERP%#<$|!o$+q&dL!?wn%((3QG4_Ys$1vPbL#@G@WdGvEHiV<3y zt$C9=pZA8^XY@<3x*at*)Sd1ED`-&)&8Y6mepnKoWT7B$L0zYmg0(!sM3h z+?O=1cZ5Uo@~zW(%Mq#*Gy^{q-1rZ*V)uD!3%WTQYkZ_1XrJ=-&^Q`3OA1jq(l2qR zbER~rwZ%SDjqwuoTl;w=<#sBiFaHwX)l47kj_!;0iVA-;q-|4sI4P{`=1#Xt=7$)q zX6yO#1O){g=gW(S++9nr-q${5`Md(5oSSSrAs&U1l@+ms+jKbUf8{MboN?++d~`%g zcaGsRPB@JpldRiocPs9tW1<)5FPS8TW=1( zRY+1s0X1tj8KDYHaP~_(TdqP(YiY-eZT}pz*ZeOki zr(4f_Yw{h3PnT>IUR|<~&fBj`+KE^htGEQo#>El z(ub5_W@V)hx(OL?E9HDoTyNH;^%MY4I5oNerF zlCb|{h(<^CeqBWtc=74HCl(BG4cduX9=gSh@N%=T-I9$wmBUuS4;BVnvJy>cx{?xn z>~znMY*Z8&A5ibq++p}7OGWCX{$N=&`_o(LVj&#CkCY=%pR(NzM;maxNTE}^#&PtV^lEf5F-aU@v_&f0 z+zZ`HRBV^PF%t#({bMI#7RQz{SOpq+OwH1YR3yDs?ZEgy*j~mOus^@9YERza^S6uwi{7Qf58)?jn%I1y8o0`(u9NFOvOfjwBk6Rlj^`=q z40ic-J#>;fdGz5z@fGDK4wAI^>yFP>?N$iN)0b*L$IgBBC^CQ1Jya0>#=nYt`P-|s z*ipNiUPC{=9L|P!eSRA*e_$4$`DQfM`R=-6B$lxm%HMkR`GocH<#nAkFaSLj(yrTg zCwpiE`cSVJ{!{FN#)*SXTHntyeprav*p4gB#TV5GntMm8`G?lqn;;4@xfw zQ@39YK-d0*k~6Y83MS;`0e~7m0qEYaTrL}dj0B$oiXTmY;>uGh8Y&1VmdU`YW&oJiQdt2B#Gs;Dkgl)=0Ejwz#qDBI zMC;lInBJ`eWMQoUjUzWBOFcLb`A^C1>j5kKHc;pZ@~{6i=z#|CNPGr>r{rH0fWovz z88F2*0;ZhpfN5$Y6$SkO+@ER$5-gB`^G#HM(sK$7LD}Y-09o5}fHu?t#}$Un;K*h> zOF_W&m>@`!&;ja2qUA5z%PpXQ{x1O8=HGyMD*#Mi0$_mr>p!d%+rXoICjd&xzbJs2 z6v$&u2IL|842VJB1<F4PAWynMm8d+YqM(t* zA?P_MbW#uCx2J;&Q23oAKc4Lcz_)(@EDq$M{{l#*^$GwlUr;G1eJxf2WTf>H$S2eX z(EOKwHRuU)=NIY(=rS1%?4;6Cs<^)sFztK|0Lv~aKq+)d7Xa?O0RXC-3Q**WBtKs6 z2Wx!R9ko875Dilp$Y<9JDnfn$pmn`e6l8P=!oC6k z-8+yG^y)9cMaVsowGRkBLPpQ^QBlfQdmI6nejuZd2LY<{nu=0n$$ky;h#vyLzBg1M zDbgR*0Zdcg0J1ah0lM;rN=A{?pdXNF4g;X8pGro_O$7sTV;=#7+ugU+{s%JBfCS0I z&H4za4blLWf|Aj+HpmDJM(ohQDBx%Dj#_96`tTjt`&5hp069pN002f%%s61hG(>$z zDU3b&@zewWCWrpuA_g6J4*<p0F-J_ zSp*aY%YdSB@vl7gd4F*{RbB=%%KQT8&1H~|QUbtO3|b*S(rp0X(h9X`lpK4= zkE3M3boDQOg{uIl*#rRM$6r9i4*;ZY0buvfzgVe|B?$dV&z9l|Gl6D){zav_2GSm( zftj#kiD)mXNpT9`2#4uyi_fmbRNmH&g@J>sz@8-xA`oQ-Mk4<1w$M{L zPzoFt3C96PRVV}oj=@7}n^f+U4pY1Vsyl5Ls0NlTdIowF7EhK{aEqFV!ZB(SB)Uis zGvUDz5O6FSc*g`)gCt-;?l?Rg61CtG+?JTq^1G2hfbcCK@i7?8gcnD|z=;GDC?5h& z1nW`-903JKL7w9b`yi)5dhrxB7!s0a03zI?ficn(kZ|%DK`{;NcP&sp9w8bS0%BkU zi7xMiF~G>BCn8W#^iFCj%17x=&Ux=n7#lPRl5*nF1URT76bAf55JeR5D5xhBCJcQk z2fi{u@x`HH9QgEB$ON@apsw&(&=g>yj08duh>$uR?01WUa*0FXXplIH8RiJF?E-?L zuy6#9015B>`74QT!90MUEIDc0!rO7nu0gXH>5SM@itpWUtAqjXmI8G^r zQN^XC6M>Pdj15#7iGf^YL@b{CE9nMi?kI_rK=xjz{|T{WM=B67NH|0@#vn{-RfvJS zM2X=5wZ+a1vPU5STM$TKAM;!5$XbBDICpl$g0yxYns)K3qDG;%s>wxw};V^I% z_%s!W7!({tq6y{(VI|3ZlkyoUqCqBa*Z`?0JBY_k9%I>vIM7C5tU!WK2#W<_);Rvi zNDPW+2TdN63o`0z0S@IT!}1~rx%SD83Jgj&{z{KPIDtyRI1>m?Xa}MrhT!Dj=!nKS zIb$4ApgNspMO0Lnz#q8hLOz}&ZxkPL81pI+iQt2x3@Lys=-5O87LJ3y76a?4f5u1X z31jCKLu??K!Z^OcxOhykr0O;>+b@Cuh$q7M8Q}fxIUd0nF0lx zmpsr=zC;3<1c`w|Mn9<|4dr_ul@}Ie`?ri7&78*kAU)`gV5Iro-k_2JPXZk1M9JgM z%V-VkT=`pAO`2vVA~qlzhcz=t&N2^w78E!XON(YVjY>u&e_y$Ib})H zRyOAbb;*{xmV@^uZuf~aiWU|?1Y<-E zOs}EMwx8pwygtuqe+47bS<$Pv>m5aCZC<@j8hMeMDbW(J##YYFuINYwaa@DJ5lXB2EjXO&x*-1l~z4?n_Ere;E8##i?? z_@_h1lDxfxHM8YI!;-#yeHT@GBXc%_wRDw3a&vSxqT1C{^=VA*rON@VFT6WjEUb)= z778qv^>`bf+*dT|qsT%<@cs6>3LRyQJ+1gO0(bBea%&OFr_Kc(ki`Lc}8D> zlp>NVV^%AF(L|Lgsg{@3jM-6Ci$>$uQox&?ANW(nM<+iwunt$|o?)QXwzX`qymCw8 zNrQp&od4-_uWCzRU5*^p>lNc1i9bfuvUw#m)}8z0_i2Qsg@fodoWiKpSLIp;&X(q8 zJ*>~h?-*FJShBau^=^K=doya{(`d>07w)7R2`z7XhWxvpvKSuE{3!Qn$WhtZl|i34 zUYj;-_%kD=?}~*CdrtF54?MTevqK3kX)bqN_Ku$5dZEUPEXeqtk<82W-~sbSDdAID zXrIKl2T64fhKa`|!zYMK&8B7@JdSLWn zaOs8N)LlgFn7!80fvD*C*sE7Ra;v=&czW>2+9C%=!!L^4?XJ!uGZRbKp_7&N8()Vs zb~YBU>(@k1zbPA|d2R2RrO@Xzp!hNOiw)wba}nE;j;HTJy+qEFz;7=NiYIOTHurhf zvVN@pQN|zj#$`dL^k&iZ+ktYhbQdP;woL>xNm#tY=NG6C`UXO^nR3#-W7qSPhTpQk zz11Qz_d?&OAxha{F7EF5RI1ozpL#z9!`u38ko@;w=Qn?aim%pbtQ!Zt>kTSy7YvNY zkP>sU%WICO3qCU5k6QXEmO+eGx+nkE>5*A5)@$kgaz@o%(K*i-dI_@iFO0ln8I}~! zCz84!ot#zr>E8~o6lm^>d{*kv@sx%mqalCghw{U~$HJ$1i#fNk~+FE-gr?1wlQ8;yC0$H?NpwdHz1pK zV_LpAPhl%7@$%SU;etQUm{To&)8!^QN5rKZ{h{C0jp(IQj}JB+?|yf1W_N{hgv zl3)?bMd+Szll9E-@qPo{y{;RD8!K6bLNRA^oOG@4Bt9)#YnJVGAIt5`jQ1g8#h|Yu zBwt?sfEyrOxV=Eu`aO*Nomcu5kT+dmlA@7y_@x7xxH^n(;5(fkW;gZK4}^?651bq8hYr!3W)$xF5GQZVc7SP5Z5u;0qa zU3_3Qv>^oM%Nl8LOD8@PL|w2K{sR?*Dun^7GjcEx!|5Cd%wR|4V1U&2!DP1C0|uf{ zH!oN-mh1yuGzGx*(qknY8W4{N?6(GylH`;K44EXV_B&|8m+M?~c^_`Ryf&9W^ z|5`c#7r{QPl<*9UP?zXmSqh4Q#Y~Y5Sf3mR%S3W021e+%80@#E3@{XjNPJ*-z$Xr* znj@#H`@pIN`H2HEO1*3gPJRql+zxBS44F&(C3DXnP^=dcfPv^Ns|gndj|JN$uzUf# zCZY(2K!D?tIL`Ayh<4zu*Ip8af-+=bEJ+?UD$p1wJp&JhyuAXRbdgvh98IPGyH*_J zKwiEJkq_VxN&(KSXMkwe(}160a#RN{upoyMfy-jx?+q->up$_+Kj_Vb2|*40Kts$0 zPPJM8UR^=Aed!DYkYL||0bw~XU=>HiAVq+WV>klzWegGxAyip@latTBcY+oF*K~S= zy+Akw_#&tjz}W{_5aRx(K%yLpC;}GiKy-0*#kn{*ySO+5|Gjue0vb(p#v&a4y&lCv z9IvIU0O4&SCCM6DGxxgo#@`HviwhM;Mq1N#zk zwNh*X*4#jAjC3%o3Q|S+O@+dNngPc+-~0qy$lx`7;OnGF6T6S<+mAoGt*U}p@>POHGil48I>mWdV&5m0Fc-Qj;k`b~sF zBj9KdU=IB^5sK)Hbaq7$a85WP&IwPzVVsG;{}ax|iM)BhxuX9q5@3&f$z&j~-FeXD z&I3lve?&r(3;cCy_n*~-_XgFpsn7bKYWhvJy>-Hfp#P?la3VSag$$?`Cr2C-?}&DA zAUZi>v0#sbcXo8bVkvEQ+Z(i5-CJ%|Xe@*o#)ZP;;AkuX=n2S*3a|qP9Y=iuI5#6# z4HDxD>Kz9*PY4%KIu|@1g>%L`;9ZcQ>K#!IlwR=XCJ76bhJ!DBtQ|(rMNxSm07tMd zBx^uGn?k*B7`IC(;pF6iCb(cgb2>W`T<}CJaDV1RK)K?aTrf_c!&79Tkld$ z6{mx7AqhC}q2fTX!A2A8r@(gysV7leaf@t~Qy>kh>@oq&W(%gOLTK=1qrvu=N{9i3 z9Y$m0$g3x_*+1uhB>@@*G!WPm#N%mI0Y{FTFMtuu90H6b2cv z2+fC*`;7!}DMui?$+LmUk}R#x4H4J_SBu$8*xVEy!@_0s71qWi3^Uw|)*5(EF>92j zXj)ob@RsP@ToV#bl(aF-6gv`R$&D2Z%=$?{Pi;D1)G8b*40&k7Y$Kh0PUyP()FA;I z;S2H}Hcj~v=RG>1?2SIj^6QCR&$=4#l#}Gsv>3h-?)Fc$O$=zzg^&*N=-PK@$QO1G zzgQP;92QBbUv>D>vwq;`lZ?X4SEY>JzJAQFQ*^#i#XF%n!hAW@cw~>qmBk_9#m!QN zI(vgjwZQjuE>9winI`mGzkhdZnq9d3<#{_UpGVlyHo5Es;@P))hDpJ;sUE2-VkFE{;*s#g33x4N)aG6i{*%=-k>E7gzfg36s z4(`@>n5#UGmtRX-$-NPNusW({gZ@(4?wK~+bFt)}nLuHwuSYqU@$Mv4HFMdHWY5Cg z+0Hee?&g=bUk&vbmbm}Zd}sWppMtj4cTbc#2A-^m&A@!&yTbVGL+Mt0ShZ1x^oL6) z516a(En2+#InES->~jryKK*mfA@1VIlTdg_`Ma^%d$LiI zMvb#wOWv{JNql|YemKFH;|8Rmsc%zLXMdE9xrRjNUU+`%K`UF*4d$*bi`FN{M}2gU zSQSXc-;Po+?vGo3a$(b#R2_8{UkfUpODn4I*XtAX4NSFfZAS(k?1*G9e($#Fm{94i z=PItRf7@m3nX5lB(mK79-|;oNf5OdO>dd9#DecdyN9<=$vF{m~r|Zu-Lt1Ts)`h1_ zY7cE4KsoJ8YPzO;8n4>r{diE~%j+SX!?O=zqD!SSUy9!Q6q(R|z2lh%i@v|}-9e^b z-$h=QB3;uf;v% z;clNF8Dq8k?|szfP7TlsZ$<=^i@$Jaxt-EsF)aAJht-F>ohvOlL1_2f-lh?04(Cvr zf%g-v^gE<(%MBETAkwOjvM;?HsDBM(OT6y>rlxPlg)+e{Lse%S`s2_SWf+NW!A2tc zX>5~rQ=T_BigZ0p8WkTmk{TASDNBg)5p~F@nV;(sFKzZ;`L6%P_htW){dfI_wAD(G zP7F$ij(YAbR=#T^@bZClHOrb>cZN#moq|fEbl(lX($xNk7f>Se$I;_!)23D&DWide z&rcF>v43Xt$hV1+fsXv_k-c`6bl$0L_>|1l$VH|- zJ0G>8`Zl5^Hp_L!o@{2QKT5k(y_p}Y6c;6*Z1nwj-ChNw3B`)|NkzNkU;J zQquIz((#lCwn6hpOU~U0on&HX(uRa>>fO+tQTYhAkOgnJvPh>B+s8AAgRec>TPycZ zS02#uCx{Qv`S~%(rwJW5RK#^P3_6BZ#46AY>`&hc$f6%LoLt?KWtQDFU7P4MACUL0 z=>Eg{d-BY39)dz?huNQDEgbsw^+{J5CcVQwZd;2Fy~Rp;$zHJUj4jkDS<6%zKP|$_ zZ6v&6YQ!26$#ivhyZgsmUYBxRG{ihy8!mAkjv9uY9+CMVlI`|Yen&#V{8nMA+}minz4L9Se0yBtJ?IMHk`K5%T}roTNUj{o;Y8C=HbXaCPenG-CUsoO~W_BIkyjsWYL&JIS^)V=I<{NN0X>;M6rVt~yE zIM4zH&R}6kR@c(R{a)Q5oUu4G(ZRtPti%Zf5ON-mb#=mH5jY31ASOCH{ntV)^(~Wu zAQCJ&P++S9PE^PTJK+8nI5z@uHo$@(10|FIZ8CY0CxSyD9dTgohjMWu;!w^=M+Zk| z918D(bwFb=NLOb_DhMV_Pg&D|vbnKHLC#@7X)u8Xp36qSFRtIN8-A~aa9FU=fl5n3 zEm?%acsTw>QQ(A4{WB{M)C;hGQIc1TILPx1SVoyjGjQ=>e|u!7uCjnJ7*L!yYJ;mT zDl-2I2L%K!)6cMjz|#Md9vs7M_|um8w^t^xH6f;`GjdbH z0h2l{ZQ=$go-iyQnDR^B$bRxHPQHYZWnq^=1}>7FxvNbfEtVw{(-HSMJ-TrO`&`QS zfr?1m$*zsdUR?vyUw?ZMkH2*7mM+7`*3Sp?#UxHmg)vIgtiWgZ4}CmxhfT+ka+aWIjk|V9Vw7 z5h)+8@rZyuo)Iwd&}xWwm3Cqv%H(65)W)KGU7ELI{>)e9jZFdhFExIHtX15bj*qN< zk*=gl@1uXW)Lo)6s2%*_UEVwMZ|}@amc6*#?e_4O?D!hxe|*;zm#tT@<4Zvs1%;9p zQ{gH)m5JWS)G+z|krFLJ?AHl=<}vm$ck{$qQjWb6-=jqkYm?BSL$I@lUAy->GrDZV zX0<+O@WR5VgQ*@k&PGSn+Ux;!+O{7<#`Jq@4Smj*J84cgq4>EO5eD? z4*S&HSRKl#MpMqI`zq}1&E1;|-G*Bc>#B6(B;hMOI_rXF>K9KkRb6O_n_H>1V~ONq z;#~@*9}IX_rhk}4YpwdV+0IE)Z`i$@e9VFL(f#>ye6jafCf07cJ3eE-=df9~*GVd4PpzOUDHG%zCm{(U!tj6V90vWb6xGI;Y)h0z`nod`~x#PH17p?T#~*W#*Y zPpdZM2X(;$?Fnc^Tl)wN-K}1e2NzUg&J4TxPd2{T^y7_Fnn^-ieuwAZZQfj14V-B` zi>ND$$#=F^bdoV%xRr*J6ZSs-{bbUfnt`dH10=E2Zw_%a_lbd{B+izCLZFxxBt4{!J#-{(@i0a?7;blx}>x;Fa0lbwelH z9#0{!;P8l~&vKGHW!1@Vu9>~~X)mvJ43;LkR^m0iW>WYhGN?jv$#iv9Y1kprwomux zv5LrZtdH~aLZ@#IscMJJUvzt1HoTWmoOEGOSN#{sH}gH}__>QpPZTda$v79>`jm6r z@$q?iuakG4Jb(OQWb3&~+}WMGqXXl5n8jib_`WYNxuae^mk=7TFZ<%78mDYy#x#)x zx?2PEt@g9m76VsyT@C3&bozwyOA89u5hmKlhU8BiS~Qq&_8uLpy>#=KW!9pH{IvXr z>Bo`qyD#QQO-^eHM;qbqb=tkJlcKv)O0ea+y{N!&np#%YF9kD3D z*wu;P;)KS!5{RxK2PX$0ldB`bk)j=PiGx|~MIqn>pu`30e4tPR=1NE`oE(`Bivh~q zT{Qd@jj^Z$2IGvvI5>f$2BI@iYa#$+1W+lWk-&7x8H09&UL;VLI7A3}3B+9SON6m* zuX%uHM>TqBNh~E4^I!TQ;`VZmx;(*w3ry;JIe8$aWEdMCWxe#T6rhw4hb+b)*fLI)ioBLmEF&MHLP4EG3F6b$s{lCCW z_vrq&*cb!>`ohG`11ZY=kIa9AGGyiOztv>_k_Ckatp(8>X8|t1fl*oG9VUH~|I-@j z@0AdFflOIz|0^W%|8ylri5s^QSkch9!?=KZO$T2#IZ}%G(Dfl4`;}D-b{Y2eQ<_Wr zjpB~mJUKRLBY)}1oU21sWk0qg)#Qa?Qs9;FoTA9kLu@-`FZg}X?#fcHusv3BG=T0C zhdNtreLx~Zp}k>zxRAuI3uOOc70N60jS0D5@2{5n@-5S^!h!p+qxxYv77|r0*Nxj< z;69PzIYK1=%A7j6!p|or?0yYCPM7HaQBf;AIaO9IXsEX(x%SXhxr#678KOZp_N12< zS}jv{;ry8$Jg%1C-Srtt1K+jYWOUHm-BVPy*!wA`yttzIEC{?)f=C+t+lW`vY3lqO_yrdG{?ti=H><`T6+= znLhgL%9h`6+0Rr^(;SxD!0^@FwAhQT=}E6&@=OU&YGP53 zX1~Li@DpZ&A4{}ZjD)2QacfNtbsnJoc;~?ze(RLd?_Y}h-COTkY^*;V6iOS11sl(% zKgC3Ii3^5&!asfT^#hU5oUj@H6PHEDR&EaCe`)vl;un(JO%6A&MWq)1VuxLiap%IX ze7k#mD=@MzlO{-eieWG&2zUF-Y{s1+j?XVYidIh*xnx#%OmIIA>=g1jxIknryMK-K z7?0-$*8P=^d(HmIlwB)!DcAICkKe!Fof%Vz=hqgjLKsf(mVSJtE$YeghgT1#Ni6Wh z-T76^DlDSxmL%P5uTy#Z&%N&JT)B)=SWAo>s>eN7!7at|i#*^o_KnR<{JFS!>v8?c z{fdbe`9>{y_7Nu4y##Oi9_O8c%;S3Q$ma$r*Y_7m*I(MLJe0hjF^hK5>N)Yd#<^)h z_xH45oZcrnGgfu47dn8r6H>lB&0BD5aN=X+-CMsh_V(T+Rq*BRquI*gU(Lz51pE%2 z7YOoGu4k_ZagotX3t-wvVie+t$L<+D#*kd7hJ0O^aAB@ta)te==YBz_o`us6#vEGz6B!C!} zc-v{iOEWojDQ?e8XRB__zKd{fpG*8Y&-Zd%i`am%e8?`|o*sQte@~BmU`C*9m;as~ z!M6+|cu}qcaRk%vgQU~`GdzPBSyIp#5?n1MQi5bjLxngPC;6dzg;f$VLv16JhkbY$ zHyK$==8=Rtej}8JE`V$YZUSM?sasiafPjK%l9{<7RWywAf5Q&uKq+vBn|$mU3Zo}8 z7fI!jfXdL@*^(c?on-=fHvfC}AVAisKniK{4Ou`%d0@)>?fL`@-t>^+tlu#Cfy{?| zko|8yB#1{G;viqH1ytk*DUgu7rTkYy@a8{-!vKTWpwo;zP#9&t**^28mi!-Z3mjE& zQsP=#_e7Db%(v7@6 z%7Ba18{7v!hH&qsyZrLOqY-zHho$9<7Z(Y3nFq3K&lFddmyZUriCTY3Q;S$MDLJya zwNDyGxK{AoPqWu?NaR#7!(L1vmTufqJ@XbTYr>fBw;d*;J(u5A?S=Dyd?>IQJ1P}h z;P#w7uS#4qNJ=q6_G$`K!fuJwJ0T>g?%C3JC$7l%b@r{#`@cB@yNZ!kc%Hdcm-oTq zoO1Y9@kl3&s?_L;$dmauSQuXz@iW7xSFY-5?<#0RHMC=6n|@98&6Xay&l%L4bLUco z7|*S15<0;riezZQHuuLK3JRVG3Jah&umKbA>HO-O{YX#8s^+}cae9&bEMs#Er2Fr9 z1yw7{Tl2Ndyf(g8DzCH!_Z+#>ODE#V{;kq6Eo>)Y%#P7V@Z0hSXGN6&4M`$*$Xo64 zM{_EAc`^N?dfNDb9Rp|Mi(Ylk|I%HS<6znOlD2x@ZspdcH)_ikHONnp_lV_G;yX4j z#=aZHH~SPe9hY}i)uP=@SbuWdP^%-=#U=_UmE0<7&@Q2eTk=efTu+?J3eBZgwKVEy>bIiHc+HBVtbIvjETU*4U)%|nTAW3ONIrD+r z6m*p1frX9TN5r>ew6A!F8a?Qlmw<+c>M<@*<_yaAzHZE}lH8Sz)W+VrxIX~4H#7%Jo-8cNV>!7OrN z7NiQ#_BPg|B(|?BD8kl%{}sLw+HlP9(g-6Ztw+<3v>$OzWus%dhZ0e=Bcp{Ho;y_P z+MJ&?wzoO*hm7Kie~D?7UG%U?tEV=kjYiR{WaEN|eK6krOvtDtU3_hKbMW{8`=E3W zGG^Su(N1r5o|tBwH?#eu#{1flbcF4s=bN&vqyFFl!s4mT(f%R#X5F_{63ajpGZDr} zp%v8rj~;5J*}amTR|xD1?ZNMC3Bf}Er^$f2ID`(kbcf*nwitj7NeB)+I07d*9wCq+4j}}Xq<$hMGT`fa zMjS!{ScAw-4^SqD03eqDo$`M}RIo|ZBMESh_eJX0xEgz4uu7HyC3|S4e?g@rpx0-Z zm)UO!Q4*9q%KB|dOF|e4c)-$%{|@l5n+||EQpten4|tmm zY$*sakoJ!cC@jc~PXx^T=>vr!q_ThXYK9?teKTM`i+0G=Keij9``mXx;q1VL!_fP@V85j>BZy z|Mv=oQ)ys135>H9)cC*&1m#6llpxqpy0ev0UhLVfK+_7<{4o&rf| zP@ZIcT$(y5xF5~Y3E6H@=EW5slCr)O%MRn>xR0HG!VNYvib6pxeSs)X;l)7!&zi13 zwT~w~mvvNdL~UnyA{xrr6^M=5M}d8Sa_mRs6BQ5=hd)hm@n4$+gO-qrU^s%hu1{FRF*MiysJr<4v;C~v zk6xz97}r%h(P z(^*W2r#2E#?qBdNbU2d@j=B^*#|;wX&G@cA$|u#T@=`>#LoqLu5RrT5H3CLf;&hcE zw?j?L17NBPqHF)T3o32M<@283gOhNlJ3@%PVaDdGHMttpryS< zc4GR+&T3dbibS_92a~B4>+3tIHu-oRTbV^?jBn+yosoO-CIli*=XbxKLQ2zh$g#Gp zSA6>(?>IijPOBbEKZK;EWH_U7h&1PBtGmEF|`tpef1aTeSNQ zMT4mAJ%L^ic!m2uJG!@)3UZ^jyJM?1M|xavMYundunH2I<^yRWmCx1 z+ujvO`MT~-vAM%Y+x;^1dbAl z&SLQ6b5-*7E|$r0A_I^x|CIa@X8Y2XC0R6&?EM`w@`GkJvi3~e>i5CM1@sxV8N|+k zh6fv7G#QWg#xtw6nvS(_rk_Qpw2J|V^#Li;^!2gK0Z9}~$26rK6b=;ZG#zD;+# zWXd8xp_6}3KYRIR*3ZUNwwTb>zbK&Gp(r3f#qWopPrqT?^Tu)BC9&q?^2v{c?$_p{%YD^L@)YWOOn=9`y&`qIDO>_#Hv|)RYz4 z+4+ekjTCXwKFss0(rKa@!w*O20MaXEt@X+m%30s$EN9;M3omR(teAW>;y{k>H;WTr z-OD}7avY35JLfRc@xtV3(DnLOX6Jtbb zLU1y4vjqQNYZH9Hq5=v?JqEtOmuzrBT_ZklkNxk+e8YeWglhhL(MvMmGXtH!jC~#O z9qqh}Obj4u{d{ZRAbSF!ypfdF&kiso@ir0>5cmfGVACTL0rSOoZO#- zQjgz%TV<9YOE|aguN!uO=^5^!AQ1x2y1(3UbRaBv;5pNOeFIDP!0aQy3Vhx@usiH- zZjcr$F!y19_y@9}1Nu1riGUR`L_i@Z-t?bdMlj^tpGYY=h%oB?#|IzSBL^SW>KD=m zhl>xeQ-Da`KL{S+Kmqpp@+VRRLumDXjsy0>12$oZ*`Ek8Hi*3W6VZhs3x6Vs*x?h)afJ+FB=z$rm-7n#rfcQN|gCK(R_uy`f0puPuRR~cLun~`elMS&Fa3TLGIW__RZ_;(rl+iliOkkJ?d7u+r-V-6Ye(StO607<{dSF zuE;O2AE){@cs~NV76;60Gcd{CT_480P|tQnX(5VU#u6VZ`6z`sb-?MeXP3eqK~i4e zV(D6oEqTBK7k=qj&U%9N2{1|Os`!9I7Vhyl8+(Jsp7Zp5b4#;^0({%`szF&ZODd8? zglvcbyC?6PhwS|`zF}9(7Y4_H`;iZOp+TEAbAibU?s&mw){jto3rqcYK^Z#tS#=LV z7i*jJzLul(1e@1}I(M$Gy8zdO7hS+*jJf?o;W$nOO+~)?;k%4P$IeYp$A{$G#@YCk zLUG^onI;w5zF&0DMa???yd*nj!_yBPHF0Hnj)s=3;xl#9H@G(JB+BO5Qn?~k>e=bR zb=F%tz1lEmTE+GtgYeFWuUs!08PmM_E$OrJ%I)FaGS$7=NEE@mOf{z%FrS;4**Ibu z|5!NonCH8wVp{0a0@n}k+*zwOD>H2YVHMNo`cgOyJHitWcr?o}w4UyVkM7?eh2BlZ z2YJ1(PaoX(=yJiPTjE#DMr731+XLmXwQ$W1XQ~;{AP|?Mxz7jgxsxK~taAhE74H^Jlyz;&?MCced?lZ z35M=-A_W?t^+CZb;)Hj78B3B7Gqgix@)1{OLHQ)A8b3CoM#K0!yX()CZFvR5;?o;L zX^>r8%6i*rk@KA%+m0}0Y^~iVQGp%{y~ur01F8M<2(2l2Uj$3K$FNo-3Pd-5aC}$; z;#i-x&pq_Z!%U^oSlZZe3o6T2R@Qd!aI|64H4E@y6%gja$inzr7+>*$6uHQF3Y042nDTm%wLjjC5>xN=&yK$i&7fk zOQFFDIhjt#;ior-n6xhj1>YskfMimvTxA9L%ywSptLkM7Hf9hZ1YKMV?iWDNXD7<* ze@sPiPp22kTh{k-eb|RXQ-F$l_>OMqgzN0F80+j7pT??Jn72G?XPp10f%!4GrBc`@ z)@h0%Gz>7*Gww_GKzO>LVYL$2fBxdgFP{w}T~xbK-;JR8tS^|iHa`MNxc*}1Z7nn| z2Td;WzK4Z@1i`!Vc0%z7oCvljGmp}FgLZRRvMQY3i()2jAQVO}Bd8 z7R#V;AM=7{VHpeouu=%Y{l(+X2kyK7Ygq(balYYO`zMRJ(Wd%y0|BhuyEz@6V-5>5 z;9fUOZMgI~1`)9QCvua@&{)7ynO{CPsf@A3KfP{JnT$Vxn^Xo^__NbZ_Cjv?Pbc6e zdwFaLizJ)?93mDTfMpIwqO@NKCkdETeg4(qrdfglTo53!`Z>f6Qi=*jtA~FeiKJjm z1pWc=0SQvjW#S(M5AcWi;9LJ3h7*>9G{F$9Kan3WB<@c{_zsAS{E0k)A=oy5j8lpN zrgZ9mBD*jo>Q6-C0?gtDe<5W^;8TNH4KY6N&Dz#qf1aCWFvTGP&?tWGkAYv+4GN|? zuugv;Wcl3;%XtXE`b*eZ$p6!zHyIE>1;M(hiTK?MmIc9j{VU)7b+HfY| zFDlOt%uA=#VL20cJEL}B&Lm_HLI39tvF#yDu;2ZDH5cUd zxps>L&RoAwZLLoD^omH`r$s!n^rEmLR$LC@k)ei0HGZ0HIR2O_QZPo7p;FL#r5DSi zoG?}P>0In$__+hrC@mh_i7SnZI_4H@o_1CMPXF-|%bs;%>I>iYvTN?4(X&lg6YrDh zpok@U{&vI&#xSSQLE(gVT&hK`2_`mEUa~es1q2*#+NY$pEFY9+1bkNQV{n#R1Ene$-;RX5W zJYEGX${2NYwrf0Fu?eBYc8>+pUNTmB2A&xT#(qrsq(t7-XQX;msrv={Q76`YAH9K8 z6+zj#o*9&`W&MsiQ_7n|NC^;}Y#PzsZ!I?GwD^J44oXB?h8bAu5H%&J3K zC46uSAnHtJ+%n^^a*k>bqG8Jw6>V@b%fAdkPs3iiR$1>0(cE5sZ~Nd~ zRuRO67uvUCkrF_iK~`!T-|eB?q}`w8o&ilMoO9TxdJgB2zweOhpF7%4w8u9RvTlw?r zXwvF%37DC?R;S@EJO-^^8|7C@6RO{ap&eE3FF$8ol4T)2^T`-PjoOOh_XHz&QDy^VVTE7vwrl z*%nQBN_uI0oMuP%ucb{Kg8`11L&~|K@7tQ`gM;xS)v6m}OfH7)q#t+)J{V_`hEl)j z$P(*Kd{3(G5{|sej{kHILAX|p3v(dc7l)Ah?J&-Z$B9g>2nZ>hNkp=}PZCLGmsWzK zvYpkf!`f5*R1Aon8j9((T&f@mSPx2e2{JLG*|e)*q?pF@l}DW$SrJpAdNer zE6>W$5Q;c9zEEgF&ujCTyFME!FA%zuWO3)}AaMr$_EKsdsYChQ+q_x*%}rZK+Jd;1 z^6^>PPKU&|a48(;uPMwhx%E$dUtl;0OXN7SR=-;T==tci%=9 zObl6fwt6hl31uPK49_!Phkup*3RP^sH3C$Tya^ukC;tsG2TBM8p^sRy0ubdHQCI8XU3KA(qzzMQvs(EYq{1s=!sce8{RoPBY|$a}CSKni zC>CtT`^LK_0F(XT_dhK8P%>$Y$Gzh}NOQ*vJKo38(c^ok(FX5G^U2fWS!ngtf+jJQ zP}G!7dT!mh*f|Z-&hi}El-*&TJ)a@G87*r)cOQtUrs#?h0`;>%rD}eB%O4Ms}h&{DPO*eUC zH2{&JZ_7L}gD|h$)_~7`Q{2C+zVL|F@xguqeqZ8j-hod#K1+k zZC27?u!2O3{?rn4eG`D|vDi|*l?9;*$wfM7o^d{MSO{4g1Dl85T^637?A> zm7u6TJbQVBnz%1Q!lGHxKh_MFN#%m2JW5%3r`R9q0jg zLNIRs%&C65-9JGj2G+qWiGc4HHOTM!BCz%rtWp_~g5Wdof~U{_x|P43!E^4Q&XhA) zhV|GJf{p*L!NB&LiWfSN4&eV~EFLa?fC>#vLN9~=54Q!X1%O9*;H<%Yw*!|TKd>hQE^-a<-N7RHnd1HA7y13`1Zo(k`Rx{lGf|*VibGpIE)RA6X2RCMoVuid9 zExXH-s5v&D;^vYmp3oq%d6r=XEJT#huejmPt%{P($p}1|x_HL`3drxc;NK-dp7@U!XqJA2^+6&X|lA5a} zU&H6DqpDo&iQ*8=!x3Y~(y!{w1w1TqgL#cC-)$cx209zCgum~j+-c@BN_`@J&hSEFI zV-+7M=Oeqvq8YTTpiiFgCHs>!rTLN>QR&)+qiQ*>eJ{3Jk-^UW{7Hav`rhCJlp+Bq z{B>*inV@M_$$`)qnNL~47%pcR@@T|ibax3izdEs0MIa`I#}n^>#q;)nvlxvF*>hsl zJGOpdn9!I>1)GvKM*-FjRb1{)y63d^4-5U?ZUh)$k+Lv&Qc_yi)rq1^g=h_0Rs{1Gny#tj^wdCi3ARO6Ua> zZs}{tm?4q9UACQ`zbJ169-ocM0xoGS2F z8nbV!++2alc16C41LCg2L=m-vPZPK1NBTR{I+PIPkX1KEY@r*cQKW2Xku=1@ns4c9~99TyWtvUK=5M$IeBEm_`PvzHtCbxN1{Nc*W z14Dq)Uw`NX?R3{o?3o*a-TA#CD`!`nfydCGgJc}Dje^(Eq%V#`PDx^s2Pco!xC!>+ z!a2p04hDS%?mSL8cyl5d^!Axx26Nyv-t6a)IBkXASYMQ(eB990^dxA~rCJR7b`9eK zrSVgft9cf?wcD2Qa}|^yF|@*+k7$zAO$=C6kH7rrNE}W}cSgbryvka8C#neD4dJVR zcA*PxJX2g4AX+}lRCkX|LRT;n3puQcQK$Bka4WJKrL=(idYw*Kv!3eSq=bTjt#JB);zqr z-HoV?FLN~@#H3sT6ZMX)^=W(Fj)+Yg-u;stUmsxWu2nj*4j8_xTC9{ZC9nB03RP_} zEzy~JFWcekP-x5gTFROA@ZMzfi6Ry+>h%y2BFbFIbLfO|tHcqGq|{NWJld3LtJ5_( zwRKsYeGT#F9=vq>mb=K;4!hX0fIH6woHR z7ooRwwf2{P){S|+fmLv`!u=#c12e3j{A7P+SWAs)|72MBpc8B<^}j+m0C$eg06Prx zua;lTXTK8xUXasM5TM=y_bHh}VY$X%?L06dT#zSJ8mwpYgF-NWW)puofd`tvY(fJa z6(7hAh2Y@-JqQ=b4+X@Af`Aypgk!h4`yYQd9SY)q zQ4wubB5%ch5rGs;br%sst_IGE*anxeFs2Y^!#Trf)Tqykd>NTC@aI6)w?oGoi#Rfry6DdY#sVwpx1$C>ND~?*9&G0d^lm3=@?1W) z*r$2%LLRuTe7zu(Y_P5|!Zx(gs%@120$SNisHoX*73;^kvrLvFBo+Ko+r@}un^~Xu zeip(z(Jz9&ycsWT9P2tR-a|hAXcI=!} z4f%NEmpQkUQ8_tu-kd!}cBmNW>2MW#`Q5jJgcl#B4fCMIQw~GK%Hf)5+wEjns0`Y# zmD2Flv7gpw*7N(}Jax6Lc>)qc&xC?xF|BB^0{#vynw(83(~QoB7yj!S0iQe-NJwd& z+92}zL=`c^NYNbsgO@ow7yF43eOC3M^Wr<6`x2>&p4R&kl*7tp(f$einDG&V!nd5$ zr0z#0?dekUNaY9_263)_P-D<~u`mebM<>D5gRtgeTX=F6y1VLrZC5P*)Z_e-oo>mW z94p&&VueIwN%x*}J_|`r^w|E}yDq1v*n)P5-)A`FGn)ruKF^msvh$rKI(JOP(S>ga zb@FCEqLNZ&t*bvxH>Os&qtP!p-I=OYnf#h9AAX2#z#37kE@Py4h3EO$*O_h!C|xfr zf_K>nbNJib-YS-t{MCHaxb5$>hAr@2-luhk@swdG#qIgW4dFiBp~F}>5<8j4sc9LY z3o3WsnNOEpLpzou^zd`|7~Hg<0dIv9>+9(s0rKVb1%^CmDZVdb{*2tF5Dx zq#`|w7P^rXJVAbC#sxvsc}#_12yN}DgZ9|1lXl#C#H!$ZcD6k0l*?U%k z<@#Y^?d{Avi*6^kET8kF5bCTCH@j7`P7O7pH1_54PEjw7W~s(c#>9Nf8OUa(x;G%t z@KrUH!{C(|{PtarDq##9OMl)M+{nE9bA9Pz;=@7ScL2u~uKB{_Z+dPm?mAHF?D<6@ zu58^Ur+~GhPc}uj$E-WW(n`-GI0wJ$7^u~-=q7Fcb7L zMEJ6_KI7r7J$P>oNZbpZGh?>|-lw!>$7jI|{XY0Sgf)&%xlEtWsuRCIffA}SV4~SC zh}1i5HYM{!ukrN7ZsC0kNJpFmF?XLp-O{YVeHfsFZ<9gJ?ubF+1*uZtilbSEuZ z3_Wyb$X5NiPPKxE({?{eGQPss6@j}et-||?0(bH7HJVwhLpnR_YzA#+>s;3a%;%NRBdSq;@H8+Gn5_9J9KV`_HNY)4K)}eOOFF7;^u;0&=&_zBWY7Z~_ z9Lu4ZmlC`$@|^yYP8bv{8fRi|aw96{Ocy}S_N0n>)%tv88O3FmI4JOLn}6Sdgmn9z zDD{rrda@lw`e3Vt?RWwg@OSyUW)hY^#A`O5i+4B-sJ6bo!mDr9 z)yk?Vw-F1*%nKCekg-JsjEW!ic34w%yh%+MGhIqrJzsc(s81mGtn3qi>&bdXvxqr) zmNc=K$j(w~%k1*RM2G=;)=V!-u2x3=Nqpt&+Pc=jfgSi7;v&~l3Za$bETdzaH&gl3 z*SD%r{+nfm59H9lVOjZSzw(A6^uIze=mTRb*$RMQ5#CJ2fANa` zCj#>U&L1(ry`V7IKF;5bJiLIj1r7m_8wmL|lmE+u3p`oN4^UHp<-hR%S+?<;R z_ASxzz#SMYRveZ8pCd0kA9$E48eD6Le1Xpl?RuyT<9KVn(EVzSU>E8X+cTGkGAiq( zHG_njBl)H`GW-3#x+grnEeq64{c+g>RR~+@DzUFm__@h4SS_b$A12%-D}pC`6y+az z!wLc+1;Z}YDn1T%khiE%gMP)L2&EtCWf^grVemaFL>p@B(poHm4ux2%9BR}uOekUh zqNT5fl}p0vCvznvii~xaCO$oay7x0(VGr)fX;0@~)>XdlU|VNG%e4^AC1p|)+1y0I zS$P{ina3sjY30}-&J)`Tp^Q+0E*3oviN8kRVfz&%rmGT_V(z^MRjJHt>xcPmWLuk~ zce6aGEW&dV!@OG^LZl{~ohdZS?ZTjz;mWwZG1T3S1d6vm6CLHb-af=<7}{k(-4Tv) z4k5;P8b^fHE&qk3`=0*U(TX&#`01C4t#~8ty6cx(cm#OV-Cyg9rKG-o-LF6HKpcM* zmLK|I#H`jA(T~y#A-%$0P%;N@Za~{(B=c-N82;AS=SFxjw9iJRsO<+_r^s@o&~bmX zQ{)E<%*J%FGi7VO8PaT%Uut~Fd-Co2uCSJx3%f0>7RxwI1H<_C?0Od)$s_pyN5p*zG79xQo}g*`>WM9< zAvXE8mv?O0lOy8ywg(AwqlZX)(8;F zK{|SojEJv!iS@jbNt$>*Of2?Qeo_POyA+ws2#=twuVUy+r}3;Hel&BZFhUImS>2-wdjmZnKCv#XB#zDn<UB%4dy95s=Gt<}F7Sh(Dd(+N( z@_LnAc1CtvHP0!t?lDanQkz=s2QW1s*7`qm?C+{Rbic4NOu5c6uYFhDo=%%x@TG;8 z)`p@dmGRpEDgtkWRKl>lx~sPIUCRyCc(Q~T%V2VU2aelVcrM1To@qe4HnIZ%%a6<- z7iqn+7MC=N8R}Bo9twtQDs&hocC0)+u;+YTFc(I zbjQE{NyP`{uwA&JCU~A0b}w}&m1jo5Ujs*uP8SaYnV2+#GejEnpQuHhzc6h{J2~Zq z^ZDL4{2`-^lr-QCv~A{=@jZqa>&v4rpTq1NCsFV>EyxiZ`||S3#PlT@y=!cslnZ`W zO3JeYC~{dHOc6TQ9=KB9493*PEb?^5QL+}HE&tTdoAk_)&|73We z78{uY+`L%w!(;$UQ9#L;zozlrK$-+D9e^DUt7GB@reFrqv)`G(FJAHAi3ATg3+Do; z&q3y}(l_A2;=h|=B+WOcp5eLpfH$4k%Ku+70r1H|tryr{32agS_mmtcvxlewbtMqk z92^BC9)qcH06kt194O<5(Eu^~GsbdiyTsauftAGC&#OZ|#4&4dmbduJ&uhdv_zb3q z(u$;eulikiAD(@`iqhNkT+_GfubLlh9)h3pqRBL5@swiOdyj-gM#xs=E-c*g$#t_l z<#N7+f8}HUr?aY$tkuq@J0X*Q#JK9Go^}(HwI5(75JlLmH`uKZ~1@$-84w&DReJ3$=crpP*OF(~g|kAqw%uCJB?)lY7=dZAZ;y zIMvJ~$;R}(CBCieEira-?}_+kQ#9FtXixNV>@&Zit%T<(QE@BX&0mE4v0JZukKW&I z_vmb#l02!C&xQWTAKi(+Q@dAVWch@o;^~q0B#WR;6lIU@pjX}OVq7($&D94F(b$pE zLhUh+Fi9k$bXm#0>xb>FaTNvOHV5-4K1>hXB*Jo7R*}BBj2dT1?-aOeaEE-B;S`fZ8wPBZx5=1m1HOz+T*K?Avpjr(rMHq#^2jMZoz2p5$3q#9Vku znl;Li*|Xyg6#KmZ_}JXDNZtN)J#D1Vv)l`Y=(h`Ppl>I%wlrSEP&3%L$V#Ef#7Ah- z+u>@Tq%7YZz4gXJ%V_w?umesT2F6#%SjQh|l)BTiG#0WA}$02(iH_DBBvG5T)xRt~i;(ks6_W5-B+R~(c9GMct!UU?% zb4b@TXxboYSRC{MHG2APHsOnF%gw*Wi#P+p+r_N2rUbUUTg zK}F+dU5NG)Hpb6f5bcZATW{NM&GP7xq-r~al}SQtBncS=9YpG1R4~b#nXQC8^7>%{ zeMNH-5zLPRp&Hcf&7Y5pW_y^F6g0sz>k{$Ze#_OyV6Kks+%Kw&TG9q$O&%=cYKvy; zU)L^(okf^D-i*l8Qcl+;KHMNY((rl z!I2GUGf&`UbB5eY?K9(===hD6h2g-H6m87LChcYk3pIM~d->+Kl^D1q`(E0T;c_p& z8f(q1R%36SqZXq^gB&$H;IV{r$Zgo2;8w$IP-^dQbHPT6eII@PVPYPSUsrJ2y-E== zzB~JLEPhbN+gf-@GuX;*#w*>=iEl^G!%|fo>QItcQNo=EJu%+MN23rBn-YH_;_vw$ zecCv!W2N`=H(3Lg0PMO4CZdu4m?PrPwyb2Zg*bB%)-kzx_hx#8pZL6RD1Pi~$o#H* z6^~Mxn-cR#Wcrcv@|fQc&C}(xtFbu@+!IqeXN}~Pg*$QO9iGo3gCnJvuMXo=FZLGK znlS`L+)qRx6c-RWtGZ`D(-+PW$X!Cd8>aLBmiOS}0m@>K8DJ4H3!733%y53PErB0? zZb^fj^S@Y3K}PtS7z8%`3`XFG-%Y=CGC*g*Wdc0dY)y!a35dT!ykQkX0tlfHD#6w|@y#Fc$0GpnKLRj!`sN()>Q3GtL13Li`RS?XdHKZVN z2pg0E^VgtUVK;;RYXbswbO-~>PxY{VITnGA07=as1FeUF14Ur}YNrbakHG5^BVz#e z*T~|ee^Q71xpBY`u0xC=X#ie#z^@*5PzNYHL%j!``wvy=Kz3{&hptghrRMPi2i*EQ zi8^<;<$8G^OYkNcH`wSLwX6@2rFM1RGpWdYtM10JvMUTY4SDAYe>HOB8q$x9M7i5i zEmp;&H4aIQ4XBr4S!R-~o1{&tst33ghejtaCO>3OH0{wYy}qrF#^p`b=T<;Su@4QF z7bT2o3!fEyR-OMEN;t--@|pZivX(g~sRr_7Pg^R%(b{y={Q&j?%|O0Wa=Ku;ugDqo zad#ypEbgM#Z94DRIk#FwV|x34yG3k3E@8Sj+RopJPAus0E+!!MyJr}8_v^<=@(x3< zQKY&Ziql#;KGBqc3lL7C(zj@lbo^1E?s)6;1bs||0-*u}x7>p)c~E9%lRvH?Lf370 zqk0r0J@r>#WXYV1JYSzF9c5%rByo6#HT$gxYu^>YO%pyUajbfH$cR44c2wV7@0-4m zl$MYpX^4*q7j-IeT~LZmB+NQF z2ZCDFW=EfKOfaucgl76w%gOyDmX{*xIm~C z;5!J@NF{&yIkF7=SX9iX9A?XH3C-m@%+oMZvVB1ccB<7>OZ-12kI^2)D7T3Q|X7rt|XnDc?H!a*7mGOwOeyJH}JZa__P`Hby*^VzW>>i2KfhUL5dvc*qPU3}RhFB-}2Be{nEcwsM z!@ryQw0`AQ-f#T6B)XH-8|L|fSnk^_t((YzSM6p)FgIywz#XrUtRQJ-T6a2k!WtQe z8kr8QWkTfxj)DAduXlFKf<>z#s&Y@J?=a>X@aTQC%>N#ZkmD6;?+>Mz*ce0Mv8k$K zjXjlrfV#~o7}mdg?8IIArCVPBnvtrW4Np@#PvJ=3d0=`xTd!($Ur?*Y*@SSjbaslb zPgm{Q%ZTtTNBm>IyJrXP&Yy&LvDB62COZ$gCllwcD%t6tro4126=YYXN@+iH>bHH* z4j=HvIq&WJAg{4|J&$s*1RRUe`d{>QL8>pNnJqmCpz2=UiZ8peU3_H%kD&Q_zL>An zzecvy4zbw-N^#_!%V(YS$)~h~48jUu94?Xz$7f{D5>VfC=UpVUjD~Cnh$oV{tcV9- z@-vTBi1gUF^?H=jhwUww?tSkicYkng%A!-0#2w0Sg4=rv(PE>}Xsz){B2(+W(fk zw0ZxB5(o!r0{x@7t6Qw3B_-@5tusvAHWUdL9_+7)Oib_gP`wGYKCGeC%lTfwpd-68 zsf%yEg_fM)9sM(K3f=) z<<8%x(JM#@P~Qcb90`z@fLk}%tyKUC0^lTq-B@~H4G%yCslG(e?^Q2ECD8{D1;_y) z!7yfZP2foqINZ@&@GkEY;0OVwoj4FcI~nXMmK_mIYQ} zW>_02qL~T7Mg-bZ!J!bkAlg96YjCLL9#CxSz7h&D;Fbol1OQkx+j$F)!jcYlLni@E zv9G8%vQ`iPDFYG)D8sPkV|-9UbQF|!UdRA%^f?TB%y$Q@4)}~D3DjnS*QmLJ3?4=L zC7F462N^uTEXWCHV1t}zyP*41@#S}o-+#EmLA_RL@L)Hv21Q~4e6m5N4quTigt0&kE22;v?su`q_#xx3 zBKW@Xpj2c}T%9yMkC&1{Q~gXsk@>*%B7O7e&VH5K7A8AWN+)>`eR!sNS_ZiyV)Yx< zm}jcANacti-zENlaL+SAdCKgesUb*>o3Evd43lS$d2f?!J6D-GVR=#r>oS#BWO045 z$@87vE-25Qm0YyqsH$1+oj|PjlsoGQ-_CdUlO1T3N~OtC1{YQmpFQ8sq^KzSP{6l0 z#iMo@Jo5fZ=l0z>C~g(Ha;lp+3OaLxSFI^FS!ue^uo*me#FIS*1!Z;UU{Vpfl&Gzco~swF!MPjVSj6jcOwmYP-V1n{v(Myu2!?scZVFm&xA& z-e+^7GsFd}t%Q#{Zda?)b5}WTUl}NG2ql|5LH#zCO{TnLpH;pl7t2l}iB8?1(Y9e7 z8^R9tH+mf^*S%$3WUJ!uAgT14y*SKH;nUzfd>;(U`Q+;{>|m>8HeB_Pt3efVnhd)l zk&ouV=t>>=b#p}J^<kQfACkfPogr9NIL~jvJ;-U%PBAmxXTfk9Py}r1j zQ3MJF&CUbzpssaRefZAS1_Fmv&JPU)kX$06O}j|E+Xg6u!g#F$`|&)-#VAWxwEo;@ z!l`HRQ)WEFab8Ol5{INznGnfVQYvHc-#b)`!gr^s>{DN~!y4yN zd!TzMERH_0)jRx*3SaTg)2zy=emQAt30yALw;YCMaCa7kQ^zGHfc|n02rj?gdsjN;Y0l(qyTo-ejP1>_cQkW_)Y6I`c>kl_1nZ z-lwcaO{bc!)XWS*==dV$p$`0Jf9L4CiHOA28L`I$^wV*&tq}tdcDs>Li|w_UWQ0eY z)d0y=ZHx4{>vsYj$vI3XY-UV-v!;-7XXV5KO6%#znc3%$+`XG!R?|Eyv?I`oGT&A* zbJ3ylrrW>8DCb9FaLW1~q_%LYP0|%=$5lLIF=Z2WJBI*4p+-J8F{B*9^E5Rwq~OZ=a=KYs1s`f7;)AlM3~xQrJ8VZpOlV< zE*6^EC4{z$BUauft(v)nir0~S?Y=+9JjaBNsw#BSF`n@y-Hw4;sh)GzS7N!no6zV> z$p>Z@2+OhfXA9{rpsnyW9z4j+Y=Vdc(u07C9RErUz;g3QM26p)0V5c)e}{gs`1)6T z{~h{&@_NIz$AeGmj)U5;a3s>D)apuk^komALjGx zV*EV6F2)a%ZQop#|7J$3Nd?rCAm0Jf*OA14%uW;>KFiC_|Gsi4onE%l!1L4U}j7eXzui* zK!Ct3=mO6JGLD% zAb<};8S;1!Dsnys6%qRwSdhO0pkM?(V4Ff6&fvGrY;Z_SfR7C=f50{-7Z&_Gq!+w3 z0n|k7&>b&Oy7oE7pRni8$b^5g4SxV45UkOOtpR0T1}V;jLH@fL9oS`r{y!whW0E=y zZ}3MOxs2KgbE)31&@_lD1Q*47MvH;)=TAx@cJMS{CgM8Ij`e zViAU*686we(V@9!P19o21_w+BoI69DRyscrw`_7A@*Mu2ISdy~{OJtQTZ2=HANztY zMvDdU*sYfiBR!=qX}+5&K8q<*-=5RSHR=84OQxnlXwqaibFD%C6(>IM8n886u1Nk2 zyTVZV`X)2$rhgYa8c)8cl1tI5q%`am*G&a7?H-ip3)0WW6Ihi3g(hZiiiW3Orbtw6 zdL=YmnM|b-yElXJ?wJQy_%l5|r#0dsu|`QLMB^Fmt|>0?%QDti3TaT=I+>mSdc?_H zJpjiZY~A1enDDlcwAm;Wx(;6!yf~h!yAfAv zx;K{ZG}H2er!VEoK*K8(KN{J!WsSqeT>cD84#ODAXlfN|#BkNa|oFtXDQZbdv*3{O&&D ziwCLUfn%mXq{k7tQclDOr_0MNlNQDv2Z$-k{CrePF*ei!NmM zged9(^(OIB1*P=16N9+_7N__Vw*|ZzR_wR+Vgk9E$Me3~wneNR@ee%tsN2Q!uMVOh z3eD#XNm*nUlud(d>vK2yXEJSxOKQyTqi}$I##W|S?x{KF8AMmy3xgcx7 z-KEb?G?8+)D6JJJF*2#!kb?LSDdx0QiT5ME))zR3f~XJX>%U&VeuFyBMjN!lD~Z6?Ei3sL+)HD9ZYtS?OS1>Qw==2`2oQz4YdyD9B8 z$zS(Yx7O5%K3em-`*@wA`b|#_9*zq~y9pYkf3Ef1Kbj}WOJdpAH9du>iS6(o^=F`B z8|%Gm79M-AcAUg1iywPK<>7O7S<=Y3bYk+WsyxmKgcEHS)bgT zQ%YK47=#`h2FH3SR5fx;RNlp(Q4qQjBFa8DzsP=fuPS4!^P=l6ys5Hg!{eP!n90(! zHV~;XB+P!Yc=`avg|;a4C`Nlq=<%zL`60{%7i!n|!zg-k+W~SzOR|P`|LsG*nUWWq za3L@BiF-^F){$9be#XUBT#s3Z6>L}$u_Y8qK0Kt!bo*2T-;?`1o$05ZDo}UJ$-d8A zKQudb-&BwdgNv75*UWh#M4XuFG&cJ(`H9?QxMFpG3j5uwhvqA47RP*P`}v7&zM2z5 z9|&gYG94Q(a^rG<6ftqP4$|?U`z{ixLCSM$Nku1zCEf0kB?XCAUfm}(ipoMR4bG!_R%#x2c;&L#cnw-lm)76BAf|o9sbR}HAU^Xr|tEHKx_}2JaODIFQ zTl)yDIQ34v{~>eXY#|0yIa{OUfm57rkM3(2?ga)3QgvS9PbnlsC5~8wPW)0Sh$JK@pPIPtI%M&8O#Avoi?E)Ms(NR2EwGQR9@QnKV z`g~JU_k=B4<`7aJY6d-zDZ=kl&R)FA5Y#fx9OrzLtxn-^+CwFZuew5bKhQtdJ*u49 zn95$dIIMg(T!w|Be<@FaQD8fo2cc0V}<+SER~?QG(xRfNVYX&rVu zEJ4nDw`h&JI{ZD3sU?b$vQYPpe$FXBV!}{v6t6z7GiNkrV=2oI{I}-6m(}oi4&Lyf zf_7BbDYJbGf+9H5WH-5z$w*_Sxw)Af<*Dx|6d!Jj-lOOaXg|fI8F2AGN0AVW7k2lT z{Y8{d_&j|(66O7di$G)e7jXM610(z!E(*hcF-5{6JWw^cItM*PB*!oO0FgI|6X zg7f^8E#ZUn{LEYd{`mzm;Xf1R0ukWzV^M8JI55!}Bjst$4_T&82@0QOq zdVpVe;lGk%@F6u`&fzBfS2!a0nZK&3<2_K=1oXrMQY z2m^8&1~#K8Y?ulq(1-z^8bBKc$jku(4jIe|0zRP&90bgeWD+(ebak1D84`xU44{T_ zY#71sf*?W_L~1Z{5U@gxpRt9YQy5Hzf?t4J0Nfiv9$s#sa10s~0s`Dn{wN@Fq{o5O zc_|J|`MeoOXABJ44i_A53u54q0mT{-q>~H}P%#D&UI0YGLOU-p>7Wafplu-At4z<& z1Ke_eB)7n?vI3wiKe+$D%l;CsM(P#=FvffhU*%lV99*CPH&90gej-4*2L#db3xPq2 zKpLFDucvShT%Z~P=*{C|!N{Q(n|LA&pm|;){tLgW@rwW@bRpoc^N(t#abVJs1YqJ5 z0MjNb0H{N%r&I7F15d!i!3zhjFW?#D7XZROP@gnDJ!JX;8w1*y22%Fpc%aVnG1$3E zJ1!ZJHUtmhxze-{@6Q>7nD3C$AtxUTs`m$Dqr?X_{L(PR8Tde)tOzhH@&i#mWHo*u z?k5E1>wKbrRx>>U9Yw}~>_+X#YMZ8HvZO%xfSU(g124EXq{uqZU?-j-VEiBTadhxZ zLrrlQD%1kpLi|7~=~o4iwh>eSLfGdOn2_@&0EvOv7U=X6zBt4)j}7}z<3M7Gn+Jp% z|1P_|3(9&R%W{7wk)Q)p1LTtU_<*K22qNO+IoDzW9U*lQNm59`onF8egp`Eo$dmRk zCCT9e;4T2k^j{<91#v$>$KvppJma}!IvO7wiajJzp$BaO6>Bi-KkM-E!GR(I5TQS> z6HtN81aSvq^P&Qg9ZxJ}hI6?E5&r)a7XXS*0>B&XAH~&{z_U6;0*3CWjSB8l00xX) z2q*~ffm%SD3Ft$C+Tclr`j!akq4NvnLK<+@ip1%G9y^dK0CNpA()oZ~6;hZRgq$OP z1`nME5OX?zt18hLSct^M|LKhehjy~T`x&)^4I}?uTaXuck0BN8|5N*XnozR|RVaAD z3xO115&`-_;JpAFWN@#bsU`5T<^7;%fpCMc3Bg6j_JVEe=~rwR;je-MKo3h0&Ie5| zVe3Gv4B#peIfQ`gy^W7S!2@1Gr1&`?Tls)@9WQX#1KVzmccctI?~fNB>^cP90AH0O zJ{SeM0KWjltByqprSij6{$ObT_pQo5Bd>w+HA9nF9Xh-VBZ7M9v0&)n4Tmyfu{aS^ zjv%hu@4%!@`A&V=Q4>uuPQ6{4i-o~OEVYGgf{nW4jA0&VM*p%=zS-(27OGNGQ0Blz`FOMK zyW8UroF1^iUy{F8DrY_7ZK)D(de1Btv?Digv>|?ManwWT;FyU8(R}!W4WHz zC1X#*)#}TC{|QY*ZfH6JCCpPoCo;fP-f4=E z9b*}G_myA(@n(|SM*^p-FWvhh-+aE7^h~r{&}w+BC#m=d&3i?TEn4j*u|}Xd=|BiQ zWhN7+YhnaPzw>*Ulm@~I%T)k@UM^`gcD%>^-JaC-88C$PKl?3&+Gh4`Ll)7f! zNM}^zAPg#0CerPDC8w9~ZnoG*zSiUhA^c)# z%^5=^VqMr(xVI}bC(WyDaBS?D4GAyrP}X3~*_wFGsdRjmd=o~^NwG7yV^NrQxii+bvUbo)bZu4IG2TNZCgAO#Q`dB^-AMU$eHMNNwb<2b2~imR?icigPm_3jR^&ylj2gHbK<+;|q5@9lv7VCxQ1?YWjK6Z}uIT5e1Ek zJp(5K*v9=iBoo(h(idN=WxKXJs_IvyES}*>COrIdf0O-SanDrmTU}>&BB>|V;wBwz z*x{{y#fsO!j}PhOb&@8!1wQ(F(oJ-@p>9o)8Y{X42>&JXt& z2ZGtj{O#MRSEb$7o^mC=32!$-A*S`_7Zg_cAUcq^l1_6#I+|r+LsVHK-Q2$aFr`EP zbVSNLB^*1kVH{qtE0nA;BWmbb8>ej{-+zNc)1$4ocTvn^!m{_$-P=6$x3EnR*rkV( zH@lZe82zbmcHQPz`K9x#-hFAj zqjaWEU*40IsmwU>Prv54vh*;m;M!;G>?dVL{iELtqOTmk&CWB#H&Qz-4DwidjVMl{)kV`Au+9Bkras%C4a3qKM02OY3rh{H*K zh6H2q!36~4@7j<-F0MHLG4&zs~vATPHN62AbkJ|O|1`X~Su zUL|Dw3F}Pv0jw)m1^`lBiA0iA2>6jBRW|rR-W1^NeF1n%6W=Ff`dOCd8!$nGmA(d7 z`J79n05Q=(tdS47sR54MKSdw~p}V(negTCpbOshQadGIX3XB$t*2a{9K0PMHBnI<> zT=0besuKhX(SlH)*6$jR55REV10V%$2jYoS0F^{;9$-;N3PtlE@iQDKUqej}KamS2 zB>5d&?Wi`m+EX1&Suz0tF?j&;LP9`(;1w?b^6NFM;QzYRuoD0*s1C6S(ct`m5XVwJ zr&K9`x+PH22A~Jd&ka;2F8~L3fR300$myVSJo@$!45?ZbJUORIgc6WCBQXF}02d*l zC4jQR0Cn&QBLS5L1{l`eBW!-CaF|^6XF;&3Kq4Lzyzt+J>7SP+ z0U%Kr>=wb64@?Kxc>s}!bR4h=Kw@(vY#4X~;%B;*DS44?f`=ce_X#`+`H}g505IEr zNpj&I@ITiG_EU8e4jc%Pv3ruVK&ShcHRC_t_5er&bsByA+VhM@e-{Ck8}OuR zJO)mX`o*fOxTk?XKYSqE$ebs@a# zIPU1(5p<*GRSXo+V)R(G_O9>dD1@{L7zw&OD}yK7@C>lqfF^3%>iP zf5*MPj&nTE^W}olNTSuo$6~rDOwZ`M2%cMX$Nq0F=sInDFurGm6_G&Lt}SwHEVDiX z$I_pahKRS~^oqHQ6+sgl3C!I;{*fyS+FR3UsHbBP<%>KEDt`^G+GYlxwds|J9FB~A zOO-X5#t~Uh9m4lc%?Zf*g7Bz#X7pJNk_xS`og zKcI`oS~<-&Rre}o@Ue7exLr__k$e-Ud0PKuH9~G>zk6Z+?tDC&$n#p}htIBu@2x~S zz7n>wL+pwL^9X!!ZIUpj+;1eIcmbIhyd=1#BEXx=t{WtFf^pw2(^QH@ji;acHA zo~Lxo^N0(+3{qZU7p_xDeUoCGUt$3PC$G70e5V8gfEC5jFb@+gSr~qK%{!{0CT^#;S|8GWwnHWtu$pQz5 zjGvnqiPw1GfU6M#3noy?hMFpI>7m|ZK;hB1f|Z>=04#n^6j&nkpdSH1KMDdga$XCm zgDnDR$^WUfjSJ(4avp*K*1shI#(P&n7|2a5z>Un6#|xOg^Y6GIQs~qfhD8tM;=u&S z04VxvDG^G%OU@37J%SO@B17qsG$C^4ey>A9fO!-Uf=Fbr$S%RpmCOHFi2g1O7BzrE z^@+*AvIXMW{_g9qwgJV;1Ch96l0#H+Fv4?X?Z5j6&=QK41+n~emtdr%e|0AasG9~O zK;2xu2o|=#P6`10CiqhyJNnZ9@wClFFzK;Z$jC4OhX%&adjZ7zz5bscK|UX_;v0f& z&MTmw^OEQSJUq}Rc^D@gr zz%f*LeDZkMTm17}Lx0aPA3#PRVG$k>7uf_&oHvUF;t;t7g&?50N)Bl|!$^Nl5U&87 z8!YZfWb?8AS1w6F&w;r^2Oto-g^x=?4j4n^`}psnV)62Vmj}>gK*ALg`;7hH?>H|H zKhz7fNdPF;;2~2M`6n9r-`oZMWa@s&jX(hiOf|p?3q!HZxMXN>c)SJ)CvYw_a(pRC z9qmYjMb zq~FtgG2>Ch$6!YZxH4DKL=vRgO;VVF2}chk2@}EgyZ-=-851gUw(@a(qGqD*&s!>T zsnH8ni-jW_6N5zk0v-I*bOvHDqL@e5b44I(jD03|p%l~h$207s0gLtgsZ6bFo)6wZ z7t+LUuVQdIC+9y)&<(CtWGm$u`YJRZQ>OZa*alOBE>nkckuN3k<};kwElM;^l?11v zu3N8gI|)v6nqEeFUHNM1u$+CG|Lh&_1qDy>?$A^P>$-^H1ah+o+Y29u5Oa}KYf5zH zuc9%%ujROeX!ocDX2fXP*14rM6$-mN6tU`oYd!ILQoud-VNjH&oVbkDIagp6TLFsN|LKS)RYBnERm+MIVG}mO)u4{PULEG-^OU5s;Frl_G{N{ zn~%$SD5PfZUUmBcSJK}4po0**UTmFEqouoaKZPk}m%V*4}@~mJvm?>O5Q(7 zv{xm&kuz!Vy!=8QSBR#oEpLL;7rkjN_jnD$SlKIlby7jKNt9!Bt2@>y?t9&rsalVP zyu2dce$k4H3VI-XNuz?IBb?vFkJ`fb6Le=J@zyK*T^+IHkAYtb@ulh!N_hiGxf9y& zPZaa@sFmyxc7kgoI?v?tMyW={+=4zlOu;lMX-iTI!J=?1X#A4q``AajS>}gPvEg zr*WjxAa7Zi$2MUiu)Gvi+u_ca;Ah7M1OkN3uSH95Sk=4K-dm_%LquHG8`~^A-t{x4 z-w9;9e3l)3tzoUBZA0>wT$Az(Dp|kem<%e5)zlREcR_|K{?DJh6IbQ2AjR#>i!d0{ zU({+pMb8dQp2M|pxaa!7#Uinq0v8I62O87U_fUzb!P|Lme*7ci9u7Q+c9db4pu0XG zP0I@dEIjCY0_qT$t&kAm|F%5X!9zQIAb+gY(66v6WRDp@hY=lYl+VSduY$Jz^^yK>eFLim zKeXcuwtgji;FJB&B4Dd6C;~pmAf8MfpA0hN2dl^5=Y^43bDL;Ee!1^Ezhd2xW03;N z8Nlz~3D`0~lgOp^uZx0!NEaEfD;6NF9k#pwyo~RJWaxazHOU(bIi7!^1kgMX@FD}> zWPed&3J#!={jX2_U!xQ_Poi`80vP487+8M)J`Iop*p1_ZHAmswFC7Q)r7i+mr5FHm z0K@@c0lDxwj{Ea6&dXo|Q!mKZd%ikVAAsz{=h)@EJ~=vaaYf!LP#wwO{g>)!K#KvH zEEsrp{wqTOaw>tE1u_G&`U?sQXyOo?h~a1RKl#9aK1O`Ng!WT;9NE<0VS&h|km45T z!eD(pzu(Ho*uQYrU-Q8y2n>Kg%S?uV3^Kj}pw8dt0o3tF5K~ZqqzFh7@~?|xRsi!R z1PrPWsxfGx`s9D66nP3A5Mn>={W z(LV)aN6um-1|Hk_QjSW7_y0lal;asd$v(y)#)Zt1;wqv{*B)t^JKZ$Ni2kO|?}HdE zM13}ZF&e|7EcQmy03%%GJ)kBAl*2cNw>UJ*=i%1t57K4gYv*>J*DOVeCmWl@F4xmf zFN-(#9ZshQG}2pB&waS?JK?dCPEdRVMTFXJQ+m);PEb658_UfYl0dluuT~nl6q#^N zL{{PBoRa01?KeGfoZTgTPjSV>y5qeqN;n;I#8nYf^bcLyrKn_IC|x%)&&j$iltZg1 zSIZZK(VxlNL``Hb#Nw%2kV@$YlMcDE@F?--6ZPJ4g{H$R1{3T%E?!iZ(jD^)Y#$n6 ziVW5@!eLP~vWB+TP7j(z*UG3V-DO(0#SvUr46;V+o+Y%56qJp%+=~f|U^!iV^|dNJ z#gPH6WKFDF(qLt{f7|H2g|(HRd|9DivC3JQA7{tf!pB>a!Ix(di@|5Fry7U1-)^^y zRSJH$Pyv~fkMKkho}TqtUD-_9?)>_#6suCfBoq$I;!8pI@t?x5Bo*(eNdB9Sm5Z`xS)J}hst>bF^Tg+JP9K($>j@H z0K^!X+|<&6b>*PTWGb@$svSwlp!>4q-4Og;yTidx^Ln~U-r7!|BLJ)Ix+9 z1!RvaNqi5c+8AwT$ScH&{1aj>yRE!OF!5UM_iFPD53r*aYuTxi< zFw5K}=doe0XvtE9(|ko`FFg~htZD0dFyyeSqzo}~qO4F3mBV0Fu&nFC_|Iig5S%zX z!lxhegPGpWa_XhB?NsMAz4Rs7T8Ru$^PlpHyYk2=3w;3g+Q2*hVQ?l9u}DlS1Gn}O z4YzOjZRdF1;%rMeVQga#5i8c_{S%Bl^p{k6`iGCBb*KAuVWZrt@}xuz9M5HCA>YeX zFuMNP)!89O);RZWW@_h-aeHopR0MB}u%GMH154sIxseS-r422m|h`bOcWR1(Y!RhP$(Q1V;vdi;Z-J)cjQB3n#SE9 z^S!~?2!mGdQFz~7R0xj?r*)8UYa&<)vZ(J7aY)%(e>}Wqku1F**o-hUa=;Kq zD`9b4`97`XaeqhShV`{J4728`mClY<+1g^&qV=QM4RU?T{?aS0f>-FTWTB$m*Ae$= z?!#Zqm}Rznu$Mgw5?W(@d>pXDR~?{}b0r$~nI}i^v%rs3!jZR4OH$GU_|~K5!luMm zB_ULH-cqvpo}=f3St|umVr~_PRqNK=)pXGvw_H@zr`$&9&g~hs$ECL0sol5+NzvV8 zq%Sf;pA84KDJna?&o_;YAuo`@>{0LS)%H@on0zsO&3R(C*po~~kl^z&sjmpL1QV#KoR#kJ2l z@|0YP#CK0Dg8lcyhw5D}#`Hc6Z`_^#ulM&v0|3KbSFajGZ_O8Urz)$_?{gx&%_k0cts#PO};!W2{Gm*2}C=V36pcS0x78)SFU?_p13AFxJxX~B)h(rO(TnT*WYPZ6=M5f2Id zGrv(^`q=fxK>DlH^|6K_o}#X1dvpeU0)_lNKtl!)^;zYkKn@zH0<+Jv}*R}Bn+UIm7gZTF$nB)k&qPFfk0X&K!N-L zNg-w*Ob#SL4j?HLE!fZ!z7q4q}l_A1d*{rLh>*qveL)^kT>ZRjXt8&c^E6Ij9kAA>3c6yV>;!$?Sfg^vE_YXkw;-)m0*bP6heBaOdH0E?0c$QtDg zsEl_iFj9*DejY$8vWr6hhLL}j22M(lzY!J%RH_9)-rpwy2K;4m$*KC4VhR3N8DNJ3 za1AIkkU^J0mwz{b%!3SO;}VPZqgS`YT753GHlLQUeaTR_EugB zZ6u&C37*+rUY#h;_w>j9Wk%nQFO14#5azenmy30W<#i~O3xt`5*u393IPFo-EWdgt z;Ruh44djgyASH=rrMWEwk1hvaoh_oP-nS=C))wD)cdvQG$v(Q!EfOh2r16nQ6Tudz z#q!v#bX1m!YAeOZ;FWB>p+*#yW4OI5k5^jdYY8C<4>R7E6NDQ}m%8)_MGBshC+&yZ zWO3}g8CGtlQcR{-vG25L-PpidztDqa#zm}jfjJG6>8!Dja1nu}r;@+ip~1isg&F=h z#F_F-kZ;S6u=@-+%(1<*24epD%&!q>*h|b8L)?jpS(@T+^0ht{HaD10=gEog@dwUJ zhd*vMnPbQ4GRrL}Y*#C|Zl}uUa5sB6p1gQHmaWs2qvFk$Uu{?JcAIvuY|;?r$(~Q$ z` za?zro-_AKQeArADMZJ==>Ariqxe@y5AjctU?Ny6+$98jqb& kACOMP_InterruptsBothEdgesEnable 

    Enable the both edges interrupts.

    -kACOMP_InterruptsDisable  -

    Disable the interrupts.

    - @@ -397,7 +392,7 @@ Initialization

    Q@oBp3W^OnGKOT zB%xh<9?j0t7>cXjq^_dZMN4e{{0U4nfhaWawc5ZnMNCh!T2H}jTNUCVvn- z3#h-RG?y8Y5XJ{os}bd#_$aJy)Fbv=A9Lz6XXtOf&-hVs%=St3n~f4}0JZ>hG+ z9LN+%lAGBbglhymr5_z=w7B~~4&#ynF|T!J777jtDL1=#x?8;VBIY9QJ70Pa7?rG0 z2lYg*_7YY6C6hNMe7oZ5VijnW;inIrNee|D52UbC;;l)M=f|K=qHTTD5^_ScM(jI! zxHnRTnpvGBL|=BMd+3Q`U3Ab;&UpEjt4dzy`U$-IhE!bW<5vP*qB?hriXq!p3HKB9 z-G(G`>U*lXE4mbthJ>%1^b7rD&B)&~Q_~;Mm%QX_RnMS`W)~*D5}B@g@_w8kU;F6k zC7Qr1geA{>^$%A<#Wk8wgm8kS5plwbNjDy{@G!b#TOVI|A(~=u!&%s z^DW1w_16?LplWDVqHG`B+?G0IQ^^i5@PTD=xtBk-o- z*{cGGJqb~Q@EmcWGA4NGO?ecpe*_J-K*hFOhd3$;5uC= z@!ks%lF%2K<- z$ojg1+vXrjqS-1HtR`qb6y}G(8<1tX|+VHRd1ld2*sTof3dsm<5GZ?QGM-lhC^-_ z%XQ|oYR}sj(jA*&7C9W6>!L9k#hR&xZU>JNGyD@nNhJ;!zJo&iCcX`ISB5#DzKC%6oTdc{%fa`l1T00ckNyU0ta?t5?CPAoNMDp zlNtel1;3W5J;gR$EW5@Vfg`%KDkO*PKH%8UW+D@61K*D`gw{*#Nl^P8!uK4bH^1=W zd<=eR6+_ec&5_K2WjELs5t`6l^i3k&CmAr3KDM6A-MNF${eQy*mAwA ze1l?_4fv)Urg$PNd#{x{Qj0k%_{d3Hv{lMcGe)(XemFRAq<-4CFG4^cNj+fY<^&6A zQQj+Td1?9fZj$@N#;D-_?#8aWqP;xZhy}t<)%X_Pqp$R?Uwye4!mMpZzI)$Ek&rl> zlZb!9Y-)D%+O&aJup^?RIeBMG1XbxmM{C5!OA12~nR)8HGtJ>J({R(NvuAQ={Hms^F;dBruZEx(!-_`w9iTWQ?JC0#s3!#a<} zcD+h6e)ERCI&bdPQM|L#RwHlwDitkV!wUolRC%cVDW_dqwgsQ-M6zN6-Mh=VeOlJ{ zwxrQIpg!);U%s3k9Typrmhos)(7T*1ru%KT9Mv)T@DR0=EsRe;yY6w6wlMkOEAQ7J zqB*d;(>{bKR}3I8{2f&+JtznDDVWB{~C zVsO}@U~$+_{ZKd@I7?i@ya+u90D$6GT*PlcBLw`<1pxv;!WDxWY(naDmf^2Lz=MnX zCt>Df1_-mi&z_rGn&j}vpvZ8rrsI?0Nyz;ZMf}sO@TV!^4}1ZvZ@%Huz;edZV0L!y#mG3Y%50{9^P|a=E=Qb_I=Dnds0*L^*3Kns>bGaI)&2`7^YR zNj|~6iNlsvHvNrIp5OBfd%ca6;>M_6SD1N#SzIGL+M%)jo3~6H8|h7!*f8i`qg`;; zSJF433HQgP6rLb>u=V1Y+f!+X1|rKlv6aZycFBYZ(&Wv8sS}%WmbA-1+hTVr6_gC# zEO=G*upD=^?}3oPTKD3^jY^ak%R6JiFB(_ju|Fj5POB@L4Egh_CwXMDT*RPQHouo5 z+%A>>YQZyi8^z~#k6b%C395M$g0+z+D)?Z|OrKnIt9?rV@k12F?uutgzqJs;T~Zq_ zT6cBd*-`nd_-dY8@|G&YnQvK3L#kVlPbm&_=XQLcSRbB+Qw2aC%<~N*){$KxE0AIp3nHx3&q^nN19R0hklLd@b#QR^&^5&tVTjqR8~lEdX@xmHh`dw%(&Q`a zkpK_nwlB%~yX+m}79}=2U5ro9@GpNZul*MNOjd8Hgy$;bgZ-?sXd2*N-c(6;mT8Z- zEu3G(_G6oheCE+N(H_!}&M>rhQf)$34NNZLh2K{l4;m0>;{@A^ob*`m-n(byV>>=? z8wRTjtJpjz6IMg(TL;HCy(YNrP?U~LOXFtIvNDlVcXvK-P zy`f6wP4;oAeDfM%&$Xq8FTZ$&2n(4v1Qf9Z**RRnCyY>Dyy8-h(5yyPnKLl8)4yr&=+LA0(`rOp=0&V=ZS(F$kUJ|Q7Uk6O^>B~T zalWvVwvc@0{_s3URwb0R9YeC!|LZ;eg9qYOwcZ}SXV!w~*pUI*bcXGg;h9D5{Zt>D zj&Jr`9^K>Ep7ye!;|h~tBngS5p6xAti;?*Vr}uN-4yO5H4vEzG>dgShM>4bB&!uReIyt^vQ$V;GE1C2{u_Scvm1ozjA37OSWazRJS6?$% zQg6icTsGTozh$)Hwdrq{?EiJAhdM!I$xCY zNVnbToooYRpvDKbJS(DD6i$pzwybL`fmylwiaR_>mo}zQEe!&q$9Upi{kWb|=hsI7 zU7z+4%OOJ)n+p>11`TSaO{k6R$LJsPV{uBgvGdb(Ubta#zwZ(JG>YBu^I*x_>`&zj zJQJkNh0M5|UIZGZ%{(Zlv@a#e24%@_MwmU#zsE1k6`|_(^w!fZ0~fTk#(VjNbpv*t zz6zI{zrYg1HahqE=<1>>B;jU*i(Glq-#KzdykFbbAsRNEO}$G#O1l=6G%y74-nC2b zs{MwJfx5}YQ(|(#jLDa&ODUA^1Od~O;fayJ1ti)v<~hR}{ma1}?eFrpH9Ru&y)8bx zSt_)Q>K@?9E@xh!;yN)MPZF~bqG`;R{kANx9@14Sl&(uGQxzA~!LrNM>vJH2#SSED z?%(r7N0?~b+MSrvQW4IfTpxTKS>+poF8M6aWqZ~u^ZHsu4W6QFBq5KeQ>w=W^q$7( zvq@=P{E4jvyG*-JlWfm?VJ-7*8Xx^6aT=)HF3h>!b$sie9G7Ez^4)7)%q0_|Nj=j` z)5+#{JUgaEHS1bVYA{Jd9M$R*J*Gw3_{!K&_5IoafggiObyL}MTjAFVH(+-tP1Yo> z=8t$?g4WW|zkyZ#4cmB}PwYDSHq(@)|Mu@SotYYVIw03{Dn7W#`40LIDg6o}*u zMh29+|80Nzt1v%^uyMKySPngNBxUfEjQQOYKX9D{(n%rM=afOwa||Wz|9=*HB)map zX#SP3`DcdaAzU0}hGrN#h-rhEqlkGB2X~x~*t)e~?=X1M#7osus^5|g>%2-+xsbMn0v z&zqQxb=|Wz7X5sACceq1#U#fLxN(YHiJ$p!*4ru*4_ELAEw@i06xJN=ZS_z3m}sG4 zWCU{-PUy5{!$6IJ*d{OmcCdk3LJ`-wrJk-ByunY>OhV~??Q!MT(CP@>+qP4EDW5Gx zHS-b}UK=vYS=?FmN`8Y-*~G6B@+vldDyCmORVKI3k<#sQoBY-w8M9mh<2z$)${Nv$ zh9fWCM>iAte$et@b%x(H%#sNY6eq=(4dd@Uy6YyWYHpwr) z#P8ZUUHFvwQ2GJ14`Vx#VplD@;e*{@&rHzE<2^Y<+_PCQGU(#6eD zb?~%DR8pd(#k>uNJ7``|AT=KRsoD5ci19Y0Yy#4GEgfiatPS`p3AF5Us#7D~5Apai=3xfMv6dNg zf5xgd&QRm)m0CpKTU(}PUfd$@Nf7lOQ?ZwOus$$?rOIAGhdaCAZs^c_Gg3h29ZsgC zd&09H@_p)QayJv@4Oo)NrJc(Q5AMCExHBGBHKMB=>w5H?Y+AjkfkeSa*30YiOB@~O z%cU1hEEJD05RvuwN9i6$@DEc{&%UNJP4sRlr*KTz`GR}K>VOaTVoYvKhYK9k_Uv?!i zGv?WA9MyVnX_m@RKOHrW>+jN_HcJ(Mwu2LMyX!=h22l-QNA76yZLM}Hu#>nzFGNzU`=zBFsVKBA}YG8&!l3XJ0Dgw zkV`;;#=9f>IppT|{?&`07vZmeWK^;4yXAH_t-9R}7?eqsv*);|py{UH(&$QuYmwBv zD(T>wg@sOCrtNvaG_hVPhiTZbbCbGV^-w57X>5$`0I`+%nV`6aPw8Ytp1&^A68HE% z)-#!JE zy7^HS!xlDb8fm{;M&&>HMDqllHILzRJI8+2GOFf@R)y#=zGDGzi=g@A#bDK_r-Nm+ zXE7sY}UN8rEk?2U~w{CQP(n{gCFa zZkP{ex0xS*r*ntx0+|Q()Z6zS{_G*NW!@&;uS3a6a7pq)D5?ZYs%T9Tg-v5C&=ll6T;SKO#1p-vRZ9T}pGl|4x(6=8TyqBAsQ2adj8kre@ z4;j}D0>K0U-ax8C^6?{mZKW;(xr%cK;@mV4d!vkpg9JvPQ4sY4l7yX`B>r%K0MXCq zxI$M?A7n59-ajB59*UJEAcsn(YJ zf{~E_b)Fx%VhBJpbin=ULmf83PmkNb${?dfW@JGO3@xBs{%(L5ILHb^B!*Z3TZup< zTqH_=5Gj9>C;y~X{+|&kis_O+wO0retKLDURb{31r9R=0 z(IO7ArM)O4t0|+;gQh7r>f_{mW2vvEZTeHw_|Eif-69E!V%ELB@0UqaNzr31Q|_n8 z%qcz)_G#cQsYd7~?1U+b`c!-v+O2(a`(WAc#Ca}>lzpTB)Tc0M|J?$~aAyi*(IaZC z$vstkm!~=_Vg z2l`!@RrSJNG54a^f|TB(c9Bm{{)5G{8vhB-G?hG`vLg}E*Tft{64gQ+xm={aj2xB1 zIEz=+NyTpCL?;MZr;nW6TPZfa!#muz~@I$!aWVD;JeLEEIZ9txD{Rof4U`}+%6f->>56Y-ncGWpQ3`! zNsux4DUIjGt1^=x9G2ZBc~8}zU4gK&QTC)@4>vO-FK`C=mk=jBW}`K(oK7`=^Yz1z zVr|r0=r6d~@QCgvi}!q8D;5u%dHjy(MdjM@kE?0ztlY_n8a2b4VeJy*DAs4>7n71~ z>fYRO+zuFOneAxUrX?$g3Vnf(l^#TaK@l-fs+-}*nv`8)`i-}{9uqr%<9b5Nz3F#y zx)&e8`rbj^dJk7h_de;a)#|$8TpB1E2%YmODcB+=8+&_%`Bd7V@5(;Q*<0Z*IthoqkWsd}o%w<4D})AlA6@MA^u~u%R~WV`{ZX69 zqTfOnuFljMa0hTvX2eN40c~0rQdzUgY^lOg^fc)HFMP6F`BB_lGDO5-dI(Kp9ZXXB^0BHlB&GlpwE^ z;{WJuEJkjgT$@Lo-#QdNtTksJ>{~_ac>SQ8W55ETgQnp#=llF!y_?Y0KxeeP8`tSm z1=KZ?RS`R9k<@T~bxeoW-kh^ARQyl5;^NMuL%$PIX{zFFwXsWQ}#zflI@!kp#Z=5L4mIkT=Oc5^*Bw0=o>msA2?~9*9e^9vAXzEpUg+B$zm{_io?#-&_-bRe*fJ>&G}Szz^yB z0Bky#(fw;H9--~AnwAZ-bOFkcN1sI~hlo6!v>Wmr zey*=$&1&wFM?tg_%D?$gujn4hhV$7r`WW(Cs&j zyL+Dv_#;^sUhfrnhjuP`#H={Z3_nw+d-S+mi_=BIcI;4S4&5|O0v=dBeE&G{!|pQk-uSavoN=4kdaXDatQNLu{b`20 z_mtxsz031ex3(0~va4Pm8h51l-{a>szOeq66-56?W<_|T~GF(E;XRdIK^QrTo2 zO0KlS?nIoI)4L+m&y_RfRmZK!SSyG#iyTMxnZzW9a3wMq?w+KV zuEi5r)GZV($E$KA(@D3AXwfvnm8unznGV*8ub^ZWNFG_23Q<<}Fg(uWJ{aYa@;Z9c z>2kEpe()+jPALqZWIz=iO<1C=@vC?`bKqNt6jntP?8NIh*tNl|R{N~PxjvMkj?(HU zdWMk!T*aN41!*(3kW7YY()9vP_Mv%056aM?deO=ET&y;Cs<(;rtoJc;;jGm+WH!^+ zwIL7Zh5ht9bdhvZU)tCd*^~m~FNY4Mg&cTl*!8h0HlO`gL^x}DUm>c!~y zg3&5ZO0kb0Uq8~LwI7*v(|~t}rEPi4&~834h!rR|G)pLQJfmeJ-7T51_^!C4-uG2~ zRu0l%xl%$KSUdoyG%{jCcFRE8@Wt(ZQB+%i1_eBwj1QO>me@aer~vEjT^wCT3YaHB zz4^f8Oy5CQ30B-ZP+pzQq&VEHQ5~AQ`Vu{1ag#*J(b+QESlJA(pdapWD4J1VVOOj- zC!^WOrA91oz7fP~LlAaME@SaY`r}V>)@~}mOX{yi{4r0qAUlIq_>geS0h@THf6bHF zUQYrybZf(?kuyr$?992VN5J$a2l?ki%Yw7*32K?_WxG-odCqS;8`};)HV8D4FBkb< zIr*+XanW9J<~2V|Y}6e? ztIBYiadLW#(9M4hNkW(Kezno&c|+vbV>WnYZ*(n!^N^m@^q=Er>Rp~z(cY) zF7=d<`bonpEIj{DY?wh+>hZ(tA_f^(BvI((b9lvZxUcRFJ~CXK#L;^dpwFRAw`Odg zVhIskB|;GAR%U{Ie~4vfQho&N0+<8+F%J`k=H)Q~?IHlt&*Vj>76Xlb?eY`_7#4^b z6S#7LkOA8K!sQSJkQEoA-#B%m05rfL17-x_I07);+lH|FP*WuW4xt#(5S>!6~7{GA|2avZjCcuDaDG)do5yPN(Qyu{3VkQM!_Yo7!KSe^2q8V^XFi)^zF3Kwe1t8A)9>6Y0e-KyRBCh!SmLGvL zkQD#-ehx%KL37~HIQ?Mw=Y|~2ftrWoKMWO`!2oOb#_zl?XaQhel)GLJ_ICsjiJeaU(VZ}51-5i^ao`eKVC-k=3rz;qG-EVe$l^?s!(M_N2wp#h9n~H0T=Hjsn&E2)tXU{Mmgx1NiK?o{r!{d^lF`sb1`NEu+U@3Tu_p zdETadAgM%Uh@;ZY6db&S9cU4K8-w8njtV~g%CsYfqU`khLpVy;Y2HL*xpkGUWZ7Jv zMLM-`&f1hm68ihoTkELh_jYMVG$Mu=gX_=E7-%>LHLs{u=~4)YM59w=(L*eF<*7<6 zZSwc7%l75)W^cfCCYSxy&sstp^J5b_w8JOg6kKPUZD`buQ2wZ{m%F*`)ue{mL0rmy zTjiZx{*``thBB6{u;|w~HC$^|1-mm64#b1G?wXR*xzX+S7!6*=&-6NU?(${2EwJf{ zd{VT@%b_rXuNE*&IPkChkgQDXv0ohoix-87=Vb)Z#P! z%dTR2+clpT4|^*mcn+EC3ZC^E)>Wqd^ga?ne{kAlpCWL7zn8>V8(XJj_h33?jq`D- zh5muHNAmP#5AmlQV|R2!-_~-+TgVzEn11T*84UA5?wy@Ry$JK7r7pH=;{Zyl|E{J$ zyfh@N7k^?JY-(cgPyP1>DUisqz03-|@B^G1;J^Mgn}8V%4wsH5jmuZ9W^>&C)V@>aPIE4pLZxxSgcXT&TEy&wOv zDRjN`1m4wItLK;GrgY%CDY#y?9A*1iGl;YGnR>aWU=Dw9k4SYq7bIc7&4Q0nYM#N3 zY(cEu@O*wNJ%eonj%pyzziP!5Pp3dkD(Lr}a^|M@%x*cTvHO-`lU5JN$eTZ_wuk!o`7%Bl7}#wo5JczN73uo$)b za18cvRmqBeQSz0(j>)E;H)PqN4^IPR znc`lWfjqxlo3Bp91Z!-KI=aOgc_0y0WuxGW{8z8%a@E*GYWBBYrcK_IW_nhN|Ac;U z8jk*0^6`Pni#P5mFj{I}!kg0Zl85}!%4WzE;(;$yFNyXW(wp8vx@7!T`8j_>O0}nK zziSfZn&DgKcIi;+2>ZuItWU)10!Jp~CtDuvp-wdg+1Ir^s?^O+E?4I|xK-QiM6iuw z^R#_E{iBWJHA ztjK($+BV@3{=tuKPe!GztSn9DLiN4v>(lnj7JN)^^ z3$o8q$(PiO1QpAEK43d~$MbG~&@)rqdhv{Sg(Cx->=j;BD&1RhN0*9CF%0@4Z=Y*6 zl`4~GD5uAtjLrvaB+*zpsox9WqWu5O?}I@Yk>CF-@*)C*_62SOz{=Fj3v*#xoo;qGZn z>$yrj6L8OD?~l)z61&zak6jHs##H(l%CtUvwsQ)FqmLgD#J7n=LS=3qgWnzr_=**j z`)ZT0W>*Zo@#|#q%iB4{ZeJYBczasJ6m#2DnoFE#0A*ynrgKC;C+=N$(M#`U8~@ru zi9=k9(UNnYV+?%GEsD9N)+6{Q1BJVDyF~tVDRO}=sb91Qie28gd0evi{O!nQ`I|ez ztk2D#Z0V}5I>aX*70{$Ae}1f$MzS^i?vWv7ACp`yRY_=o)uG8<%%cU@_Gte;K%&s( zj*=SdM{_Bk;#9Jn!Hf83DEY>2$0XIeOh^6lt?>N}uKfmj8hAU-oZ}MFdnAo_`ces!{*D1V6`|~7$|M6zp4IG> zq>9hTYUO74L>5C$b4{GoC*b$#6B&PQNU%sQ1Se;DsaiTGH=t>bQmE6gwLOk4pZd5{Do4>+7!W`)JT|I(-S0RO<@dToUv;?8%5|7Ja}#e zXA9c;35wSBnjb~d>HlMb*j%N z_hiPM4^!L8K%nwNxg>0du>Av&+MqBf_IXvL-5*uj|e5sy0`PoGmI} z?sOB7X>!8%YL$K&;Qx^f7tf-uA?!fLe>NDMJCg8nhDC5DyfYZxtI6_d?0vm)rek>5 z4qZ%3Iu`}jgyc-9shrX_77};m{PyalN|xUUc~*kMCC4iko{WlK(JSTf5z ztm5IuUKJ@~_(9J3M~}!Jyy8gviT0JvP2*zj;GPM>@}JbGfP4{*nTVME&m{l=hXYcv ze@!1C+boc{Jckbq5&TsCoYENe;H2cfWI{tIU@hN@Q*MJ$hv0L#%a!*~Jez_0ca^^j-#_2Vk9p?@hl#W8z})9D zv~yokva(9>t$51Zh6~J3EJo!dO0%e3R3djN z*c?|P(k&3QqSapFDtz=btZo4y3j0dI zGrgRVUJ`XrL}EF~uxi{hDV8Y+Qd*+f#oLl6n_v(eF)c^2(>peg`y^9`Q;bul{&7sw zq`)FW#jiOLYcig;0;Aurwvq>%DWgLG=OO+~>pnt>c9bGQq6-B9HN^;ob?VL}nCuB*AJ5@02+#0#uM5KOd9({WFg25qE zT`@vdv$f46Chqbs6B|QB0Yw9}M%zRjM3CDt|MI4?5XBq+En?D)`f2n;i(P*sI=JCU zdf61|UX&7&K;+=Tki7n@k&<+7T~-F6sdURP-U$sWw{S^n6ikJ$-=Nw@ODp{_tVolgsrB#xt_JrH*iQsoDgBJBFY&$IU4y+zS=?EN~rja?(x zaN5x9v2cUk+sUWVr}PbAwtRf2V(@%k)VrRf)^yRIFV8PFChQooy_^*yVkyvN2FUv%IXyuN@j?ba%Jvrn?#wv<~RVv#7#%H&GK7ro8dLD7LbOv)9q zjil$9TvlnZO{ULkv%#9ZjdP{P`^jyCozgmd7He$W&wSsA?M+KW^bF*c56^}cZ^V%< zmkceA>M*5N?jPT*(I%t(7L#MVa-S5dw_WcOS~dDslc=Jsrq9y9jVrxB0I$1O}RCO7t>#{JCK+fUf?k)<;0MtK_>e=JS$hyN57?`LPx zdHbw`=isI3*Lfqui8y8wkH^jp&wHGWm|i7kRk*wJG&`(Ps)j93RhEoyT{BQ1r; z1W9DVh`|!$4L=wYX!f6lB+$&m4=jkj%7hVuX4QU(u{>7o_W$Nk4qa~kmFhzl1Pj$h zxNPv(I(m*^G=FkXK9G%5LZTkT_43ZEd-XC6Wt%nF_sP&0hJ`8{wB@Rf4$>CX_X6swfWeXi29~Owr}F#*_ca2QQN`*{A*UTepv~|iDyrke%z_AaH!q#{##D? z*2sdu&P^7|Aja#jQ%|M*hQr_WWXa$EV4Gz7u4KcjEX`3dJ&%71e>X4gPDS9Wy;sp6 zzNgVPtBgNDx0N4m%iIOg``(Xkku~9>FvupCC*S6YEx#^+5lrMh^6M8~k-VW>;7sS?E(e4&vD z2(J}V2pbixG*4$tzI775DSoxxKo4KXvi*~n9xhQJ1xs8M#mGPdUP$MXWOX1)wC{_P zP`ii?5sbGlf}^{Kwz0IPM{1~1{CmWiY^?BqeBjv*4)z!$#6niPlu4*R^)yUCG$MDN zBL|L8&S)`->{odEiOf>%la`)j)>p>_u(?gQ7K$Y1bR|6o?c*J-^s zX4Q|Q#qeW$zN9Hibql|*7zlI2LMKUe#LfEPS`OJlaf>fpciM4K&{dOXO?->irq@## zBP5F-Of}3HHlNPWB*}DJK2=1ioW? z1$<>PL~*aauLlY&sJx+-rebwkbVAEckUdo7$o=>Zbi(MH@Gaei=4t`5d@B|Ek6W76 z8d8@-1Eo%go0@*ydR#>%7{@JNm32e)R_@;3BA0KPnmx>SfRndwNXRXrEtB_(*Moce zNO?E&?mfyfrtVx6;~6OAaZTqwA+XC9ucm=x`LI&S-V(Mn3R|Si&aK(ODpkjXW9XBhTjQelx?rmNZ1-(Pjk8{d5ewng=?`%GYN9eusk8WY zybr`*kzMw+TC2;)4G7@5oP5(b->T@l@(4K=2Oc8pqpcBe$l5QuEY?U$CCdiO#*S3M zx{$)b#9ZG76t>U-)Tgvrpa+#0;u zz(aa;(}CYe*wS6i-{C23lR9KLuhxOmd4L{Cm=-x*q?IpH;#o z=3Bh1MGxNkS8Fde+o4v?6})$oVRVk&(Wk889JXZO^%&#t-q+-~b4|45NWd{=3eMD& zo8&1c;EmNb#lJJkaJ&#^Tl)0!drCN^UcVx1?fk-Jb2F~+m0C~jZ5pYC0gARSODDLt zhTqm%d=9y9v(v?DUmg{vGJaFoJCC|2fkytlQ{CUe?;vgsnkWX4Uq9;ZfXD?I(R z#Ft*`6DnaD_3aP+H(vdqb&-8C;2?>8Wzz#!e4p$}x2n*EgA#cA3)%zZ6&C0S0niyh zS6h(B{CkNDLNA6fA{jzhjR5?7wh3egYocL10Dj|BG=Ny`YXs@O0b&AFXugRU6|#*1 z*yjS!TP0vrb_VlOMC zPEW{+@Q4V%`G-dYy+p%hhWN5T>CCU=JaCK*04iFdFqxqERv6wn@ELd>Ixj*Fh$j2I zrKuMoBS@;AGYR}@PzX@0!#Ie~$>skoQAh?eA;tFJb0ct*0MHg9%mKwS;G_+RNkl;! z=HHzJv{{ID`fmXG{*MPZH?I7Vs`&>dhcM{nFq%4~xB^@zDDuPrTV??KYXdeLd{bx6 zagrDR$x8W-xqI`W)rR~qV?#oS3RO6NsfGcaFs3~tEvLfx_qTJRs!wa&az_QNZD^wU zLr!N_PPSTKU8jg-ZRj>YHKg;Ctb05lzF-l${BStaQtyWzcl;cv38} zropF!AXg+RCbJ6%^Rsyuv4*m#3>;Z7WFSe{nqy(6G-lw#6cuyGr4Fk+B!9e~Ya0FPNP) zd{s@w!E2)}v}UW#tB~LzI9hLs_Nc1}=l)%id3qSB_(aStO_x0{e<&=|&z|qnk^-NJ z92a_`Ohjwa6g-J|w756ZR6`L7o?SRSlH;!*{LS!FxO9qVEorlPKBbqndQ6puh{hc@ z_2|hVQ$yxnmxX4AsFVyvI0MeyE4;LatfPeN!z?M?0aEqds7ulolG>T`G3pz!Tu`F+ zt4nL5H7KzxnF2vx7BYcC&#j!%O_08Z)?6uV9}BL^>CHJ9e)igkc&dx18m`-G|6wzh zH^Rm>{aIcxgD_v9E8fw|&*?2K!8lK4zx-liToh>9HE`4^!7+PEuE-U`uMd#&|H7& z<{Oj4)VEnLM~?dMvOL$(YnZt1+V1bz*AVE@lQJGBTrCu6!ouyEK4trzXv%eCvL5L{ zOnx(U6=AZEvi0}J9({>+Po?siY0>6w-!E(3dZs{&&&YSL6E=1`11CY!9WKIsFuCQN z(4UXFS78}gekQ;3(_PTFn3k*Dcf<(!RRE*7UT8i8U-eOx?Cq;gBNl@$@_jQd+fGHexElU-Da#pw&i$y&jUfxa za;|N^_ViZ*0ishxzQ`beQRW;``d{ld3Een|$_-)2nF!Q)&_d|gn4*Ag0#TV^2#Iuo z)WHrbE(~hPfbpRTu+ai$5bqTj70?iZOp|e0AloGvJ}Ni^m`DK86T;et5nP-`WP*`q z{x9hV1Y(ln_V30RSuoDnCw({=h+!3>Et>`8A%Dqz!D;N3{=enm0u%IZ8%B6xeLoAv z1~KjZzL{V)(3aTwZQU^&NN4_%mLjCye@Rz?l;qkuEzBPSE9eDt4rtl83_CA7WdFY= zwf`|v0{%xn0l?yX4^0)4or2-Tt6;(avgg+dJWhCv!;}dA>k|&xh77t{V2-?!*j|)~ z>cXSghJ*-+J5)>9H>aYZi7A=1UJR56px8MU~4q4C8)=L*h-sF1m2`Q~@> z+-{Rd&qs4~lk>dWgcUI%^hFv;&{gKT7gB>h%F%~HxzuX}{mkJu{^N1qE;Fnab$Z){ zc*k4k!Pnta6IQS(oO{0ZcmkTuKUs`bn=kp6!>Q&~$SI%MCN^e-7HNrIO$)bbVSg^8 z=a4<7>nQli^Ktd=YAucv$}Aj9vbzz-V6HLFV31w~oxdkb+6cS2gZ>T>8Iqe7^u`ukQKIh)iaJw1ueL9wSi+!hZBxFCAI zXC3et60sg7R4Z5BYZ*~Zdl`7p1lNl>)e|GT@082L%_S)q^}ByVe(Ow^pzgd%ZguV1 zN7n84Q^Q(ECItyeJeDRjG3CKk{w$3P$qJN9ZypqLzwL>kn%^YvX)v3{zB{vmPl za=S~~l4zhp0za*ZE?rj1K2uXSLNh*ub(5>Ip^(!n?ql3jHst5d#u=Q(UyL^)ih-04 z{&m7)Qv2&9ITW>Gp$Yz+){A6JD@87&ryF$mTJg)fLf^Z0+_q8Sw==%nX?QXFe$h2r zI}47Mo)Sp&-Xg6UFP0U%kveDRh@RbXY9~bfhbfY9Zkme)O57DRiPCF5^V;o?-^xA; zryu_^CfIE=GDabivSpZ8=VX6aStlx`}-DM~XP*a~2wIqj~3k zyFqP<#n1Eij~g3&j|^Jr9MIX%1U_HV@A0j>7AAGQAZe&XQJ~U5s-SbwNX(3iaFj#q zw&r$IxotQOb+_Vu#oA|vK?eQ!9@W(Bn`z{cy3?dLn{Z!jrCrtMWo1ZuFLmq7!<_u5 zHl=VsBoCV#C{+?y0&ABt)BWwYgdKnCML!9$Rg7#%*WziOjQwfhB6TcBZ-exLV@`qs z-OD7n_0i_j`8yI?$>EZhSp*KTWofum=IjYqU9W2XI1Z}afInU_B|NpEO; zB{|=~m~!N^DOCQ<6#PRALPQEz7H~1<8o!Icf2?uHVtSwA4p7bAIOoX@Pfv;a* z=L_wp~^hsV9p4O^b(t|T3g?EO)nk42;)H?=23z*SV^mP zy?g)B>$M0)t*Djn2xboZbFUU7A{QtK6n-fA5{+kp+ z6tG`in7$x|0H)7TfDw271?&fyPk(pu7hWB>^1F-Y;WMH!;Agt9^9yhWT>0I_-(0?; zzqxz?P1Nr`UTmrd`l7%42uLV^A*g2rCXDw7-CXxb8J$(Din%BMo`>F~ua zOGAZa=d+x1)t_ZR)zlkX1M)3DpTL}7^+ISJmjkVe9uVKp9$*5JO&L@ebg$y?4viR7 zpVNEI_5gY>TnZc+=vw99Cc?onboCR^<`%`2f$*ySR@|`y)`O~mb$x`KdLGbNsKpRr zLTgojKa*rN&`(0hBkXZyq1NiZ`#kjk=!@0>{RxEJf#9+Xlw9-ot!XSSI)?Qbbj)xG zO%{4s`}f^@YGHh_0C)vz*2KYt)aw4Wn_CBNnfV4o17dyocl#$V!BqsA2bA#*8xumQ z|64(~9*A1n0cCMU9wrQ$uK!z$1AMK2k)(=3m8Aq45bqmwG^pX#?@t7ZKrN{0Anpe6 zIN$ofCpOdf(SJ^K3m zInSH2zX8u#xljB{RQBd?(LAsMF}($MIcI!Ub3>Jag5Lgpm)V~*^w1eX4ltuMAd}|v z&vb4zSQn^%Yz89dhk)FUHy#5M5^p*0JCHL&Q7wRprIHj7wef0$4iUBf7HMD5M1!lC z(0c3NeUWMd-7MV&FN2#LT{6J~{GvMA&c)zeFhf_{fxQic*hmTuFaRTrrh{u2?}{0! zH=?G6NIGEn&>%YwV2A5~i2%`gSNl4E-T-z*S%i6LRf7w*^FUh>-vfqWuU&w|ij15Pla76#@j(JkU=NIU<$^03HU! zhe(6gPG2NV!3AFEtOs0~_z1&+KICBCVE%s!ONF5M6MPL6Ko1SkEnpI(fVFyPK^w*f z*8}yw^2AS8bihu{p8MR?#?(YHnjf_q1qWsn?MXy=@i`{)-qfVP-&%61`UJC5`{&-oIWddAnsqwhC%q$J_ z{UiO0Kh7_XnUm77&Zp{E=XQ99d(YL1!`JBWFvjdmeJExbEjX(xf`{6v)t?6mOu0Ve zxtjULFyHkMu2FD#&hqWJ)T0|b4pam0qLxta*<9sY(_WJuk>h4ioY6{@bH(2To8z;; zFH5*clv|@=;^#`rcfju6Q8-m(bHtVwapDZ8biyW&pyKd{Z*a}Ny{c<0tNJuZR2Qzh zjMuTAtHwe;Yp6=SB~za`5rEfLQs3y%72DXHZ_L+e5l0-(?qfpdsK@Hz$5ay0miWb< zOU{Y7*>AR{u*Ubk%Rmy@ODWVA)@G!__jKGcWw#c5>9$sNqXtMeHBvo8Nt>UblCL%q zFyaeF(pO{B-5SxT$0nTiV@0}#O1TfGu~=tU33G11himNzbIx7h-G)2JF>jJaS%l>xkzMbj%>BWHc|=(KER^eH$*DQNl= z)d5^vc@<)!@1CET&y|yr(7* zYi)+u2DWtOzi}LQdrs+Vw<38xE38kkK%@A2HnFGVxB9vG+luoGX2D{D8*Vo-(vx_u z)4O=jz>s`hckG=m(@6%s<7~_Pn3`$TXGgZaj5BwfQtfw>z`Yw%t0;#w_i*|z$9EA;)5mM~weFK8pTJ3lk?cS!qSeJnhShPO9y1}ux~#6X`tPt6)n z^y*RE?$?9|qm(qBsBLS82CYBfQ(Y2KChb&j#9?j_%lkeh6qt$3m>6T!^#vZ7C-d-T zisf!k2Yq8#71fh{DN$}SZoZ5>>!jX?Mgg=^{DUs`X33FX1aDaI=IYI)cHbwk%sjv+*tZ}o5y*M+Wz@6=05p;o2139bj?>d<8 zDHO|_EcX-SP7>>e?PF1M=6S}-@7` zweW1#IFw|puK{h9X@H17)t?a_^k|%O$*)O0BW3hKIy0KG>tvlwgU)E^(cK$CShrb% zbS!?lOyek<_!alo8wMT4W^x)@m{Q7hb?-!R73CQbVOBT2PZ*7<9Ei)86E#r$oWCa* zkvSY1Qi4bKR5W+T(`9U~Ps8I?TwreUB7>=oqv7={fsYuj!75ni3hRTG;gz1dY}ZoJq!S>B~2rt6%Lg2ruxgYbKP9XW3p# z(%F_D{|*^n&We40?0Gw3Zx8;_a+GP{K4*Csui7N)yUFiW}XZE?v6qJ`-dwP4yE`z20L0qv1zt+oPuh z(b-oR^RNXv%WpW$1*SMRx${DzFCSgHc1LI(DrC)gTk83I?oDK3e;rOD+#p`e=V3ML z+S8ue>Dl*#SyR!&&Bbyg*BrRC22UE3*`CwmdVli#UN2_-oNc&|FPE$&VG{FEs)Ny1 zn>+JqN|B~G^+(?Op7*bt+UNL8`X4~sQ`HGgA}ArJa#Tz;hI&_M9jkcBSR#HnHO-{Y z7LDsue`2AIKIk*N%&X&)Lj}Kqsr5xwnrZu}PO^A*{0p8&xq<*8XmWR)f_|Uh5oUx{E zzilPtt3IW4h<=>SyYil{zIK&$?!6~JzU(qrhujFrEAlt-JPq=!Po3ucB0`{)Da2%{ z>qWzCQD4TXBWuZ_Br*N?jJ}i7iL*GePSOF9l;C;U$03H8KaoJDsAzPgz>)r^{uV5W z(}tt|bNS2-3tO4@7cH;=?=MzhaB#8J2^?I^LcqbrLjUc-}Z$7lU?jrPcn%2Jq!l9 zBH{BwMJ$+j2qI=lXa@-&Mj`~r$`C62*8nRp*xV)bmr6wu8XBx1WkwsM%Z1*MO8U}Tq2=g?fLonE?AI%wFibldPk7xeD?Z}+x-nob`88=5HArZU=uO%o=poT$&2AHM+ z^Gh^@MGUzwUJyPZz6TJY07^Yb8t~sBv=Ni#|7s%(T!V}sVUZE>{+j^mFB^bh#~85w zK&v1A>e~Ff-Qu=#7BI>f7iWV^ne7AAc60X_zXs8zo?ACuk+8J5kEkS;f2m|aTx*d9`V1# ze1L}vWGBY}iJ>R}zxnkWLcB1Dik6rhvfuynVg&&qIn;Ox-pzR%DO5r-MF0N#6FV0> zt-#12c1+BF*d>O_S778&MLMdI%>T=L1W+XX1<3p7j>g{%fJlK@cc2F%0Ei$p0~-?= ztf`;#5_h>E*3j2E+~aWlDhWRgevQ${0mVNdnk11 zwd257UmyR04)y4!n$_1&PS2v{C>5AuCLWVUyTWfYl@3*eSGx)3A>)--S14-gqkk_h z55p^+TJ~^OVl={_5}=E^L~6c92N9s0h+)o_#jKjTi25WJ(k4F_p+@%*4G}A7Rx9%- z=)>gs}FLcB+U_Z5Ph&)9i{gr~EYy@Km& z?rg#bFD22;$==qj@fYOE$o#TzZDfIIXRvA20gD;2)zypjFDo5Y9Ty9Z2)g&@Y0qk#qGymkz~|q6zZ7lAIrhICbt-! zVle{whn`5rvCyaw4HaCz99f9Vmq^o>Bt22Q2KV@pif-{xUXWY1geH3IkT!nu$z#nY zaqJPAZsK&IhKxS5vYp7}`d0XMJNxV_%a&p%>r2ibcki`-N~pTen@srdHX+x5s@d5m z=R#GpWh7eb#L;E10>f#SRT%NwwbUm>Y^!vdV&*OQ)m*+N?F3gNFyA>@-t}=QZr5FZ z(i#Qt_eIt9qEvtT{d5P-oRpXcPnx_a%bj&8r-zDxeNW@Y@pXz5asr1b*n&1DTTQk& zYqhtnz$C?kRVP%j>BO69yW&@ur*bXxvzy5IM1L5K*B$j|X?BhBV}F-4UWW^X9QgM| zt=GCRF~66IxIacW|2jE0BJ{}grumq~oQg3w{GGZEx9y30SG<@lhNR4h{S^AC%5~jW zOSNhu2Z7NPKk$i#TIaunYFn8VzjD37+)lJ!9kTa4S*2m^UG1fNO+&R(_fw)?jcBGk zAfC@cK6SXx`%o#S7b^bp?8_H)_nT_WiSLXLa*uuM9BOp##4(o@D=a!w~CZVy}m?go4Eo((jGI^1Up?d zYK(J1NXA}jdYm^UY`h#+o|?)d;k1R<^M-lakJ+_0G$}~Deevb7E6o4NrSDBk2mGPm zMl);mI5G-~jFk<9k9kSdJde2>j! zJpH}*?8~bk`}t5+J4la9k(Yf`E72(p{|TolRW=SQuaft<@?~O0Y)fX!FVfaJ&NQH- zkZaR9Xo>3srfxUM_q5Z9TYMu2opZCZf;!ikk(OqA&nBqlXi+vkk;=Kh?sy&gE$Yr; zQLFt2g_%&cd2;H3$22wuNp6|9Zyun&7S3LK@gjeJIWI=!!%zWM<~lLQOqnpZlj0P% z0(;&Tjsw};uI|@mWLj!pW=26-$Rk#@+@BiFL?ASQ%b569* ztk4dn{;1pxk7vz;1}*SF5ehFfcmTW?A_qXidod3GGY0_VzFx$C#j(^RAlUtnD*#M; z02U45CH@CDxsS>Ly~W1-+p+GW5<^bgFoak6cljv7>mkHDB3R@^y#G$a{*or3qme<$ zSRi|G-WH!3c%Y{w|GGic0qXe;{u@`FD-D=qoQwY(B>*uIw4;te4hnuQZb6hlO+*f@ z0UVsaVgp1QCoJGR>!~pD5M;dItz3lf{|Nj*3`Dm9*zfSMF^Ta1Er#e~u+Mvd@a2ET z249a*iBSR8{jb1Jj2d*~{2GD-7^*bHBZi(~V-gbl%cP(HL_k19$^t5e{`1iPZpw!M z=|wOh%aULc5&d7J#L&b$81Dc0bo_#VB@@ zDHlKp=rgV}Upl0Wx}+Et`F!SnoT^w^ZcP#Z?$r;l7a}Z-GMo9~Lq^t}bGwW5vm_>R z1(`|z7WIzZBOjSTA5q#>YJ$BdJLX`w9$h$QsMFINDMeo8+}pdK=k2OKt@6|S;B&uz zHTCsfuv?FTjUsu5t_2g94N-nXF9=r}fEF6rQ_6?MuSO&>w)J=$aXqYizB%&pV^G9L zV=I#+{o;|yoQyDR^W7d{Kf=Vbr?6%9VCu~>rWnR%KUrR*3^st!(AVV_drSC|q2G>+-~h{*y86^n4hU zahGIrd;AM7i{#+={M5xSJuR?6g=3^rz0tj5MnWycRggugiI#}wd%f>~Eyqx6R=Ka- zA#c>%VW1MbC)kwD;|@!m6Gh8$0?|tGh~et3jY^N=+PCKRY6swl$rERoHR>RV&uCxe1@JDU7PSirw0|@rNefNYw_Ej{I6ZYj6(u;ZF|9C&xt$dCd!)msIY>RZ&I{)lp}<-Gx}*o zavJfPwA%I$McB3*@^e;Xbw>{StVa~2vaYw%a%LZ3-*WQ8$&epRtj>SV>&45rz)v}A z?^$)E)32SYAj%zYPxh!|jzi$U#;;_iDWZ^~e%4erOk6c%X4Gtd9K97M0sd6ysX_CR z)8YZolGdxzwiYv7iEf?_y+!n0asOiT1CkoSZTfnXWFG5-nRxD@QGf2mz@Rz92+^hR zFI{EcHD11ht@C-Q&pDQ4@3kwvMh~avJfQzXUiOptIkIUddlrk<2`m|xSU2;MjIxkZ zNkGR}$!~-GkA#mNP&{UPP&Eh7i{@JL%;Z|#CkoA;vF=39v1nJteiju~_9MqcjnEAF z04b?IU{ZB;OJt9~kp2rJtNAYu z@9*~nBab@Wm0?gQwyX4*c*mtVVJi7TN9IeRb&_L|ab;!fC?4KH%I98E~I`{UImtQ6Pu zR&w$^Nj5-5kaA>$UPNAibL5~VCVJ~e+e~Vv#j7xZk=czEOu5G4=cpx4A5)lli{_R0 zYORq4ktorcgjF)=d>{W%fOGny6H}9yf8z>9SBsW^;V6BYx@z}>i{B6XMsXN(vSMW?_bm|{POz(+ybDIPKZ|!z^nS+BOqq}cR4T!6#h`4y(R*5 zAnDIA63+AWzCU7tf3_9m1Gu!n(Fy=ULI4^>UVHp#FP zdAnkpvy=2!Qp#p5&O;lwM#(InwjK3-PI0v)S7tE}UDk@s5T#!opIu3(#oaemReNg4 zNcqXxw{Shveeh~3r^pqO*EjT!EX7mz(K~VFM%s|9xRd)n<(I9SEnk0-oS|gvPE@*9 zQ*-iRmrHT;&hXr1|H6r`h29e4@qMjyV5bCVVqgs-(0T#5h4 zRWPIZ(sqQ|WkZDU0ke9G=s3ANTb{vaMvr9fn~FY0)fa^8LQ3}RB|HYX7@g@sQaZG# z0<_^KiV?QMDVkG0Voitj?88OupI%#~Mb3U9E3S%~bgceAti5+U*6;g2Y;)Or?@iWa zuWZ?SudHlBg(NOS64|_DZy|eTlo_%@L?nbn*<0#9FV*M!et&+y-~G5B_kI79<8|)y zyk4E>@jA}u@jTco4=M)Q)rUM0e#vPDRZLt?&LYGq6FPP-(tJ545|RP6`;5I?k8vhm z)82eWX+=ILtydf{ac@JR)7mQ-jt*07ecjU`&d8}zuKi}YpGP zaS4OUB~&-`oG=#^47-QnzuYpTQTcjI(QZ-bj*gbhrMy_g@!&uN8opm_ee|Y?)^oBi zm~WlYpCN}q``R426#02V*B1oc6v6Elnk7Z{_n|M3D0n=)fJ|MZwnO?qsK*( zd2XSrIKFRfc;WI?tu?ww<f(>Vkyc7{^J+MWYOqk(wwyL&w|+txaS!>1incN$WTDyho0 z#J!u7CRXq5PGprwC^`7)YRzs%4rtw~Y_%0!U9*`x%^y8Y&aN9RvZ!V5x_&sHFWjKQPDSvv zgj$yW^tKLRFhVn)+VdN4ZWGc8tU zhx8?3G!UjHObc2Nhr#g0KwwmHW)To|7C2VuKY_9SF3^E2fNTl+^aO^7E&+D@?|(9} zp@XkrE@@gYZNRz$7Z3s@dBP&1pdS%t5jY4QFA7D+!Pt=P{3+_A4b#3vr;x!xc}ZYc zM+UqX27lp5WSS=-1GFg#6Nj{PV1{IHaS3pUf!iVQS4s+8{78aLe;JbjB9et+LHD!i zSs?rzdRAyt3VeEz^pJuYVjvVPFkl1c7tlGlmk|eFzD&gbQ$q>rm4*>RU2njgkRTZ{ zIQT$B@{9=s6SxSlt;2yyKam;KFp~kp2oi)5KtF3qRLS6Q5fCO9+$2ee3y4b~Z+yg| zrCu;xFcx!YTMm@F=?QRB0Lz9=DkTL1_kyW`(7M8aKoAZG;4epf2I$pQ7&k+<2M2aJs7U(HBL2#AS@GK0kd+5?LRE*XTb2tMWi*%QzOL`9`Q zK)oY$GAP{{SkK#YFyzJm^@aV9FYn)I_20LV$Pa8}1YM+g1Q{y_yqY957~uSeL+~YB z3RH0@4;=r9DGLklxogBB@2i>LMkOgU%sv=mQ(z(;)J^S`;^V+cz(C`#bYdkh+wobV zyx7iO6>v*T^W5;6lp82mUmZOR7OC$kP2NB5HNSDylMmms{oBR5uO}N(RqYa6|6BEnUAMG;}CC{9R2bxqE1V6wJu(_6NfPE?sKYOQ4$fh}9 zrWyFz_p{cgqgtM2hq5iUZ*y4J#M8qPqrBK6BLi;cKzf=Z;TCn)I@NqP7j96(Zzn$H z8!mbJs8<`oD>E{;w_=3&&Kuk5wMHB4z&5w`CVhJhdwX<25AkZS;a#%^-|N&x9S4Nb zLN8P9H(n->@lne0AK#3q23Jm!U|XCSjJBfRxif-|KOwvotG*1JoXv2NzFMtMmCCGw3Kr)nqMbdO;QcUqo!yGDtagvbOp~6? zSPE4{=B)UH7aP+qNpTJTF0s?-#k+GSftL>2jlze zw>4+7?or%suV>!>cDk9&-!qS0vfyE#W40T*Da>fzryNF0 z5^)@Vh~OsN7kbn!-~46DG2-My!HjnIX$Xnwb2`OGigR9YlNiplsF6$)7>9nk1o;sT z>&xe6nr5+2uTR&+uzjkevcY)zmL;3RH;u`V)eb#+U$ugH-XPicM%$6DyQx*cHF`Ug zJ=)!jyo&L>+d3~(9kHpH?d9baEiJKKD4{><};6qwIEZwS6vZn~dIM zc5lv-Bfr=|d^?6Fwomn~-?WpH8_2yim%YCCFeJkr}PTOGUfm<>w|ZGR-Jt#(M7N=XPjM=un?b)!92`KhpGzsT0Kxh`AVh*sFn` zp5Pyka9G!yaKMb4%}jZRwVF@2L9E~Ww2?@C%D(u-xOXC9*{>QfQw>Qu(f_0zxBsktx0CkuT;Gv;PCryJVn}N7WTY~k74}@H7vY@dBDWlFQR?MR?^fu4 z>U-};y2@KUAb(a;SL#?e?`F8{hS@3CwCna1!T6}Z;Y(<|p9|96l|#?`)$L;LT&N&oGg^!=ZhV{mWHDeM zuCn|xfKo>7#NTDce?Rno{Ekx7h>2^#bBcJK!kv}6M%U?HR2G#HL-KQ>TQ9cPCDaCd zJ0^}_R%c&Na>2`0)Vcd%oXEIdf8dup#`C)ft34++58%lXn-H4%yhSTooHKMWrBK+c zvhcc&T>*$1G4qM&l(m9cj>zbd;T=b*?=YjoVBxP9>xp}QOo@W$D_Kb|_G~^u-ZSYv zUISMjDPH-d_QKW8hp#_95xy1tEWp4UJ#)1?%yX44p+WtyWc9ntN2%C8VGO+ymQ@Zn z&61AsyO6pkvF0Z3J@J#1z~TjZ=ck+>@19sTvu~?yEL&USHge~<-O0lAC3zNG5{nUA z5wrhY*~d93p=8s((nUfRoADf*ydP^9snwAI-zb*%;9C0fE&~3Wt?pl%9USsKCgDOC zfdkX{2{9u#I9dOqXC!`Gph3#-GYbfR(KCQ5G+zT|Y9Im^`jZF01WW*h5B{667%BWw z3gLfPi2+XFx90#5{wRg;OT+@Q)+I8*kQo}1htWX`ZwP?`q6zbYNc1rbq0kRRI1rT< zEEFPX0_gCcE`%^>PYZ^C@{3@G&<-XR3>wx3k5{dLE)qh7r3z*1z#c(v#y~|Ak|x1{ z0(D_d5UUANRl0_W0rBg>#QuQ>es2QPg?7lXu%Qh-m@|}Y3e$u#^kMQ)yg4vvXq$mP zJy+RH;emKwqoewVYf0M#yYwT(b7ktN8zFoJnN^VVQg{3j&J zP>V6l4JuU!cMm(^cyiEf6POeDeG*Sev>^^tm?zY42^0##y8y+$U<$hl)eVtpLN$xP z5T9!XTKtZj-PkBl&GgJcr&^sQi0pe*>q301hl$bsAk%0k~S z+eotpqJ!UMb23Qz{Xb-F|I74RYZxCS;0eRObbS{6r@iE#7LtD}MgLNyej7+2iQ7Oa zMuHTeE<#LR#0a2-0vH8A357F5Me~e?kR#(pXt26tX{J}UGefljGY|fIQ+h|I!PFIu z({qOF>{fBh*8WVic_dd7MO>Umt}TA{qDTPZNL8Etm4r3owPJr7`6iWmtne(_ri))5O1ou(wW0~y+x0!E<)-oRA(E^ zOElVgBoEcRNY3In2YyyVqdP%{GX$U15T*)oqe>~d(Tn93#Q|v3V#_kxNjFhUe-Nyu zED64KIYzAw1)tY&tJ3;AjXCp;VdwFIBu-ulAt{3U8jOA8+MBuLrkXZzpKILjiiamw z9vU{KBgp&v1H7(Ps_=g=CvO5!{N=>gSj1V}39iZz_gCwPN9f%j81hoi0u#NQF{Rbi zORwaN&M903rhI=kH9=y?r9kFnw|Y?0_l{B4>e{aCr`x5(Z7)$(sp}QkC7C5+cR#Rf zx03O0c&BNwRE|<|7)LU4u#lql=qL;Jbm(0t96{JKEZzNpg@{}4_d?kz-Q_I*I)h-$ zwvQdZc+4xLhz~S}GmP_4pmbA>NiOS{ zdO#-mnQR#HnK#Dy_t>7QCI{(IJqadB6$p+e^|I$6XXs8LJG=hnmPhNV_e~q~d8t>< z-xUximYIPC*S;*@!@naO_t7*t*?H0{OlQsbgo|~BFo}3>w-Cw16_xI1e|LhN$0xB%BHTKW!#-rKBzs%7Q&GEiDh?*-G zF!xVycwc)w#mz!_ot;McHctaiNqK)s{7E7$LJd_?HG;Sq?ZPPPflTHF0X|OZ&O3y6 zd9(O)GAl1{o2kY?ltbCOh5;PJVa0B?)YPcX;x$ z?mQJ@G3I^t=Jw28`g&r8TL__SC(bek5;lS>7OiRTRYqJAJn15xNfHD;`aeoYNxAU4 zNr6zQng$Uov$8@Mtab18?E4}lyAWnyYz4oWF;sg!6Ov;|Qi;-pu?9{}VR7Z2Pjx+f zjd{jxVnxV`CudHum}6XDaO3q*72!B%c2@e7=HoZoA#W!yRHE(#THrG?D?5a89;mIC-1wX1%I4FqLk*o zEQ#=tHzA$}=C2se0|uDdlYa=>z6q&SIVnW6Zrc=T7`N?TwdLjDqA$mIi(T2~CsB!( zbo23HNzG=I6aAdY&l)~w0sYB~rTbs5Ey<14iH`XRaz3FvR=YcbeY+1kxQi$uFzoH| z`R4Y!%+DU}Rt`6ckL|=OWpA#H&9rrAB6#|KV&=&`_+Z6JTm`dT_e1LQBn{E6xW$wSq0el>rl?qrmE|24L+a7O=8 z2!Ltfx48%Y2YU-ucz{z|u7Ow%vLgd*AjEZq7?A!AaJ&K1!Oy^_3C9T>N2e~}ILZ~m zl7pI^z;UGQ1tYjb&H?ATXaYb^bsI(j;G>s@fO%cmZwDQ?C{*bTj-tyyoD^WBNZjtf z4l7ZJ)dielmtOS$m0<%ipqBwKf=g!~&{nz&j0+MC2FLoP^db_5k9`SF1bO(bFn%a1 z;(rQjyIywu&=tnT@xP!d;D12If58c&qHqDo03R3))?9(n;QyG*>;?mH!apW~KY{H; zg^;MlU1xl9C`}JWh>Sf4Ci?F(B9ao&4lV#xrh*1Y{|}h}Npk5y`CrSBXo!VW1Vd5r zsh|ygfVueH0fbD)0QV}uLGKzKIfc-rujRk%ia_>aKz&^CCLo2PfUV}gdlnW4@%zR= zxM54f|M|t1)^Nx$faypCs{Ia79moHj1uR99P`)cZIk}M3f1^df4{=!?Sdm1bS_Pmh zeGZ0^ll*%z-~b4Uz#yT54m@Ba|Gk>P#sYv8NXRN=9s=rjIbf3kJ7O3rJ|!gS3SL3a zUpVsrk5H8V)=mD2sr(m;vQ3H(peP^X;9jzvL9t#id<2h$%4SFMTmdGnj7D~MnMZ|U zD7z4~n-fXCN-Bi0^*$i2Ek%99LF0&QaCLNe$$E5n&-2c0o%VBw(2gLYAaAT+gcxhw z;X&Vc*speVBp9hg?7ZIZnqAEbq?%pa?iw0j{N^_xtCT<*ey^~spXM6Lt`cnl@fB~; zUAeRBiatb(cActF5!yM`Y)i(bD$RSXTs3bq=giGn57OnP2^KOmm;$_rBq`Rs(H0^9 zYjfjlD?X~=-3x&vCF_n?Q)P_a+*k?rR!q^1IYGwftB?~>CK^sJ+Z&N@;tdQBl*j!5pXprYG&&mgP5 zj(BAy)^Xj(Lektku@<;)C?qKLGt}4W2H}oGiFWEjuYWd&+RJNknl}3lud?!d-{<1< z5h7P=l_*qj_+?-A#KPx^5L2mS3(j->D&i-1KWFGsi?luI#BP2Yiq~>uq)E^>pMd;V z^w zX<69F!^gthDlZ-@9!*}={^IMN>s9r% z?XGZGx8Pe&Sr!)4gS&S>)`T`-q}??%&y!I3%i`0#DtIE?l_&J=57L;J*@l(@qPSR2^e{HP8FV93~pId=;+VC|j~Yefq(`W&uT2 zwxH&UXQIeQlcPAC33m_;P9gZFrC3=#w5}bX4vBVnTj4dHMWlV^L7fID>k(OEIGyeMM%ax5n>V#{ou$R&gdjqu`GK*i_cLs zUOh^F&DnqW2}e+nk=~~Bkf<&L(ewVhzn~9@g|{>jDJqHjGI=`!DYF|Xb#nQVe20Au z&eL-XPIw(3&eE4c*6frg)E`zk+O|G$<>GeEhtLGA^>U@U%itH(I7?LiEER8@R&Ttb zGqFh3rPq;qTK@K?Q_@PPT(Hkdqt6iL%DcO3lrcGd-kd{q4YAveL1Lrz5BFNV%0@At zAz}*Wi28hr7Uaq0K7{lUpm#gN6lC~8ay@buq1{z4N|k}6b2r5J+WxMm!n{*#~dl5MSZ~(6!E~I z^~}rH@8?*Q6Jx?a6wNTj!1un~IG$?3?;}rg+}qsX{(ZM81bL~~hM~Fmh=-2eHy6mh z{ZQ`9yQbWtp>fiTrQinSh=j3C~2DL`cH07@^jf zzen3|P$o2tJXQdx@d~6A3H)c2E}@G6eF>dA{(&@ruk1gVODd@L4*1j-gZl0OUBuJr zuX-Vt<_EM3s>-8hEu$CqT+mOWC;cHb=B*;pf8f z?#Lx+vxPLX>qDGYnjc~bDt72D;e4j*xJ8&f#+srQgaS7qdYirn@>s9pu&Lf>uxZw& zCgty5A!Te5Bwo*W<`=Vm+br`J3$is3UR3e8 z52PmfOJ7-sylfK;s=GCK|8OnI$3Tv1m%P+RTE{wL`Qv&~__f-eLJtYdhRCT>G0a=Z z@3N-J(*rcQ4Hrrft9UB~J5Ia0wsCvsg28TQ7v?7&Eg7YezY2)I_u1yq&SDusR+-HB^oWe4{a@ zWP?uBUxL2vJ6r~F2hDWQ$LUo~CPg8=YO1A2NlSeEVlPQGu7x=?O;E>^)BH52=y*tZ z$-8X1O7p}(o0-P&+HNZG^~VN%8B2y}JcxbE=hqJW4e1S;?>TBuyh(S~DOFsecqW!d zdOdAIZ{HOjKYtrUaa9-G6fRQqXUFJSXVEk9-ZSgRJ#_bZIev2OI1k&|>$WioFUwnedBG(7j2H18 zefx8k|L3-^2eLbIlCAzm3Yp1;+fjMmH5_X$mE6X3_+}fq%>}*HR=;$XS8>;*DyR}$ z^5W~ad7QswIAsRmRtChWA*RAn1U_|h-|FKZe%IcoL$t7rYaMePx>9M`j)^)=J~66E z+_{?pyWJvn`-p#2l+I^lDSyPSLUIJL_Q^)1T37yEwc@p-TkJHCswQLS`R4t{zjryX z9Ff9ZpFP<%f>FCN$PI)yR_E}uF3@g$RE6Qa#IAS1_O`)!;Pb>bBQ)8VW?{X7OVf29&9*N!vEoyCMdyWA;9Z~UD)Psi>=bER z-P}YmKaDjwMT{94#l>a_v(fY2U*3E?KKCgHff5kzms4AZ?# z9OVjVCLh@#Q4~>m8#VrkO#?&MPA8%3Z@90z)%73lgX2z8XC4Q0H}3qrep`h{+)!Bb zDQg!@mwQ6nQ3pR`W!>W-#OB6GJKr)qnZ1>-{&0d)XQLVMQcvz?59x4`RckKMX#Lhb z#@m%yn{W|Hd(oDcPs(B^cx^tsHuW2u+a?=$a7O=ZNPz?Q%6E~Iv+O+jBY7pho1_QJ zm1*jvk(gpN*Ykn~s)HDf91{#_uM`rVqHJPp79B2LNqKgYF$Gtf{bYjvHsi!yZI8{a zV+AAIeYOWjF$gu)aH+|TylsJ>?Jo$jo_mVfUY+H&iTK4BZ(AIAeYW+rd_gkqBZr>x zLyfS>?)6FaC4K!7LbgTv&Nmm&T_2Lo7>t(A`C?k8`iUT#%=+9v#@e6~JDR(@D(30q zP&^mNnJB1Z+L};$IMOj%5aPrlM{y=Gd zkbewl<6#3m8Y`q|#k#s|W<1YnP8=|_(jt~A#3|V`ioIzb>S89_<1E@4#(z|ri4~ZsWiP}uCd^W8jnRm7wW*am#yg_MLg)kpcj*^8w4Bs0#I z-M&8iv74!Y84=#wn^sqEcwHwN5%^XW`Q!}t?Jd(|M8Hmj<##0kX03}2{RyEoBBB6J z_B0>pwY7uN)Z$kG{4SNJ$qmC-w|+K zcgyPhNUE7z@{7E{^==@Aq2mjK!<$6g&TB1igIc~mJgOTdqn*-e9L?}s{gvcuX=?vV z%9+_Th7*z9_;5*}-|!l>adgePJ1wKA-uKyWCNJ%Ru19^+%dM^+3-6N44Y^oWsj(K^ zvpZiurAIgY9gmlp+o+7=U?#Y)BPf<>F@?7xE*>`DN;c5!;8CYYRP35tq}o=a zx)IK}KHepJ*!HfhS^A8Ee6+`9P-t~FWXJPWv-D3d1r*c?`+#L^GZ{<0?j5bzp{$$K zKMsmhBZAtplt*r_zZB-)oFkIrWnSOJXnk?=!^xyiG+IIM&Gg_Zll|`25ij4?w|7{D ztR7E0G-CW{7qXR-rtl;CK6;=oD1Gtq5T(jo)YvmU|ApRVY~uab_6KO3eqRhy!hiFB z{oDR04zU~&{DqqY}TaWxP_6}7J%ui5q6055ST zAx4JvpbB^5T4K?!m-W~bJSEF5>Szt?cmb01qq`%+Ti@=yXen@=)W!M093GM_tIbX# ziItIu5v7hx?i=k!o$o30^tz{1hFS9api}$c7g^e4m};WkFc%ifs>5n~Y6k=*Uc>PC zlK}tR>1_8Op{liNPk;HJ&ixFyi=plCRQ-xfW^M;H#)Gzk`h!DH`{-E#{tBJ9CSC0_ zQ8~{>(3Go+sEjvmZdhL0bdK9ozp0t{k9IDdGc)V;_kR_+KV(+os!6+M6xKC zPYJGANxW?1rcz$V=bstO@rkrsJlJs;>w--co#fGsYK^`JItNil)vb!rYEHRov=Ncj zQ~1Z}VgZ(s!SeZ}L^D&14~Vk)y~)uGY%T0H8iHCJGM}FGUv9&F7#Rpb)EAcg0)mj^ z8zr0wqHuZnGWUCC#X1YR{l>f0fCIPr`t?!DeYk4)@`;5mLt(o##@*JNLnd|^?29lI zwZhNWHTH*T?-~n-qlgYFjtH5FP|2)kmsGd8m}&1J`hLV*xyEQRY=-c@Bk=W0w%;`_sNb~MFZP1smE&b!ccwzcjb70o^jJ{vuW zsY~Zv^s;e6)UvYkZ@;)ft@-&LXU{h?x2gR-w13ps_%W6>zp*~AYUOH=RaSxNsw?T} z!G^h+J8Q`WdTtj}e4j@Q&bF=xuN(9We|g1Ocadg4#$Y!~fbvMj)s@NlS-{Duz3_v8yC}9 zTV51>Z0F#{7RO&n=YpTvMKs|E_}8SZpDms_S9$eoKrFh8vCH(6_R@ieYi~y8+^tY# zrR*%kDrFW=#+zXKxGtWO%ilV;CTHkqKDd}srPU-qqMQ)B$#rq(eb2K>l3GQylr;0K zDl-8GdS5tod@`E~YAx0)RHD1_KzZo~AK_g1xB%A3h5!3&o)WNcATK8VwT}q{Ru5#T zq`wFUNHrZM4;alQGXdQ3l5CeK76u1EL&B^-KvSqB7A64^Yym*%Nfx-pmwp1U0GE#w z+2HXnf>Z*kIRr&2azNVcIB+9>`52K49*N^Y7h;fZ9%%7-JWK(|pXyBVb{VVrriuz7OqKju^LOMNNO8JZLh#AT#(fCZdvgB)| zkGnSh3XcCwo+?m@<5l^BB@X`OYW!H2RBh1b@q~h+0~}3aMW&7*J<>2XSF$3cBVj%= z(rgJ{bwJ(Xy5zAydr$3qx(MJ$n3{tB#5)lOozLQt66JCNH$pTg-vcoZi~M!_sqW{% z(joR;v*M;FZuphepY=SxE+~Xvjf>QunAyaA?f$@qaw*ivqbApn+uUNEwQ554iiEao zM|jMVBUM>@{pL3Yt@KAWG}Y~jl5NA7>7bghoJKlcE4Kku1)?>+kH8iH&jC{ zZyy9^Wx1#Y(9D*cw-KOr2-_ZE864bAqAoPQ#u8gSf|RYi^rfASB0)ugfXhE{|JiGw596f72!j_ z4N+Nbh`(y&bz@We_y*p5YrZn;u87o&n5;WmR4u7^AK$3nTuu4>O3F7g;~;oCHGcnx zmF%agdu7{19}x0*I8jZm=cbKjOQY;*u}!7Y^D&Rh^7yB&AIgwP;+{~J7s_tpEF&a* zr3QJ?o1Wuac+k_r`An=bI&f83^9pcnOQi1e6Ex&=x<=QVh2aD;r+mm)xX(c9h<{~* zGJ4yL6-_hm`t=z9;ukDQMHZM;?ipjfT8a;woeRxgm!~3512(U7tYbLa>XE2!dg)!G zc7B(#Pe1e!A7!}7#c-L+sw8t+h`;5!CHJt|N!59#kgX7=|2;10m{%i*=UYBEZPd=j za3%7^Udc+)9glHB7cmb?0`K{pe#w-LYO2EeeqZ{&M{d(90uLJfMSFGcna*-Jm*|SP zmumgRtD{8-p>CbrY?7$7z!@#TIdq?q%I)yBVMp-VO};t?feTi#jGk+I+L_E83}5?A zKG;t*D{hV@K?M!{N*ezAF6odPo|;|ceJ2O{O*$p>=`76DdsU@tlKl&7%|~a%c_Y_l z%*VdjWbm7%dMUXk-%Ax`YOTp`4xCIE|7CK5_EO-z1ETn)?N?7r>=iSO38%#Hxpx5x zS;}mf(z&*-S4if=t1(9M&dFN3Od>+w zJ)l%4HTpOA5PO^?5by@-sUX?ilgAC+mX zBDt~|K;3v+jxBP;RLKY#NRpC6k%G8HP+U6J-@RV=lELZUdp)o|s>8U@zy{PM3S)vI z(_ya>0FRG66adET^0M(#_=97EOZ{en0$G2tY5<*oSy));&mA`am;X)q?Z5zb(m$9t z;meTSph4k3VPJvqryXJV-*z~D2a*MaF8OGXrT@f=1;X!ZP2`5Ts{t;hCG)}I0dQ|H zs8SAaSrTP{^MkAa;LkcT0G{nTQj${ugqgXS01MY&2p)a2K*l8uPAeNoLB$}ABL@}* znUnzOXAVdcDuo$9Au6ESr(94C_6%gy=7Ef)O+YDnNh1ky+`kbWSg(h?v|R{<%k6c!D!Qe$ae^0TJtU|>S_&p?ll zYe1$*85q=!S|H(tWKw3jeRP{jWtN@a35&(-`HO9CG$r^ys7CZ-a;e3El^pb4V zuM#xy^dY#vjmsheI0GaBt6mjI`xy^h@^aq&N#dynNm2=*7-Ea`8iZa08vdF98V)fB z4S%eGJp{2%07bJQ)Km+L0O*ewFBin!ut=zOjqnf0>A?$7_>BN49480>dkGT&X861n76HKoL7KpG zkcMOqgCg2M8m15~3GyWeP(Ch54OsvgUgTdGJAe@Q1E~bA2LCrM34eG?ffu6h2SQ4K z5-LNLS*b%QQbJz_hke6Ym%iUOJKODY6BiM&B*s0GhO&?-pxg@ zR1UPb->q`JpuT@Ik7`@Zr3oe!PI#*(>y?)|p-L0_hu()JVH65cpIB9v-6vwB=7%1z znSyU5Z+=&hg9c2kx{4Xip3L+E9WO9OM{P4f)WI?fm5jo(ZdrXI1%>hqRrfM6^aZMR=^cW6t? zQq3a5&$kD^;IV+3v2;MO`1)7xs&Q#SY|5>2##aX>$uEeq=FV*~ z`24(#B4rfU79zLbe8W2DeaXAWw{x6QGK;m7u@I#3){~}`&ZXkj#OHD`-HG=u9@iXE@7_CK(HSDP#|U zSa*Yb&tQSYY(x9F#4daDu3<-ixc z>Mu1q$vz+8=~u{e{Bhllc%l^t$SaXn1!wvW(A_X00v#O&FGN+S(J*TRrux z0?q6Ec1?D+c{oIx63g2$v$t` z+%7;ER+&}DZE#y=*Ns(2!ZIeutiP8kw}^=KwObEOk^rBmT{HX|(#la==( z8#2W%(+=`&_;G7FP}#l<-$5&t<+W!p?4+++XQxEkXZjbf2D7#J#z8A0>*(6@MnJUy zw7WlAfjae+JpAB9eVOrgK#(y6RX1xiW zg-E+zZSpx~fDKivW08e4uc#GeGU1#QoY%jd@$uX-CVY%S_JNH!JY>^KB<5^b^oy&r zQonzwy@GYr>gurvhi$G}SYDCs-M}Pg6WNjAqHgk3j&j^*G098EZoJTm>`=Ll;;VgC zL9w{%w({}Mx6qlf#e992UPscUrRi(px|Cn@7E>c|kJ(eoY=v+0+t(scE;h4Uep}Q4 zpZHzC|AK_t1OCd(^Z#Gk3DVOW8S4>w++V6EK0UDa#v*{C|Br$Js3w2w9)Hymz?%Oz z<&Qc6$OV6=i2PMc07KB^d>r2%M*2JU0wlT42#`V-Fma$?%>YX8)(61y zSfk+Fm3|G*q{Y$SXB`Zp><5R?i!qQf(ho8&^@`E~aKvehgS5~=kj6Fv3h&gDz#!{4 zpzy^6$jGh5#)0UDK%?&`!Q;0fP-6+HX7mk%8tzlSo5z9jM!-?3G7U1!M?nVTdmssq zfx;(93GXdXLdIucM$pPz(DLJ1kd`?P%H8+?B)rWL&BV&@|}7>JxYb1nPLuo%i4fty@PvBKgg=Wk>A>c`#k8QT@~0WL$Wbd zA(fBdbYHY1GlJ+BU=NW_{#{AHli_Fqb_3Md^YwCYN(*BPJJ76x#Hzd}FsQiG^EMS9)g9adTNPYo?Aq1&ME~0H z(QW?6yI5Hhw>nWx1{`|{PvE$9okR~17krP{s5N=t$CotuI)tBnKitiHW%tUuIoDOC z>=qV=GuxEsCmxDM#Vl|1s@n5aG^;zhE;7i_Arp;El}pR(y~ zDMLinO03&H)pqt>7}(n5Skti97{B9NW!$@qnj}W*XzEuX#h&mG&!iL{aemCZ=bPgE zk?*)PS?eynbJ70Lb5z?N^~WURb*YI%`7Agq2H)c3xW`zi-JWXZ`$ z%bum#m=NGR_d@V3yD?_SkqEd;8`KjrE2ea=V|23|kHGT0b6es`(4{?9@$(a%D+6nT zTVPxR==vT@*N0jqD0hsKvlV(rp6i9q1*S8YVcf?Joi=J5q_&)DyXu1fE&#V=nmIzD zh>}~rJOpv3xE|kwJFrxu<+Sg>y{<6=4Bc z`6ygupnsMm%uV#!#q^mVaOqcA@ZIwnaZLwvT9thyd@YPcJSqCcppGaHtN(k} ztBu!^9$mZl`bkmyo|aH)^U-_0e0-M&8Z=P?l3oK-q7P8HE^b8!g)F>`$8`>G>a4P` zrb7|X8;*=d7&6CCfSgqKcsQUYIL@UX)r@0QR1^Js9k=*7HPZ6lq~9FwY+b& zb7YR%c)85b|mDema-ikyK8`D5kO&F;4d3M-kk%cQ{71s`gA&zC-+X zW~))3xOnqwmBWPW@DbNsPBR1I%nte@8rvVz(r!r3 zo=i@HkQTgHw{D15!Kf#Ev0y0>}(Qr5OwMJY3j_!br5XDeHj>QAkF zGPj(8f{H8)bsm4j^BIOmEY3IFs*({#f31H@;w?C z9~~K<(XDuVJ{y1Q6Q$-RJF_?47rp(sU8Tp*3DTH#JQ5!~y1;IG(2n(2aRD>}e-)SC z#0~#eT)>ffyrBr1|S04^sYULrCHTq+0YZ;)vfP_zR6Qh6GQLOxeJPk3t;q8b|`Z061W3 z76Ptq;*wH;HE?$pn1eRU>DeHwuP{Pz9w(DL)npKs6cRwT^ta9>4-lBhf9fzop|QA_ zNaH^lbh-mG1U%e;>;bqz!jU8aNLnIszjVI1JMK--7u zKnmPg*yQ4X??4hP7?KkZ_ysVF1BMDH5g%|ZAe&_tcNBAQf&)$t2~i0tF=sGD2?w~O z^9{H&n4gG)DD-R}=0G8aTqp2bAsHsXM8uHG1c)mZV2mvGLL!nkgoKOy6Ldzey^9qo^2|2_Qho&xbKW&q~3sQ-27vghs!^{->y@1mLv* zw3UB~CdL6e4{*~$2Higb4ws?lMA&423mvQ%xZnXS5W>u&U}8WOU&Ht_gu*Q_1nOg8 zS!3RYVUdY|5dcRPKve>!Ex{}be$e0j$2rv(7MSs<%K@v$*B#)% z$aV?_fP6v8kUS?M;1v=^jsy@(ivUjc8goFv;X{Xu1%>VcQjKF&5MtG;>A#u3`a1bS41pF#MF>SA0gitNbUeE#>^?Ox#b*U|8_+s2V@#>@Lq_3B@;v1qJTSy2ee zux)|)s_G@S9G$4J@Ff8U{7+pmAwUcN&zj)B0S>0vWmHUWTU=U5fEY~Lr0K48Wr~?JsDLX4I&%jLilik$zA*oRVf>%$f&cVs`NIH;CL#(Y&Y@C3 zGJfP&5a0iYkYrB*e zgL~1d&THpuGpmCqd*PDx_d>IuKFAJu;QavQocp1;za(yUX8>z1D=CIl$(RU1EKg`=3v!*+>z$xC%%f3kAG3`cf~WnbAu(fzoECGgYEv70B)% zxka1m)<&$FENWqK*S7yvW#78I-stjM7HZ$&=y{I;AypE#(S+CB5v3o#X^P%kau)C` z+%sQ!d{0hrzii8j)1uhQTz;$0xymXqu#`^29&4=r>8W?>O689FVnJMyU8L(A0#|v1 z4fmEJ#H3 zCXsJJ<6kivn8vQ?Q;2pXgoo!8y{e@Dfr)LdOC1FF--eXpP{qQ%#GWy+&>N+fh;^nv zwP)}`?=74mY}Iw&wWO;UdHir(1K~KbG2Yp6KFJo*G7%demsGNVkIFJwm~vME6PNrE zdX1!V*cg!`+G#a>=}r!Lyt9BYu*TdRXYlrbdJ4jtxi@dE7zVieNVJILKQLM!k3I==Jw$)bj>w1^N%6 zvrw4t&-NCAU2a@L+<7^SE`l^eU5XY(x5QU+3I^r^PfL>Xvv@zVr+kw|^Ef9~QSP|y zIF%|P#5G2zmbHb$KLPg-5sT* zX*BE-*>gx6P!OqTlSSo_9U2w?RYrtU`a-?jaj`qpJnNUtX*e_e5sJ}02C}-2$8t&} zL3hN>QxYU=AQpr6m-r-Shlq137E6@JodvbzC_|RmB-bRY*d%*NV~aO#`F7OBwk0ri zq1`F@zi4~wuqwNzeVC3-H_|2DjdXWNNS6pmw=|m)kVe!^3o3}TfHVk5NJ$IQh)9=6 z3COoL==11(zwhsh4Tb7sytH`21j-b?dwy=%2;%>2#aoXOUOE{{GI z6Pi)UgGJ+jyH=p#rkvg+Ze*)>Gx#pqMDo&6Jxe7`O=rrU#Pm=&gmT2i-gq)qu2QWs zD3y8p>tWXLM`OOx0aNIfrY*bqx5M?8A^MhYX9&00r+-lK8}P6+scre4tQ045sx&5b zP{<#C&1>0w#u|T{ghx~)OfKwAgk&ynKW-z@3u&Td%Z%%$AC5v<+~!yjOS7Jr*k4F- zF;ODF2$Ij7T$Pv&wU1|Qu5d0LE+43~o%`5+IO|(>=T2Rk$=v`d-=VG( zS@OI-Te3e@p+Oze$kB{6x}DD9jKupo`7%TAXtumkdKsiUMm8$F%Q9{0HJ*O>QS$NlXyj(U z4*5~#@Q<_MJpG(%X0@2Lz4Ztf{ZwmD&w!o4&0wZ&Tv$eS~1@F93K5Ex)93!ypDVzWk!VcmQ;#B9}W0gN7|0c<7f0 z5JD7qa*GHsn?zutlL`VC1>Qym-e(Bjm>g~%gA(7cBVhA}qJz^f!2+j0SBoNjX-yLX z&x04dH-MrJTmldWWO6!>QK+v>6A=suFKhz~p1(PT0pgclxELh-z_=3zRC4_MqTGV; zzrZjAmYM^E7PbY%L7}%tHt6sPmn8-qazenM|4sM@cw~T8YmI?J3A-SM2*Yk~0l`ob z2qxC0X~YNk0D%Xy^9;Nh-FZ~Zuo)5vK5X(i1Rn|h8pCt0@E{OEV!_MCK>uY{2k#p< zjQ$7c<It z_GQAE!k@P4{|<8hX|4Wg(f(15h6JGRMIIayBworzSwX7r%c@sjkJqSYAQ9Q$SV>9pI zRo)USTdUKo-{c;)PkQkair-vi%G16}EOz4P{mqp`^yR?n(&~Y?x91ZS+5ddpwy()6*1B zu{Ijo!7G%9_&^TJ3^yh+ClKcg8~y~swSK0Hj~*$eC@`RoOLvS{w%uO6D~ zX`Uq?6O-!_Dq-@UeLfu?LhI<0>yDr%kkI4tHZc-SYYGzgl+td;u3-OmO^(07RoqlW z$ce*8Kre{}>g28Ph=;RY{QM5>lm$pu9p>N+vQ1*#9TLrqu36=-6WUOaDo8Q?eAHYJ zRyr8^R?%lpQ0T)2r@PtR?q(#LnV7SKfeh7?M|96=SY-qlg;>LNwJE>5e0j69VpqL@ z&Cr6E-{30j$M>z`$(5?mJ_1y~(HHtYRE#GwEG{wCdRP zgh~_0t)=f}DEACr-&)Ml(zhcjqiXoFvmE-^s9k37U|-BCUiZS>F}ao-BR*sylBa}> zX0LkM*##=sOttw)>0V|(B`68$u(|%p%f9VxF?t}wS7K(A^3%;`*psN97D8Q*TMN-1 zp|j1XmK9|+4{#(6$|&hi+%H`C1$5Tf>^{j|FRS4WX>De4Uv>O;!7far01 zy;WtPxBK!5C0)$ehpltwv&4(QV&wcLNjei z$E#u|rX(MJuXux0zu@hR*AdJeF9E^35oO>$kE?)nmM%v@@p*0v&FMI_dX2|^eYwvp zF7`vC3+2SRq&PoL zP{4lHK_5_P7C4y>O@Lg3$tzq_&Dif|OY+nabzEP(JtwntJ)DnxW$c$ntSC*&FV z_pe@G(9Pg|<0Rb2R7uGoR$fX*!Nj0R<$Qxl@)4QPNasbp?p*tbCngC#lX;q87{B8< z@(?Qy6iq}|^!7-8Q`Nn;HzP-SjB;vKji)bMsHBPvkv`=mt|9&Gc(@-zCDXgK(fn-x zxw`u6=3L9FhQPAMzNPKN>!CW~PxWdM42??{EqEhx41K=!_^PNk_(j|)#~$yNqn>>r zxZA$1zQ55nVdiVf@$TXXp~2UbIvf_S=@y( z${f5|N8H8ZxX-~Cp7hC?*EWhvX6MK@=l9V*-Q{v7nz;A5#IDaWlI#X%qR4fT+Mm(3 zLo{tl+Ln}JTao#66pD#z=iT1lT@(i1-YUANMjP^yZR<09K4>ZVGSs2ag6X}ky^U}w z)vDNnqq(@@^CVODV~%@PSr{D5k0hsR3uvXxCod|348qt^^|fM#Yz{09_gd#~?d^*| zgH4v)Oxd=0UKfuZiTe5M;iAKW+B`-NyZk;VbPw$oGLciF!`z^&hO%i7nJ@ys8jH%{ z;-BKNnlw^fq1l44y$@Kw&}>0qlK|}aZ<|&CHcSXl2>)f%LgCQfW!znm{}%`?2r#r? zBfmgs!K-XTz!ZPkj|XJ&3G)96$qQa`!@x&?$$7OGztuDehHVY1j@a1RYNp&XNM8 z;b5F7=(u+&%}fRnO0{kP0cI&Q3_M|=^#w1DDA2=F2+-;B0ZVrdh`_?q&;axD6`l-Q z?!oOWKJW(s&j2UmzXG*@orMa^BnM{PCJnIrX7`Dd2t|MtfcE)8*FQK+Ed<&R@)!Uv z<4Z_;wga3cGc7PawGyRZG9wTaG7*3p3jN**;AWyAeeyp$p-_M`v8M%V(~J;H!`!hj z&4X5T3oti8zDz)ZLIZ08vZ=S5!G(baf#rQbX_n zH1|3KMUPMjSZQz!l^0II43-uafh8tl(!&(lz^WhMtCr3Y$ia4vFi{Ek!D)c^Kp5bC zqHu$WALecV4C@m%aK>UcD6goKz`NcDS|>bXhY%A3{1^U;{U#(50(VdBl4S?xM+CX* zIVAW`8Q^K~UddeJfPkKf|5)Nb(;NVs1*`vM0RAb=4@`4@0XR+#Z0VFcpsp+t@bCO{ zJwZ5752MEd%skBit)acNBmZ0mZt07GU<4eg1$ClL#C&H~C^P&GL9G5*eC71R z-h-vMyFN)ViFvgZKPx|_)hb!%| z@J}6dPd+OOYT35OZbOo>!7&f;BpC9vU*D%ySadANiwuIGN(jtgTj^ZG7g*rGifBepUTv=DbFFSLe)KQt)7duE3p~UV#zLwEN%H5BD z)cG7u+_He0bC%bXx!9Oj^CKQnApLvqnM}_2Tw19&NXZGmN~28?z38f`n?(|)B8kbz zkKG`yeEE9RGO<}ywURTIj0b&Z!<+u_a6yRI7i)$Y8A*&;JGBQ_^J{fPN@G@sM_t3G zlDE-oUjEBT$!}SD))k>+9Y)gc1ZO)U#yjea1bgd^KN9m7)TMMEFHiBj3E>NK-Vt@* zvF5A~lpOA*d}%uzZArl3q5q*gcyu8hzd9@HJwHlGuGMWyq!Pai;VN7?EGhEyYi5TH zvTl9{hEVd=`PKEqt~r5+E*z>bJfdfXO!V}fMeLy)eM#p5R^~j=>AMxzV%NLlFb^9K zTKjDH7K_@04Xl&aN9ZYLo};kW+xA!zH_&D>Nx51~?bj_muk@!@b+k~Yeu8`Cz1o$%3p_YeY?JL{2EWQy989 zBHkPlUI-FR2$sYd(RYqvBs`Dsp!cMH?}>JGxOR0|7Q!t3^Pn3-iOJt5dD*oz{}tQ)(TWyi_bdXm2;XRQ&G4%X^c1RCIH*D^Q+lTmCvpF7apf zoJ<~H;U_oi5(`u%rZx7N1`fBr-!8}|)K#B8KU>b5SsQprDEQ)Nm;&Z&_>nwne@Kd0 zyRY`b)c8#8*D%XVhzu2Uvwa( zfoX|CBw(tlKryO|LkMAg$^dxl0pBuMvN!}A1AJnILG&7g)XhQOrGY$1bqkh&5d32t zh4Ys@8eCo&sFk~b364t&eDXBJAq0Po%5VY#Kls|PH#hJpVH5JdcD?!;@Ovc~hd4eZ ziU82zFj;X3)#Xpvo8PnW;|l>>3K%33pz;lfftJKT?5}ZRI1$6;&Vz_pRLH=1{I3k$ zWV=G7Y`K1&&*i@V9e?`Q_~myV&Zh?p=lvxQzbZjshukEyjK@T^yCx; zJ5;giFD!nfvV!K|b>*t+br90cFG?4oQZ3DM;E6I%KcH2SkF z;txVU9T<-Vc;PPjjQGJVyyP=VQwJy#e(26m5{cf{j77aii5@hHjVc+;+s|Z7QHnIC z+j}1}_HcdM5hqqPPRw2@h#u&q*yE!Lq52RX#9QB#d)ERFBZDz~4#ySkAqG{LhEBXZ z6RK{wHT!inSVY8;dSYT<+sigT5QR4Y)r&Tu3t~Th5=P=Pe71rL20mzp#_+_#3nLz% z#~{>+t*r6TieI0h&fMYjo>{?=rQp5Rqn_vw_!knQ`LW+&*E|?J-Vetp?&jW0hvFqA zl}Z1k(!I7?WJ31RpI@4qo$%54@G~9Lj5gPCLyW+8S?;S&2HCa-2Djc3*fZQEor2I_ zh}Acp1-V)}^7@*ao>0<5CDKj(Z#`CL>=|fMo7iaDtK@Ub8Be&kZXZHjF_#vj^r(|u zC#kSiPQ^*5K_ymhg*2_*_s|oDn`)%GEf}etM|3W|78$szP_E4qBJTa!emF%tPrm1! zpIof5!p>*w-bFsS=mvISG#p2J)kJ4EC?(8pLwlZ~AM1Sku`R!5 zilktPy=rdpQ{~%vTG{u3#dnVw?!S8I%Xa_Kr`f1Spe&uJFzZ5FN)h6A8MpN@T=Jo; zDF4_;jX9B4=hReHD>!%;kh-Rdm* zEHYV!OkOSgU_?vAfUo;CLZDRu6K`B20yJA=bi;Ma$(%{rv)TOUHgm#Vn#M*7F(1bF z2lQqyZms!$T5WzK5U!RbcU|f>aWU(<(zGN?J=TV>&88fiVF7#j>}oGguO^`f%iE!G z`H%9YAKa-bKbono9zt0VJ2Hcn<~Qh1g}tDgqMsBSml)Kn34NtL+qHIm<|3r0+Ujk% zH}fN)Y<30v`y+wrvQ%$t)5_Z!IC+Vu>6#O&+xD|Wy4O3+VqBgMX2s2^3PJ1TUu_ae zW$;6pLcTaD%hkgfiRESV8!P9L8bO>kXZT78g-b%2otH%+gxvg&xT)-)iO$)(1kseUpp<2 z63%J~k}h~KL#o?E*HLYLZdy6ter>uFVDL4$J!5*~tJtt8bFyRSJ;9KJUEynb}2a;(3PZPW8y^>pZQk^rjZh?oAkJCd=!C8CN2f3s8g%X(aSvzDr5~c zZl#Un)`O3oSzKMSui2Vz2^uPSYV15>*(PoQ3{}VeC-z;EB_(F|gA1Ln^^3aIAv7f> zB*8ib=B6*zF%4U(kEm`LnyWQ!z?3@pi`|Mzi9ICHLld^GIKR zN45{7#nP?JGN~w3(b(`ThdTIYWl@wM#M>OE)w4IxJ#XGr+n%4}AYK^v#a`8#Fyd4F z>>VNHTXjLcL^~;-1lFDREhTVk-y^=Bw;;XU`5SGaS3|l&TS(w-g@6nCH`?-~7n=p> zKoQX9Mic~jrmY~qD0c;c{v*X;hBb&oh(V@N9w`wKtl|L<8SLbb0noMh8q8e+Lik(a zvNdt3#D8~zw;hIUf&nC9Q5YSBm<`4udG({>8Zj$OLjm;G5ruJ4fQh)P?Fu$PquWXW z6khMuz#;}J8%$d9QZNjMkOU@02_{3X20VVCu(5(PCOEe9mnZnE=hbh28$eA!Yx9+3 z6Tt+5+6R$C_`Ud#J1z*~jNl^SN*bs07X>f41FwmQ097uCF2OwJ0afnT&R?Vezow8u zn&G97NCM8_Um=*Q-Qm$KD@SnF{@uU*#D5$cl>UQb%c_ItM5F*t?e8;#!#=>{1)YV7 zi7s<4|Gr8Xq9lX}38Wn9qykfdvj-E8>e6rj^B5qL3?wGeiGlpBtLs4P{l!Kg2p&oT z3KkQLO6{tef$dLi{C^}RyeeQ2aR64`%v}sjB!18&DhrdQtHSE9bzR7@jG`W|u;yyvZtr+QLU%851M%sUkIXfxa_xXnfGu~+YFJ4;N!>R9> zlyn0UbCEDNq2kYnPDUi-46z=g`9JDtmRhb8U&pLH4Sv;sH%()~KPwZ}QhA3%8Eu8z z=p{zHx=Zt5p<&vN-UA7xc>iXE`evy%a$kcP#=1t6yW(A9Q9*_n&E^d;KTtTyro-L& zEedWvR605Gm=h&q>^&%Lxyxe}h+{L~9aG0GiulF-V+SSaKQV`BiOwSY68{{C-<{Wm?)U3>iwmZK2 z681_r&bDmxdq9D6TDWMBJ^QSE(G5C0-N^?vGt)?)c&Imt$m+{#(ZAXXX1}p|^#1W@ z7CsYaJ9qp9=m;@%gJ6y;&rK!f+q)RwZ>chB7-<`gQtf6Rzoouqmu?&IAYNhDH0%p% zQjt4Aw_7(@TkzLL=vg!79VWMbnQKR8YBB0;IAeQ?r@=!pbmHul!a*L;F zuOt!*Mj0x2jg - +

    Files

    file  fsl_acomp.h
    file  fsl_acomp.h
     
    - - - - - + + + + +

    Data Structures

    struct  acomp_config_t
     The structure for ACOMP basic configuration. More...
     
    struct  acomp_ladder_config_t
     The structure for ACOMP voltage ladder. More...
    struct  acomp_config_t
     The structure for ACOMP basic configuration. More...
     
    struct  acomp_ladder_config_t
     The structure for ACOMP voltage ladder. More...
     
    @@ -146,9 +146,7 @@ Enumerations
      kACOMP_InterruptsRisingEdgeEnable = 1U,
    -  kACOMP_InterruptsBothEdgesEnable = 2U, -
    -  kACOMP_InterruptsDisable = 3U +  kACOMP_InterruptsBothEdgesEnable = 2U
    } @@ -168,19 +166,19 @@ Enumerations

    Enumerations

     The ACOMP interrupts enable. More...
    - +

    Driver version

    #define FSL_ACOMP_DRIVER_VERSION   (MAKE_VERSION(2, 1, 0))
    #define FSL_ACOMP_DRIVER_VERSION   (MAKE_VERSION(2, 1, 0))
     ACOMP driver version 2.1.0. More...
     
    - + - + @@ -198,12 +196,12 @@ Initialization - +

    Initialization

    void ACOMP_Init (ACOMP_Type *base, const acomp_config_t *config)
    void ACOMP_Init (ACOMP_Type *base, const acomp_config_t *config)
     Initialize the ACOMP module. More...
     
    void ACOMP_Deinit (ACOMP_Type *base)
     De-initialize the ACOMP module. More...
     
    void ACOMP_GetDefaultConfig (acomp_config_t *config)
    void ACOMP_GetDefaultConfig (acomp_config_t *config)
     Gets an available pre-defined settings for the ACOMP's configuration. More...
     
    void ACOMP_EnableInterrupts (ACOMP_Type *base, acomp_interrupt_enable_t enable)
    static void ACOMP_SetInputChannel (ACOMP_Type *base, uint32_t postiveInputChannel, uint32_t negativeInputChannel)
     Set the ACOMP postive and negative input channel. More...
     
    void ACOMP_SetLadderConfig (ACOMP_Type *base, const acomp_ladder_config_t *config)
    void ACOMP_SetLadderConfig (ACOMP_Type *base, const acomp_ladder_config_t *config)
     Set the voltage ladder configuration. More...
     

    Data Structure Documentation

    - +
    @@ -250,7 +248,7 @@ Initialization - +
    @@ -303,7 +301,7 @@ Initialization
    - +
    #define FSL_ACOMP_DRIVER_VERSION   (MAKE_VERSION(2, 1, 0))#define FSL_ACOMP_DRIVER_VERSION   (MAKE_VERSION(2, 1, 0))
    @@ -350,9 +348,6 @@ Initialization
    p4bDc_*J{K4`VrAE}I$_ezy;7=+RV(Y$yy(XUIQVJD3InU|gL zI>fMTrXMlHOW+f#lZfrjyQ7@to`*y0gie_`tjb8A>p5P^zxHEQm7qaH@@L8*$W6e| zsUbo%NkS-PK;WP)KCchHqmP>OZA5tNoYmk-2=0wGSE47MO2$vC2X5(6bPblmESdM+0RJ@#d%ZAtT zRj~aD_R!BWW0)Zs%v5En<2{Nz)k7=8gz1hJJ+FOXw-qSt_O7M=SxYQZ4?fWuD{Atp z{`+?~ow*cRK=0g#%gPl`C77rDBNpx-&*Ps{3p578!zb-Mnp{4w?(>GkL2Ova?0 zqn72@Hfty1$La!7vGcmLyea*wU5|&_+}67^E6s&ttsTbV<(%r?^i|g+VGdK!P*8U% zHj=+^k=ihU>gJDpu-Mn!7slvU<&oKSIN_haANk(E+`z@KapGOWggARHxqjf{MG}L`L#H z`q8ek&nLZ&rF+^zGdANCrIF-RSg#Bj3uurlMPHs$-ST!f3hn-4ThX`isc?UWzB7s9 z8FZ94(Tw@KWd@JsYohk=fjXG``BmJcTvW4`Hul>R-cq-2^+U7J?6&D?N3vzb*v;!t zg6v0jWVvoK_%TKoV-~Va$22}+;igh^Q2lhU_Ht02W4oX9EPCnfbzi8xn{cm7tR zBA0CZ|5c=fmrZ&8`c%W{^9WgCXo?U*B+y(A&Z7@VW8bdLJe( z3jAY!HTFXX;jQ7?8PxdBc!o28OA#r-G1iqJgarRMhM*7(>VQcK zBe)3`Xb;3ELIp4_$dIrO0It8*e+NM>FG#sr!XSoq+yq|ll_baq5`)pZ;1I!(bO9{= zkEI1b@`?b=!x@zbMgi*Y{xuE45xiF@t1Q_2a!F)o>f zCb)dkO8+;G@l^!}K!HI>LIAvrW~f^5G-1ROL=t3>(vwQ?f)(0n1c=#0@k5Tvhn}O9 zT$}4|vCXuZoqgf(83}cSL5FLK;I?Yn_1d+!^LebFzNPf_Jj08MvT-~f=oV9<#^&Ks z;bjXHO+yhC-3s0TRfTBR(9wEic4a(bWANKpH>k1sNvTMhlbYW~HAfJ2BFQBagrmLl zXuYPCffCF9q5=~drRq+d!};yzV^aUImq^jdop)0{HN`rOPb{7;SE92}Ip0JnsID5f zSG!>oT$FOBgVO7Qk>~)kOSIZw!M*N%*0rQa`ge~#-JB8&>8{T5zUj;zQ$mXHvU(&^{_v zj^kHfGB9?V?{F}|cDRdya`kkJKe(M>#Zx5YEO2*;Y>7;KZ=-oZpyPobYS207_mIck zld!j_&CbJa%luH(5?xt-EVO=K&-4X*rYicFqBnQSoP{}DEJ*h=Z>HEPhNO}_2)34! zC)9-v>3l&6d>1L4&2=K4J(Dwy`KXbWfFSRj_ujI-+0;6(s`IzwDAq|UTa&lZDM%TW z>F;XOrtPF137a<4cPpPS4HgcDj+%xpJg)a4XHLODOyY=w(u{hF%Pu9Kcx>)UUW>!& z*wUc*@;KXGSaqp)TOHv(zV&x&Btd(1q^udjTroFHx|#R9TUH)eNM>9qI-Zizb2&8Z z$%KvTU;Ou;$>a{*y6*2n_odV6UPD{OR^QwE0!RA{ghS?i-sLJV_XXbad+zHge&;1! z-_BfSij29HFrbFwj-;Q8?H=FL|AIMI+*CA3*XcbNZ~&!|L2C^lTw&y3J8{pHY;kgD zzvIs27$nhB{#ha{8~+B1o))k5HTxsen%9J=vdH#1b83##{Q}S)uRN$^&cj%>H5PB7 za2@A#8!PTshmICIXn$O`OK_>P$46UpcHA-7n6$pbY8F`ZQr2tN@`18!ZGpLUb9u3o zUK)qdBI;yje$DH5ZpqNz(e(+3tgnM~6cJ~l!#=BG=2L=+_XT!38&+PP$iJT`FLYP? zswBu2&LBF~ZvL2_{-jd*eRete1b(WCw_8W@INp2=Z3Fb$5}?x?e@y;Ee>5dT0Xx7f z!*BE_)stHxU)WK`wCSDBc^`_N2~}zC5YEmiVt%Kasysop`D#y~+9+y83hV2es;#TW zRXpx4bM3``=I1~dU}e0uo}t0O=u_*|u8$zYA~MeXLT$qS2z<&lx7&LbbK1Z6=F*AR ze({?;onwR^un>NhO6Cm{)!ROOe_%+(lpBHlcUv-Fco@YJI{Q zd~HEbx?ikCf*_^~KDhtV?I0oHzqUrn9LC_3gEeegVHdo-=nC)yr2vS zwigVvUk(@~2B_$tx*uK_2AoqG;1Hkc!qxUara{0Lw2OnM{lR7pejOcE=u&NYkO7aD zo$J@(Q3ZiM0>S1%6cA??xXg$6>k6;VTTn=Z`$~s4uVdm~wg&>ye{hkgf`ag*NyNM0 zl6*FPJG%ck8<3>|4{0i65W$?lt@!7)fXqyAsf%8~(G|E1)&A=QfZ+o2Uax%Ep3Pre z&zC1aj0~LUOII9z_HdlzUpo^4O~`lYiUX0$7D@jWLA@*!gL%~8!|%dn`=tL#2-FFQ zK7I|fe(cU)cL5ntM#1CQq%g``aBJc3hrthult5#hpWwD5-UH$2e@ue}Sy-q8XjmX) z2_Yu!>q?6d7cpz~~e4*`P+IZqvfVmu7pJG4tHfruW{VcQMUK!R@xz=2i}!$gz)w|5Ol&Y+goEWm(_(;yrCk0iLHHy5vCMLb z54^E4WFz?Zjr{ka`Mz6kUE^M;_A#XChMgOySJ!iAOu7bVyj|02C7d&kt@?;n|2Ow zZDVp0us}Yh`=TD=tP$MoP32e6?XlboohE=hPmDOwVT!(o4{awPZRNNV!)M>cQ1-?k zk^9;uFews=pg`zIMc9yGLLXu4e5MWVh+)qBdL!+`eU+N+&-*jIYO0G-w8CQ-KHRoYC%`LR! z^m->0Vf0>njHEA_PML=lrTj!HGR#N)C~MDt_DQM9L*@#Gbi_I$wq{jOzZB(37dWC8 ze#j+|RAwZhV!Zy2#e3x4i|w+>l(Ch&`GFiFxDXwpExW@IOHMJ$^bC*i9AhMn zOt2B2#NpMI9G7)m;uNiGDAvz(pVy)k#Sj?Ar+DxI4AI#sdfM)_XsA?H+C z-RbjK!4tG9$nzNGS#rU*nj2QVDqqTfgvF!`i3BqxRDB1{ZZb``NF8K?d{=+YzeA|{ zWD~k{DCy!lD?4KzgY1~4o!2tTX>n(^(?mqmWJX3w3)LE$YtG{R$`COu=zd{cT%w@U z8y76AQ({Px*C*7xk+(@N>$b^y4g7{+@*45l71T3+6K2q=l9+J)2NFoKB+Wa9YXjfQ znK)8;-1-2Yq2{NH(eofaRWHZHvhCO}HSBSfr30nXoQ)3Mcq2H9a$EEcPeRmT4FMBh zu_79gQ;yG}xO3keO7iCVN_5Nqw_Kwr+cz?+l=G6*!^TK=Q3(*FLopY0M(VMWH5;j;?^Pi9HuJ_j#}>pH zwCct+dIFuRcB-B5=0xpsUFwsT=1gO|XmS^~eIr=!V*J>ZL(5gq1%E4ZjXFSGtNEj< zqo*ZLV7{<7<|FyrG22LNSx2>PL*Meuj(N20ToLn$-Dfb1&GJ3AS6pW6u;LP*jZjXk z=KBwLtaT?eQZ-VFVMF{geWQ!{`_yc@eGxo-t*xN9d{$K2CJ&mT_6{IXqQPb(~XUY1;H<}r#Kqz1aodW5E=VU)9m)?wyLUPgA zBW-AQz@PQIm<37ThA=Lsj#tYBdd3!?`^+=c&zl7I&S;9Mw+RW^?()RbSN070(sE~M zmwD&t%6;NOELDSfPSdhsHqDZ6HGY{MJ)-?+fKbI#R~gY-{OPUh-nY*)T-*n0jp~Xc z{ueH0)n!IE7_D19$b~`ZU|il1 zdysnw3OGRG7^o})^{%3@omp&p*kvvItT#jw=64SWY7tV7?K*exmY=f$9^O2fQjH*9xEv@D)(uWz9g*z`fv&dk_u1 zKNT5JhybR(a(MqPGWg5=1^J0rS(-dbzxCMfoi0}Brjx=-#kl$pI2Vn zI{p!>P_>S&T!o=bJJ|9RxV}+BiP#TQ7E(SF6VN~N_BcEo4OfJ9e%i5@P8ysG(cxr3 zxki6iFh?oN`i1I?LODq0U8uwZ*Cjm7oR7vSNgrOd?%AdYV`WaLHXgW~`L7+GlS`z2 zj6Q2G`civ&ghfIH@+n0 z<1giCpW)rano!$bS}J(%ZI>T<`-gl}jgMYb*;$~1Vpcz;Xqq_}TV82}PPq~)cTFu5 z5e7%Ft~%i>eEK0`Dn`XZ=-^9k%Emkr@WrcOoGm+e7Pj+gXhV^IaJ%o0Pg^mwW@)yw z3umw&>pKeGG|k?uTgVK_Vy}^~*^upJF$sBJoaw849WNuKTJ-Tk{Gnw@Y;}Xdy{hVD zn}Uk8uL3Ezm{+U@sZ{FScl`51PEnZzaaD}@c{{`jnWz+uc@-w56)1|$sw_GW8&&ij z_czz#o1H&DwZflk9!w4NRq_&N*z;rZa3+m{D3(e7ySWgk_Gd{x|~FQ$FNpHw!KC8?|#y=@yO?$Y{QH3yvsp*~Z;& z8DTS%F8rFkIL-OgzW%(Xrv&BsjQ2w~ zVH0G6H^lV^0P~3A3ej2!vB8w(Z$N62rY+fUZ8wY3c@v0X_f=$_6w5kgSt!V+Pa-|q z?Ut<%rC?dZ=XMxYrvC2z=L~LE(VsuyCyYij4Z84#pUh-s6#jKwt!yq-efcBTPGKdVtcihm#|0nNeDwheGPY|KB1=|cP)VyR8VN(#?Rn09C&c4JOXUEIQx zZHBtRI||bxu(HasuSuHeh!9duAt>&Mt#D?Wj+fsjsYZ^`-XBMbr(Go3Wrq>%LxvO? z9bwq5>gvL$O3?Pvh-j5sSNf5(I+V~r8iYLT$8Sd4XN|hVSF#Sb+VpPKYm+*w5HK#- zm~?4#QBM}V4`)bVF{!NFS6?$o3<}-a-fOAi>!WW`bw6>nAZb&(#q)eR`PO*o za<5+a+!!RKB%#z`tW;yEZ>;4LGG;p0Qw!EJK|+SIhGu93S`RgG*flR+RfK1;*iu_7 zWRRzJA_ZHTgskx{^!+qUi=yS3i+2|el#?SPA}C2wt4SWeBtf-!JMOwVmC2-o%c!2( zY}D=%Qu`=>=SVsq6J1%dgzPR>SyBO}*3&bL44G=V+cHG@xtNlURuV#WuYH~pwNkie z-#8wk7SVBp;&70YR+OconDfbQF_UB=O8fAvBxYIahN0n%9l9jZ+X>gs7Mr~K1k?6)GiRqc; zDomjdI*@m)sQw|HYmfVEVUuL;bY!f+(k6_&Z9}rc!hJ+`#m%zpETQRj9JZF{$P1sv zQPYd(VF>DXyn6_t&Bsf9Hew7nq82I9S_hVS7Q1(fwL?5yUVg;lqCc8oayttQP^rvP z4Do2b5qdC`?j-+u)45J*gXEY@CvcYWO2MKATq@YhIC@L~ zF#%{#_yVYxBr~u)7+6)%>6a{gkXH=meh-@j))oNKH271+{;gL3RJi{SRqWs0iUff( zZh=LF0ysKEE~#l@v``#0D1ex!ndt2N9(QzCJ2c)~eR7>fhYklx(assC^2_=N-9<<= zL%vGQl9TXe4^yYtN_|kz$(J9oqE()ea2^Wn=d_GrVi4;D>IXr}?ko#H&1U)W-MDD7 z`d};d!|S^%|BVZB5c_4ipZnp<@FMo}WKl#MozQ%N^c%I?-8bT(#Y}@ud?b_2{YwFP zmF$j*+su|XUehwur3>n+GNvN%biL`H76;@`XK@h(sd@WjGbW-)r!hpB8)Nl|2|vEa zsH`17%+)!b_G{h3N6lLvnk4=aoQbiBfi=J(BT=m+sgUnjO?y|9LWG)42m?*mKZYD9 zN|%Rwo|1>#Acb=US`?#OMUYuMYQuu@^87n7Dz4bRXOpICFt?d2TxqfiFg5=k~z zI;K$cHS`5$x%j}(5~qoL+08U*&zas5rnP)2mgr$ONW<5!KXDm<6}P&44l}sfZD3v~ z&}dln+_4hTx<{4;x2x^6dxnip@*{4!bV8OXIXUx!&oM&>HP56z9{QDU9>@z+ZbOvtBP#!GFjanUvlTK2eO1TuW z#^D>Ev>P^TGO{14mCeX3>n=wdj72}r2wZ^r-8>w`p+fQMVv7qRc}#v~5-Y7UA?OD@(E^Dnul&4MTWUB`sg|Y*kzei0TDK(;wyX&S!7vybb1m?_+NFLD-hLdpAVUS7dNBfs=ko z^I=+iEtjf~Fx2%9j?aUK*_{fff}8O_CW_t%e8S+vD9{nkt%|I9wo#aWgSW-KxZYgC zkGRq2=B9e8pM|qcp!Y3IiaA{$ zcybJGFO{fO-YD<;{)vB-GiOO()09ooV(=?QP7?k$EnPKqs382rrto^!v~yYRbbs|1 zzWd$ddM0jfc+WHYE1QPmUnOskN~mu63u$xay>6^`($-%pWgFpAZz~|CFH(~CI>X4Rd@4--YDZz~zFHV=CqqxQ z{SdQh$YAk3iA?3kTxDNb^k~M*`?WkuuiNbkSP&wwQ%+zL>b5zMF0Y+Y_s9DU}t`SDC57bUvmy+(#vC=o}*05S{(4F;g$I zQJV{#WLyHI8-iOaqQ((7s-G@JHskutrB4etznaXRqk;wy;2p||@J~|C4!MgoSo3Dc zQ0-Y1DqWNcPA+LPHCQEI6u3IG5r1FiX?%K6jn&p4_sZ2Z;1eBg$%r>#vjdnxLb}Gv5=bt%I1R(dC;K-u=XW+=}+kxnTJ)d z&GR2W%iYxd>hrLKrUN#=eiNyxtNYU z_&=lt_%CVmHo;==|A)l@Cm#rBr$nI>!$?sexTKc}Xa87&4@C4!iAiDN_W;oU&pD7x za20BRv4w;9%UKty^#9LR%4NeFVZgELPRI^5{x8CfJ;u2M>#lKVbp2(dKosq$aB3d) zj)b3`QpY}G5Yq~p&C$3Sy>hrW^QCq)&WmuskdbPDkt&$xoa$d#fLOq(ZO^l++uv7K z&%M7Nrrsu?e~%&ie9ff;#K9HdaqtHPRnS%TpZY5fk4aAaEId&y6N#W5D4 z7+4GW6zR!D1E4ytkUUzh^j2q5NR;1`89B@&}6cR#2M;{scd5veqXkb zx?=#j>xWX*eu3t4HfL@$GxegVZ&xRh2)WG1j^==pX+LHrw;i2`?rdu#Bh;wP}0 z#F0p$-AG9+YTu%~Z#)qVX>yZ6FHuk+=cnRDejQ3=^+nL>1rpxZo|qTG2M8AVeo*zV z@(+N!&O(!cB+}!7SM88eUPPC1pr}p$`2Af9&6rS4?d<*$T8D~_?%to?cnQ|hMVjkG zb_h2b%!A|W?npjvsuE@!Ou6&pK^~pdc}q?Qn;HE8eVjlTZ%UxON1Gs$X`B$ z)DF~TK=0L*(TPXcAZCsonZppbQw*KPC-Adj5`z$cvZ=Nt_0NQCt2!v8Dm5a-&@hp9 z&$KUO?rZ8&Je5r*gP%)p_@qk+DHIo#=)t<@Bki3U^`xHhhT?c&cFB6(_t$SYHkofa zmWVAwnkz4e;;xbDgY9(8C0;Xw_?kG}bI*5QN&ngngK(Qk6`bp~$-OeFCxr^$zaiuQ z)>-G{Sa{Xv%DPgTha+0u6y3JMwDJ^BMS5nQoX36^J61y?ado=BSka}it*aVv$HmjQ zHl?l9K1dP=S%9~}QeLz!4$M}sDDxf*m{0iW5yvG^(SKg-QWcBwn>Ma{ogaaj{;;$j+EK6X%eEq| z&M;UN6=kj0Fh}sij#`R42s?tnW(H5m)kB~_v`qc(%gpY4blh8bAC8|{^q<*ej1?bL zxh#99$LEnM_N#^u*Dvt?55r_PJS3nq=M0=chq-?<0gYE+8bji-=zJOnF&J zqJog~sSN3&nO`7#p`aJ+0?JJ6YM^a-wECic-Z_UX-$vv91KRCM#mVyRO8246nV~3Z zY1t3Sqg@?jhcr>jl*dxF{^Kov%%|MO-Yc#&&5$Z*)c&dY18$4z74h{WxK=o_9U5$G{k2#haLLl2y6Q8YOPyb zW$>Fe73I`wl*g#ly|z!p2Xi%R&Mfq2@pJU)OQY(i6JH^;%Hd6ze4dutQ5^Oo=oqXT zIz2ZpFFL$$=*_!uwEa2|J2w`A{Vbpx-FBj=Y&2W<{ZqT0A8PU87C-9P;tC6NvYs^& z;@~Hn7nDRqx|Wq8=e;b1mYuE(E1=+F1FH}w>WJ-EIx zh~0_tdJsn-YEJMQs?W$T#R||xq_-uySjhb_L=)26S{XlP(sKV8z$43SNj-l!u=Am> zgtcmYnCN~%_rlhEFLnplv~8=kku$YB@v{+UTKBY%F{~$09MLT(DU?T(70IJ!xlec} z*SX%g?zsKnnAciHeVQcCZRMwy<&-U2e&DT_28#kee$4YlHp;#_-8?#YXF0!}mpRUN zrwE@LX8-o2vvHp%#KYRHlx3ZLa)PNmwO|li>B;_k9`2l=in1FKyN1s)tuPBVK!$%* z>2+#2W2Kk3r>(UM1a`)Si2=)N1-LleiXaiZqTl-4ihzX`V6h?rdjQ^w0RH!~15r0z zT}nU3M}y^VV~Jgw6|i^*2w*4$z3zwwFIl_(3RsI>HK7>*YWlMMoS+!UG$+8i4yyoq z843Kj83Bs1qESIbRbm3*H%)g0KPBO~#i%2M6?TjUP>L({4#RZH>I@8yj4; zPpJ@xtQdltB0z;}U$(B7;r#sGxWSVO-TdlUvoygJgqM@vkD>DdH1eBc_|B8#x29N_ z?LHic!v+`cNHl!;;`Gtx3y1ZWXGf(k(_WAc#)}p`c-WyLTd#YEe8x7uV8c{(M~9IabkZ{MeW9$wiy zO)yrv59!|ZAP~$f`btkut&eyA`K{f;AD*oS(#FhQPfu-cjUG;uFD)oyODN=)7EBQn zm_hCC#176s=W>5?WDbBdo&PZ4-mr%`^&Ykz`P)DjP z^TTmN7iKUlsE{!g5Y}=ERmi4*FcgSmOHV z+KBzN^G_oA1kA&8wKtepxw6+Fs`P`qo>(UgB82LM>*Mo)cQLe+##C=smD{ zf9>6!7ijeD5l0PMn1(cSC5dOY?Q2 z$#d!F*+>kPTpa5lB#N@NhuwJKN3!+q6~-KCPS9=a&s=4S~5(xiEM| zSi~M}^_ooRn&`ixykaOY^dD>MKmeo7DGT@pe=J1LAX$9kj?e^1T7|gdl{$*?T zySb`+RbN~lb19|d)MQCg7=QcdfzB5bGAzWb&{+tKRn9D^WIM3#Fa(TKEbcTT>5lCg zoO$?<@xcY#7T~Am;3dZQ=>loDRPvTQh8K$y@&@WtRHo=Pt(rZ{{+^dp2_~ zwp>Sx4Stp0W9*`!BJPn%j2ad42^E9!vlHecJ;D_(ZMqL{lX(^k8=U5&C-gt!h3Sm@8=xCN^Q@d=EjyURw9NkHy-S9 zzhoQ4E{grkwKKgYI<8wnfg?s2AT6a3B#DoYEK4W_^*dhzZ-^Waj&N3obvs!Vh#n=qH;WsBw*8MSl`k^;o zW1(jy9tKEf!c7UrW}tDwCH&2%_czO*21R$krnf_ok@}9c9TZ*VNP$rO>LKF?R@(`f04p@eZ;@1fuw%WG37G+aMnmur z?`D^GGYWLbZvsH@%C8UnC-~*#1p`t6z!V;Aus)B5;Ntz)25z8@42(v72>uG6|GD9g zF6UQcIzC_vK)?pHzdawMi~;++wWhJ~5y9UGFug_lzb2x6XTtz^2UG$Cu!aAD5grR{ zU*7?2z{0sXc-k2&pvmh8>y+>qPOmo<;5?p2u)$%j#)H-rsHQynwtUDuP`^G9!+_is zu%F11*0On!wYC^9^7@GyvyTxbnvX1!F~$vrBHg<{?gvC{^vL6PcxDI?Tm#~nM>YZ}t;DOV*YBk2K9N_VBB*pM zqOO03#Je4Ku=zo0TCd-{A{k%Yiiljkb*um7fN31^AaiWBp4vRN&bweD0nKNDJPw7lOMbSD>~bDd{oQjlx@n&L>LjR$jj7Na*kkeT6&_) zgsF;g1;QJjU%OD3PK_w8Wx#hWfdp5tkT>;Y(-$tyfv3L5hzrS)S)%gh6)f6D zCT~MMwKBx=!(VM9_ymCZ3iQ$VihN7A-p#99w8JT#99`un_LLjD>^^!D3ZI_K#piHU zA$-G))1WoP3*-UL&YwOp#u!s;!t4^A4d0b}vDtBOVvvj2+9pZ?cVAo!wnG|2i zpD+2+AYU&ivKVQv=$SsF)qu_vLPIo?7u5e7{*?6S?eKe( zbC3L(b?S*Ht0Fq@(%F_`Szzc4qu++4BFr5+pN*R#Y}X#m$-H|^nOBPM$g8I}tFFsn z^Wez%R(HD-L5mp4Z6Lfc5 ztD?KukhwlbYa2t!_}I@CZJahW?IG_uqa~3U%(l60Cg5_5;v#>wJ}L|Qpr~xDnh`_r z-U%lb^CW zAlt!x)<)xZ@1b_t^R389YB3Q|D_ zTGMG{eu3_A>4BTy1GJP0nBx}-I-$4QK&)kZGfXMKcYg|3eSSxG z6X_wFxsS_-Xm&@cpU!64yg`tW9F6s$M{e^q`R>6^j0Iu02iHCCE6Qpgmi9&%-dEbx zF{z@unzr(HyTAF_n0QwC{*PVRDnW^t#D1-76hwK2-u z5B(nq{y-#nbgZ!ry`ha+NPoPUYHRPcDWhHW#CfiLFnhrNDc%%}Bly6Bw8eR)aL`%9 z5;*Q%9)%&j;WwsowjB(UK|itYqD>7k&l4|Ld$-XYV?Gqkc9^MgzKTZgdyg}Cidqe4 zY@g+n(-uvDniyNxorBgstH~Pg{qDB5@@9A5oiCKJnELLmhZuDw`fli6W)EU2Od;oT@kZ6 zS)9MTTCv>>_Md8UCf-?)Pr|EOM!LpSzv=M@0^fiY+COr0pb`FW;5BGQeyh#>WrRU1 zCjjteL!J=-F`oh;1usa4^~ZbySv{D)+OyE;|4RjdpZm^$|7YOG|4XEd2yBJ^uN6@C zo2&?o0GB~K2STrPi=d3a00{((_%6-^MIHWT`FO#0c4rRIa_Xf*@bUk#2I983fp}XK ze2}(_`=9XVe?AFBIRh@rAhxYP6|}E^f6^Vs8LVw5fgRNiIS|Y{S+)OnA)^NHx)=<1 z_Z(5s0rF%hNQMOljbG&Ng2o@^G(CyJZg2V=s>vf@R*JwFn4;w?v-?ulwzp}DX6^E08N%V zhZB=n=xoNBL-3v;W5>7mbd8@w1Xh&eF z&vQdC3|n5z%)2_=8u5W^nB$7hqa*$Kuv;SK0vmSY8pOSCqq2~_&jDz&Tgm_%l-(ZUw3O9@BH=rTJ7s18Ca-}xR+uC z+tZ5WakEM4RgBn^jseUeym4E7cI@M2EB2c9{2ZB$Pe}WY z^U2qmwmTJbqx_%-AI2-JcKe$?kX7ONs@AxqGiWSeu!bt@`4AhH=e(?trSgBBCB|{6 z;92Ohh1AuIMxnAqw#1;|Kdk-%51okchyDu8xH+v;+@EAQ_OzMuf$ft~_@naRw}QxZ z@I{yTg)|VZxJ@`OB?j&f^@u;#t`4n7ec+1V{C2@#>wyDg$2x#`I_0rD&j)BfT7fI& z>ILHmowk@Gudic2{fWdyktmoNNTs{%x$ke>EHjkqc;ie7KSJWlM=7qTvklw%Ooylo zGx0$ib@=L6jo9@mt8kAdEIeq{DyO-ZaT#+UaJ5!NPg=NUKPSU5B5*!YGuV!1JOjDE zX}WPn7Li_ZVEcJ~v9LI5TthTSji}qZnLHu#;kZPTN{H1trfR@n9A4J7td=jtRc~2r z!Phlofznvt)393pBjN4_=Z&DTX?%MIOc&v)FGe`5Me*vZio|K;jLXfQ&-V1_n%I*F zCdbMvLMApDC4$(iQu?`%A6`c@6j+s~ z9@eOuS@7%BI)29!rdPY}ZP6JS@0gfgz3o~L88vPu6?R!l&4@}->+dI-3dkfVX57oi zRr-1xoS8ZyiH=U%v&16=6{b~a^u_$REmXPS@^0gdVsBEU(MLs-eAecf0PTs%@i_VcNqtkVWrzzAl)ZZBRiipFTm2&xHW~~c; zvzXrMH=c@BpNgz{>&Fvq$$K;)ND>c<)J9`ca<$L6SK78zB764~aQGcIw{ zv!!7T<$$kiWg2dw9 zzTA|fyv}h8vAO>?4VhJt8tVq$74eNQ=AFR=TbM-2i2zMEbPPiN-x}_J4_g6lYC@)e zMXi+lAcg<$sP&J$JO3|Y^Sd~f8|0x!#|J~q;{s$AN`5eU}C&KXLXyJ_^XGdWZGCt1Mr(gD~=cE`wgcQyd~N%H{@e@8c@} zzw`pO>%qUlELuV|L~cHAfR-AA752vu7`m4-o!At+>GGOSt6n)7kF`80I*vF4iO}9Q zd+O%$#Tqv|<48}3${Ui{(ToMp5zpQXOw@)9vHQMJVz}}ZKCth6I((h!xC)q`A|5j^ z&!k6fw$|)6(G$xZ#x8MoEzK1qhq&$35?TCEOtRox?S`n_ce47AY1H zYIPlH){^z?g0;M!D(q>!6)E97=k;jvjJ+p+-#A&N2vH1U5b9I|?#y+q>gF6P8%T)O zj)jX_X|^JPkVs-{PuO{lcn^OM7I=Rf@5G6LpDCTRw6V)a{s3qBz#})w}3BYTCjb4&``zk>AW+tbXyi|bU<l4Xnk3Ak$UyomWN}VPV z`r>Wh47T-w&a9!;*`OZo;|QLjmA42*LELF{1<%^9hdcFRKhKm4Q8`4%6q2JVEH!*8 z?es%iiB{fDt+s;YtZ+TL8k6qywtkp9cmd%FCB4UtqatRZnUAmTJ#6k}Ud*L6L@JYe zZ9=M0Wl`ELpPn!E{3u_1Il7{HiV|sha8RGjWg2FH((j~N!z?hQr``4O-A$~Vo@X(! zT4TO?j-!c@t$HYRbn?DaR6k8m^z0dKfqmxEONSr+l6W?-tFnci@s?@Jo(d&ulUxC_ z?-PCk!!_Xo{=F-43yLpnr-;~SOH$}J$b9QY;_AYi`IafVwmgyTs**^GGK=031-zzI zxJY-YwRcTm*^3swC&3klT{EvPfcds4wJS5zE+}Zih+^p0>y<5F9Mkah!hi>5AJTwS zv8zmJ@zx^^CTM3r=-cS-Y9wObiEE9A^*MjAT^cX4FFk?{0nKi?eS4ys1y0#`v|0!E z`$te}qVJk0))wR4ewtX!vW@q<0&FKb1n zIxlC-6^Y5dl7woL9~I|*=pT_~JUi5XcV9y-*>Cep!INTJ^8S?;BudU>7R|M*%bA6M31fjbF_C`NRFx4@ zCYLZA7vwxeN3}G;P1pgU5|`(2|mkRO~MK&^$S zlHbvl3xZOKd>}!$AlNH(C!xp%0;Bmr!gD9_KUCs_g`n(>Q93#n&{hjkBfldB2W=%7 z$o&#T1lx`7gwuIJ$SXIn>hwo%^4~XQH$Z;lhxvhcc0wj1FoOIiYL_1b(>{>GW&rp= z_%Z@Gkqknk2iWN#SY*Gg<6p$^|H=Y`oZO(E(4B25?EqV#{<#bygoS^1EqT^~ul~%R z|L8jUzgn2o0KAc)n7{}H3MwKGH^?}Cm)b2SU2t8fKUsX**)YzFtA<0*aDHFGkw?No zo7(W%y!!mMCOy5&he(8k-xH41w;pAduZcQw zN*K$&*t!pQp4lCl$?U$tb0RC|S-taRr!Zl}!|k{|aOuTuO>?6UzOuqlt1L=Y&HM^% zTqvg11Xtwk=Zh5%&+RsypRPnh#1(M>to%llJ^YP6j<*&b_x|FE0-X_6^kApy)=L0zY`Kxst5{Pe(Z#4XsthPgGU6-;+vK@x-xWdZlA869LYD++iMh$JnVG8f$v^9PZ{G8i8OS(77@HCI)yXkbwp8?2iOh}xDFjDTk zCKbA>Vy(TMzFa!YNXSY_aR7;IXq};6aI%QGL@M5KGKSS|ZXPZBz3Q_5G+}*AxPm%l zx2cjcw)FY;8A{uRO6D~$>;qEyst^>%zE6g}+>!etzYT^Ht(&J!*l0> zQf&`~5tZ$GW_DuB47)!LK4rJ@7a!JBeoWZ;?E6K?&wB%b6K&mjE9eM(YC?jx8NRBk`z^O2y<@s%^hl)7 zU^k|JjRMu`yHEbpog-f3SKY0!s?|sJEf@$YomIuPyzIrN;2I-X<$}7C&W+?42t~_<@rsEYxKUT3t~U z^G$)md51ZUlrlQHNkNvvwJ}_pjnsPPo29yMdH7rmTohsk$u#DUk?QCcU}GcdVqoAAzjwq#<>*M+uQim;dJrTCUkSar@5DPzOUDv|S# z$>qrxb@{Nr0?G~(yp|~`48chUql(WNO#^!lrPjYLJLx@h+n(4~!`Q}*qJ*xtExFfI zipEyn)>-VB{TS{{tV@qTTU!yP?{C|axn#-XA-!RQ06$w8zPiclSv%)MojS!IFke#zsu?TkEy#7ek}KU>+Rr7tckvq zRKNjI5GIU$TKFj)9kZs^%y$M$raC>?% z={RGl#>)DM2RUZvz{Wh3Da9NYk6De2&)pGMsm^tD<#>{{-Z{1@_7dhhtIfH;uZV@t{_Q@r zqwe~}QzChJlB%f2iHb@|9&ESXmDjfK!qm?K0ixUDN8jko9hDUIc{KZ(Dg69J z;f`blzWXJ=-~(Tn;XC*nagXRlK2GcBX+47OX`jbnv3Mq_i(TRy!d3!JC*o-| zM$Ro&>CZz&^e=Hd%S@L`2tt-0LYC4K_+H@mp8vdhG)FuYEEVC_?3n#Rt61?i%8I-x zY8*d`*#> zDoeguFP^ZsE8aIcM&xirk37UY7su=C%FNhQQ!xv`(-S)C*wCd&g^)0uJFu^+Ab0kJ zrN&f_d^P2uFmeA{qOaev4HxlH7q;9WHG;BH#01 zYD4V|MXSU;PmHP+DOki!PscBpoh}HC^^o(^DSobm85lpl-}hDyO*I%!fcm<~nT6<} zTjy$bfgIt0V49acC`zr^(t8RCbY8ZU#QMcgl znnNxUs9JYd_$T1|g^~Wd^9Z=Oq5rF?49Ka0=!3$Bpf1R72l6j@4DibD>^wO5<6His zOSwy|{Gvnw#ohtcM#y6z01W{hFsg-E0C}EB$^ecZ7Dy;w2eAVNnjp$RR~^I&z#At} z1zyyH*MvdQ7l5w;;&3Pbq6%m_!=nP<8X%THX$v?lXapC`S|QqiMH6_9v<;$?xI&2r zP&9+H(Lb}vW{6cHUZOG(@*d&{%y&Yd!1V}J5)f+vkJ_yULFVs7a7BRcEf9M^u@vk+ zb9{m(ndlq{0UBE&rto};tGdd7MH~2OT)NOy0J3)QP;W9Q4gx=zfVq<(+$DqFrHzjA zaG8L6onSiX*C-PlA%Gc_&Ny^NV455X_9TF_whjmbK)3RH37jzF|Ca{~?z-rJumham zAvnM85$Xgx!JzxXDKBejZIbn-FDq}SBNXP06%zw8oRoEc~Pt{P#}7;{;h zB3QaXFV|tqeoKb?Po^wOE_sB$)m{t7#}x|2!z{f`=yrIF(Byj4d}0_k*ADvncMBfU3>Xql)ub|5o;7M1MY8B?W%*|(aqdVD zDK>1P)_dzi_;GRq9W>3l#F&pu90<5h#5cC|`n;BF9Qr7tP$nMbl5NpSYKA{gL&vT! zOg#{2g`&Lq>Gv!MDXl7jChb!TuQVrVX z&)n_qY332R_9*>UrODT`9uzgQp;Bp7HRybTg^EsifhjX#?M+H%+&Y|=6~pD^d72B? z%6xHr#xj^jEMz15bz`ki`1!uMTvGa1JM_8_rBKFlrI7n|V7LKI&dMYcZV#B%YZ|37 z=HaAFuZ!}c-mH$nh+G)LObI0>eyqq1A5>s|3o2&sT5fTUcg#P%A>T;C zmZnj?e+~=8#{2mFLENFBInkc=C-J_`+WY18%5Zx5!rtcUF>ev+lXQp76xogEzH)?` z`JQ$rJ5K3G?ZVi50ey`5Hj^iqi3Vj18jt20^usnhkX8C?^5nkPFfLBrmP81au0^9x zd&V_<|MA`nb;@L-SCm=iFyM!Q2aXRYDMx!y3L%G(Km)KT%2?rqBJumC3ZS(@<;#JP zsEZL>hqa5rc@#yx1vvORHR5q{_V^<<0u3Kxu|q=P1z1ETCQKwV4|-_@*=7!td(ztf ziC!=CYms*RSC7YA&-H_7;030aH*1G|PZ57a z2-hUgWx8&Wn&)+jXc@>8V}aSe)k;z(dFGw4F7#!;I{hP!w#W9T{Ha2rSFZ;OOB5^G zc4B5czpB8FbK@Iro0TT=SjSxTyo*(z8_vUxImMeDAJSUF9p9R4%t|?7AbTQ6SUfnF z+N`EA&F+g(Y)-L&>Ddz+e^lZY%ci(hY0Y)3@GDB?>5f|nA>rwgBYv16#OA>?TPEv~ zLx*-5rbR!XomA@aWWD4|C92_|EyEh+Ni*u;JbEcC?9;Q0ijr>_+(u8_ePf)`?!k8z z%a=4BmSjKZEqnRsob0%ywgW@6OUHn1`?9iTce2QHDUy zX5rr>K1bcdnx%XvYSK{l8GDxU%K`1)^LdBwBn06Z<%dlgW+9dPrw;GUQPN#tt<|?3 zO>T#&5}-cMt68T+Zq7s&_2{(qpSZ|^ni;YIs9kkK3ET{Pbf~w_O z(}9UCM|1vis!!vdnDR*OoG-(TZEDv}DC-}ZPiYKa zBSxcGEZ4SXY0Mo*3ZOu6^a*@aHQvB_!`#zl%V(9Ns;)-XR5@3E1&v0#yQDsk5 z&aR#ny+qI&s;Rf^FPM#98*A2`E7$8j&OdGHT4#{xV)Gk>cRD{pxxH4f9SXQ6E3`vy zb72!L2t(8oQ>@jm`v9praTh0t%ZvwtM4R)tpTa6w}UoK`G@ceD|qNB}g;kZ2$j5sHg&_t$y{LhM8Y$qPZH z9N=mh#4)~uzjJ%QncxcOnb>^4YwZVddtmYC-z>HNnL+zcx8*l2?O#2W@iTel=nIrk%ri7vA476h}}pXinT0x=^U*;KUY36iD~!6_>uNNB`LM zPY{=9-tS^I$eq4N`%?B(_-4kTC~*Q)O+PZX;|Z03BZhqo$WiMu6bBuhJ&JR1wi1e> z`_P2T?!&YeYKbwiw7GOxv(*2w3PU=sPnh4zE!Z>)_Hv&T%OfsW+?w-E2Vf$NHBB z_PUIL1j&-LgD%r&j8wUk$V%A~tIFe#l)YtMx(J(42^{_;cx^*a>V)Py)bTRVjmwpr zmnXG_8zxlp;{LvPX2z4~#A1!1-1|l^ZX$$JbgcY|UrVM)kHA}c%joywFyc`cF!iQn zO}~3}4;$rMzMUj-J4-C;N=EMMlLtgbouj65K5kQ!Fd3yUbj?6SoryENH%?1pyd62x zJ#626Iyg(J6MI{X0KyEL`b>r!4|Z3}w{Jq&^4MUj9pZ!ByQ4kjRm{;z+$$ZEUEhysCq*rbZY|T{J+ekWz_1$J#{+{8`6#Kj-}Y?XM?&Ea zH(O$giyLednriYQH}U1H(=g7hp2UB#H-O|Hw`ptQA$d%DCPQhHld7Un42Vi{JkobY z+$bAuOe3<1>m^TCm3~^M7Qyb}#*p{ZGGT=Uw(g0pr`(E_Ia;+qNh}gC?xu+P&?QW4 zLtvtZtlTf4eFS;8%$8qLq(HVGi{&d!f7$CP z!?7Q?TmRIaTcPIa1v$LL!NRD`MBUMO!C9@8G%Rs2yMI~=N1c$t?n6n4A3s?3IUbXs z9+p?JMpYG==bAUT$4G%+6#VT+mY#$loew4ZpaVx5J~u&gv~Jyqf@#3hyEw*v&U#)$cWlPo-(?z zJs>uQj^0dblB0}|jsz)TKY#d@uV1|W{tRZA{}8W4y)6HRlckFH{?0r7$YsK8)9BE$ zB|NB+sS8~5(c+7vnH5@u_cuQ+usSL=HbQ*-f9k5Uz(u-rP!V)U!3Ej7w)46gVuivYCr}16 zYjoZFsl+(T9T8&mvx=fA0;1&C2tV**N`Ur9VmxY^#zJg{@W7sdnqAguPiLDF$NiaV~MR zo8Ajq^~`Sl+SDsKl^@z!CFc1u+UD?7Iifk;?z~#yBs<%Hh;A(9;m(UI*PO$z-P&|P z&7{%}YBffWEZ%x=B1Mi*g^pZoQ$J1FA>$l98*vixtE5^oikj+@9STiPLK5K`xz3*~ zkS9g&C=TyG$C@xB5cczsP=7b$Oxd_6SxMQ({EL(Z)MfcoPr@M*_#X;NAVJ!v9C$_q z-rq(X6ST&EqJJS_pn4PHBFQW8yBh=Sm-y9c0Ge!pKP?vm+<)yB_)~`x_)~`hY3Y9@ z7J&I>kTeb!iz)>iX`!P4aZ|VuAZ80<4A7CFC<(zcdjB<5+y(6`?C7u6ls(V_iX4O1wrUS#t@~v!kB-5`jw|pSy?yZ3 zw35=bA=3I*wK?Ue= z1AT+obMR}---7v#yJ8u@fB^kf5R?86qU^+XK*^rF-c13pMCSh;G}RdhpcVf;p-)za z65NfC?zTq#023y6buXU(wA%k^ssGc0|0iMWUp5=@PBS(B1B3vPhl}TqRUWuhLxaGg zYB{qjnB1sEo$Lc59L5W!=9QI*t9o;+JJq@Qw%ZR$M@_g=L-J!DYJGX-KjK+&I$WiJ z(y9`{XcL`{PPgT-@2iFv=>Hg>z$7$Z%79C?Kz6m|`FN(=q_Qow9SqZ!iEgdm`zbTfa(QDVNzkv9`W z=yj1&-Al7>wAO5=!a7Wt8x=~^P^eiQwk2Yq{a21eX?zFS z4h0%5lbzUaztUoVg^KwhsXKI*7ak|@GA};($QRKYy2N%)(uY!Q_>p%8@Lv zlVitR$+=5>&yK@|l8iL*c+w%P8kQx#g3vXVb2E<|G3Db+N_-?TF4;RRWzi{meY&cD zXg|B-Az9}u^JCvrvZY~EH9F;o*!K zvi3cCuN-R8IgYyI)|8blK{kAjz}dXz^6IQD=NXc8EVgVLbKEB{`*KT*V`stFVLFdS zEnldI^!fsm#rM7bOkSTJY&E5CQfWLg@~zY0{noj!+WU;cZPro& zyq`a#=Zt11oJXG(YfTDXr7t!c_pDZ*FcwrU=f|cz0|>=gxO1eagG@Rb($bT8&xll> zo-KscmXKv-dY}fI7I|ZNreB-BU9@?UiA_3AGNk*}sGx|lgqdmu)^eN-=g?0uJ(lihp}#KDE{jEzp?+<8Vb7&cOX4fi z-qC=h_@(&D^}Kx@sA1L7wyYwl#48)%db{7RES{$q6c6dV(q`W=hY^asd46^nxJ{=< z)$Ma`g{Oyt?qAi#wQJ|AdHE!74q&luQ|X*!ZWMS^P|=RZ7q)Pe5-vR3G8(d>hzCDI zx-%oO!F=AO*NIx<45x#?=^P{4>~s5dd_?ZrS^_iCs|B*kt}!SdkWPZVRIyVKp zx6ge!KG~Y|&G2w9m(zQ$F7k;xxqgn2d!-O@o|mO0Ruc^|z6Eh>1L{RQG^|e7ZY_zZ z&sTAdvqrBh^Ot2zj(~zt>LjG!%z-Kf3*pM&q;m*WdioW?*sp9NWl9~%9p!6^H3%N zu+QV~{eHg}m;qMM+JKS0COr5cQXDAeZxaK4ZSV>+ko|kL2V70ThhqHuM**)e0Fww% zf`9xdVC4WLcfaF@PwxJ&UyL8rSrGs_c|olhCB*-}_1EeHMF;^i|9>Ie|M{Eo2nun4 zD8-x(La+{k1okxk{U-&5VeV8{s6aaXDy089iRTxwO(zPt`gZrP4#s~Tz%6k1S$|2! zSm8oJn)tsT@b6_pUV;D0?1R>mRuL5+2_)d>2JX(eb04bUf7Kjtd48$p-Eq$Y4f}r~ z9shRvUpj6ev(TseptjAz|8P9m*$VQwce0@3BY8Wag#-&BvXM>ej_)cok3kRXM(lB)TY+=F!yF z<(3Q&*;DcdLPb^Dv`Sg82%_XOQpYsA%o55zwt6lm>%V?fKphg{{RpNvO;~R~akIsJ z;g@~wOZil#gGhJavGAT0$$m#LSFV9GQuyT$I!F_?Q0yej`&T!Cl=?Cdc)6HlcZrB6&)7odLznx|4ZRCU71L?|MD38_XK}8cD=C)6H%x6-OA8|+${*8QU3$hz`fyz3 zyKr9m1fljK`M6MKLEvGWB|S?HX#&$FM@u=UP1d**ag;PQrS|iI`WZY>HFHYJ&$e$A zo}42c(9ju4)&Y~!n5hxBI{Q>72@hFv(m&y99tTcm2t1_8*@=Q~1;q_m7t2@olPfk% zFP&;`ys#59EF3JSJeZG`Lu3u?9htsxG~acPJeaE=cyh$bIZ6d1J>0eTs{PTF>i1sG zcbiI65{LD?@V-dlLg9x6|3+hfErgKG`3MzjhtR-T*GzzjiT?g0Yd!~q2F&@nUMo(u zM;Px*XFX)_zoQNc8a>@@86Mu8N;Z2j@#2W1_wN zh8g0ho_(=8S#zB6EOPisAsY9V)4Ju2+NacoXOZfb0!S|sQz$2A|J5Av_bc$~GiZ6Wbm+xTl!MLWiL%}FJ|ngwpCK`Ol3_g!1zoJ`?xzcrj0<7)%Nr}G!+zN#AfD)< z$mz;SL^g5@470xR*_h;91%HC9=>ze{m1D?19szxmr*Yb3Y#QQ)h|fXzZVqiE2;cRP zyo2wKf$&{1&$Zs=c?>^9zG1Va32R<2U6P?RN0Q7TMsIlm0dzr6f#XLPHSLe-pTFO|-f`K>xCZPrG;SEa0Or5f|P3m6dYW ztIBZB&-lX}!;L?(!-NHK{`+p2L_$sl^J`MqA2e6uyhtZxzGabKMD*~gAGo?dHqr=- zBjvyBUy~6&=OT}0q2)lriG}-+Gki4oGSQTRxQ0YY z#$p^F%3(FtJvu&*GDd;o==~=&i@8`dUbh7q&4`F2}6~24K zowErJHP)O|iB@sQ7q=O}fRW|-U@d>IX?|l$_V5z_#WTfW-2gt*>up(SG{U03(^ml_ zGR2S9@Shy@%V4F=mG7(5Vw6%TpXd{OxYYWnGecj<@^DD6KEUs2B=jChsQqysL;)x4 z6%MDiP*8`Z%XL6Sp+%;3uvPc4Nmb;W*_TU?rd6MydHAh5_gBGIa~}$QFLDz$SHb3F8NnC z{QawZWR5YVN>&&GCzk1?r$|PtZzhI*>MuS`#C&^gSIwI*&ze!I-DfG?X~XqxOVxU- zL{+7+bZ{eQ@y)9z53wzBVUBeJvRdE9s}O?oTIa=ia@@lvh7c5?T|T^diA?eoqfr>m z1!HBsQ71IKZPnW)#y{WdiLew6`9=((#|t{)<2Y32=F^aB@nTdAroCIQVvMv+*0XM) zEtEK`&m=fFse2Y@qmzL&Q^1N6@g?Sr@tpW8nkjJ4#AeffH!4X-4)&RfH9;sk*z2rC z$!qArWeWvQR_zAUdH6+OE1wI zZ~V$O`C}1xBc!g~qoQ149Bk?6(4?aooIt!8)_KlnT>C~=W^Wd4(jGQfEUsC&O1u%o z30|b;6hdUQ02TS$$^l)~ipJ1tuGyUSVAjSx z!t;Q~LVW}WwWL9w)J2xN`r#}62(vUJhuZtt6S@If4~>zR)g}DIKU;)AJVS%LIn-gJ z#OcAv;vG?9&b>Q+@6MFFk`Yiv3Z?uF+p)xfM&t9})fxV?odSG4 z-__7dp|4hI9|Kdja z9Y@H6wKi_h!~B$ht^o+rgZ;=EA0X%myuv`v6D$@$oB-;8KoAL(5kUE2?pb;>r%5c^ zMj9m#(i2vG`bc*RaeOH#x7RvvxfToez-dSM@{p!ZR{MhR^U^AF=;0e0kaO_o!l;2f zf=c(Rz+<}Rz%!41TyDk$ZCaak4r(sLdj;g!ZPEtMXCBbJ3{qC^!7(=_?Pt9W;`aXr z-C_WV6=N|zbJDDmahLi8%8WkSgiqcy(<@JyqPv>f&>=oXX{=`5$uEUo@~F_l^Z9tC z_y=@=#DnZoOH^I!hDNE1aI%%-00?xqbcq&`p2-B-C0$BCB@3 z*?Es=eU3n!8@>KB;~+lM%j+RhIRiIqd&t*m)AOtTu*Gu+>6{wky8Fwa*!o%QJA8xG zuNSQy=C7Duy@pZ#tg9+lc^#%z2WbzzAL#zUt93KcD}FL^1S`DiK1lYgWs*Qe-RV=9 z^x-)Vs!lA%xr zbsf03vXu!#oh)ZLaCQ+>Hkvr_uTllI;2%8T{MyFjY_tx0w6Z1t!jMu(XjnqlB-+#P za1#Cj#ckY#M}X)9+QK}ic zEJfbe^w1Bj-C?i%_6}klK~IEQ82=#%mDdD z_q&>%5P^&}EQItTX9$N~>Iqr0O~*Iu(tJr)IhAhA-X5Dpm}7^_^DLK&lL578G8~~y z11U%amMlz&CYMbgqadX@-Fhm5qaf#x{L*o9Fh?B$R`>3>TDZh`u_c_*_RW z>s>@rqmiO3qVAafKRVJ6>zBMqoX=AuC$JH9I}6?wP{2sWhE22GHAr9KgKB*#pDtOi zri^e%Vb|$;yp4hE>4*Em72LP#bR=QxYtzYu&y&Yk02UuR{1=8kl{7cf3*oPsz;)Z@;h({-tw3}37nmHMR` zXO)3V!?)MS5)Y8N7{fO-_t(PT+kJJQCCx-|;QRiL>GhTVba_-Sww}s|*W?D5>s10l zg>cO`8}-8WM`4R=Vh)(VD0G*~jGPjV=9-~0&{1@i7*%VG_dM8_52n?XQwkq01q6%W zEMcHfUoT^zo7bN!ZhuX7{rh1;=E0)oU{QqLJ+WB(Q`lJu47>1^pSRy8OpP&^n^g zA%U(psM-#i2M`RodUMw?XM*%s*2d=^6zdmt9r*hHmAuIT!({}1Fl}>3CG?XT%<063 zAu|GmU^H-d`PX|M!Ux3#lOBh-V66Lr8hV!id4Bi)mj$oiZF+Y%@frjf{eLBOz$?4p z6>!&Gr2YR0tayBjrVdE5fdSC{RdiHDK4B1l3C7|uhr~t4*HSp4hu#rQNF`;S=foU_ z@9Ps-^`wgl`m5-I1c?$bOW^hVFR`8|Mkl?cl&@J%OA}{5*`rz=L!rRxFvY*Z;(NrN zlPWWSVU7F{J@x;EWi z(%l;nN$KtoknZjfHXQ=eYy_k`B&0*71wm4gE&*u}>G}<*&-r@Jc|Py&egAT;x!0OK zgEMp2bzgUgNw{TNNy5G<+3U;Jo$}%rW{^DJX1l?gZ(FaM3%$;FBX`WIqUee9l7a~o z?UD4@aINV4h!xW6_?{=aQ#QgE#hOJ6?^Lu(9gUw?TQ)wM7F1~0Fd)E6x#A!L zkn}zrtKJ5td9LV;!A9+XO1q{@bQ^S|i-hYR%ZCE} z_i1pY(%nd|KM&YmeBreo8Zbs6D%=+J#jkYc)2jznQUduTpR+#v|jUoYnKKaflqKQRd0Q^tj z9iReH;|csnkdGf4OpL_<^<{(oMgJ%OU_0)@miInuZ8n0!<9}Koef3y9w~ z#&0(mco%^;t+ogaFHxNp@=9ygX+|77;Pjq|ar$ztHk?7BTq{hCStA3v-x!6Zv5a$f z-+PCjnW0qs$G1bjk(WZ&Rw731MCbzAk4@q6ISO(T^ON*qnFaGD zhGM=8T+}}=CX#_M?_usWZ9rmXszpZ!_oyLP;8V&FDQ8d4(o#WGoAV2N6Zu|_Y&Spj zGcFjj)u+yjaGS#QN=tCBMmKyCg;B#Crq88M}Pt zlBMND$>$qW>577&cWqBdn98FqFjpz&^4^+@n0^ZW5@Azv+Y9h6ayMFkC$GEELpD!R z3pbR(rU{8HY5rjZISkF$-#CAmj5%yRj@vm*z3CtXqL{vPYlECvwuAOvGt%Ccf7pJW z(zkxlq|4`kkYB{R@Ax>f#OEC2>+T6PrqvH81$GAnid4V(WH4D=6H^ofoB! ze!>i$JY3w<@ZqdUoYy(7ddPm}@gR&=&M9EBpFAM40@XqY@TXNoqT_kLNN#_98+t4uFWOGW?a4>lRnknc!damP( zt?xvQ@bMjG7H%4cOMmQm28XCX}`o%wbCjs!;_s{xWVL0hOpWDB!=6i4vR7e_x1r0C;A;WV6!OLI7YCr?* zRe?VZux*oQJ@Flb=@!`Pq%$VfgAkL#^YlDuwbI&!>*fis_bDjd*koUr6T!BMKdr=h zv|_kO{*5Wa5O@16smb<2*O$mnC~QAEqPRKhBTZH@o!=dn4OYz#W}m+c zpp*?AV|Hg>DHtuvCKz6Wlw@zvkaDpqRFYkOqcQ%H54g`*4qaIzWBs2u4tNX64-@Pc z4#x(tB5<(w#|=tQysV?7jqOj7ev^LW^>8R=$ z9YdUaF;wKX1V8dsf|#~rRu3#C3Fq{bX&S*pE?lblx&i9%v2{rZp<()#MM{JJKr$9N zA9)#lezajk^|ctgqPlkYvyzj~XGGWib!^}E;%#YJI&ke@6fsS#g)$YDd~?$%#zd4E zVCPS^KgypR@?~g&d={ECz!Q$+%PP(HtN>F_sLlG`d#O<4OA5G4_eyq0L`bBp~A%K4=5mk)ow}J-1&= z%uvqB8_BUNHovXVZp{wCOU(*MLR%+`tq>qu@H?KZ{p>~UvnI|FY(0$#23B@tT{LfC3bMMLgI;RGZNKS_zR)o< zH@4KYC956l#zX|8JMtSgxsy-T_T6e53A|}#UL4&~h!z}j;PPdO6VMl~^0p!IA?#%8 zp;0e`k5+vT&w(G+(D&NCX4pTP^3~<5FDS3@DT@ov^dD~YUJu)@u8&5CMf_%)T_CN8wlkzCm&DZIo?Ozl4 z@UTKo^EmoSUKao9yIEajyg_bT}Be8?PYM>wd>Lm0^x3K*9 zGse0X7hGO5kZ*6A5T^>@kwa*eG2wygApPtUNd?TeK_UbBpXe9Uy7+Jw)YE)jVo_!U z;5PS9j!9ogLV7)ryT7+-_mznY9U3PshS;tF!qTvM+kaMVh$Gi zPY5dB;*)6(qj|2Q9HQH1&S1=TDZZz;dD3mhHZGEy3b7^=@PZ4Cba7fBBdYcW2jldE zLRA<^W0p_14zThmLp4qe8;hC;w!ESiGO{k8&oG)Zh4aW>Tr$o|jvQTPZ4{@nv z_f{fLCF#tLh`3d&T--P`V(4>2un!V+T6g;yftTPQio8x{iSGMzRQQ=LK2Sl*Jm1)N z5WsiF1EJpHiTiNL1(llB1lxjhYE$3;kmZ^VZ@(%}CnQ8Zs!i3`wfA<|a&na7#R^vw zF(OLz;yF8>C~A?wiNU9RCH^?3j>oHIUI0OIbMRRJ;%dVCy_N`}R*{J?Q4fXUXI9i+ zlpD%f%pV&Pv6YpT8o>a7g(t`1szJ3<-dLgL(x3tjO;pzpIG^fRFO;Bllb^ zP<}2DfLXl*x+b%NxPj&tU0fg|=#no0ykTYo2?7WFxIu={w`?GBV9d<}jMWqXo@{e= zkQH>E2c!r+7zLq1DL6n8P$V&6irtHg3O&7>jtyc0)GD|D&SsPoSS-T=SVs4CK%rb9 zQCx0jYEe-jPIOmee-{?w6-b6X{=J@o8w7x3M^S+*y8{|6+)drE08~;O4@ea8ekatG z4@3%GRKQ1qF8xFkfd+j?Lxghkg3O`evVemi_zNq0CJW>g1flAAC=AecKENOOJ?7*G zoDQJv^nK-!pxm!X5+8^STEP#v6o6!m4@j8S$pPUfV3O+$Vn~zzpD{fYQ{%3II3R)W z1ADxrf9-k854a)r{J$eel7HE4|ELi9ci8P+Ea-Q(Qs$rFp5K*3P*xE#pv7ku2nCwU zhr$X)0E6%$X?^nGHswrVrzY_y2+u18B^^J0rr+J0+DDfkNTThR7eG9r!I4hxUd~z; zlA;(Y-WW2#e_+SXX4gR(KqbGG1T!;<7Hus*^}|RdJw>OTlp^bO82^CGzHGHsK`7o+ zz1T!G1*hTH?7C{WTpu??4{dFR*S}a_78>+hW>mf6hCnB?EnFKEzE~EvzWsD#leQ~{ zr2;o%mJ-HiVdKvhM%}gXB^|j3Pw9!6v8?XLZzf4AQk>i)EH?4gi z~83zdaM-v?fu1oq9*XX%@NcPpyaz3OV&QK}P-pHBd~+#9ETI(80= zcr9(376!S6^{gUCTHMSkpJw5Rl!X$>Z*pA{oDI=mT#cE=PLI_oTx>7La%yR0@w>y> z-FB#(zlThpq$%cGhMeVtG1n`f?Fw5@UQQRCfw{*&(*l|x8* zZSW)@x}K5n2b7;OC*Nn)&@9DWX@eAbr{c^F zncKN;6s$45JcwU-73AGQ4Kj;Q(-Oc1YP)=vL%F? z=?!A!z-kOjA&oP_4@lu6sfxbV)o+}vdhC1Tg1{a6Z2DmdMg!p!CwAu~Sf)1^`S7-( zg*WyPYz=>Z9^vX_H#aYz=ad_ti?bLOD+-xZ)5ccH)KJle*3aW3ws6uBMDrxQxP#+< z2EC`&4mT$+p>-oBCl3ACAQWUBX_T$BEmyCa@f>w}ISV8lZ-A??mIZRe31SHCo|8MI zQ+3vsISUS`z{~&2`D5OvHo=85*9CiOHktArWSAx+r8>D}tvBpFCl> zH~6{7v_te}E<8QYgI8vgOJhAq4O4sxq*_wHPhI!s80X;0MZ!##lycDhG*HA_k0mKe zhn(9OKFO9nw9KmFrXtL>Fd;3G>?B6&3M+QqqEk*gS}{&5pGfdm`xNnT{6L)~5;aDJ zP(FI*U6|j`Iwjp`uM=B=&xgqVHV*43wxJ!esgv*|eD*%Q!~!1h#TWyRWuW#r$e$;} zJ(W2U)uU|idTmLn%z3?DN?X~yio%GdnT5Q)b!vy4H*~oEC8X}9Nh9CNl z7m2FbzrB-3ZJAe6jh^KbnERL!9pe?#nfJE`J#be3^Qb-=nVQq@4qfE9XSkllxnM24 zeHt6`bXRD0GT^*UVlvlPR)WN$sIZw;ev(_7Rqh~biaSE5b%xFz@0pL|pzw9;hh1yZJ3Exn>FwKP-vKH6nZ zo?Hl3)HCw9uV)w?%+1jaz-y;?9piaJ{5h+$rCnd6X(x9W+-SJB+^Am7xaBQRRlQ|d zHQ?NjD!QmjlMN7Fl50+Q<0E{Pa8}v!^5=2QK1O$$!xK%C@G!(u-#yI_*?!S#*zxYt z2&PNm%#_o-nCA!^Se zQ@Dr*pEXtlKHP`{$#U+vi zuFB|156rs0QN88(912759+~{%+uip;9|NeHQ$BEg4|@M{kwIzwa~SIN;FFy)8kpWkiVKQ4el93q<_wn&N^R1&h|Ny zmWzvDgdk6KIF@bAf8?p!&mYku^~cDY6PARGj5gBHs7Npx7(PlHe{)PRwLS0pWCw#D zM0Hl#V=^pYFJ-wEeL_#vQS%g?yFI8YLx3S~hk1}@91mNLllXN)gvc>`uXX%Uy@1fS z63`gFgkp3A;)ajFg{aw*w+WLw|gp zym~s8BT=%L=jy?g7PvV2LJKW4BY%_4KsWJbCm)Oo#hFjvd)ozq!ZY))VX&JAGJ0SG zHP-0h?So&|6M!E&!})DJfdMw1fA?a+$=o~tGM;n+yXkiswZN}N9{_y%9>#QsqZaxt z`c(uB903EwaQAi-nCrK-1^!KT{#SXF02u1}6IJDxHL?$6nxLj)pr=q;PISOdx-(^1 z&v5{HQ*n?n6jlchFqZCM)lbpUQJ~{@$HtowfFddhkOM3jnm7YgLc6G-qC!O^L1w^# zI}&&3mLy23~LD=^T?q@!7zh}m>0PyWU zh;i?By#yrCoj>b<89mfX9E5crM+WZs4!Z@VmIIOC-B-i?Gs*Zz`V^2t6@TAsj2v*f z?-KvG?prw!9rP_=)ZN_{FrkN<$N*_MbUe`CjJJO$|Na@^_^09aPs8uuAY6YsqeE$o zL26K%WmJ5qgc%YmR7(Mb4e^dJ+Es9zli4iS7C2N$ohhe1`6?4bgE&`ueeAy;0v~4R zun}#V?k=|R(AtN;O^~s(oOIcQU}?$YX8;|SEZP^rs6=!GELs&D9NKhend(mhZ0Upr z5E*By0^NODLhKMT8XK-BNI?H{IqWeMX+cb@m?if!r_7P<`VakhED#q#_VwAgPuo3C z0k1k1qkE&!-tfh1b_?0LXV=J)e!(MsARTQUAj&|iG-y#^Z=^%v=9bc;Ru2(;GRc6gN2_9{XWdEvb*fmF?gc#a13L3_Y%IYlwL%f(Z5sMn zFYGQH!uPCZQQX#j+8Pksif6L6W&we@qpy!pbfd;fA9!3`^hkd*fNmZeK;_pLssy}J z*#N*Yi-#_lhDON)GT%xAYey;DACAC=_n3kR7aLc?O|g5zf#h^3<_gs3;R!LsOnRnj zIFn>;zkjn$J4{nOuV~b4T`<+CaacGt}^o~FF z?PtzCt`_jZ<;+}MQ!QbLuSt8bs3iA%6ejdby?;gd8l85i3faa%1 zvShvf0_Up(vlN7DB?;V5O8mWI2mv>t_MugXV4*)Tr|sj;S^S`6DUq)WLCn;Q7}wmN znZ-Skf{w_8m<45OW3z+OBjqVx551V&2pZ~0-9|zzMO{UPCU6bB|8~gVqaB8_Rz+%d z`en52b&20ghc_36u%BoB+&*`=eujS=?~S^MSdr%zgAa!Q2{34=UDqR9xJJgXn)pLIQ4N5^duhlbLlyVF&pq?`PX#b`=DquOc{ zsU9W@^Y$lGq&W)v%4n`>V~+?E#`-asQ$@DZTJa=DzU3of)0^iGZEDD7w>4Wz?V<@S za?#C2n-9LDHF9%FmUoz@qRQzE#D{42nXu`u=todO`obLtRmuD?lSR<0C2eW&x8c`d!o$h9zMaJrdEW3>h%tDcf7I39$o$+OV#Vn zk;_240O>u7mwxr>cs~Kq^|l7|x-XBCq5?ufoC%jcDyhEi!;hRTf*r72RXS^(jP7w#jJSiVVr^dqHGmoX~uoF zS4-SCk`+7TO}X^n&uKIlZr_U2yDVCGOqGjfU0rUrlH(DCuwH9<2wl&z8|UP-^oC#e znq#iH?_Xodzg2cv{3+8lxkE^_8{APL99kLOv$rB0wKdgyD~ut6@vjbK&`WkyR9FB) zDgMifAq4-b+4?I61fY!nm0bdYKp4E>-$h^dpqpufKcJfz=|DR&Wpz*#6wUN^v>w=d zmv{PpkMhZFd%)Y0VvJcCqQgP2s#0b@c~}J z|2zk#CSXUX8s48nP7^R3jI}@@XnQt-nCAbU8u(`bP}%}X z*gM8pXpjvKE9A5OfYYon{`@6teSL8HvIyG*y0kEj^8DMoE-eDPC^bb|X=L?JZ#kp~ z6Ulpze-b+5M5PQoJereQ^Kl7~&L3zGEszf@XnK`H5iB&$)t4y2gDawuMN9jbFU#`< zr!|}KN8y}@&PcCTLA|t+2{<7#p|1bxBZ>qm_+IQ^D;Fu9RMa6#(CnXvLByL$=z6~8 zb>LKX@(dH%W3eUHbn z3&RZ=;dSf_JrdL|3N7au;X0|)gV@5GF;%Ad-sa4V-$cw8qEEi?OSSvH2H%(nGXBRFqwbkMpM(iC6ckDMP90A4Elt&%a5YXya`3c|#oUkKpZiQ^n{C&gQ7R zy+$T%o+6S=V@2NUUyQempy8&aMJK_8pu!btnfJWXFB+sG=6&~E4gfe0LKSt`+u}FA zOn-j#BPKJDE0n4D^Ne&7VeA4yl^J5st5UnoYDx^1dil?CnuiXh)Sa(nUL6bd$`KPB zlLT|8&b90?F=Qx_p! z^Ad$nST_p1eu*#FNaOadP~4QP7;>V7CPPe!BB-UtwER<>vHB6~!?FN+R?h|)bLk`% z9T=)lg{22W%aG5MNnM}1HkHOxAD!-zbM`rHd|iJ;(^@)Z9i)M9x}Qwz5Oc&b<84 z%NAst{$CZ%X8)7H*W2(WExv|fV{Ih5E?YhTgYdMIHjAjJb#l?2c}DIWVV}0cS!@ z6Ks@16LUk-80^yq`kz^e|hG`NU#+bjSNFT{h zLw9V_u3SXsPJvvSHd{w$AB?JP8#$i2gh&TRBVN{}{Jcy0aN(T?$aysA2bxT^s2J1A zyR7Jatk$Ai{oQ~2jj*zXdn5O(hnkTkU zpW}_9s)4j!SedVHZ}_&=e5PnW=1#CTwtAX$%N2usiM*ZjEP|r`4@e62S*bP)oBBt3 zuqaIv`|y&bRQM9ln$sM)dUvA}4n9k;T`dtQ&I9c3AJpt2W*^uVbES5Q>nUQk6tG-X z=Vuya6y}^lJ_jJj`3mQH0xcMXzN{>)$M1k4Dbd9HWI5%8*Vlptc{9WHkwf^5+j-Y)y=8>c;EPk-#OlE5r$=ToQ5V> zD2f3+@}%OPq+oVWpA(nFG&>Y^4m`s>@ASN;UErHP)Td8FMKWujalJvrIfT)@42Rf$gz?E z6F_<16RiKGfB^}!A)sP~i~vs?n3&&9KC1(sHZaLH1k`G-(=W-CA%J%M59JH2!ZiZ6 z%=})ZbT>)7KvXpUr{ewJ9oYXX#rrG2#3}O!bMnib#c=^Dv=SX~*#Ml$ymKZOHe{pv z;7K$eIT=LEYS^GivzTwVP(hoD*tJd$Rs(+K-MelEN4Wy!UA+F~%YeC8B-Lr4=Z&6^ zM+tE}$T}VaSv?wt4<^wFvd>G>(Sm=_l8&H76l;4>1sF-MlJ#O2eML#k{|xk}swtK$ zLSr=>wTsJM$!r|TG=gZqNYYC1F<5gn{m5`sw()tMmA$j-^Lq!gtqxQR9i&v2+YN6#;}-4laQjjM4QE~G)Q00OcI2Kt3ht&V zeb;VJB>bh5Hv%#J=e)s8vFDmg?+aCgSryaS#v*7b!ndag{=95d>1*Np^f-Yj8{8mOPE7ThSXOyI4t4aaLohgx{qq znS8o=1A8_9lVe!XtNgg4Qyp>y7MeKDnIfB%Ri$YKSPM z;RagcyDf?0MJX=*A<3G0GBV6mUx?|t{&W}rRu*!yQ;cNIv+d3n52RB=RA0IhW}(}} zYs7N{<;!31qm2C@a0-~`p4Q-RgBW-xaj_W?c)>sigxWn6N<1*nUG(m+mn+o-9|-*c zudgc+-2WM*(&PX{)c{l<>RmL5<^?u0w9^d4k5JifbpX9E1M%WoUDaf>kBCg=2jjI4 zHGLsFFNcbmgGlMCyGKG?BO}Q@#f)A(y$Q<58K59r-dq+5}l*MnWSLi<8C=uOI-$|*PjP5GTsU5 z%e}|NJ`R$ig2hmC$yX0bC>(5JQz6?xQB{S6c9QSKh?4G1+hlo4&skXpGuKpY#D2BZ zgf3p_2AQ@%!wja&6|_39P#YVy@T zbJ0sFnx0cT!WOZ>`w?=W-a2pS+NQ`YcJ6VX+N-@}>8ljy!X7}@ho~=XerFZuS%Hx& zAXy8OJW{Gbw%lxH`09=&kcNy|I8D~IH{Qgx!82^A-!!#(xB|n9?Ws?2AY%_fKRt}> z6`9W|X$fral!c!$(Vjrs7Jm|I@910OZtV1ic&bzZf9k!Zb4bOIDrN77PWfz^V^_k* zBa$}H2;d2OGzle#Lx^j!PuQZe5%ctkcjn$;&pfVOA|vd{)Js^;6Ze>#a)*7{Jijjc z{3fW>52jXUiiRJVo}v(ejWS6B;;AsIPCq6}Us_Jr{DY7Uoliqp&ber;q@v6t;4mbg z#i7VTfrQYL8GerA(MmTL*-xkpB9d`Hp#Mm!$C0&;!&9SSTJkP}Wy(Fo9X{mh2@Aav z1>_NP?7}G~`L=z!_ymabjj~vu(nP!~Z`PtMMo71L+Qvn&7Ylp-!+|_sO`3^4$3YQJ z`tEqrHPc37KAv(8-u_3YkzA$%u^*P0?$Emcm84#nZ7iPU+L>_=V#+#*-$N7-g!- zmy}E|#4oU}KlHyVFe(x~hPU%F7v{PyLmI!Va7WIm8pjZDzW9{9+7Y-fuLy^wT^*)F zI{6%;=->$FE8mxhDbi3th(&VdK`FT7hIJt^9nBI6$r6r|{LUd&0Q=~}?$!^tM-4Br z`U$rAA%3paYepoW<=>|*OmFU5w{5=zs-Ugw z{-l52KUWT>AD z;3>Z_K>Sr)PYC|SAN%*`6exuT(5t^br@>5UluF?HBK5l*2=AZN2k&p737Gdc&;;PC zy?4xc!F<2*CE(xuwST2Yz;_(8iqKJf90cf6J3b=R-4;N0XxIS25P%s?1j=X!vVp?Q z0U3-LJCGxk#R-VP&nKaXLsRTQ4$!&)d_}0D1IQWrtOUSVsIrv7*a!CT@K3k{X%2&0>y9Y{0mM`A4e?ekDAc1xWZvB0yt%&SD`l{1qFpi zWk>zZ?k==K`S|{lTzqdO8a--EaOD|l=_pA@MvM6O6lO1K(Mtj+cey1hX+b|twz|K6 zJcC>hdLG>_^&nl6&o(lqTxSpKZRYkH?zogwWQD0GJTjFXjC9q&;$06R2w^6e86+^z zw?Z&FafsK`4XgPO79DNIzJw++#qERQPnY{H3lxzpyoN{=z8d4T5(r9y?_BUiRkvYzAevm`N`@@;B`8d;HY zNlO7M{KjB&Q(fwLQl|xsiw!>nOmu}l#YC^Nnkx~dp}3(PEvO=^+Udcb;!28M$pAba z@qQG0tgqD2YBR_xMmiyFY)|zAH3wmp36;{Wkt479j{u}e)+s_pyzgIrJM5&$9qHirdiA{@AlS+RSWOwffNn+%h|P{ zpu>-cAb#x$e-rCqI3di>1nr$u<~}6WjM5_WoVxm$ODZ%rvv2lVWA)?(?fdo1x~b)l z)N6EtS!v~jtmiyYQ<8Ydq`v1&EgGu zvO$1iwHp_n6Ao;AvA+X~1D`K7E|Oz3f6%ElQ%v4In`pHpc*O)aTUxiN}lt82U% zR}0q{$hBO^!i|dn7MAGPODjZJ1_}Yvcs7MwtyYcZT&6`OCFk0MN|tCuvrRrO`ZROYnH0-TiII{UGY0CHSdEmjo^GzUv$)x{&s`2Q zFSMv(xgZ;6r-pT%Rr3wyj5T5-E1Hd(_9#Peue9d|Kb2yfRGXn=SH{ z7;kfivdI#4a*^f+i%vJ=Q4FNtbZKN}^#k#V;G)=zS*d;$i(xC!?nuVa^`v;@;q%Ui zVk{vTSM%oJ?>SY--+kuJzvQi*x|Y9v;SPHxckFug10=!Qd__6AlpXUHnoKB%?a2@~ zT6$z_DGd>=b}k5gG$oZ~Zjc)KW(1yMm`N`z;l#R^Pj!4KO6~GY#nDB2P>;TQbGdhe z-UIP9fyGN-R~cEVpG&*B)yUmT45P^_Wz)f$VjNechAqZ)LB+`3!?Es}rp!9NF+4i6 z!e8rdVVWG?|0=`)QskXBfCG9DV+a4jLjJAV0EEB^=08*$JeU^@E${&;ivi7X{%Eq_ zP(0wsZ^$HA;EzfZ_)V$?7We}X0RL+40}XTrkwM>1;fq1VsR33pbzcBV$qR)B{(@49 zK?(OkI8b8<0JVJP2NM4^B@DVlUcLeF0JQ!9JaRM$1TcUm;h{oj{Q>Y~WH5lGTyj7_ zg*H3`g+s+dfN9Vr7BVz808p9pAs}_AWFW9DB@{Rd^*$;R^db-tboO8=L0xbF%;g&h z$O2khg`)^H3;GK)Q0@kJH-Sp1qR^TpfZ^;xFtANI3{a@qxNxG-@nAr|YWQF)Kx0CF zU+LW+b`TY~nh(fGP>xXGNALJoq1a^r(dkAgu$wIzP=A1K_W+7?@e={{2RK?8VIT(J z*jNIR!e3mZ|Etmh8t(lQ26@kP0wvD^uJuI@0t!HJ3iPJ~Trpg|C+?X*SJAi*5pb#ut$<`H$5>PIT^$7WP}+lYtBf=v&l-`Uwv+rj5ST7dp zAM>;C8msHhwvwu`E3_r9Medt5;mr24%oZ}GyD42wze=`!PyDd2XMrT?(b$%K04lO` zgw9j!(eNJOIQZuQ74POlpkAioklnNC4&iF=OJ9DX;!$fdBDz4{>^#HR4Ch>y zatxTCpMng3MByjp$#QAv;Z)JCMM=U*XrS(O?F}FA3}z6}q3eBvzK;aZsbh~CJqXL+ zv?s+$XWOB&qw6)iULdGo^DSdvFPrduxzKyuy2Y|1*?@u~$gh|c@S`jI8;2wM7jAN4 zI93Y7)f%huTv&R47aUpFT^<#MSN!2pZ?qWYvW0R3aNdq}ps>HauB%5U_c0z?oZtG; z@~G|QwP&C^=-v6wR!0E9Ndnq|8DT0Hd>q->i~u!`0+HfSbux^->z{sEhK)WPo0l4E z0F90UQSvd-*qjP%hA4^`D$c`uuT%^8JB-@m%qX6%%l?AtmG3dWos z9~W3}!)#_HRO_=2lA};dN5h%XbcCCxCVG@L8pL!idc^&vUrx>+29}@3VfmY4B{wn8 zh6TFPdpCTxjZa${-8K_x7$49=Byss=o8njsw3e9)D57Z1A#vHZhA)$@1Dy}~-C=U-15ukkU9G}l6n9<#`Frr&D9Qs)|d-fcTvwzi~Nz0YQd7Op)a(?6-?&6u5-?RLO z&&tgeq+7dh&q(|gzec7FM_tDYH5?)!UD2U^v3JJ2hMbDdX;UIHYS6}y1x?_-?^Nf) z3*-1WpS6MlQidqfPWNOTR;9gVtaGZPus;Q=t;o7U!KYWO9gdR45hGb`bX?1aoN$V(XSr zlU`Dkv@0BJbf0Lc3t|*ZAqXErNdJIjCTZXnJ(UF+%BBOrn9@6yFPdRCH z44s>f(D+DJ%<8SJX}n#H1IJpLYbzxhJ+*yZ#P}kqc@fbIPqt*JGicdrq}ZWgeH$rU zCnL(#)A`0+cTv+Q`8Ezb>v>dFU=#7lt80sVUuj9wk#U-;7nu|J82gAIARdRBnDfCb&VTozTtB8=%%|N)gjx7C&5XdkxPH)MCfz4zlp+=*kr0gVChTcblc<3Og++7rN)n~ei}JaqxGd*@FWY9fk(49$80Xsy`2{#%ew5DXPf09>=^M8My>AOANv8L-MX z;Vyms?^T&#KvI7%xpQ;>3LgTJeLw>3O}tlh%!$B$!GG`Iez!jxkU)i#?pNK9fBYJM zO$1Wm@-INY7(wq=DklL~YMFe0rNEd8_dnc2pj2TE_>ag;0+HbUM?mvlhzFfc0ue!% z?|f9?l!(c9m-XLnOFS9)F`b-W%kCz?-;e*lU4KI|;K7QQ0RHIREd%F+mWz;~L!S}B z{f&eB|EIuMByTw}Y)Z`P=Q~>p= zZ)763>9@Kge_3vM_7^0s_v~g_AsQBZyIDrch(aU6V%~4bJU(&6Qo~F#_YN#2%5|0^ znn9ZLB7}H+sKN6wmcj;f`ZF=jX7fi5^;vzJW_r&&z&>p%ZN87%YMv8sp~F)NlF`DXd?X*ZmRH*^@G>S>}3 ztCq$v{+c~;l1nHqnuFRrUf6K!W?E*-2Q-jmI@!@DRK`!eSe6uqR`rwS&1WtX4g=LI z;9AOZQ9rz$#bl*ngB;)7h@>2qGg(kTn%In6>S0~zZKBgVnyo5g zAq`c7ijlNWIBvuUs@+%?A{B1P;t}_78@l&FoW|y{Wm`m2zMUpB5%kxTrF&ddDytCb ztPy*%3)3KB)Nq*)T{-$-mc4e#g*omYK{@Yw*}C+9w50RXKeuJ+T=tO^%?;F<(sX&j z^vM=`+K9%buBa~!77ezswG|dd{^gH6HpC}shZ8Q8L`(L$d80p9lfG0Sjcar&KPnT$ zmiS@qnmv@gG6xyE3V>x48A!rs_5X+uA^XX;9r%*IVAcbN_;Iu?ARHJ6 zTg3z)KnkDUv*fK$YLTDfw&T?ldwA$X_(GvQG+bc?30Fr?fl)2FdVaFLSGPo_0Cn-5 z9~FPEA8O<9MZPF5MaPsjgpMjkyj0Dr2q(8RFF*1X!J`jzvu8Mj-Gh5nkAf*#d=I?K znU({@D?xlQP5 zV=fj&aMJuN!=4$oJ*Z640=+@Ytm>GuGKmgAV&TV#Bk0b1rfV0^%6D?$2ANS#QW@o6 z(Wk;jpZeds|xqV7Ist3mZ z`Y27wlrV1i>yw`0y>X>3SKmzi(sf5_)8p2@c*g1G8k#`j(`HHDkT}}x(Tj&DE^=6n z_>&gQirVdKhK_IT5?^>uPj$!zfdhRhN38WP5(-xXu>D4dpKXnd1=~RMP#^Cj!?6d8 z&_`KZ{e*ko=RTX!anaeU;ux>9Z`Sh7rPT;=d$+vet9FZ}?(Q2+);%4sV_`}{q%T!- zyq8aF z({c}x!Go8zUvQh7(k>Sv26|iKud%k)pOTTOq$(VSXwGAPrp^K~oE?ruHMprcq9>sU z&!S3DZz2NpUJ&YkU08n#rsigTnzQ1)c>BXO?ex9Z>bVGOXx1$;(&9;V*OG8xY5J`V$7ehm+k!h=Ja+zfkhfL(zNHw)_KD5&9_;B>Kze zb;t%z>MTcw1jW`P5QDm80q`_#Hmv(4C{V>$Ac0@&O0-+A$SMy5Y$?SBHh|IV2IrTG5OTnx~AmXNpgz+JP)qoD#9*olF_3?0b@VZc6y z&gX)tAT2!1i77ZgQkUhzJ(_VVQJ$X2WW8jE5;9r9Y{_5~fz*Y~^HtWSicpcJi`!jE^%SJ~0%`fi!s|%y;kaV|UJx{_IFGppnNXoa{Qn zofm)X8K6`pJ*eSOC~O5^i1DSkPVMzT=|#pJi=eflxoNp{z)Qy@o(pO_gJRoU-75I% zE|X6l-;eEnoP?^b3Io)NQW`^PH~u8?kmQnS0cKGg`Qlodv3O769CoPK)e~!2_JwZ)%-(Qw?CL? ztKQN{KST;JN9BfbgsuM6CGN(ybi{^E+&L&IGHy2L8!nO2AjS2;7KGqg!jCduNd^}n zg^B~?D9U%6J6uCw886zyW<=ykrK@b~3bA_Gm4=p+xNXtKTCVjh=4GtaN25BSnHL19 zqZ6A0HPq)-mh?tuv4Yt;h`r*+iLFVbWXRm6=~C6cA!oj(`VpNQYVVMXEMc7~TLWgs zZ5tOHv2aPh;r-03<0FNCg`&O*F;j}rXfijIe;gRypOs{jO(b2XzcC$wM*#Gfa;-`unT%z8l^g$;5l~LqPLWEtS9x2iZn?o1csEBzhq$RwRVxZp;CcE;e zbxpD-)peaH!jS0e_a=ye@$$6XpT|o+?-H@Ca?^)BCIcTdR}!P0FVPK7_@Bn5re6Bu zg3iidOvxObld2$Vf+CcP+NjFrYu_R5Y^+Qw(MB(DP}QV4OW4F~Sh@zL5goPK3wSPc1|Uhs?}< zBM*Jjr>wHE+Hb-Ro4t2vtcFYjDJ@3LqVhO-C_q~o5j$KISVuE(`t#dCo6X*AGzfb( z_FwghUEl*E4tTk9iFM$V)651WJ--4>XT<9bB%$x^FMSL}tF4Y`ouv!BwO~nAo+Ni# zUuoo=&Z-X&4YzP$v8oPLVWwx|>6<&L^uW45eCyw#WEBo=CpGaY_4BD5%+A2G@$pLppLcvS1o{JEWE<&vBih<(mDy z`V8fI17dLN)EgT}o3|DX=|Ke0%hxcrm6hCA)KL?oBClO$_Tu2ZqxSWCjK%B;uW6+p z(&!egdJM+p!v0SJGv2kd;h0r|AglnSwWDF(=zJDs`sKU%l!>@^?Aq>7#6EhxPjgxeOzed_ zkK8UHCy)4)_sI4fh2&8)d|1jgvN(kd4pgESgrA%^^*g#N_^bBnFTYd}r~~?+=z|Iwxeo z!-OlZ)7_bIL4%>-%B`S80#ggpD|9-%Nt5BIqUUlF^(f48@<}4ZBW@}TmIw4cM2M^Q z!>BL~8WD7U3?aOBLEqIhhuNajzL~mouqHV|bO^iEnWjxN7}`YG8(rW0B%UX?A;BnO zogbN`*%tT_Wxtjyn^(kufc1zfguF*Pt7Nvm{GkmMZ5Dh6$$RD8*n9;ro`?ufUC%Mu zx0NpmPlq*y5|z|p3Ag!My3c2>@hj;SY|ASzh>%1bO9lMH`e+Jf++k1#>C9|Zgig&x zAibV5QTtI5eMh|VZR^2YDUq#G!mma|FXaSmQyt%yXt$r-k_iQt454|PkR`K`k_z}t zTgbN?zdJLE40>{4(5jVg=F|Gq$?Pk8I}oE^g_U;*T&V*o967EE{&?ci6sU zf0(JP8X|{i60}`0S6%Q5YAb@_OW-ixGC|<4%vzec;BrIqY7NyY!od&Xx2djivZQ8` z#WU+u#dSR3Y_>#H%Im~p@T5Hp-dkb2IN^Vd|3E8JbnC)H#SuYB`Tvpj-houV@BeuA zILF?5&p3{8I5vrl?3L_n84;pzjLM9PJdI>$rtFcKkc^N$qL2`Z%+T*fz2C3#{d|AF z-}n39ec#u0-_QFz&pG!s?(2G7kkPkaf$5)UhL{wzRG*dIzRs*004YwtYs|Re@`T5s zY>H=cknLNi6e%IMs%Of2L(s3SU_+8t_+UL^hg~8ezNt3#U0|7dhT$*02VdjsCu_$( zVtvWpR53aGDW=^TCob=L;CQ7G<_(8Y=Z${PI=2jtlRp?160)_y<)9TuBTue8{{H`h zX4OHJTJU@R=t9W~IakAIAZ0;fzT=T&sOJAy=$~7)z?a|4Mnnhzp9i!FftgQl={YI+ zZMykfycn{ZQ()PS9>20n#$F`};ai67qR%hpPW3?5n5}ovx>=TGK|OBJ(VR^(Q)K(Q zf5W;gYn6p%lYL+HlfAx?@97y%Y>tCu4fHlM8V&sqLaB(B%8=gWhi(DYbbW;>hryZa zzcQXXcGHDkyEM-e7SZP#egV^l{Nc}xF0Q)1@Z{@Yx&ClqYtER%$Ajr*sLgBF?AlV- zdCFA=#l0tl?}+8vf^LNNS8Fm=Jx!;Y{#aombsPQc$AwImji9d?J~US3nXgHr3C^k% z3&7+hyE^JFBWbmEuor}q*Mo;#`*Lr|YBUB?sFK=Qaq`rht6dj$bhlW=P=8^0y|&l2 zBIS^`JTWWdWu1Pc$>iX0|L2896O?%EG@)Z zMpY8rz-Fj@Ur(t%vp*O?-@=wN-Dp;`_qZ-P$?<)0lhXSE3EB`T6)|_4_#e;Y&y*PF z=k*z_ZgsNW#3fX&l=pI@pT+n*SP%}tHA$;}!{{E}NAn_hd!uJ9w>>rFsb-8!kP@o! zRSee4mc=B?S(wx_>MTh?_X$m54jJ-@&vDghm8lhD(?xd+yu+hs5Z=C?Eg|_**5_>x z3eangF3PdbpN&;_sB=7x5z4NA!+EWPukDNub*Rsd3rVQ;NI-NepRqIM0$u%pOQ~XB z!D}(`cJrCV$?9y>#HjN5=`I@OFRA4Nx76>p)m3}+d?<~~bt@hmBJR1J>SsM#KSoJ4 z6mV`>pFaEp1)=e>O7U62Gb4QBH#~{Gua%CN$7JWu??@!7ow-jC*;eaUt4(#r?{)tM zGKo*s7ZIw1DG%&?D`w#5j7ikywdX`%-yyER&eB2Q4wm=$;wyX&!be|SvZ5jR95Ejz zh`l3Yh|9Jp>jAgJ?RIyVDChO>SBT4vPuV3Sd7)ouEN)qFonzX|I7($Zy6F-*sz82% zVT6eC>kq#h7Tlkj)Uo*~y7rKUgxaux+Tb zXe{@(A_mPQYzf8)UUT|kwwiimgB#6N?T5p9&B;p%a~14u#qjveT@@m^zn_T}q#voC zKI41iDmHKLY*H$^POErpmAN#yoK?rj=aH+M=vG4a!Zl+KqZ$Vn!4g6umaL|;<(3Y_ z?AKAm$9K~Vgfqq%gin9HW#5&tUQP%_)1SSrjvS{gSQ3f@SN%*%!q<7Re$l4Th<1+)=8OYnwkx z3AXH2L@wrhziV`8kA<;yt&x@W>}MNN4o-KJn)`m+q^5p+i*L2SP{p7oQBpV6ppLI? z9{F_RU0h*tz?YXd9IR7CtIuPXSDIu{5S`NJE!%Zb&AH>Y3|&X?SHGv%4~ zd1eAKsm@jvK_kO*+>gY4 zOen=OPl2}awoSKq(AjrMp z=A!IXr8fG{QQUqWve}JXL2g@ho37tj%6}fAO|FVENctd|D1QoowqF`-d^9! zXgEBA6qV~`kHJ$+ga_rcK`XCs2;VF?qr?z-{)hU*F|nAWCsHHo$+bkafd^Vygtc^C zFX;OGCA>i~HW3`x)I3O35I7nLYJq)DmPh(KEDn7V!~b^(JOK4`tX<*&(FnCl5V299 zMNhB(#nHcuuR*XH7*m2ynF2&y1@1Kr8o`CVk|7om)LjMcFScueN+^1KMa~Cxbi*mY zLI6$aIt_4T)BxAS@3wL+aM6o`LLtWm%|AE(&b~u~5#bsT0@hFqLcl=Btp>O;f|`Ec zCLEJL)&K{;X7lN6(D^qS_AwW8EpUZojsovK=$O<3O|`J$kA@3I9@K(hw29y3cgN)Y zy1$T#>tJWWMvt}G|9_!p(AQ2N`B|>v5kP}@Fi?uY$Py$69J}{-AIRApM7g`94oO~p zq+==eDp%9YSTs}EfRN#S(7{hjyDwo$F6osK@_URW*ZUe36l^J<^WSun4`ZcJHvQnF zOBsq9!ew9vMHncLi!hi3e9ZpjY;|kBaq~4yga$Z9xOh~$a3}>|Jru}IeicohIPW~i zhY{yFSO?(MH5DNmpV%f|(U=hrTY4W}WU(S{v7?`@Y=`06$=kF@#G!pdBwoQ|%#?^Y zj&7#)75r$vq@H)U(BwQ=T5`j~T;aLpfJ8*lVzp($+Jr>K;!XW;g@X0@GBvK50=`q! z%2)&n&sc6*$Wh;WKQr96FCmdAwGbOhUyN~D4{ znjH6q;f@(2p4iQO(|m5mo=OJYl10z;xdkz4JX&S0K)K!hc)gGLGVU9aa(L~iq@f0j zk-ZI}CmGn3)GN)DsPv7)?;&ju9jGp7r?;1pt8Mpv!tW5Y$JB^1u5CZWlDAU+E4Gr6S6hI#J>k_=5b z|EN+l;)qnfRO3uyE0tZ_pGL2wHWnglDcxxV-}$xXhf+rri51`2<5*dK%2Fa9bx|-R zv20*UijK`=r#IHM*?E$~YF7E>C%39=ce>ecIYTsa+N-4Z9sICMHIj?Yeww)jsg#~& zxHq4;)J14(uXsia-2;I2Fg1VaBWQU*XNp5rUb|NNQx(PF$ny>RWgYaK5p3r~=o(?244*p*0 zuZRhO-HKI9ein0ST6Ydjj#;<1U9!6Dva&DEMz*6j5mv92m3Eivte>$1Q=3{P5qhb7 zYUyZ)sgvkJ)`Cr!W~a)EhS-z%nVvDbomV!R1F9c5zhG1N^w)c6aK0drP*(7}PyL1l z{Lcoi3@_g^WhSW@=^U{dTV8$1tREdDz(D-&0e4GhXht1oj7Ndk&S!+RLOH*i+l9<` zFe~?P`aocMkFu%x{ob{&t1$AOGV&&gu$Slj^X3toFWL$pU1aI0iB@*9)RZ?X^pnro z|4I7Dgr;pa%*lwNU-^Z|ShEar)klCMH^}X_&pooJWxeqxQOaI;U)j2stev7+QJ@M< z%lwCksQu(nOgZBE3)8~Ap5&=y90XxTr6Sd+HQHG4ZW;V#(rJ*q{^96*eBwF-$=4ZbNk!cgrnQCq?_8v#a4c}LT{U> zKZ>E3RZ!GoKG!f#ev=~hQCbJ(S2XkPv#i_SqTS!~sbl13p4Hv08qS;IteDHtG#%1Z zb@Axx7ONV56jU#&t8c))bSJ1xC&u0^YR{xgT=M+zPVViA+bTA1(d5{IEoF}914?}c zVjl5)ll)~7W%=<4x<&bOjm6OOg1$d#wjTcLe22Seggp!|2Az~G_*IE!e7o=+AENx5*CPuC&`6>96+eX-VTEa`ycF z(Kid;*0U3ZD((xtc4b@ph>F@cXFMBgSFrprl}2CxLAa2~uUr0M?`?+If+y~f%S*cv z=O_tN3r%jRP|q}JzkZcZVb{w+>zC_x6^Bqrqd3=p6VuPKMZmV-W<%$5u$Y+;|3Exi zXsaqr&|1xR&7qJb2R*{FR&D&G*%BRbc#ZCHcHPB5+9zH{=XG)R3JfV;pf>9b3xC8J zRFsvNYF+?o)bG|!3x4_F8r55w`x5z7YYvsVtoIbc_pZAcA zi>mRPy(0$Ouve~seBU(~%|cJHF630l)p)Bgz`n*|p>OE6L#0c1Tz0n_-0r#9MUPOS zRl@6~wlCHY3I3N6Ei#u2KeeXdd`1zyu`-CQ?DOF&h>E0S$Razg3CjsBve0gVCu%Llf4A)+lRLjUtyY1{`_2GC{D8)qe9+Li- zDDmfSn6!KG@qQ?G5fi(dTFWB&`$M7)Gglg(iZ;OPEbPd9qT~h2I?eIoUyb<2B#qu5 zvN-2W)aujAGCxiicE$gYb?Q@7goox+nlMt@4xZF1g%28KHHm3EY^2-rY+i9Lmc$qG z-xpCB7u!F``+ig3Ugo)nuH+h8fSbyxq)9Oat|O=OyoKuBW8!knH!qfhe!lk#!j&~m z60kH1TI1FnePFrwEP-593ztBa3e*6!TpD^Suql;^^X3SvfJPwa^!z?Xk*60&qfSCF z|L%rBNkFZ)iB24A$BGz4(*X-o5=Z~ZJ3yb5ngVxG=-;(Sz$$+D-$W4#~Xp4n%o^67fWk+uM`T^tWL2 zY5$*5p6-(cg0F(LZ+3&VF#|9IXtEo`lLCnRUZCZ%j=)7AlR=mfRMqp}QKSbeCxqw) z6GYyF3GuyuNG1@`5Ey9gCc}d~`d~tTmTBpOi9&P7B$ao6czK{y(1sd^VOmK6K*q84 zpKM0-CEN(|9)W?fzx@Ca^!Gk=24G^4zyv^d(5}Yzgz5|#7h$z*qv+3C`GVhYNBA}cp*kjC_ZGHWCdgA0y^yg~|UzZj>&Ss57yVwMFTw&MZ)GZw&kf1AW;9yo zoDR$AHaMU{-lTnfvOHXTg%+I-H=iqexIYWZp80>S|Dg3_)1S{ul}+sYcdc*jn7CpI zWjp(7v*;{S1IHwt1I?C;Y$kR~oI^}UyD|o3q7h9*g$1F!X==E;6GY#8=W|-qm-M5r zWH{Y<@O-jO`R1X;wrqZ@%i>syoV^O2(uO7FOc4VlN3#&awRqdCzoboNX~rS2Q8u&| z+Ea@h+qFzTNOdk2WPDpbJ~$B!!?eP0$C$m;e4COO5YNS}R66=ZW}x4;+P2QEo$K zxsp;4zL}(c^&ZsC74c$E#%;e|?45^06W%iIa}|=n`2oq@gmUVoCOO-E83?nmhseFY zF-IOWAbcpPqAk2iQeYK9QX0dmYuH4scve4gw2hn|p1-ytKt$fQ`pl+2S)$5vrJv3B zi&8@5&&{l1OCgu!x8BB|e@cCuxkDx87Qc8iKg!SXi*-o$j6>|eP0^4WDZ8E`l6jHC z*dD3l6+gV;SN`-#p)$d)sI%?qddO%=kTYj81+ud~5 z=E5Vjwk}^qAG;r%KkCmU7|k2&UJucVr^8M6bE*LuK?l}2nc_ST0Gt~aU@(G{(!JmXoebxLVak8z;{oCW z15k$c^YNVhiQloHe@1y0z-Zkps1fs<&3*wq%lY(KC-ZW^03h1_BGf$23_<+~^!S^3 z^_Ostzuw9Hj$(wc?hxxjw?yHjkith`Ye_&NXURn{YUE8Trr*@DKHszsWVv}wn9tYX zWn5k+)?=m2uBwZ-IcdC?Bn0+nWV?AB46@xcOrp;;s-Jb0o{?)xNgto<+M*G4Y(K*! zj>Ghvz#3oj^P6y#WZ*o#q?CmYMVUL-W3sq+i#_xX;Wxsq`NHQ+5#of(P40$v-cHvd zI3vdIYGEwrugoN$@3h6RTe-&Z7_dR{_Pw`>*w(O5T^`Y&!pYrna=0v5ajDR z&$Hw)cF)h173}je-a$w1M2mU|os)ZM(zeAq9D*wN{sPOY%>ef)s5gVds;p!8=#`4S zGevCKUIgV8fm>HZxvTe#HH>q|`#;63dq`pB?zSEvQ-SzdfDY=KW5A=N2RL zh70xeg63+UmJRDpnVAvimLlQLsg_8U7UTv}%6qJS`&<1@({^l5+reux&kw{%Dt>Tv z_((o_cfS2PJnTVyP#7t?XNbHpQl$dX?c z8_s=XwT^*ywyuE0gl10S{ZPwi#6(@kyZVb8-sem4NNyCqEZQxSe9^U)s$HYZ5!WKjj#|tABuH$_T({=IfBC~e zX)N|i5N5uPdAIi?+OP7(Is9^=5zD+tCdW-xPW#7sbFQNgK3}K$ZgtCzoqJAp+>n;j zw6i$6=Wg_%wjnZv3!i%3-u0z&z)-`{GXebthxBF#Muns1H=lg=%CmRM)jS?KI2A76 zep~kjhv)jwi#fV{sp{Q(!R^;Be6PcOhS;;CIC1 zIrjsUB;MS&h;yh$cW(;K*dHpIe`jJRto+R4x3jGW!-_a)?C->6XBcOh_&lchqQSc6 zjab(`k7;k?$0yO&Uogky1uzgz4(y7@=lO^E?;a|L1q+9LA)?+0-hJgCeN!iVOXJ;vUSku-xKZ!tL2+U@G;5u%3TE{4U|8O0@x!lwDx+eU^ zxcY_WXXT93$KSHFv2J!>(pu^h>h5ZI6I6e=cf*T>Tzkkbefiz>=k{kQ+7~w{~4qAW$W4>19c2he%Nlh zq)Z-|>mzD3WS>Ycj%6=d=`b>84FvxjNs~do8$TN{Y^*R}onm|=%xkD$*m;d}Eiosx z!vZHMRWp9Pwy#%M=1JSa>e0chaB!qW*)8p0?K_LSI-d+)hrYRW@v&s7h54JqA2aFR zuWjGV&Eq8&S0QY_U7_w5RE?IaESfZp5hZi2AH~S`>mi<=`@y_k;-^M(K;t(Bey9(ceTpJW9$-1ag-=)gSqCwjX6sd=J{Jqt@NDt#2~g( z3BJxNtl^W{0KLp=$m7dY2^D`x>|h54z!OVHQJAUeBAjwSvBqPWn?BBlrvuI<8~ zD$B83dAq1sXjJW-#nz{rn}7opg=1LE7J!?;z)$bO)pY=5GMAh`fss z7xKX(7lGz>z!Oi(G}vsyoS^1iU|!nz0;7}h2goJ#+XEZe_yOW7_4YxlXA86heuDK* zX5?>!j6UoGK(J{6>)GwVLZQh6Kt=At?m-EMVC5DxaOG3%ft9t7z{<#Tcrd7U53Ia& z(lr5jfw}u&(TrcmX66$C90+i`TiVLM3!DLTf9!RR>s3N=wiT{FKg)FfEQ-%@DN666g3UIgU?&=-&Pg9t8s*@ksfoPFw|lRdaq5pm}>ZIWCy@yCC(3 zI-K&fySfkOf#l5pbiJ^ENyzH(hq>gqoA{sZ;&C?_3l8dtI>QzJFOnZM{orJew246O zBY^N{v%+T17WaHt!{5i2QB8}Gjk`+O%YJ}<6e*`V^{{b}|SFVp7OQIL=C?y0e&U^O_1dIf{muB(Rd7>4^-yTX1zxVXbCc}1} z(nAd)jSw}8o1=~PPK^pwxjzMkG}9-4Zpy9c4<5QH*nDmKvTzx5^m%K(Zi9jc&5ngS zw?9Rfj8D0hg6%S-p)n^$ny~v4QF41jZ8ELuAVB-*+~W67_)Yo)Bvey+XG$LW7Vr18 z#bztO1I}IcT4$iTrE`=o7R`2hFYr4E;_@mjZgI5x=OtnThg{x+X%^EPt59-e7Df?-O6 zF3|;Ls)$eo6tGNAXn5=1S#y(_!G(kRbGEdY5f1}r5j6rSa*S#~e0M1#dae~GI`KO$ zu79hS1wJ9F|BlBhBKF$XvI|>uc=}rIvpx<+gL@L)i>h|NV%#nV6RmbkQV@h8G9-!V zT>R53{pj#z)oxEsS{_|1=`DZ#Vd)X$txTNYqGac6J9n=Z`##g`+Jbu?Q66TM7X@bo z7%AcnCfY|>$u=;&Z8mY2qjo}qELv%*A=xDrB_}gELP-*2IL&?!!NQHs{n=S(&K%?Q z0Ls4T5$Ed#;$gEC;aoWi+lTkK@!rnFSlLQVrzrV56DN*S#Rob?k#5?}a&Vgnj>!_r zted|ts!qt9?UbMWq<4+DnP~K_3{IO4Uc28JPt5zLgJaVeA`!z&($eec;{p%IkabjY|E&>ww}h%5b*3!NOHfkcz^-`U+;hA$5Y zbq0$D5;HsHaO~<=A3WM+;UT*mrgkBOeByHazy%@Y6uvB6W3o!(t05N!+`FF?HtHnR z;c*Kmcy2MCmBE}DNI&;&N$|1OaBrp=?zi$E{$;z7tNVIT{zoy!Wa{_z`+3Q`KhQdX ztBDL5i0D-!nT*FgP78S2F2&@Ju zUA~<_^C5ojsMzCU%ODK<%(qQ3%^xr1a09TK))gH=);%t!hLEwY>f^q(hfhESe&(w( zENctP)+t{GUwWZi?m>97<@C_1sc8QUHsOnfRkHEKcpgRmm7=#Sz0xs=7GrZ!F~cyK2B6H91pJ6^M#_HOZEv>i=$raJZJt0v;nB^)G;`}1(u#Zt8RI&L&5swq}<)dWr z3-`>%CT|yZ%#%FZjm>XY@jo?oA-Y7#QN=^Lq;!m7H!4xI$%V3auHo?)m~@%z2Q$H9 z8@9_@gob1Bf+`4=xPq9G__O|Fn}KJ4se553n7v>!6BXr;YivrxrUBwTM( zkT62+k=7>fo0nv`s>lOM_Rpc`tW6R=tDl*|<#8ShsIY2pIy*SUS#ZH91l?H~eSm59 zMJ?&QvwLGQ;H_ui81PZD_WM(!Eu}B3n-iu^zZxtLMES@KarcPFm_j zq4=8%L0S@Eyc|2Yr2*tW70_DPeTZSu1QFa1G9!jdD2Yp-WIh59xAcia@ucxb9g+Nf zk172J&OrJPoPqRjI0H-Q11a3>v>3q}G29W#CWBvuGGTCc$c!Ac{!}DzfG8ER9up*OUk`vqh~kTC;X_%EB11W4v$vmeOkhG#BxsQwQetq<-gB2ex@ig)pYfnt;@MIWXj_bGJZ&$=>Z$2sy zAy4$u3GcEb=cDF{B@ocY=to!BloKl4^<46m$YqXL&-E}`?Z}adCnWtPsfgSO*qBuN zHC#-8+W=SW4uwo?*$ab+A-Sl8{R_sVPL4Z8sTZgttT#4-FI-IZm{b!xuRMF7SDoB* zf=@HR)9{-Yy;FzK{Ywk59nz0Nq{!%2EP5hl0+i5)H0H@^3+~S?hL{^88n68?bsNkS z?$?`?>wDVQT`IIpcO2#GDI1rL!X;%J@gsR0>X{MBOY|wZMbb&3qeoLywOQlt*iSf9@l+^t z&^nKIU&;7P_&F|G7lcSigeofx>n{{?zH0Mai{C6}nlV-gO3lj{ z=Qb^SWRcf(Z?)k?#XHXru0QOf;V!ZKSWHB?0`d3nz^yRp@ZP}8q$wCk|q&v3eit3b%GSL=wo&DAFlBZscAzjA!jd+zhCm|Cw!1OFUNF* zWtJZ4b0cvqA`&F)` z#nn?ek`;56GRpc%-!R?F=Woh96~FCulcC@qYx=KS=PSFcxcxL+G&+-+n$zKCp@jAh zWH0Q7D}9&BE?2jg*bOiD*{#{OjWSi9>tTjOreKxr9YB@pAZ` z9c~}7FT5IoL^AiH4F@#DC%>f6v_01G7rKit-MfD#^*jS!$Jq^UO!Eu2`G#;*AkH(+ z(VK0zHAjjgBCivG@=7UL$wUeA-@Z<)f4?=BMvST5pXW_EvX(Dbthht-BPhte4u70J zNT9xjC@fNIV9N+(b#C=Z2NKu>UFR(*$mH*RgE(7txvyT~Mcfru6ZZrtIJ zfd{_gH~r;(s0t-+C5D=WsN-8B{&Z>hfV*MM^-T4Jqqw2~^8(7+ju0QNG^P9pmu}do zQx&LjjweM&LdDM{_*~DHEgBQ8MC>A>ef1VypIiV>66rHbEvw!E0x|- zon&c^)oqM}XxPyTysrIHGTb++>s3|J=9a;*_nmAGF3!p`B~zI0hMqKw(##~^NwU6%JDD(7-RLyjES3H{!v4c;4Z`XW?g4n^~@hJs#Agj~f@oaV+@l7A&$n zgxmFGB|~?LNzc<^)-_YL6cs1uJ3o2792op@^~Tz-QO(7exHs2DUUN4OY5BmvV`G0j zyJxrD@b(ebyaq13OXFcagTIM!arc<1*_Xx&q?@1StDW7#731nROV9JLjYfh5y=Arw z3kqnrH@EpJ&wn5jwuu`k9grTS6CX!(bWq((ZMw9=w$)D9KZv=CckdvsN?X;_h)tuS z;rrO-8;C(-^19ss(tZ8Rv3<8vXJS0n#S8L3ykYc7!kTp({axwUqcXSNDidrqK881u zMmFYff4=+Bi{GNKF7sfQfdH0_U#%oW)hhL}fDkRovM5-~(lDd$Wtx1roUZijE%vSj z9}Can_m3SZKE=^`Q|IxW^NOmTUu=ZwVdEw0PZ<9Kg+zldsf?)>_*^(!lQSc=BkF-P z>E9VsRq~lOb{W^C6ThJznZOil&2|SjCd+G9BmXgS=hKi0%tl!C3?#X|BXr%&IhFS2QgszALZexLMosh zJyanKgm`8tPz4V8|0aK35O5vnnE>1);o*>Gk^`uvOS6_-3&);Ntq7abb1Z+Sg2B!mgeNx_1TNsSRBf*%*DxMqgHs*@TtHc3 za2ntbnnePk&L9q_0&ONy(B}1pgMv*`knwTny#pK+Vv>UHA9svSxlY>gr)_*OpaUGo zl>Hyy3`?qlJvQC|1*)Drz(0$rx@2xRiKY&I_q;#nkUXncBgu`tK*jubSb-riJ8<9;q}KBS0hh)wlp9Cr2E*JBZT8z1BI z#JlkF@J6_^87V{*nK6Yc-&zz^AcIG+SrT839 znc+%_=~X+s&6UpZ=waax_BzPCL7|Kpo<{-Quiq!WJ^1=GO|yOEqU{qhjK1;K)cN8h z+-+-zL;=DaMnvIyA_p^XmJ%+^MzR%)q5#~Lr#yZV->?0Wyxg1~(PN2VNgFYKknyyA zS)psR-rN1-eqeRXjJ5SFj_*e-GzlCxp&;%e!{xiCvgUS?3M8U-ST=871Qt%$@svEAA#hUEw5=n@^{ve}Rkos$l!r7rr(!^&t>-4u|SnM#}PiCKouG(S8 z3d9%BH-7kJNYnn)@7j09oL`9=&-_Z7L__0erj09x(tf%-%U(@t4$qVv5Zu#cN#~s> zOi7h+viUM*N49$h=2%c(vVa(7n3CGPLKK%!;tF616SP;n2 zBNdrEh=P8R@Y4j2~<6;Bw3 zGVy@55BqCyKQ{F_Ib;UIw{zYL{?eC<#W6T?cG^APbgum6s70U7w2oIrc~OSB*f@+K z4k7P76qEDB_iP5mk;4zqho}^H*Zl$}i{aO;A4cRA`!39=RUY;!ZJFYecGNvr6q^d< z;lJxLOZxem`2L5cM2-TuoS_6$(8MLt3=aHIO|l5(NHoFau_CJ=lP1aUJw{0_)6#b* z9rR6p@~h*a9ZGWEFGMseD$*01Jd$^JN>H3$GjPH;9A<6!X3%6Xy%^UJ%2FUMrSYms zDtu|Lm9!l%T+owIaN)`s7Nu>)s8rJnP}U&{6&4cU$Won1KO7`LEV7k>Q$dFLG~hD- zkG#A82*`*&8Tb_v3FL1GT+on!zIy@IQaQL5leoA90tMtCN=*sl31BC9PyrVU z2h^?rCx>E`;1?Mr5fTz$vtnQapwObIloSF53L1b-L1i&;4#->)ZVag?!?hT}R*#EO z|KSvq5C^YE>TjG}keL$L_)oxo`Y}bp#{`jjqEgbQyvI+0TDS3-AyQ?y1XO+wZb&1J z2Kxp37Db~GqQIOLmp=Z01H(kW-$j=)kl`*Wz_}n|0wT?_zM&Uj;e?#`z|DrV44fu` zoE;6hpNA7t{h0)Q76@rjN9oU`kOP1j%)SqPB3`OM>j2jmyimz;3*1`(mEZ%MistxZ z97Ak~Tr#_S9LFFj4PGhKY6*fP76B*K|45aSg`YrXfM2X6bWMhw2jWx%D#mG>Rstz@ zteE}9r*O>oC<6o>UO8a{OQvChFC^1QhU6OzXqPp;} zO^J(2A%I`Qii8@{y#S~F$CkyUQ3#MxdQ6S}-%`5(mHsbJJ^jkSHy{qaIwGpsQbb)#-!{I$_l6FqH@P7oPD1@D!=;Z^=??jFu<(T~{s>q2zhsNhbotgCdb7nie|(sl8BaQVF4kTX(6^tu3@g)uAhL5Mzc-FS@oRe`In z#zRHoy1j2FnuS*ZOy|{^iKRj&H)Yd{VT`j61$V0YR*Q~4Yl-URwlZEN=Cq*ORcOLl zv zg&`$eXkP59)K6{DrfnI=>wJ~hJ6?zHU!sQ|>Z+fsy1{Otrmutn8ldCKD`@a)5b=*t z$#g=QDy|Am@x!g^l4+AI_m#~z;j0rF@ax}13W*;35@V@0=ijZ!_s+T8+e_Y8xpZ~Q zD9$2vC?G{SGCkq3Nbcwt2`eVfdam*MgK@qjgZ*aP0%1XIeKTll|A$V*_C zD~$(_A55nc1esQfPkuk#!F?(k_t4%5W#w7JWGU%)ap7rv|KmJM(|H2RF4lLuR&$=T z{YCGywDapV7Aaw@ORR_c;>ywu!7x#8XY(eq1VP*&`6;O{#Pv5KuGH`9A5fCMMk_zI z6Ld5Ec{G>jwNhkKp!ucO+qo&Vx?)mvpCA)ER_yccEvdtwTt@2Xx=f*ou~J7&O6y8D zOgx!RMfJ9ZuSsq5oqAmMt&cL^lDg@eK>e^Zch_25D@;FS1d*8jeWI6gPNd4ZdcMnfQ$ zUkKn6P@$0Ev;%$sQJ@wefv`n^n|Hm3WWTi^e{P_2+|`FuKz>F*JRjT5)DqxGLjr** zDlLXM4)B+d7DYf5&vAc?eP#n7_JxdrCUMM7BY_e}NQLQ1;FbjH5Jcfm$_!;H z0R3v}SgY9vXD2jg4EA*2fP{cbLL58+;8==Epb%03*9s^)&;TA5!?FA)gklZB1JX?3 z7n#vOI{|(Qa7rVPAX-pN44nVKXCfgA)B$Kf1GxadB~kBmiXi5C6$d%8h~2 zB&P{(ADW&~04V48#JC`+gcOe&sC?o;4-rL4Bc#N{KrQRz4VA6q|9(ZTCUDVz1$rKT zH@2yye9+BHaO&eAN-Q#7ZY0nL|Dh55_VD~y_keWSX=tI!OF+z?Vq^c4r0WQ$ru^qL zNlA#q8E7@@U>?Ok=KY}wngJ>LcN$7U0_Y6`M}$<6!@sE#(h>+M2+J8rrhDLrdyMe) zKYKm?hfq(nBsBYz+yIic1K*mlHMJCGPV!W?;g7)Av$vlyNx58hd%!FBLa81}ouYI5 z%R|i##K8`WE4P`)Javr1rR)oBZ7JeXRjm9+7r3$#(_Nt#J8Hm2E zsaJ~9h-q)v=IE-wd{DMaErKXLr-9CYFcS7!Haj*`&6P6x{#_x??@4mFQkYNPb(E=i z83|8)QKh54)$>BTpV`=dGX9czg7L~blQ^Jvp(DK1p4x!PwVaZ+Upm{$0WpJZ;vDY# zXbomst&wJ&7r}Y9dAbE(m5c0?)Iqz%+_zb+-M5!syn8$1aryR}^YsEUo09J|>UyW2 z9`2T5oge*J#wsXxE()Wp@f~4;S&imCTd}e9KFhaPT2I=JtpL0Cre;MPKbgvzFH&Mr zm-8FU7*m|}Qc=rZ0<(`<3><|PTNL2A_LcNDj3Tx)~xj@>FQEysxykp z&f#Xi;?&+a5Y@28f2t!rtEON0^`%g*@%L2O3|T00!7N~D^UYkXXEeCRxkuU7FSlsfq%#R62p27@4O_>dv9|MpAAXFU7IBw@i@dtZ`rNHQDBxf zJ1_W4;A`2-A$eY}jiS%_kxew2uBN$AFx%41mX}#Fzdvl6+{6<91Qzhu@Y$#ZCkxx+V`x(^859XT`b$MtkT0~Bz3PGctNR`IAi18$V4U$Co(Kw@M6UFJ3Fy-aWiEBDZsG*m|s~FMZbOXa6R2X*+#HM zmT%`83BBGonQN4G_b8Ie(}Y-9nlW{%i|dvT@{H@I^WBZ5t~X|!MH|JkeB4~fu(n#^ zsR_NBT0~&>>n<#k6S4Ld!8wOvUBo|;?U>Y zGMQi5v_h>*PX$wsJQF>1ErkS*gG7UDuq(j&K8?Ens~o~Xf}`ZbGWWU&!0V3>NID5% zwM&4LS^}8nV!(711IMd`1QNo86R<+;8!%j`mKRuT0(@{1Ai;oj_mA`MG=?8axdJCU z&f*0#KOTqjXPLpNsDEQ0|Mvj=W0&!RmHu^-{*Td1k&dpG$f=POhn5A9&$|h-#+A&6}n*#lpVKUgo?cX-|_<>3RP-7U<^q^WdM8& z+9MmXB*B+{82{ePBMRDH0VqRd_2zAbzhax4G#8 z9aGPhOMZMot<{Ld$Lq{XKeZ-)Y}i5+^lq#*p>Bx`aRTwZOLpd4VG8V-QWxnWdw^^PkYO7VNB> zhLSdwnDggu!X!-}uH$fUpGyuErpQM6AlHoY+`pw~M)e+1rTbM};EXyaD5JBuGclYi zJfsGVf3|{L7neWTnP4|f|s>X^l0-rEhtH)X<*w{6TYUboLb zRFUg=>LMDT8NKD0w^H(^6F>OORf}jey$VZCB<7`P#pU72qUPJWG0*bv{%o~$+6tPFF&ysw$0w#I|~ji>dRZ@(hCp|zh)^!kzqpB0uJ(}(ij7T*Ef zm0y0m&aS2RyJ4&Z;ez@{MInM+=$j*P_lTl#$e$Y^*(+Ei^*#$T+Q6P%F`Fj;|;{ zAlpOKRx&>0VzZxuyf!jo(_M{=TrfIWd*&7W6{S!LIz$StW2UnNFa6v{Uk@Xfm-yHc zDIr6I(vRcOtidzRJ17ClFYm5Ooe=|x?5{BlH9T#t=v@A!z!?S358>OaQx|PjVi=-& zE(+)|8zOD^J~&F$S(<-r0D;na!o^yz2bsb@aZ(19uti_K;l7)1D}h}k{}eZxu4cLa zesf>V)pxu_KiscA+n9Ks{i^uR`hL*<`#0A#-@hD^jdtxoL=Qe!Uf^^3z-V(6rlaJ$ zhgleUf^%i5%yO~RO*MepcC+E83hoD8BZ9ga8*3#p&*_u}NBfWYO0k|MuH$8gHWhcT zZHMws<(IB-PL~GSecs&bEg^U`EWC+e^e&B7UA>u>I2ly>aGG~j73Fbd$$5ws@s6Wo z=((zKMkoHQyR9QLowRqEuUuWNy?f>3lPV?*$K4xula(0Ui`(WDO=juub;N4fJ^wK$ ztMc$l)!U-`Igm5dhbOZ*bHgt8dlKi z#N*~qmJ0_t1p2AI3?q~aovCpWO_+T|%O1oUVa-Vy)Pn3)Bf>%W9m-Zq1WP#qd z6qpAp?&%*!AU#8PHfxHVTz_`1qVvxqV@Cq}8}tMblS*S(CYrOyd`c_!r+7DMSrJ)< zCQB|e>~}a6KffG`>WlTkpy-%_8Xi9kC4HYW4A0<^=*3#cm@tp)KPHD2qpj|c(AX?p z>i1!w?J>NbBxB_7~J{-t30}b z+ymuFHwEms)f_K>9-J2$^4lVm4;A-*t*(`vbDu;Of_2}yr}l~_|GLQ-*IPmJ&#qfn zFr7(<*BN5NWpPbzUOhyPo_ez>e5g%!yqTU- zTTSG)>Du}0=l?_7TSmpTblt+it#Oy&?(PACy95gag1b8eX*9S?<4$l0!QBER!7aF3 z@Zb>aZjy8Ed!F;$F~0A|z5n*Es$IRiGs0Xo*IaWsb~7x=t?Y}6+GnO~CyQo}(snjM zwE2z~2Q@OCx9?H|4mHd>p-3&n}~9hv=V;G3a1VCvRA3<}y?%W;2%Lae-Y`zu`l zIguj9{~dsea0FJkPU zQU~n#)cX$#3uuwRn{the2QhF1;ryEv1}s=Q;P4=6ZvXWGoIoM~5YtHmHc`{SgOG6! zWNcVYPT;5ski9sNbYu|PQ(uawUs{bF=jrh5FAImuz$L)-Uoqc5e=|NFR!+d(K!6L< z=>{Tzpn*UjqCeaGNtT<{m1gBYycPVTdftM;y?rM^W@4X z@Xu)GpH+?jsR8_F9pvBjjsal6TjtsqI0-+A|Db_L`*&$LtTl)qeD(5l(R*}j?ffq4 zo%S@;NtN(=yXdtSx$LS80XEyEgbHjnC{-oor}7c^vz9XrF!KLH!5~8Y){n+w|t`$ii%uBfms|nij172asiN+AR+H^-|4zuoY z>S&%-w3X}?jxbW;S~A$uOqf^B2Cre5F4izs3@4iNl%E-gJpXFxsyCEw)(|MdGtH=X z9OQ|OR-FH_{9Ccs++k{>T6{5}p*!dynYpbH8QGSrEs2eo2J?x}ChMF;BXZX1(58-x z@41)rwoXN`nrl7eH#p}r$ylvo;|U5>Y?>2>TxIEh?kGHDENFJKHJrqJPyN~kcZvw2 zzo-aJQKP)a^dX+Tym-dTY>d+&hud!{sV_BR+%DVv(%Uy{E~L*`Eh>#*X{(%xE7{xy z4%IEtyeCUS9ZYMJb(X)VI^E+w7jUSgwaqu9lg8|KXyhKP&yT(+D}I;^^WvrHKwVuPfa%P7{4i1D|x=5uksl5as_RvL4zEBuOTTF^m00 z+bfGM@(!FA9f7y4?^-PTtzs!Ct#xqU#$`$*9P^8xzo37ulxlhFTsn87Da@DckLsz~ zH{U|QSMxsQnMgdv4~DvZs4$kysUt}ea%s$5^yTk}9y0Uas_B=PlxYM|`+adO`t>Wz zlVRJ5NEO%1KXyBgT2#1t+WgXr%q7%84EYf4%vBQ1G25&X~zTDy{VFI77s34 zj!SZLrBc^gcv>d`+`Q!(6ZuQjX3R%Bjy}zr{7QIAS~nX=5NFry;o7%|2VvT{G4#oa zc|Qoj6*&t8M-pn1ek4aRMIxybimGy#uw0uG8k!A}Z5?xdNTHOZR3TTXke_pSPHW<` zxnz^^k=|UDbKhW-RM}EvBsg0-zrOcGgpoo%E*! zuacI(3lns*jX0FbG$s<=XE!8T!zk+4B7v0vV+#K84c5a#EbjcO&0{f=j7khuf?%Ux z`i%o-NkA8ei|5+uWX(vn6K9oaT_>Wy>kzIH#PWlad!!WR;k%FGa8m?NA!q53aOzsc5F1zd#p$vllNk)uvIa}%{!Xb~L&*dx z*zoeZPep{6Z!wp;)u2^cUVla&RrOEIaNAv+7URkY$CI$=$9igKaUUXKbu7$DqTbjf zNqAC~-k-aY(o{gyghM}VgjmFMUZ6Z{k1w@kcVtx?t4l<_oq8~zX^(lw8aCySs5nJ0 zr>9;|SxFy( z#5?!RLBK^dhzUMl175yYM8n^{ekI1IY0@)z$emvJx&E%O|4Ys!=Xqwep~m^ChEk;i zqDh+)$@p1!x7ry`=sckn6|#Jcof7=&K>DqcxN# z9cj1KOk^VG?VM?O+Tj^A*4~Kn{e4{WMG%p^@2Iu>3>VMXt_-T)uEuMvPB$IcKpH_I za{hYfknx}+*YC_@$qpsz!!3l#dmGO-UrjDCfTD0x@h@7Y74~heiy*y=^%DVa7aj2r@~qey?9hq_kDe|0 z7X*H8ZEtOEX_j&YvKdgxkzzOSr6i26u4+&_Unx?B5(*a64h_EC+_M0TT*J7ukxLEfLl!*6Q4Tl0C213&-7M#P&60h?GI?hlyn zdPG%7Y^dr^=w*dU-ERY({+6&rel-+R5Y+v2(S=WlM!e^bn9h3D7!K~pBrFy&8`Kkc zZ{JK`?#4B#q{-f5Zy`eJ``{c@9xlDg<(`-m^T-?2Xi;o#*kW04$uqcEa9`HFTauNb zl<%6}?R7O*dn_n3lAIsHguFFQD{+sGv~;xlCm7^_1e^e^Cx1&PIsOmQNshmylK}Aq zQHw+(<>2P{TlUEDx9pMQucA_5>W}{MpWbsoIFkpM+o(7LQpNY~09Elo2NHoGx`4!< zN**x*8UC6z&^Ec~?*RykKalEWxyBTQh`WO9a5#P!v;H%L<6!@-Gu-q8hAhh zjING5jaSgaEt=fd6x%)>QRH*u7*l6+{#XZd*B3-0afWZt9of+x9cOM^>DXn6EJ-9~ z;R6vO)Fp!vX(N{}?gQcY2A7Z94W!%m-}FI?t5Uq!gb5Q?Gg76IZ)*)NE9P7Q10uyr5-Sj5cKHT zdLg|Ex3$3N1u>X$$x`J@B^T8?cHvN~ZO>4nG!LsFS5KJk8T=A+T<{^+@Gf`5#Sck) z8xmJgCd#uB7g)bNt#%a$E}XPJwn_Ma%fz1qp(l}L`6ZE<9v#;>de_Vhj1v2(YnO2jX9HQz)N7Jg1<=)S$>6nG?fhTF5AR z?~D3Ei9o)|Wa&LgGC_F>VQ+CjHzEXBQW=~9rtf+W7`{$WbB*eQ@){{#O$${EHV5RL zzlStVy3oP9L}B)qfqM+!wsr#TZ%~$BZoNfgAwr$97fDv=+)iE#$YidydPO@};fZog zFLh_xWWvi-B#d%IKo@51hS_AImtSHJi{7&Ye9^Tk;EOtXJblqFtBQ-SjsyJf;g9an zBBXl`t)asfp)lTi!H5yyp+37w>N61{DeON!qo;H_7l{B{(%&YvM^eIa#+~9t`M+~B zKK4h-U8lVm7&M#zI==mB){%RG*wt|pWN7e~D0|b$lgQEf4dtP3LD-pZ?mLHHSYv|L z>+jhZW8b5FW7!+8%?%R2?_FJ15JM89&<_ud;=`y+UZ?MD$N#zaC*mF-jXSB zfRyisMDSq1Y?k4G%urQo!B!sqdWX8%;kuY%M#e*JX4A)R{-R-GaD1?gchq)%z^bO! zEgfe85OTh)7@~%X%QtVqMsylyycIu3_k5VWZG3@VOe5M{*m{;!epU{T2`wdGU-o{_ zQb@?+r_Ag}R{P1>bnsh}1z*k{jKs~(=Y5ZKOU0F73*#M>E(H2I_QTl332Y2^u-6_B zgMsi?eu~;|rqNyN)=+f~@kr@UDBJKJfzOlQGf&#y$(Bu{ADbihb{c*H+qewV#@~;A zPK0caGkLDIeHRn+$bccJvW>L~%}CjkYtU@mcq4W{+(oN>5mKPMU-+$A2|H`Z{dC%9 za`{jcY&7fbs$}nt%IzwBkY&2&-D^5?jmSc;7>Hq0*fH;5K-MN7YaTxfW%wc>KEEX2 zzkUrZ7}`|>>TGL+%s|^q)|E_NG30eDU+25^-rjb)_vYqzABI2P(2RVqu?Z_v*gosR z?yW?1*R*)HAa6^V^U<===^Fp0nU@sV%-+<+6;gbUiVATW#QIZVfXe|S=7B%v{~;#; zD)u=L>0tgsNgPO=5HvC_aQE+HF9*kO?8-<5s0I$;Bu)oM11#hGmwVgOHa2|dv=EH6 zKkGXE0Nl9+fbpqy6fnyN^h^dusPh38J#fPV25#D-{@ge|-K76DQ~q?r8U1Hw8w|kV zLO76l|F5=){{c%+a>Ku>FWIF3f8rVk-xJL70Zv68AQi{~1b|52w3KiQtu5W_oeynk{NBUyecR zt5)!i(6#A1ukJQ8p8VyBg5|j%EAjaWlCRa-Xy?@@P-y5B85}x@vNEfKuUZK1d49PG z3VIPE$8umX^|kM2(ecpf(z9(+AXy!NmGGC7!6OE6CRHVmBBj>qEf@Qos00_HbE31Y zX&noD#lcLib+KIKl#7}iDcshsQC^CaBbhOg{tTiFiOB))%jk}VaY$?WiOqe-<7owL zeVSYHmZ5Kta}__wUo{KVpW;kQej?Z(%IKP0x()8DDRz@}t>~nIDW<}bt_-FHYsD|S z1#`%i1XieGP-GG}%9#;ucuUvP{upWhHnA%z0S2^ik&B?PnSfHXVn^Vfpwg;1byDK*1!Y!2k z7P-eQBOu(Wz$0C=&ybY9?4@`Zth*YP+HSJe_QUp8K-BOnk(^4Rsq}iCU2v&@7ZdUI zq`Z*rUNF6MiS1f!-f|eF8oPN?i(;|M>~n_P95I$cl&klb83JKG$!Ud|+%zY=3e1wM zOdi|mj6_kIv)uc(M3#GC9lp2#XHC)#dTrWD7V(}@4D*)H-S0dix~HBenqc*-d3?JN zm}+;lxLrnCrCrKiD)eHxt^kuJAt&WUdC2HH_14Eq7!Oe96W|%CTh%0G0VbvS5*_>a zDU`3Wew1^MPAo2hkouX8-w_Ak2TjCfgxQ5OFV^*3_M>5^hBpOsW5C1w8uQXN)xmV! z0xO?w^`@{!XQ>RH@89izEA>jF^FpbsY-(nCRy!N&Pk>0=4J}WgjsO-s4NLH7zpW`3 zaxG_28CrRHjd>=#jBy`w~x~gGo{YJIQ z$SlK#CX}w{@?E~4;Ha;-J$TbR%$K`gk;SYE%RKKt89$If41ucXjPykmi-=o8HzI@f z#FsfhI^Xcp4c(Pd*+&O&k;%N78%4A4?LYp8HiNmgMujK)u`uqrvPy6q%qU#nY=JSN zG=j^y;u1naUHKgj%v&z9)sLUxSNm!RTIVRTfR-oUNK1|r=j~vI7khY%?spq}9pYnP zO<0ryI@yp%RbnJ~*a_8%}Fv(4dc(8qKkB?wx`TB*yN2jT`v?~>=iEe7T zAHzZ(cedD|tS4d|?)2~#Cc7eEi7dubo<1TGytk@xLeTn(@8OW8q3K=B;A@*#!l!e{ zOY9uh{<*yhQ_yg{xNf$taa%40wOJK^0HNrx73h!M7;K`WlRmDrn#Fz{dV6&JZJ#H* zUAfnSkMv05TxH`POwuMA^*~oxtI*4_$+b+C6Zq-*snv=tYTMVuHwwI5F4+>^%~sN- z_tWFbN;eyIiQ+=r3ma`*G3VG`bRE0m7aGe}6iqME-QSYrXJeWh-c3)zL}9OxrkA|F zK-yC=$wuGXg$hxXjF9Pw-wF~WE3=KJ_J>9sYQqzMxOQAC)Alyj+HL!SpWzkc!8(~Q zN}HSHAop;q4FnWy>kAW#r0F$ z9ffj-maaCG=>~tpL6QAm=ssCS%6}i@PFew;`M2DS15kngH$MIJK&6NN>kuahK+az! zYaD;sg##mh2wC9bcS-;dgmL{j%>g5SQh;092%!2+DeVas+~5JLoCu%DAA5k^eIzd2Qf~4(nSPe(?UQ6fEs`Uf)Wj&*eoy#TuVP)qf`Tk#s!>Rfg7CP za^(LwAObUOPs@ms0j#_0hx^YK!2g%APOjiDtb+jZOF&ordJZVf#_^re{#l8Gp=7@E zlOslbhGC+m0U6d26o6-y5hmF}JxzK4D_f^dH3k2x-;YRcuFU4E5&e?HfiH+_=0^)S|? z&R~>IASyQbtZvMICOGn5u}>#)7{$`kdtD^jiE7)v_dvgHT$1jH6{5}kt>%I7o1}F< zc(KZ>u!J_Dh+s4yWzqFa*vN{=DhnfPuh`VEkpdi!gq2wxwcLhilAsEw6HYFEZKG#l zw-$9wIGlNft}%Z_n)n_u`#dS;7?~_lGxsR+Lt7_Py6yJP2s7We(>8|r6k+aHRLVVEW=nogBu7obx7WOrwW7Jn+M3RYIPI z`3C$^75MR@L|%p)S5A*Up&RMaFZQ>%+YM>gAM69&A*!#fBC8yB>Z;~h%eBmx&9ahV z8K^XZlI8)jxg5V%c=PR-wv|yZ(K=g&`-L4Cif7n)`_ZUdxPsZ`*Sr8K%zho+g!^&H z#Ti~KDEDVqo7;{V<(0tkCuza+Y5CTQ0CF?X8e+B!RWDLLVnHuvK~le?ueNgKKB2$% zSQBfdkh(n+*jcY(u0CW#$7x=H4i8*_%$bB~zu{S8b+@rhgN>yv6-DKgR!58YUUCAA zRN;y@YkRUlpt#76JBI2oi8>V&ej?P_2xiKYOQ^bJjoS-UX~}w}#)IRgNLD&y_Z9x- zq_JJO@k>U@xSEJLdD#_k@B_}}9KG-;IMiwhwcozBaR`&}KB%s%j4>olWR~7F?34aIm^7#ihzSE1k z4u+S9c4ngd{KMHI_ojI$a9^F-!0#9C^$kOhD?F?80)-m0@R#ySe|>ETw9U@tXt2ZS zRgxgSfMQMR7dOu(urkouzH)oB=?71;lJnB>hz3M?csp+#lk4s_`OcR(H+ByGM{C+6 zTX|cixjv@WaZi^^Y@+~Tqh9LOwRy(8@3L({zvV7-A7ZivXdIFe64 zzwYG!i1{StcNA5p0+V{W(~VP2Mvc2o=PgNLOeTD30tn>f4%16h)Vabzxcv}A^I~PHZ~k9$~+q6zqgqUZd5edjpe7io9W-^bXb1diDKr_>EH)NuUys74Cl$IFW$@Adpt2%=d>s$kP868$ed_#&U_4xgLr zotaznFaDBt#6;gCHw^Aqd*@2YGV#CfxU2K9q^v}j!-b6qju~JX?j!8jAlPQGc76TY z;@YjVfO(B>(q$m?bXE#`51@jpe*Q_7pb!2l@gtM!*cjApie8`U0i@KuHe{Pz)xfhs6kKOb2lxQ|hiezIS=g zWswRwPX}>8M|eT#GC(ZgW#2bGw&#!a&#=SPRHB>%<42pc9=3=tDLo&@d&A&5t|o_c zy`dF8%RSpj_JH{SM6gKED)6v3;-qh2%x`6H+~H~k3>x@k050-JJxb| zYvVf})$&&{T1QmU$>nY*1lsntKCRKUrndwZYh}Oe4mbWysZ0LdYlbuY@fL-MHi5Wl z@>oa)w_u>*3QH4WAUAOYnXEdF;k?W;e_Tcd`oJ>3DqAovwIA^bEOp#7gOP1mlb>Rk zcwe1)Es_+Ec{4P50$rTmXa-+7IlbM6j5Brwq&Z#OFyVRff+3k{;gM;dCwD64>iu(m zA`-A7&g>E5Blgld7Tq)TSENgKwWXweZX#~=@&>ah?szu5QNU;N>}4 za)MV-O~KgI*2unORi`GhpoxWO$v1~&vec@VF%)AnX!uZZT6A$|Fo*OLzWo z1V#qUcVPd8Z~gQ2V|cu8*xZnq&ld8_KRJiPvimKL*(%WaOa8D%ph0_pU*tquyJh1< zqV(^(euz}0d^Yjk2s|P&jLeY-Ov4{0~Ta8N*xKD9$Alm+WXF3XKWJm zqV!=pS1)3kxv1;O*>eH}*CE1C)>oJiOMg?E>3T5KmuJ?EbI&Q}H>iTeGOgh!X{jS+ zo^L6ReYh(1n|2t~JF|H)Vf)!fE|Id7pZpH_B1mcSHQhtbbZh!>YK;+>v9a!u=xjMt&9vbK&63HD#18_r%G^-Up-!wejv)4< zm+)rbkVACd^6CCm+aw{=iqc=-k*TaIJvFzbC~l&t-fFzeoSdO}i(}n_QH)V-q}v(} zDY$^`s9);3scTfzcP($UW2Gdoq<8+mwPAZfkYQxiER*0Il-=S<5>Qz^$7)MHyt!&4 z8#kcZIc0OjsWGF23pz5Rhu>q|qDNNpSw((mTF?yiHI(kM=K9H z08^HHC`2B6)n)1OdB1fW)Y9vEmL~ez3JTc~JL}Puy2b!jY4YK(tA4VP8-Cg-OZONQ zU2xaSzNG|9=uQ2y1i3*<^sA2!_12X_D-#||;VL;aN51$KZ0l*XT5)XjRV*0;I zk~z5fA)?{H$mRclDL-UhADspd5XJo$`wOhD$_JqFy6JB!7Z};i2RvGd82>RsSpWd* zzdJnxugMS52WFtS6V)XDU-e~vqHh6B_>(joMu3kGLJ@<(1gVTdMFtzc_lS>GYGIkr zm*P7yMk$oan{}o9oYK}(mvR5=c|;O3%_rIFy);syYg>_hjMnDpt zbLT?IE`!|9KlYS-#4HXlKTvmpDwUJ9gB(UZu!{W$e3Rh!7xzv zoui0|K|V7^L0!vhFXe$Jk1|R-nc>~w0|(5g>>+NAh#XCPlyy!Oc-0VUR5b9_2hE?Y z+4X3!DPfa{{pG93!bjhz^4-YIf(uh_zx&T2OMRvQB?12Ys{opi<8$iS_Cs0J zVA-{o=J3u3NBi?3x^zm4S=sx0e=O8v9hJ_R)(Wk11pLXKLKHs6<0!C(IkBj`{iJ6| zb~*#KzwGpy`DFmKRaL=;|2i^Kehjoc$7dvFGf16Ei|oso$4sSQ#KiiqWzOiv1t3D{ z3Y#yQC}Spii=JRBKHbcUq4lYaAIwXk^LZaG)nKgP*NDQ2zR1WqxoUbC0OB3~4c! z0qAIM2aBc8AvMb(#S;CT;oPvjqm>DD8qwOZcw6jIo?KjSXU}yuRdJL3fpCc~j(>VA%#Y|1nZ|gYn&+$9p^TWR?A68_M}4b8 z57lfIIpgB}%zbX8hi;Yn!+8@7GJ79%iZKpDw~*Im-M4Aq9{!?O>r581kImll3(CeO zr=~6zfUv%~qI$(NZmJ`Xpu`#><2wRuFh?DU3=}kY;KlX;MLy4U7xYU4+gEe8<5D6< zYW$0Ao_f-|%TS8iUfk_+^57p8>qwQvLYVIq@%r6OI}@58?UdlS#_i+K>T6@MV&Ver z{6O-0429I9Xf*HXAyA>U!9jZJOV)Ao$b4aw{D-~#7gHWWKRIJ3jpy#H2kni}OMWd0 zGK{W*^W?10t%dFSmQWYE&94k=CtgL=e4IYj_$IM=d*MwU=B~p}X;~;e2|~l{J>^gD z&OhE^Hj5mg5a3dLAZE)xsH~|sULo>a+ z8b+|}_tF$T2(V6q>Q!}PX(~BgEF=vhezR5%?zxwZSndryViOe|z9Y{VEmtxY?&tG6 zYHM}(?yuIbgjTLcKap5Eq(YmxOgCnhsX2{`+mJ(siHP*dDU_6mZg6`ufq#l6HK+de z)FV4-+b4OLMeIuMolqKQ&kL4#?swTKh6?Gv61w|nQ}%swyJ%Sc$DvHu zPGKa}zJQBN9tqvtbgbt*XA{=G>Q_V`{lE>b`zyjp{pGNl%4YhPYu&V0N+w#k7rW2c zd{ z?GQz(+Alh)7E^F}rnKK=NQRXoj4#^x`Kp~4ku)DF#ukp^#&%aE2~}cAf>JOBRlW7_ zZ3svmjU=i)7!*4$u=wrDbtD~r7YDz_;_xJ1q-lUy3h@jhMWbi7L3)R{3wfca~=+WIu z&}n2h?k7gYA@TL6Ov*dg3;{Lx!~}RFLZRM zZ9{do=f2&S*wxy7JeJ5-q~|ry{>;R;(ZATNO!@WBxO~%ZJ-z*%bN$?`@xGwVZ5QTi zrUltq6>djE7v^5G6!hmMRw>mPV8P|hn9-K9(!HxH^_5?j-RO>7Slx~~7-ZRKn`epTvzB?KZS|$Fx;Mhm7qQ&j_?*l%M&FXE3*dB!>$l%; z!aH>MIR+`1T!m*P@$xm~Y}5t1)AaP05k^=B(DPC(gBqB1^sUp0)?9ckYPWGlz{Vld>&9ESJ48{^A?q$r$%yl zl^`w1mrf9BVvL6rM6L?-)M*YF)P@{)f&TQt0iOSDTaPOM*a7{E65!#5yu?I#N_GDh zLj4C_zytZYg-QzvWdfo9(QWZSlBxl<7Vm#0#d#p3zy$EYPx^4pe~PdEFH`yy`f#|A z+m8TepyL2|g!o+nrg3mn%nZx1aO$jgXv!m*I!1uTfm~mvVIe)&P^VAtGD!#cCwsoGmuQ*3Laa%^YjUG3Pi=Bt z2q|Xei#()PdgobCt+)wgB6+Wr!>gQOnW%9{XfW05?LD-mUMJmLXbNOVdA;3T`=a9N z59TjMKqfDu@_)Zl%G9OvI`~o=oLUZYxlNEM&ws1)70)a|_&P9lydg@@5C_(}DGE*T zz3#cXC~6|?EmTns3zYP0uG#J`{%(2pGfwvW%2>#;JCt=*;xm;HdJ5KT!`hi*vxko> z>~9Q1BNkz7wG$FGb$uM#%;ruqo8EhBnS%*)K_yz%W|(v*)t+uP1_9q$?eCuX4c!`& zl?9*(sd8QK($5M=fwI|Pgt~L9;a8ibgD31(M|)c>Rz)=OD6Nw(QjnE@CEp9P;G=-a9N;gg@D8K3Lq zl(SX)prGD*#hX_cIZclpYGV<=wS-hfX~UsjIvQbF%};Z3udi$i9mi0zl3h6)FA)ZO z&G3hg^>|aoPa*#-3^WyBNM*yqTIL@gGKe@teO&1x5FaMe>k_t1#zpuUrfkc}jWyRW zYTOknH6f$0=TDE@`405y%waEZ2kQzTXJ0aT3XD@YmJxkr_C=zPh*f~bX zS`-wM_ukT*L=elEcwEMv0$NQ`_(RtK|6x;s%9LjfSwWEJasQXKCF45f?)!AcdIp|( zLDywo#$gpcCC!A8av!KthV(0cJ`Epy;oSair`Z6K)cAs$#JCS>t8r9bV7ifu>+dgp zu2#QqEv%v zb;G2?dJ*E9Y26;QTcPz9M*SKDmEmA*Hoeb6ravuxc)r2?5xeASw+rkvZv=gCkVOJ@ z88!LI60cA5Sl?~qth=DT`?Mtvibu%)nY;i_QDEB-(`aYi0Y#7zkG%5QTHL1v@$L4>vv1L zJtkWe(c5Zqk0CsIEucq`XMZY-p{&ixW{E=3&bWp09PE02)~CMigL(6${d_8yf*Pjb z&#zOE!-OvPup{d7AN7PEHeA)I&pNfG8;Z5-dxbgrpo!yE!STvNnmn4@Ub>P;gcp-P zDQ6GhgH+3iEszLv^x7IDy>xjJ$vFz&-ZI!AYrlptefj8amB{2}3xBXvHW9Ji?5nU} z-GCC7`0Kz+=d3c2Ls()!XHDX;;t+GGXCyr_?-M%WwdKGRx<;ku2NE@9c$FK(pboWj z83#DI$QQhD#9&B=8nswd(miXt?^M+YG-nYf91NvW#fwKDBZ&pgx~M$$Oh_%|@TrXG z@nu05x8?Kujf_swYA&w0z+X+u(b^Vn@b%_Uk%M2J+45GVx7~8+C6A$}qtwZZC)7j^ z4xr59WQm)wcvrkEq+@i zL<7VHe30=i5IH{}c>WVc{B5Me@wbuAlSu5ZslTNKf0_FO?lXK4|0xg&B(xP!3y?3M zB0?0Je@7XA_m1U&h;#v&j?OeBQ3z=Z=&7CS@2KRs<4JAM0YZR0wt$|R%>rFtwIHu% zu@oQ^tsuTX1KN;Jm6-BR`yxO-wf$c9Kl}c+S$N(8xa35)|DO82?~5TIeUXI)7~}(+ zKYiNoech*lPZR9?dtWU`)F2>MfEGof!x8wel6}Ax2(Jr}kY`T)Eg=UQ^mGCI&oAcx z8HfZ{U;~Qre}zoEkp8DfzkCJWqAeR%RQjLs&VSax{WJRc|B~zd(dP-x0KX&}GCCq; zqZ^2F7MwSDv7VgJ;>#j9nhZ#HKBZ;Za-Ph~YnIV6;47)|4q}zYO)1`8Ej*J=OQN@y zH8)@mgC0ZhC5jfwU14@`kp21k?b<3wSNA}9XBF(WtOPLv{8zD`VoniZ7%$t}-zo(;c`&-Af^hq&3k|zIglz#tI)sh=op<3uxWbPNU15aJeO%fb0=lrAjC0$O zj-Kgy3sd&PP5@jINjl6sn?lzYL2ois4*M{BF!9`3Lz=4auP~CsC01T4Wp>i)YL=OR zlWjhi?CHK}a)sdR9x*WFM?W5|Hfh!A;>zjdt2>GtOYV9)K7Vy!DIoldlL0v&PD>~j zCnVLJ9lt3Y<2bu>#!dDjf%EGg;j4Kqhf{8$&mPG$IVwD4nwVx=EjP^-5x#ndk*5AR zHTfFr3G+)hLWTmbEJ1x;4lN zscFqvd!VgHWS(Pw8HyCBh@T25ghl$IV9zn?p{a2=c-!hr(%Y~fE+P?+VViJMu*&sQ zXg|GrxaulnIP%Ie)4?O7P(G%|5m!@;9i$&OX?s`(S5_b%zi#bG(% z4g0*HX=I|hH4<_Xt{OaL0i^=@u{OrfneX^qOQpBl}sWE^pCJgpe#mJ5fgl z7p2kKC635!pKW5~n5enm1dm6I6eK#sn%%VtBg=*OXAnfGA4(EUXZpotBZPs|gL89A zcmoGbapf`#S?A^*%T;uNn^{nWtk;xWAx=AN(3y|rh-eJoc10<+Rnw( zxz-NfIOs9*GL4s`VWr6f=-=vLN7>2sJEj&hIYyalIW2nMH|SX&EFIP_+){>@ZLX*_ z%)cJBMy5;hmkUnHQ<=aDWfKAyC-^I4Vj#O>*>kXez|?fNLW3r;8}gv?uL+qZhg*w% zlYqhw-CE_~p`$3c^4(XRXvN|A!7W|r&yKxbr{S(5pmTYK2WSVfhB24wS*HDf{Ka~G zrdi+zFY~)+JT>lQG8w}XIHG*BF%{k6LF|TQ7A4+n^k_NWFUVonx5w_mVkV=XN?nmL zVs(x>6eG|Z!VYSg^V-y^&yZfdIXqaiL7P*Id}a)Xxn!#MCYO`BXZC&KU>WF)-Qc!3 z{?XFMZoz7|wZf>p72)>N!`2(!7dIIc@DXurdh?ofREreKY>g2LH+4bj+?Z@^p4lI3 zDmdr+L!JS&Yh%n6i-ESO=5D8M>Fzt)KHlN{vLmwTPF})cfQ1atm?&i)Gsd6`LqSw2 zDJuz$?XB0ifmxJE$$G>R1@Zn?E%QQ zOugGa#PE-A=!Jf=>imlNIiKOd-7AD{S26O1^qssftrk?Rd^ipaj8Do&7LV zwTT=rinqL#b0U{P0E1o;kGoY|4JOc1ejq%2ADm=(_{BP_Z3Ll@y4NB<-_G;;VIPBW z;XBLEGRtJMi&|Qg>{v(*$`5!@lzHGV3PEZXg9sBvR91ehpCclK@?#%L|F1e>0>nVM z?NQj53wI7?y&EIhc9(u8$rXEaK~Px9Y&v%un{#U-g{6^qjo=HB$Z{?ZO(j#sojz&! zJMpAcT*4`W>m(OSlXIN*_-;%yv1s4;US*WVhul>28%5PR=Fzb5CHusFmgFT=B=0l< z3^cAU{*JliJke_Ez1`9H(y@dq)e|L#xk|3uMe!n~M$@IjmN^qE^%}m(f?-%@ij!w; zO}CjA$GNudbKuYTRnpVHlnI^GR@96Z*7JM(X}f>j!jev{z*<>))XjS!zkqf{=^l{F zRuA!5AEF4^dEo_*zNyUE$1e=7Hdsj~HK}2HDx0?bvYt{^nOT$@5a!qt1^q zH(xb;*_`uK#~aXO3ga(wz?~O6&9MzC-cKnjchcbkI$tV!U5tP!FC1kazi4DjJMB0|H4Fb)Gncz)=B zUZm_BARmcY0-^r4gaQij_+aTE(A*$w7{J09Vm1f_DugQ_5aLspWA3M+Twn+Xu;%+$ zr~=F^JT1Oj{5yvQjNlCcnJPX=O*X8&`v0BK<#*`FCJmTd0YwFn_zXnlClN|O4lFJV zFAw09FaXB@u^k36ff*9e=Mq}k@T8o~MMgk7xdzG(RdPS$3|3buy1KXeMDd|r3XP&* zf=tOhbNQtt!wef*JiY3TyBE&aC;Rqy`vygEQ4n8BBN8d%LqTxlXrZNWU(Lc!di^wW zm0F3S(^wr3WoOGDie524NnIUBzlCvG`EYD=bNu01K+?J#1Ne7<(gRR2-6Y#92m~Aj zK{;Dxn&clPGYJUTg5LOlFO>P5Dn=Ao7pE_5ST8N_jkdL2XKNpW0mKwt3KUiM+YkDl z=PXl&Q!`?v^7Vzz(FB@yrIQ0+N}aO$Brd{X-MDTn*TqsCaR zDLC@$ME7h{>Y3{gJ{*10l2Zvel0YvBxp;otv{)=LsD%ux%Uth)jhn&jB!9#4!5D{? zPi=WO2|#a0H!YAUCx%Dc@2Y`;++wx>Gzk7 zbPlXngmY!7unAby z1I^weygGO^aI;N5OE@Q-54d#bNA(~LcSq3=KRLi0*@#gxR((q^5=6Pv7TG4}JQNUa z;TN%cFn1=P=1*E?h4h++@*N*G>Fx*IQbbHwz4Xy$-niMt_VNaX=aC|uU%$Rv`oN|% zFbG*61<^t^K#;~jtYAzf>Len`q$*gSzSL$j*iAl10)A1Rs9Saf8R%xV%a`U@a`|QT z99c^OD(&8e1C%ej6Iqof`unxb;Tk=PzJNi9l}m0o{-Q)gytyMfMcMF{?4Kh+mA zb7SHCbxLo1%f`ai;kjPwkz3J>9g?%>t&Z{DC=pb!zr~#~fd+?jp=e{4d=m0jrUVG$@Bj#h9!D^!DX%ZqPdMohkhR(3So&Gjim5+^IuGWBj zg~|5LnCp3crX{25%Pg5LN8T2#difTH%cS1qRuE=0E^6*@;wP3`v=HH zb@Xr(nK?15eB3UIFBPCb2)r)s6vyMYgv_3DEH^*1`zO?^Mvkor0$xejU2q>9WJ`1U zwiSE1IS7H(e`wfgo48I-6F9Y%cO$D#VwZXLPJh*p9)FQR^lDz4B!puP#}+2EZu(AG z`=t z=edxVHaPqouPA@oW%XI%;j8c{ty3g7lBEbtIe8!PWbfaI9)whmZqi_R#IMbLZ?v#` z5fsr@mKzd$RPHb%9VHIR@i;`w626Y-Kbv2A+YZe(Y*TX@vz?jU!s^a9dq zejNP?Z1+5uRwL8mIh3!LhpI?krlD$Z;2uq=TVbm~*#VdA5!5aB*2D2(LZhC+o!#IZ z(M_<1=MQu&1-7Q$3xuy)7{zW}lE&~TU#RTth*tN{Lj#IkTi3K#UB0I9Et=Y-_VK{C{!w)p1dEUE6dI-O>%x zB_&9Ai!>6_EhXiEAT1$rC~1&Rr9ncFZs}CILqI^_JAl5A_x-$oyua_iv-VnhpEJxb z`UYLTo$ex!H|OqooS<5agzh?TD6RYAX^Qt5 zoAuz@5g+qgRBz2p7d1yEMN}5nq{K{nFiXh<=jVsZle~_nFg-tflL0rr5TKq${KIVW zqr_V#)rW$L6yckUK~8bHr?y#W78p2j%X?~rj4+h0#RB{;mQ_kB4Z z89JKGJLj58EcOo_B5!gddgATRccEu`bN;@?TO58(dqZ^r{j{~jFziLmA75s!2MqCD zoyB9P&ArCed7z~=?qL!f^_~7l6vQ|}G<7w)4{td5h?H?V@w?>J=SNNoh15_LEZE3c zQ8#={NcahE8j1|= z>lj{he6+rwa~qX`8iau|;mw#*(6#xn&YFG9(x+hM9`djIqPqbzneGLWM zD3*isP9?_m%CbMM*ceV}DbebCNUqhcI9S(oZq5{Te+uT{75dbC-mdV! zT0u!><@8H(FAu>S!H5Y$p^D-ii`hn9b%b4`nZ~}tp&!&gidh&VAC z_YYWH7gc1IxR-Zhc4y7dJV~LMv8-YNL(Lmo7FLzcL~c>W`a6bp=(Uq=mq+t%o$mcL zc>r~C-GbO@@6j%zS`@?%gC#XVAq{QQDUHRq?@V%>d;@+J``3fb&$L>q#)vQJ89>VQ zdiwUL^fKRhjiI)m3nOkHmPkQHGf`3N@i;AHnV!0ijDY+&H)|}-|~X}}HTJl~~&(GdMl?VL4u+xkVcss=g+oCbL=iVp|1I zHO58$<=#x?@?szz9d`ayGG17gD-;wNqdN&+d;ggjAh(Nv*!gVx>K!h4$^|2aAvqw@=n?Q;cHe zNt4f#=VZ#i+ij9}0#y@@V!Bjqia0+(#QN1(uja%m#R(*<$ptjx&GDXYR^~?;$i%UK zqp>W&|2{(V*@KsOu&fmurv0ld%=1rhei}+RiR+3T#E)^8`B&xRLlOyFi9Miz1go`r zTkcO}X7#IATu}U@wO)KcS? z-1PALt4~qjNiXVTn5C&c(1@;1Rg%u2hWwaMDq$Kd<;?pIs?Ke}+$%OKSeBrh(z(!G z-gJCRPlGzT?C5JWyV+5U5Eo^qd{}#4SBoQ^82_O0#lr`^znIYqq^)%lEX8879W#Y; ze%a3!Kl_vp4IpZWGM7YuHiTgp-N8GQx$$lHsV2oyO206w;b55Bp;GThUnkZV4^+S1 z-!}FnUKX;iqxfNbuWyuw%R=Al8>(86h}Ev1aitKD#i?A@zV6^}&)N17{?Rw=P?AJ4 zi1H`*5$<33Ke&i#2r+b|Rx(ob8S*}OH^S~qW?9VJ*e!YG1Hi*F7Oca%k=mplVy4K9E zOLe4E+z+X&cIj7zKe}j|$H*Nj`6jQ*GbGzEeXonp7S7kN!m##sFc0Ef789~SCi#}# zay*UtHm(CYN*6uEuMGE!8kN&q9#@1&7}LN0yFERL(s@bg#}s6&{Xmp=WlNHyRSou8 z4u@GuPpJ6AY*?mWlP5D#v&irlHU=j()<4EG3gq5(fAHm~IJvQyK5|nJ&eyp#RW3dF z`*U5NUsWX(`+^o}r2?gu-&JHLGD;5PEV=qZWUU2^pn1o@l$8J}23AmZDfMWi*ZGM)gaPhR4P_kiV&{cGb=ruK0RB&lg4SuGkLKAA^{t#=Rc?N~nTDW(7KQ|=f=c(W~BqVbe0KY_{ z&vVndJiJz0tTwwX&wCvwLrgC49+^00d*x#(V<7k1df8wIS@JM%QbS+jd#EoWBbkNp z4nq%*AB)u;%Hyu+8c5S{C_L;Myu9bv64J+HFLQPk9A2iGuh)!Wdig|P-S)p6S+YZtD6x+pmztu z2oP8U^{xunG4Nt>c@==cHIQzq5FLUG*zDaL6#C+$0xs(q;A!I~D9|Cf57sy#Z-8V} zwBTkv_;p)Bqay zz~*VeGw>-n<3U-?#C`B@5QhNK02~iMhdm-h@ouidAt)Uxiv&90jzGr&DVhc_dvkS; z431U*0M{Es0k5Kt!K;u9u(g`)5vb;Qb6e{ZaO#0OrUpQL3Odggz@Ifn)NrDJlP)GI z@aq)36xd*n^iHYjm+ z`~LpRrJe~^$x}IiOkH5yts&zG!G-4rH&k_OOnTtN5rTJX?VN+ZfFwO3IJXwl1qS_p zcX;2-GPwW~j6A?WC!zu11gZK^ltp0+x`PSu)ZHz4G0MZ+w~y! z$S839AZIMNvja)LFbJS2yoR|7c-r~}C9I~MsAxg>PSYhHu6+kN1K{}w;FN22@M`>B zE}C6PB3FslKGzS(PS#hKyK$e3;3m>y4OFbqe!-o6E+{R9Vp~T+{;o8MPf^xUmSZ(L z+YHbRqy?S|hD| z<%qNISz0<`$Kh&jb38tTycjDt0cvG_psh6ja1>qWKJr2mcBBAN@K|D0Qh60s_k{Ye zIz-3-vKCEB^qiR%ibq+yosW7Ao2)c?Y{(kUq+HJ|et@NB%HTrMYfjxRsW7R^@xznM zF?YqD|9UhhM392qJ5rkSutF6d_7MuKkqV0Bt9yh-JrWo94L7nMKi^dpUvVpCWgQF~ zBXa~l;NoOY5fyXSN}55p`vwRnoU#+rTxg?7w3I4pj~mCem~LVFdq-9w?{sN z(~M+-y+jol`g#t{dA#ivcwsD4seAe+;KW=C2p6HiYasONV0p?B_Joo0xqGf{|HMp$ zwu^XLX&8q%zlB5mg{Y}gY<_+kk+@qul6C<119c5(p0B%WAN7(3LfWB%wsVlc(ISdW zCCj*90e9_wJ#=ddM=tc0z&Rn4%7(W%?q;mouELcE`7=mWPI0Co^Fg)eJAw64urjz=sHtCdhPR(zUQ7x9v}Wg z{^SHC0yjO!f(4`%;UsB~2O6Kq#9-sSGbK$FaZF?Pa6b}tCRRmNXd1Usq#9?wiq#XL z^q#!BP)T0r=Y&Be!XRjRFdghz#yVpATgW9YRF3k}+8SM#+ z&UuWd1$QCyPvbWg;n=3vr1`eYl{|?sA#(|c%IcSUtSAb?4vs@^Wj<1m(##vDocbw} z6VsCs9vg-wPz7A{WQztO{W^O*?3u(wj0J&oM0<%py7nn=XG!{DIvV(btZr8&yx5+r zqOd+v4T^Vcj4)wc8`|GbRVGU?%TxPsy#I6Tag!J!{HPAnlzG8{Gnv6!z%bP#{Cnf2 zej$4Ah_r;=372ag3hBti1b9>ybC;%KVLjLRQka~slkw!~1Y^E~+`e9nQ|>Q=mlW(8 za=7NUv*e^dh&CNzeZ3dY?guy@A!2N^v=r$RP_8e?9bVi{8LZma(0J&i7MN)j+)VGbG88dO?G^T<2fqJJh|yULF&Y zjP=Xd<&YmQM@Q%Y=DByL*@r=jZaLfW=^7MOh zBlJ9=6JCpUaurG=&z|SLb?|N2TXQ7g8mz){EDm^@mlU|U&mz}$i5cek@=G$RZZ}Dw zjEZM(psQx#D5e_R(5a4?$+CNLO}+?}CkljghIt>@b69oA#58STRK;1`9g&_S-P# z*^18(PpO{tQmM=5=5Xtag<_?Yq9*qPXUeF_`c8{ER?`Z<%OnC0bbt=-eDf4uRlLo zBb+&3mPiouGFGqTwG%*ptBegK*wVsUpD%w~rXnDI-$gJZ8twFHNyusH(=46N@m<2Q z#}|SLG{>Xd36;TdKLEtxK|xng4eF-%>=p}Aa&rISYT^FF)x!OUtA+ayR|}}(^#>FQ z0*oMoBjAnz!8YXO{;hEiUi=BT6GH<5)mnSWwy30zm_CMTP_cN$eoW8m0%HG@yh635hi!`7MmjgbF$fu^~!# z&;u3?Vh)(#fKVu77Y!AtzcGn%LDL2u@*Bhr;zBe*UUJCaTIhjz5Ots(17Zz4#0P`1 zeJ~L~rch7|;1>2B)|5+Lzoa7fQ$(A?&BjN18=Y(7Qjzp z$nUza#V%wdKnfciQ-6UC;znIWMBw7a)cpm%{(&A;X`l)R!ugkK`w$U`HYmHmv)6rC zY*fGw7xD~%5Q8t=!47Qa$L_#I1?cb~Y=6y=AqHm*Sb>7=w=<^kAZEaD52hjzf)8;3 zns4S(s3C{~;sl_%9tWCfYoNM3EFt(9WdtAytRsZ{tsAfC3$B+o1lG`55rMC*I~0O* z^Rc_tP(u#8L1zyDDq;u|p1{A4c|nK%t>fR^0-z%SbO5JecWVt3gV~x0UkJvHlG#6? z+5a^Qa|_MjczJ-O6cmsrj~~EBLuY}0)tz}h1FD*V%sMg2s3r!U-6>`rku!O*ayE=S z@|}_~(fz2>ERA%|pPIwbt$W^*%d6yVgrhuaG4*gB*nK6Eq`H8;R!?EFHr+bVv3?|H z94TRC#wgKDTZ`ue)~TsflD;!2P2^#|Lu#={eu9A+awwa9045fbX&)-o$`6iA@Mz3) z&uFBoLKe~8(o-a_?UI{eGTy>U!@STksPN#+nPU^-X*%Ot<{owg4H?eI_%Cp3+*y&b zlFK}%{3(3SU&mx#q|!Z~d)D!BW8Cvshr`TcGn(1jd{9=<&M^B;No%38RlKZV*5?D` zknk3}AO0E@1srWS9-MvH(EWR+yN~z3j4%E$U2Z&mfsn%QJUXsz_Kp7wx@k-qnNO~7 z5jnGgU~In<;YPT7!LO#qHJv7fTFUYkn-w22(^Gf0uVkDC7?Af7G?A#RJp5*T+aDm; zDENpb53F$}4yPu+N4(N9W|D{cAiG=ZA@5FML0^R--zhZ8PSOPzMuX;> zs$Y26EYi?cT!ZlAeAj^hVF(VTS_{rv8!H!~#fHk7bKrpyDMAy{0)sQ2Sk;UED_9pc z<4^q=me*EQStcJY6!ld6UBXt~44|(9=!KI$bWqW#<3MR9;v!r4 z_Qzsek9<*nwrZ}sTYh#B@+1?YYm{wiaxbLF(mLj`BA~$yHPbo%*ptjI9xs-n1RwUS zd-AAtXdV{1{R^XT{QpUfX%vUz2Rt?by){0?9wWBy0 zqwv(*n>vYFv>{?i7;CDC7{+N;5u}?e86A+wE^53~lh}jfwX1-nrPRu~SZ7obs4*i7 zW1;8E%wKJ!$DnXQ$<>f`hS|LkSfq7dgf}Y1k*A+?p4=xGQ|&$$@gkP&;SaI4G}kAK zq8^Cet9nWaA}1EKrh>U% zl8Jc`Z%@u~*sQ0iokfV&CZhSA9-mD(ZxvHw4E*k` zj@cQW$&9>HSWD^~^%8p#F#H)xHeaX)i7L=+(354Mn?P=I*yJ9kB14>~u|3z;#~RnD z61x(8rvxvFD6_3HZzFJ_^j9@Qs{ri8G-^wf9M0c;>_{lJO)16T8q`lf_}vg0Z~h z83sIR!6SfSzIJlm`k3IT-1$Ook$kW|h=! zDElZsI!zFGJg-?uI!JX2ZX zerEc@POn;pJ%Bd@4v+cQv}LJg^A@3EpzD3PYHLT)*s-1a0gPybY3I792{Qd zhoWZg`%<~qjB$SU6I?LB?>EPcxb&8m~j%;Rm(if6f{@D`YGo^Z$y- z=3$Xt(`1K#^v<*jW}kXV8q+MqRpr;w+`W56%PjDc8s&AxHoe7f%E%BZIkP|9r!q>v zJa&NO8t1=C|L6%8ukflY7$kQ--t@v@Xk|{!oNylQWJtJ23k%KTYI?z`#i>H=bh3vU z+H$WH9=JsRGOt5;2hY@~;eKXz~0ZOy}YH4YYVb75ZDm#l!U*U-5AL=Ht6bb==f#xif(< z#BUxE1=yhe2dH3YhNu9^G!R>0of!;Kr-j_5ozhuAD>?-g6*#4ZaQuZSp4^8hCy-(z z0EKiQ+IjK-40}rdd(y!Jh%#_V53!3CuTZ>6VW9wx3=n~vJQkR2@&5_tuWq4`E+Ysq zx=BF1v1@}M1K=|K6gM9)S1Vy4?@DU5d{N|X+Q31%l4{^Y|P5AwtVB+Dv z<2TA!fq**i+`v;-5Rk}*f!&Uvkpvoe|D|dJId_wEb@(1UjiuK#tH z*EdtFPVS;wZj1r}$Q|K4xW>%@9?!oU7eR+JJBW#X2}159ckTw561?t~DP{+kNfP?c zGT;F7egOJcybyHL%ryUK#K$025oNa9rH6I62G!H75ig`arz8pXSY+ zG*P+=!(BYDz<)g<<%DfUQBAR=b+w2miD(5NdETct}9 zcVv>pa=`NRZTd0t?EBq(Iq3PU$KFLm{YXfVTcn4~Znw&W4zOPX>8rG+nh873GoJKVHQ7IHpBjGtNV0}P0xEmrXW(D@HWIt z1%l_@mJ*(JNQ-A!2#B#=S2_CX{6jrGWzT=-HmEt$IQGS*Y@{J+{5NeV6sN+oR?_!Ddbu2=v?rK399@vq80{D=j||lqu+6MO4ohjXV*_*^!w&=g8bq zVVfTn-j`p0J-F4R8wM3$LorgL_TAD$$%sOWjE}3~%{l&uDF0tg+Kjq8U;r!7WLCbVEKs0A;ph(|py!K50`aZ;w<< zF@C_@Y&>dUUO%u0gI-9)a>?wKs8aM?&YYN)6ur!WtV8`mblx4qd zrK>$XB(fD}Yl-rP|GglcP8I8@<1a6xLiZ`pP|wdt#YrqrjZLv$Khb=e8QE827sIRY z0G~5WVQ8|)R<|psyH~$)C5Sf81OM47KU?9CNIxWO#qXZ;#MU0lBOmlw!y7BM>Vz*% zwEcEIR_?czDAf5wEo-Ej9_&kTM8-60XWantt*6DHg4L#40Nd9*-D@Oy^k|-fU z5<>#t<*J6^KhKVHb2VcyNyO_6m~9E+zY)FS5+P4XQT}S84%KBY;ptm84>n%wBPuek z(nNE8AGhhw%a?0}Zi%g{)pRC;@nq10?d2>o7h-f|d|dyuKkH3T#>J>*51e~e zVt2;9oz)595;0YccQ)U365nyg;Hd=8p}dt#5!La3{4TD)&*z#~T3k|^F648?fcE&A5A??P@wdStcju|U@!0%r zy#H-*%77kn`Ma=NL+7`#bmIxtzw_K0*S`%iSqLNkZ94ED_y>G~8xIhn4!Nsn%U`fJisrFzhY73Zr1%bW#r8&Hy*&|@n5koTI;WwHzWAB9TESYX$N}1Hw)y?`bT2wA4Nca0jqyOuHR>d8wTJ@!g+9Z_!%>!j;5BRB{YuG%-+_J(TcgZwApDBn$4Ob zdk~GYHolz`?>S(e{B)+oXC3bCx9ZlnixkA=qfcvGJ{$Bgk+vq>_sKrLXO?w}TTfa? z?F`-I)oxWvO6Np1lv<>RO^0<>N42E1H{$28eViK+JQh zcJ4W0few1(264!v{pgEG!g;&L+^Vdqr>k`lEQl|&;)Kc+u`MsS=_vEN37p&rwQ7Q0 zG^ZXh6Rt8JS*6MM28~VcJ~BLJP=_;>+S7n~`h5*(mw}=F^;*gP8=BMlSxMvJsdG*i zk*RTyWHIh|QU%H((UlNdvm}9fE2EJxmq)+sE+S;YWteq-6xo1+U#V^>wu$jZ& zu$kvD!uI89QCw36h5h=>JwnIDJX6T7ka^OIUQzt8Tsv@;( z8)I%39s^2~*B`L$C^dOoe$dS)lL~A#D=&t%-T$Fy)7h%unwM7^RXzPEc0(b#-vIit z`dD0(!nl-nL$JJo{R_=$#z)3Jnp2ACBfjJr_Pm2Jhl5<^Uqb_%O{KYWjlKx@Xxl;j zGZ)#Og?3J*mD8o{U(P=9-_HrNt4bBIn4N2x`;ajRGk-ARo;(v6&bJh;bgXc(DR?;X zsf=+*rJwY4{wS-HE@g)cHrBA^a_u$rbG5+{lc5*IiQ%xv%z~!{V~Ewqo)LcMEgADN zQWtc|mEt7E(4EUDAuGxTlZ>a6sUKb8FN^l4f4))_UsT|KR1l14F==o3zDKCqD8;^) zTJ^P^pY@_c->0uaMk(xXuw$9_w7Fx@8`UurhZHYiXg{oD2m4EV8|Ck?A-;l5g|@+n zzIwJ=^Zxyuh{3XgD#zukb2X|rU)`*uWOq!prUmguX}(@f4}@qvyrwpru`FyWghMY| zd9o_Y*6DVY@}w@aLH_LPK#!7SU*bS620Dwo2d_u+IZZ%eO!q_60SKjr<5pYnn71*i z;|kd%Y8mqMJD&z4%X`@n$)l{UlLqLZ^>JqDuE+GXv(Qh$jQ(_u$Jg{7)J>1(!+?OI zJp}r42nlYXzTZo}-~<#65fL6<5}%En#Oq=&estop0Bq;T0$a_kvkCMIAl@L)NguZs zQ(D(v@xvHmZt*>e!N{w#dX{5eq~pGM|L%jQ76(_~#>VeY)xu-0RJe`l#!XPhm<$r5 zMkIBtyl0&2p!U4TO5rUqeu(B@c@5i}-6MJnO<;Lqad>a*L~#f>|GQm{2UNhlX;=G= zO&q~8lHVy2fj_yCKZj5};P>)fwnX3$ND=r0Qf^5XZvcqkACPiOM|3;#2c+CK>fet1 zSy>SL9#@0l0nIufu=o!KU{nV&fQv2&9Wu}{P=G~s5Ce2rf*F$NVN4_d&;Y$Jt-u+w znqcCe5C|AO z0uOi8q(QX=wkLn5X>QIxhFQSMA=S6wYRgZ+Tfe)BXJ^M01LCwHk-+4Qslo~tFFEQ! z9^R#Bz*1aA096-+6)O6mSCkb?6lm6kSOLt2;K8CG;7^Rj2s{I?;RFQ%cRi4JDgC!m zabryXHnwjJ0;9VyMt#tT{%x4*gS)Mq_MhDrT;<)3yZ5&nG{CL*-)BcWV3>*l7&dAP z*8KdBC2#lJ3I%;&?eBjjPQYn5E?~zRaz|BtGxgd4av%RTgZ7Wz9rTDAf;YcSsr}pI z33>oD%fFubc4Xq|Un6@rF-EpGr~+IIv>1RYuip=7ZUkWkl0Y9E_%Wqc1M8O+9)ilv zn15ctq7j4v{OjIyJN^S${0l(*1ug!!oK3s~2rS;Up`pNm_?#w9xXpl%XA$Up_^ zCTW(O_DIaX6>u0;(VKYkK1rUNG%&h@*dM)%z&vp>p3QQ`j3hu4K|URl<9i`w6MvB^NajS9=^RTLLU|oQY<^u_>5lmJ!;fmrkp0+7-TIhDuInQ|Q|PD& zlEhD|3__8&zEKQuvCMwbJbM27c**M1$-LDNv%MBw2w~ilBJ7m$ZFN zq=XSjWgiiG%*5Vr6svPuD+Q+aC-i%bWBLoqGYJS|znCgkeKl1#7`@1!LA6IM9OyL4 zQ1Z@zu^`!S#XRo{3Nyf1S4zX(Sp^AsdN07zS_A)xuF0FNMPIuE~f=!-c_jyPFVX;_YAMD zZ_I~o>s)^+xy}PFr#-xYIU!qe_fz>%fxsD-by;l=DJ0l^qA_`#;5=M){2n=4(l3NP zy(0JHs7TI;wCZ&wMR3XGv0;K*H=Sx1?-M4rV4)fl-tU7>Wh8DVOh;#`xz>7w?rv@s zyXnsFRwWtxzYx(Y<*}?*=#hrSop0olsQyaAie)BMTlH{uS_NyWlq*qN%Onl6peMzn zkm^4DiwKMh|1MX0H=?+Zti%zsL|3!wI5-9oDLD6zE}PPlc{3XG=;C3XR}Wa#vzgGM z@yOqpiUpKFJ2WKr=*+@9P}VLR($KB;mv&1jVbSyjMJ)`W;rC$i{S)LI*;-9(nV(5I zjqN^zv!btL52 zAXnvxz_dT1rJ~87jFN-~_n-SGe`LW|;K9yMa&bwA5{K{qGr^%|N{5oRkSDlt6GKY>5?E#r$LlhD{(-=|_XH=|9kqjDs>^_}{0qzO^ zwn`2DqL`X~2fzHY%k)zG%x6k=%rK3woA1r7TV%-;R=(gh12yy{TQvK-{4x?VD_Izi zvK00Xp@-uy4I3!RRu_zXr7V0%rThX&`W20zN}Cwr^6X(~IumN>KFbr{VQF9}-InkX zsFA+Ra~xM5pGNX}uQBpCluJ@R!SKFsG5@Lw-@b~+m=OHi^^k}Qg|G06y4c%<9fXTm z0wFfYPQ@WRC!a=?8~E)TNU#I2GzA*5eVwQ`Cqd~$L!}&{YM-;9Cd7z<6e^a(=B={v zw3cXODT0Ew27gIq=tG)}Sd;t;Rxbr4A4ni=CGL+3Ih?olC;5$EB)Y>g)raN@ACF@d zGo0rfOO&*y(u+t$^kj~Eczw5BBnlU@-S(HW%(ZxUUwDpu#`Q^j0l9n3Rddhi*MYZv zgm6$4SL+Sy_`H<*0Ya=T_)_F~=qM`HHML?K^hF#?<=Yt?+ z@7-~ZEt{rT?9!iPkyiy*Sg*pg8YHo=^R)R`hOlBRSu2GTf%jRon0mndWIDl6f2 z&;>3Q#LSLm-h;*Vvic;)x-rZG%Vt7-3;Dqk$vO zFGoB@CX`ce=*YtAT^2#j6avg{`zjaPF-A7pD=YIh_gCMm_%@;n`%jGQS|Vkzb$=$e zxQCKW^%-mp^z=_ZS;=v)vDf{UTv{~X0u?@9J682)`RQ;{4VBe%Loj|w^-N8Ame0r~ zIL+y63mNGGjmO+!Chu@jQUmVu}+On^Z%$sT@9nbEuxbBhjv zReLZA+;$4xqPX86keB;+ItnZnzr%;TV6E{j zuJca?aTvB0SR(@#xk6&o#zcW`D==AeVFy~*HKKP1YoXR4D63cnt7`;pKqprBYGGt%v#s-M1zz3~7jo_DuWxG{u5baHdwTcgPP&S<&ur271i zK@apJV&cNztib00x{iKNa=I~aeedExg8|_52H_qUwtC~aCwA{H!*er{+7TQ(-Kd%@T|oACRYjcCvFz~Si;eZ4tc0*;bXsA^qqNOad74{2 z;ypwxN(VO&^v)^d#HAd*0i9m0^#p|rf0QGr+vs|szKD>#Z3thR=vuhYr}mA7$Le9D zPn}zd}nPug=B?XW?avM^%oDYh&KIZ{R=5hb=TS9$)`s&~86sAhGtU$26%RWzmQ#5P}|!3ySG{7in)mhk)MF)Qa? zI+RLp@n5N)%=sFh1>tMA^HHt94OkV~bLY=j20Hn`@E0G_ZdJ#wB1rD+-$bL`g4 zn2+GFA6=T|wgvFIH((6?Bq^HG*Xy5evpGg9Is>nugyY}XN(ec0F6q2T@P`wtpso7^ zj%3ZJTXxP(#pst}5p(F}J7h}2gVPQ0)?~PJ@$=scIOMgVzuXRv=P3C_Na&1vj@t;R zW8b{l+l^CVk!F5cHO@AE-y*iLMDu5D{+b#cVY9(U4}p}gkmeeKJ~uK#rc9l5_tM2- z$y7uqGkPSZEq}qwn$fTRXkV1e@S&%fG0%xenbXAKKUXLE$Gt`(B`T)lGqpih_qx*glz9qPK3MZ?s|Wn= zFx#K=j4PWYTeWK5leIt%i3h4=eO}bE9qFfH)~$RR)^e{Jf~j~#6);*78RX}m)+97r zE@`Ac`|Ql{lP_{WZVbH1x(eib80~4^mZDdP_eJd%w&?b8Bh%#A)>+8}bdn}M)(YsM z;YR!tEImPth;oj7Ku@pU*Z70QwlbGu8}D2T^ALH~n;^ioU(@t)(%=$H0W$iu@zQi4 zkuR>?7&2}gFG1-^MocP&+k~K`cXce+nQ_Ur-;j$A;No8 zs+(PdJRXW-b3@-cUJ;7-LR)d6wSdsX%6P{&&M)2*{dVJ?PULa+03T*w{ej_(P3+s$ zmR9#s-7|>>)Fj&KBr{$2GFL-7C;hqo^LBony88nLuPRP$=dwPQ+cCp`FD$TcWkP@R zTzcHxH)en&U{4uoEAzp@9uC*fi~^!_Hz@s(!>{|T!7b%qLPjS{TcL7o9WaCi?Qorj ztQu=p^-+kd&jgG;(@FUIi=$+;US}(IKIB^s+I`^FCZY2YyTO6+6?-w%+zV|#jc~A8 zJnr&^5cynG;-%J?z9=EsH$fr&(E2?wE43ExI5?USx)ENN!Vj)B%I25)XTrgXrrM03 z8p1~aRe`!OBzM}!E9^RhRcO;1TY^HU$0UpZW%^1`v=dY#W+9b%Dgcw}0;`K(0>HV4 zhX+b;EcV})1c3rrU>j2$m@=Y1`A^acm6!J}>tqOQ2Sap##UikXIPC?PjhTD-yS#%J z>{50`V*sdqAo!qqm5CH6+gTn8ApuOmJ^RnVz5p5#@by0t2}p=UKrt!=>y}1>mzNvB z_X2Uke@S%RcvQV04EVQ4b^q9qK~Ja`m^isTyZg7N3-kbu=znks7>n!;VZpyW)%$m> zI06z~TkA1^`I_0SG2JI`R$Oh`IvCeSiWAA%O1Gf0Uef(eCGff}f-k*rUPF z%{Kk?lZ%`Jj{VxIdkY1eI;(`|9MWVmx*e9)2BaG{aPsbfgC7&awo3V(VSJC%B$ zG+pZ~qUa~IJz{vWwq&&W^MjhN16M}BL=?D0qSASk-;1o$j0W0}^m{7cwvqv-EvsY# zFw6ugu@=6DNzHbfmJZpw@ZYFv(ng+z;iP5uBuvH@K0jnT67?vmbRWFx2~Q*P-T0~= zAmp1eF^7g<;i#R#4te>Bvon@Cxqha&|E*o<$C527=wzxqP44vjt%40D^RRhV%KRcF zxwfAfTW|z#MZz0cqP5XT%lO^=Wn7U<4+q%Tm4=HiOIEt(49~(@yiPWwsw~T9_n_)5 zhl(}qrHAL0#T@D)Lm`@P3;T)^1gxLfSGg0K&gZ=G9=TxcAQ)B%9Fvgr*OoXH9kG+zTX^o;8DIm89B~)8QHvbK*gwmtR79 zf}7AoP2DpfxX1A8V5i=m^*gS_%Ob+!nJ1Oh+a#=iOrk$8Y+Dx-em zuVfVl6tUvWp!3N=6qqiH_)mPaD zC_8++sAx*85-kHf8+_(i`ybjk5>c~`tJQw^!lnA;;WuR1jvT*L)2+}&RD6_Th1!RY za~+qo3MUEZ_35VxZDNJvCTfqm=XR79;HjaM!YsRlDW#6%E0)mqCsYlTge<)>636$U zDdXWIwf8`&4s)aU^rq-YBo@_nehm9j7W#q^UJ*F#)yzXVW^dw z0D?~(qsbS7@`KNtq@835G7{nj)@8C)&ijJc$*@5^kDqf+&><|Q!W5!SVScp;q2VDy%lA>;Gw`ZvPe1YR z)?gF1VqtP?--8$DC*pmxkQ|?aPy;POiGJbwraX4WPa$|{ULw~dbh4sRgSq>yBpiE$ zXWz6W?fm?z-zJ6g!udm~jz=Nyv1Mrz(SM3QD?=D&zQ^^Tk2J*r=73r~k%68v)16br zn5z?(#s&VfK|vpdP9H8Qw4UGF5{~RuqF0xalcqJt^ANuE4+k|Dbof-6Wb~h*-3<%x zD_ertnx7@eC%uTC{Wh*^QTEFvlSl5Q!zgv^OQoqeH;$iFeS|~>!@ckTq1h*af@CN>WbpfD&%7k(9&9`_CTalTArxv)-_8n>-Z#)OR^w zp)*kuKHbK4lEh`L)*r__pc`m1h7N6pRW~}(D|Zm3&${H)ZJYq_N^EL{yM0$yySU{Z zdaAkvhFDDP^v8&sjfU1YJgLCf_!Y^HD3jqAqpRTfqdc^FhJ0tD6~4{^nyL`GD70KZ zNfHUq_&u0UAI2S9(P{=EwVjf~e4V_uWVhM(lLsB`{;4??oWiSb#^-^pg3uEx46fP@ z&Xby-);$a2DC=7K^0Wx(B0-GqZ&B*uCDEcB59J&zv7OQ@k&3y9R}zL>6s*3GQn^`s z)pVW42MqjJB5Fa+A`wGhx$1jw@m*17m-_4^#91~~bXwUYLxQrO4yu&l098T538B$g zZ|LqO*YKv1g?+1nqvG#ApJ(vt>a6oRxTRPTC4zpjCvV3g`3LW=A2a2>>#f*@>qZAl z){eR!D6^>WWd~bYqvr-8rpC9-`hD|nZbpt&_`^R{q|>x% zczcb$X~p1omoZ9=2h#~)rOoe@(Ot#Szfwl(PFVjb(Z=WfQ^Lgir-X@@4~Sv9Va1FC z;R0thf*|lF7_0@diMTmD^FkK`q(dNLcU@w|ap3KYAYe`MQV5tx3QPd;8hC7|jKlz- z`t?_!xd z4-=q5^9Nt?0chc11+i|@e+Hz%0r25Wu2B?>{y(72--lCNAfG$egS%ofu)Q8Ug9Yg~ z@6Js@>kb3Kff$c!36%hVMnHt1+A*^=4kL>7Gt&HSM2I6aYHXc^EQ+Oc53E3;6q;PQ z$kfm7(ZOoCf~iY=se+Q9QVorwjn&^R8sjW3?sP}t`3q`rcKXYxQ@x-9Tj)cKn}s|* zn@wAFoe%fA)2m_uM>>$6QxAo-&jF5|*_1WF48CzjQ7iq2ho$g^~RKqwTH2;^@}3T`V{q z+}#Q81a~J`a0u@1PD6q_K^iBxyF&j4{x#=ZYwv@7t$kLbMpbon zbzeQ6e&v38xI7tLhRjqg7jpYn4xr~gxgRygOD;&i`cnJt1N|10E!^PAvx5lz0WxL2S6p;ol;_>?= zk;lw~7qnZNbZkgWh0|{|Ot3f^lr&nA3~Ra)ttGuV-FYIMu59i1uEoM5162@Jd-gxA zdBaiddECEccdbV(nO1-PK0PodV4=AMg+sIUCV@Dgl%P~RXTY`Su(xa9R|8nb$iB=eiAGsdYlrRpW^>ZP_Y zVM4^cqkLxgUTXj3E3j<+^TJxgkFddr2Jd^kQS`|6I-BWm)#>NHbG6r?eCK#WpsgmP ztq>eY9Di1#ONnpx-s1T?SXJ4w<;GyqAI8viAGlPyPTQ&HG=xBl?jLIsNqu@$j7_NVR@7TGfH|R6^Ni?cdWVRU(`g zE9p>$dn2w3y125825<1VdGeo-Kl9|PtIl<$Haahp@6(Bsf9k$_iz;c|SK5LnFOdJEreiSQti~I1KxM{ou;COn zyUI_ik%We~=fC^&^+&AkW^bbSS}w!Ai<_0u=N}!kQ2dEMordR!6NepQguuvuV5}1q zTXFSOFREWQ0;eJid|aK)UG`c-z5I5D;Gd&u%c&gHIXSZnKHf0*`Idi6$azLzvGrpu zeWGHJnm=37wcKQ}&x>R9Fv0r8bi86t8ke%|Wp{!VMc$ws7nW@4piYA{rd_YZLrtY% zy_C4%=NnN8>~6uq8_Aq=_8#AgabcRH^kANpyKP##y$`t<9yQO{E^zP_dIVgI4g0;n z!$%>-x7=j6fSU+#@cCyJs)x&ZVp9uW1Tr&r(q9na@t#-GbUXhF5uHn4sGPHr_xFE` zguBwjVU&T`ShL;Ftx++Q_>ENI97@?-_i*$z0Xg)yn*8`^Xd$S`BPPXURkWcq>>{7J zs*N@7xpSSgofTbB2W&Zk5OFfTWJu9>uh)5MJsarB!C;xfve;d>gPY5McI8mSbN)l! z0kQJ&?1`P;Eq$TV*+Cnv>dt4H6T6HTUI-QF<_2`OCt+=GKp0Hr znt$}pHRq4^(6r$lw(p|Ko}8R@x?+!p?vL&li&NP8sHl-~^h zBSaR`0A=8Sf%aR7U}FCc9Q?Zu;r>(G#0BIm8c_bRlf}tL`{Q^9tmsc=IoKS(;d%cS z;NXVbCIRx`x24K|Oz>4yJ79XLNt{Pte?k25NO35Xu`f2oA+-$(vuf3r!ZIt&eq; z10sDRIn2?GH}*o9TzefdOnuPBGrnKl+djHgy6@WPO^MURB)fZlef0^eCvhnz89PH#bm?rq?(SFMI z5gJ(H5Dcf-QbfBli1zXV#?$Yc=}ZS>zmD2`}dp8^P4OH%s!P|gZ@>C0^Y(HQrIdG z+(saJ_5dh4SZ;OzL9dC(0iIYiGqUi)JD=lGm_S?RSnTHq%=U)dD8Ho$${m;k8WL|< z?FC(J*1j-E@X;@H*{Bj%DO74Z^0UkmX)H^5d|xlzWV zCJ*x=r<3zieK1d@PH0;rfil?axRPAr7_slJDZR)(6@jYCu?J=ovSCE3uz4 zHuB}mlG@7$zQ@*S7^)XvChqhJ#Zg0al0m8_z%XR4KSa zn=zILd+d`3Q!%Yog%h||5b(+sR-OnIMue=%=K61AfIj7`D*wD2lla=xIBDM0I%Qtx z;#!_ULK7ubT8`{rT@W9LC!{|t%;S_kIB7kH9A$vA4vmPc9z5 zpSfUvY;HENN}f+sP|m5q?(AcEdo_bWmqE1aTYz@9opLgFCgQA z4IDb5-=br%v_oj($s~!W$==-~G6)x`SnEzSGtA37N1fc6+eAPvg;?8RFzqnuHG4-J z!0R=UR8ui`qi$WN%gqtS^wzB{+r6^w+xZu9_ga`mVWXh+9Km=61Q~Uav^BnB8M;KF zIBUP5>vd#d^bMyD6&Q>ifqunXm{SuC9Pqux#GC6MD(`S5KRi>S58}wrgd*}%j;NjZ z?(ETR@0lpxRy4JQKtff(>*Te#zc>^8o<`qfeuR<(u6(375}G!Yn@ zb53TixeF<$=DO$8DEVaV{z$+>V0mX-+`M~{;VIHGMooO6t&C)QwJ8{ zM@Lm@Sk!L~OS*QJHH4>0mmFPb7%E>VZ5%z(<>t9K5ARZ!Cn=G>Ki~9dB|e-o?ZK8% zbIx#M-(H!TU)6M9rgu?>gZ=3B5sTgS;<-31bj92&d$Ets{^H`OSQMcN^pZ&}vl@^^ z!OD6{Pfq*zdmC7`<(ak+1$ZZem@1byf@WbynV~$1Hl+xR(!GOz=8eeZtro4&loB zr;(M!Yq0^gp9E!zU8Z?Gn{T3H6FU&{tM+j7m7vX$egiGY~Zq8EfE?ZPY*6nJ?w&4Mva*R5CV&*cYBI z-i*a?8VWdmYJ4{JzJ43-M8)?;w8vU8)>4=0?Hs)=s2#IGL@QYK})_Gw!0h;8Fv z`nzPF`!p#{H8Ey2AB3h%UFrE|f?s6KT7_fqY8lL3Bwm|b^LQ#b=TGV0f@V!^0+7aT zaw$ZQLcbQBgYH;E*{|0PnofETR@CU;4Ae2r_!gRLG#d&`>ox@-3cRMP@0Fbp^Wb}? zaF8HD%>5&Nh%R12G%cdIhJ$H1ahT#GI=3&GQ82OD;GO9FW^XilkDyv*HJH@&Ef%gi z;%Lh_*@z5*@s}U@(QNQaA4u<5ktkFHhmHGp+N-3fsga{{LeMd-KWz(b!Y%-hKST5B z@%Q|G{1Ey8LcaJ6`S(~1&yLjW1RaHcM!dQa{(=w(Jovn-y~oh!q!j^V0({IgLXq$d zWJm1{;^bqb#x!^Bby9b;c94eiu#rK;>b3~{Tuv$`4dRvi6ynG6$x}L|IfzKn6Vy`8|E?uc zQm-~b`Vk$F)F__Hix{mkDhKw$>t1;UTqoXcmyNI-owg(;ov7Vv~<%j`iPqkAMq2 z;e~NHUOp{t|E6kqxgewkKn&);^&oKpGdcx8lF5qppSt9yJxRZh{hzKf4$MFjB(W9# zSDD0I02moy5(8*3zcRYiiTE9pd3@vB-5i=0>}3hOH36 zT}z|&o;Ty+1_q8np>D4t>lLY_1T_>4nHbdPjO%HdOVS;bRo^4O!{Vq;)`n_rIMdZA zoi*#t5P7z$#%689kJk+;E4L@#FQdq|@f?Liziwpq>!LUY9G0rEff+hff|<@t9kYFS z6P3R&yU{j?w7#KH&!sagUdl0(^fBDLijti^tG;Hf#3kGMfXFNFln-;MLyc4BbP72v z6n*SJO^c>F9R8x~yYM{qJA1U&3OD_n!MGQ(uhlt=1NlqimevjlCOXB^JmgINaI7t z0EKV>Atz_uECmYXE8I_?)9p3B30bujBd~2lou;kTOoihLzTt(JtFLsdZib{5qX{#L z`0rOIwgpY`K#3>Qr1Va47|#D%E>+ezXyKcP9{Rlh&=wh_;^1+9jbthV!9%!w~rKoe#GW-npx`6h~iD!_V6e`ojP*ZYl=3-PmmV$7V8yst^id)~V z(dzXj3L)=f$KC7tUy30%CwjF*{3hSR@yoSR9-unCf}_j3gY)dH+&OTyaA_oOeAIDE zCq-q27icG5r`*Fd)j8Tv1h+UQsD@U$Ls>B8!?h<nsF`E zL-?Ly>h$;NYz;c0r-`H2Ac8^WAr!u{AKxPSnR>N;m)tlAQ<5{V<6krbB$Itl_BI zB%hG+I4*SPuWX&1Iighc>09opk9w$;4(VJsQu&n6_-K9d41*%TqZY}mcCjtbk(e4{ zyVh|Ip!n8o(A=-n0@-$3`4|w`;YohXcgc3+v_(c!O|FY zr3mLhH7MjQyv;Z=mD~JPB~?`I&P=HFENGYMXiO-`X48XArLe2Y%62>@1y7q9EH$~A zAK~SZf2q-WFb|d$AZo25expC_F*R3S8oXL0Hruc)4Xp*$yjwGbK%1CXu-)}2n-1=^ zT-&)~$ayWvR*Xlh4JD`y8}sZ_WG$@mN=p%CZ0T+|RehMm*Rs7sdrjUm(^b@Sf@18~ z{?vxAY8k{}aHL!1b|>9e{G9Oj+nwj6YlZu0k(@Y`Fe|~)_rWQ*o5AV&{`p$=WPK{7 zzAwTCdbN;*JVu^_I)7@SXg!1Aj+XYS{Qd?Jl(?hVhI_v%5O5NW2%AI#d(POvTPGm@W06p}C=+ z5h%3VwMhjpe~#x2`0+p-;2XG?ujIy4=9

    =PgBZO9q1ABULM@Q~4F#lFzk0846b(1VjUd4MIj5RDR-g*?kI&jEywQI+sn*UKv> z-W2_3X_k)mw}P3WBIjtwNG65$3%ogW5_au3OqzP?%yMI+ma@b4LBVk0`uJoTU+5gQ z0&U^8UTq{txvRnb@X`OsCWp+_6agFUH_}v)^V|zAA%5bJi?dO*&OpaU35)N1FGvNq z+%iuaJiRBQeDorLL2upHaBIL}PAFq!$by!Sa(BdO_2%fS?&{ezh4YKO_gtgLT_(Y* z53NGSR&er;TIJ6Llr9O^F)W_N+u)*=X6kVxxU-d6tx|Jgq)cX+Xm8_YN;u7RTt-=P z1Xbo3GX5Oux zEw_T%rk(7UScz5n!ua8*yV}wsVn2gfkCEBKM`c*`<+ZgJy}M*|X@^3xH<{Rafv5+W zJV;|j-P2?664Qm4OiMT!`h&fPphp+)1$5Q@(}wu+cb)jZYtEODV^1J? z_D6AYas4sYBL_DA7MK6-ZUgGhx&AgNa{X;kk8syswkiRk2f zkji?rct}MPAZ0}d(BL4^4QSC2!G2&kF@%N)v26r|?)lR&b{QB_H=%_??ni-PyeBkL zN;3e^oEQK`!Xv=sd^1`Yq-+q-$Q3PUagfoGzu~}_zX?jX0Kn?$jsBYue#s7rYy~82 z$(O(7S-_tDR-p2mlkGoS{kUGTr?deTX#YKy37o^<2DIM;4;Wx}|Nm!gRJsO4lz{ep z1XzF>pNL-Y0<5dxy1|3_)Slm@rqS1(@xz%mF)Q6}bimfST#Z|<)e56dr%0ArE@tEI z#trs^Q{-SS{wI18wO9rzy$i)Z0h^GvP zLn%$_cJV(yI88?&D=&Z4_t)0%xV7wqmG705$7RwC0*7l4d860TN6TU|)vfLR;JkI_ zH+`?TCB<*rzLdne|-818IvPI>CR7FS~X`SWy)#3Ym7cxc5}UO)1{2jPGLF{i@+w`a$(BN~^1P8HBhqGm|C7T`R7!4R zq=rHeI};w++5~Um7X@?ucQ`@IV<8yjoJ03y$l5d*H?XO0HIvzqXi38YWjNwf7`*q7RpyuK*zw3#Z*HF3nGh(YV*7Ftov`QIGH*f={+~@4lB+y+7Y$-KiNXEr9 zDo`jfvG0gS;+-Z!qu3&X8yltd0;bGwY3xbk#QkY+skASz!9&m@a&u-ecS^Pz)3#aj z7t8hF`v;fPxQ`#3_nX>g1M^XGDdgb7DalO|*=Q+C*yBk(R{YwKKc!Ez-j}$B=(X^51xO}8yoQ78t=aA&dcp%r~0Z$rwh&>WR!J;fOs!~^l0 zG@m)dn9*^zO2h3pX!AoYu9rO;W|j8kq%mLtpBGK1WnH2T7x!xle6`+3M9*QHbClh| zmd>|&{|hHu^;eUXy-dE6gi=}Ze#z(W^Gy}ubD~sLuFaI(Wup1nUnAb^_*FOWU!ooN zy3xv-XvPd+mkNoKcV=CR`5R)3?C<+QQI~NLv>HMj3Sf-ZWakgQdAxm>+gtqpvJ7RfZ`H+imOK^D@*cP15)pA6CI zB_;i;3{%g=sZD2yuu#;&45e*z)YyCkLsnlDH0amk)Lt**+M*tPfT7D@sfljcm z+FIV2Jxg*`bmMG)*H10yx;(ZX|4?I4sgHqB%#d{_O`Tb-`sK@B|LcU|Q)vMPGecx} zcKQe_nLA$bmMrNYPi1&3OnOb(YUy<7Ub4_1n<`DTbs4wzpSvj{8%9xt%)$uhRGiox zVml;TsO=zF?l`-`bT(mUUGlGJCwIX0m%23DUcTG-fHd@S?$ro$ zl0YU&ZtOgA!P+;OJ*kC&A+cdL3O`58d3%&cn;;JIDl;}yXIaz9^0>)*twk5`{1(39 z(JY61jKH!xc8HSDFKSOy6>EDaF5X@X9V#q-?MseaJ;m0{7GhV(e245_rb;T~Asm|_ z7Mne1W6swCcV&mU=c99tSElr0XCng|29?X~SrTW=$}_3A)GL$baBq|M4CVShZ!OGq z=@WH^(ZF6z$E!)0ERU3N3IuL~F#{H3msSX`7(I6(dLjD6?V zzxZb$W4c<%CweH)wj_Y}P7q-<>lS~)j(MkQhUy;_Ikwp{4d$PCJ>%>quT8Ff4u>7A z&h}y_%PAadxBa7HWwi-{wqwJ_dfREag#Nxp*c^0Wg}t_@(`SmziA#NZu>A&uBk9uJ z=K$MIFYy{LyN{bp=cJYrul!dmBz!D2?3j#UiS7nw&!`t6(q>IF+#EPweS&G27xOxE zGwx{_I{ekcnF%9hjZ}p*I;#(;63c6m@<&*P>O`fV~oVV(c+wn(9Ojm2hHp=T`&LK+JkYz2OW~48K0R{dCSt z0QM|5DTW=u@}9b-EEfsayu32Ljc;l)uN=>Z%!G+q-lIQv;l9>yqtQv(2<^wGl5Jj= zX~Uc#(7D^dOw=qex0M>pO3i5F2x8F!mRC&j!XS#Ky8FB@T1?{6S>kvG3sWt2Q3RqXtN5%2~JvutFT2T|eH3 zUtCfxJa$v1-+|(+Hh3e*XpLI=9>E4*d@y79%RL|a>Te-=q(Us~L;fL|USc*sXCVMm| zI;<919Ef2YAV#N4RzTQ>G%SfXMX%}5bQjxgSU3hsOuh{6lr4*Wsqv%6?HVPDZw?@$ z-vbq6wIe{?I(jY|IxhDgc<8@LRBpEan@xU-ib`|+_CkA7q`yBxaHw?9?v zz{WrFmHW411CHVT%O2%s``uRuQC&czfrL{4QZ#HF=!(qxCIX2Y1r%dkKcFtf`_TR< zQ9ysj0~`;9KSr38gJ|qeMR21iG?0KrG!Dqz7?1+aUI6G<=YxQ}R2&DghXGjt;Q|n< z_7MEf06QaY8DMlJ3;LFk;355HUF-?`6Qbp2 zdkLW(2g14k4IS|`V>}Kx#{uU&5gh(kqqN){kXlbvEI7dQh!>)okH7#aoj{|;+oXOu zzgOK!@V<^l&x5iUffz>eTX?1;WOD+I7c6n0DsRG&)~xMAX%_6tYDR(dZcfi^CFU{t zo+E>k;A&Qi!}KdSbFym|_pEg6c=YYg{AGW&9t%tlHR$;G8B&P9xT_dd0hc9v1b$;u zm0NqMLew>e98JG8FKk5)9yuv@o+`GYRAk8nqQ|Mw5l7%7GM6{8Hy|As5`!q;f>_3}DZFftVa%$Xj5+N}i{HgKSjjuR>Lchw9pv`@S&A|N^tKS*w#dA)g zN-072Fq*D}IlFi@!qn^;bxT&-sca<$9E8$>(C@U$^~=J8MLw%o5pfV`zXKy@Myd6d zb-l8$kZADOLT7ZMZ3Qp^;~%EGNaJpFo3;3-uk#;TbXW|yvQAZQz;GO?qY@Yy&!WQu-Gf1_y!$NHT||K^?d-AMiuiLqqyCxBPT`c+ zr{ZzeCSwFN`V5Y*o<)Szl!IMTR(S3cH=10(yi$;0r)L6D`>V#LhFg_;M1B3GM3Nxg zgKM&`k}gQ3K|}l^TFEr`;Z*%AZGLbFoSR-m`W~e1JmwE&CT&Qlz;!7b|rq`JFQ!!RciSpn)-bVrW0RANeIf zWN2lW;u#Eo>uCKQ-m?uB`&lRn@%C26iS4rBYx50@hGevw>e%lqF*=^B`;CC0$QW&< z{us~{S4RTp)J%BYrttNa`T3T0UI7X1g{qC@)eqt48|-hZ9vV2@58@gEK`%5B&D|R- z${PhTToGFr*V^&E?(2aE@qe+^2C6K~@<%1D{+t1o75eXFEtxNBYulga5)0N(v|HZV z3Fr%Gtmz%#7H1Sy&RiP~lVqdB&xbsi;C64-<#1lwm3gv-Z^lyf*?zS0nmCEa^y!fZ z6GkW|hp6)2QbcAi*tW~v!+X2qnuRP?KHTzhrf|5H9Y_qPv5YxV(pe9LiH>06{P{_wE55Pg+{%W$S%8`V8r`#O2IVVO zZx6y58h)QGiI-7ln+HOTPI5eoLnyp#&l!KXvU~Drfy=$;^@dQ!(-C};B z$qtylt9p{%lUSmuiaHa?31~3%(YF(4WC@V{aKM(|m0P*D`+VswqU@e;(dc@b9WsLB z9-K`cJWLrTBWy6=j6N4kxo*uQ+}q0~yDxGeH&DP_)%zK6Y{iAQSBd}C&xYqO)`Lzu zQyk<{Hd~C@d%`~~9$*XwxZ$=6Hj+c$R?kSPG=J%7C;TlLNx!wW!g$hO zZckF12a6LJ-*7su_T-+CZyGA3O>Cmb&wT+(H2`7W!o3m%KI);wjP z+6InB)38`97~59xE$U4rFhGOWLJi&MK)tAG;=v=e#9U@fd=SaTFI9^tcG;(e}=OY)m z$dO&VZ@hwzMb-5=D8*N*gJSh=i0fofm+9IatxmthCql#3eLMJMd+7{u?v;y@EjUw7 zb;yI080j{lS+U@Q-^|E!Y{;af@Z#Sl_NdrPPNVz&Q^zeXwMuzbrIA-j;nq#qj5mid z@E}_c?EfP0)uw|Lt~N8aZ=wOdo&1Y8i0jC&<$5e;CpL)$QNe9D16zXG)`eIe9K7k8*ReW3;%VHo`?5Y-4J$fK42`xk~cPrNZC%n-$XKAtamY3VT*CgjyvS6`&FmVrQu6d}CsWieh%1-h`iAZ69U4 ztNZB_GrW{F_I+>@e$)>}A2kY%eEZtkPZ(cPjzN~Q)43Ex6BfZTdIh}RIzI{@ghSEe zlpF19JBa$>SL!>eI%~6!{2S8XENG$ok~$nP9jL3ksZzbw83|Dg=qiU1poL|bJyI1>%zS9!%4IkxsL^z^r~D+^@;!G352_7v z+wS{E6F9RKD6ddtKF-mQfJU_2a%G9dFg`1hnrq)~A3_cW#eA}gHPYI~w)EwUT$U20;Wi573 zze2dJaHUTYZ#_3I`}v@HYIw#oa`s2J2BpIP(XEN9U$9`gp0tlYfSdg%eeb~imvYbj zmvYbjmvYa|{!c=m`!_!Qw?6#`>Bjxn0+Rc$1tj-h&^zB?N53fN$jN5)hBIngB#Ft0Q2m z1H@C~AuAWa!OPXq(h#Q=Kw?^M0_s?KUHDHtRelwauFJqK2q_8Rk4U@*s9w?);59GS zek1iUA*vKGzqKkNBx4;=((yll$=`zY^>;u6YaRT#KLiNN#=mK4z=rzCS_%3Ga6#T< z;QA|{QG^rMNDv_3f1rgxiVuNX7JGqA3q))=|Fa;In}g@KPcnq)2xxmf+5~Dd^@aWl zV-5)87Of@3RG`F|_j{MXjke=pjiMvLw+zmv-!%YH7$ zsQKol*^L5NO#VwEF$2RRsYUqpd5jiPpM01u4boKe9w;1EW3$W3zB0_ zc%3X^*tubZbSM(UV&QhwzVN%=4-0lz!wKbp^fQ%-B6*?s*CAKSE{B*T@CDs#=&6&5 z=i+=lFk@<8+SFTX!<*#H{YI?R#Mo4<1-ybK_DXCRvP8UO30rvN5*$e1Q|h*^ChJVU z&}E4-YnM`v!NxqwyN|Imh5foz;yf1^_4cRg9DYm*MNosx0xyrEx$35NQ^)16g@{S= zO*%IIZx7f7m6fGsfszL5=HT^FEJ{IGRYRghbe&!D2UrRi?-0~|s+DD2ei%#TusoB@ z_S9wS&8ne=jX(}!y%h%4#| z#*~kv{6@RI{pB(&RIU8MXBKsGa+RTm9EO7~7EZn|C{>rmNMCJj2qS8mOcSYk@8<3p zSrdgfRf|6_?lLxqpDx?{a_zNLBCgbo0OKV;q|G}C-Q3B~x`R7E`!h10jt&=j6=20AM-0CeP)qUrbteEbBZvAuO=Utu1XxKN^tt(E=WE4DhjcW zt#Y}ywD4SmK+=(M@n>KIaR?eGjNDpseoZ%Oo&-!Rl35mC)!r+&&|gA9W4SB4f}@|? z5@u<%JZp>QF0#O1AZE2u#(qBE0z?XqCDbdR)>JWgzVtdqeEz}J(;;L}VORLF@Et(N z7)-h}A!jurRU`~f6Eq`b!SA$Fh}3NV;iqRT-t8VLWQ+U3m)|{2I{h@Ev^?P(bUb}u zvg4hIBlN`b#eoOjUcDx@{yG*DS zpQn{|LJ#q+e2Zch1x8jLo1=JHA21S{VQ(-r@@nPTApM&|K?Ob5#1sEdBX-ZZ8)z$a z1(kIfq>_H(f!Uv{8`N8@<%fzoS3w1uvq++{luC=3Yv0Rbz-(K;dFHgY6Y3#x<9zU^b(%w8CzYkd;#nvFp7z)FSIU(o34y^x1v;vM~I|8zooDgU% zl>gNb5o8Az1o}g;dcujL!7+dfwMHBk`LLI78C!^u@Hzd|b(@rmH$b{AvGDX0xIvWc zO)5GkKPM@{B9MX~y0M8pppWQM%a`jykWccO3XwDW(I2=ee=hHJrcuMl)X>roAj<_c z7^TWb)a~!t)NcN4%l1$2cx9mlwvMImuT4UwxsM)JtktrEn;-?zO)9QwMzJfYJ@yy~5M zC%4A-*YpAj)6l{ncmtf3tTF2XIem%KLSF9u%({=n;-`fyE_ZyirBI@{S!7e#G!wYB z0R-}tJ@2Ji#UtJ$GRh95Hwc8WEuZOFEL;$1vmmc=F@-^+#va~+>->&txDfm&>_atO zkA%V|TGy2@VC-LQC}6<&$C!G=ww1>^?d2D^OxJoKzSApn}<+ZwlA`OO7m0(GWBX{ILT3rxYi=t>$WY7BEI zbQ~VISKYY{s%_|>z?eM%r4{|TcuZ}PO;VeJo~bG7!&%)_1>X;)Ir?_x@4>D`PH@!z zDTi;(h3D0)aQfB4fiiKSs62C2L>MU);?tl!k(sQt1^jG8X?PmV^d5|;k8X9fioK19 z2e&VFBirg6IfiUG_q5!%n_rl^bWTq{cw62AzRa1)gRL?$1?QhYd&P})6|1PR3!Y%$GDU_iBerRY?e{| zbuZV3P|a*Mn#Q@t@AH<^Dr6NNIdGx8ntTzHQT-T|DFS!UG=5;ZzWnBAJ?^zopa+{P zC<2l~)$ZoI2OE%y7mLU>h$|4ITyW%tU}7RQQSt@pk~9pP`nYQ)qI8hfmea6*NR5~^ zqn@}Ivan1yX=WcqjB#9rZi642O=R*7NK$tb)sqPOgh*1lRz&e87_^i|A1#)fI_3L? zsu-hf=oAZ)r0va2)o_sk-0@P=Mb9q>2nSp9r*nreX|P>;(YTQ!Xy|?kd*0$sjrTU2 zxchL)2|ufW?PQdbJ}2WBw)sSg{F}(Ssbcw@e6ZpGmaizy+sA=SFS8vuFLW*9{%}`C z8IQXw@0WMHY>SlT<-}~ILNX+ejUQk#>+Xr~j<#0E+i43_q0o4Z0$lIo456rQ`#)=c zsZdEqlcfrfEhpulHQ7$In;Bx&>_m1V<`1K)*bJ~z>yNWO1K-V}Mm+uD!b$u-iuq5T z01)K=Sw77TfOh~m5$w}%DaC6<5**-H^*@`vfMcF;L{EPr{%wAlduV(jsdzKK+XBz4U_{ta;(3ZNZp`kFTfqzI*#9E(F3-%v={KGn3c#J z$jYbX(}<}&-gm*Y6EYA`4D$2={eLpFr-#jD?MiGivf&P+TM?=uIxCp13j?#_1R3{)N@Q!#BNh&s&@ zDj%x@(hTX6#Fkjk^WxgH=h@;`!)$zyX>1h33sb{Q(5;Puzbc!?vrTk zY4^!|8{4(AE!m}E7+TqT{CZ$>E2GJ<5WBW7M#0yshFacg*!C8dK2~nWQYhtu60pkF znb>?idt&d4%Ll2SZ|hQxP4OV0y;i@5%d$?{g%maczspYI$vpV;uQGgmm1#8Q;e)I@ zq;R!dH>`Q4O?gRBwV(YE1u3QNu(83*DWQ`0MYyoVynjn9`^|xy{+QU)0Q6wsR#EJoM2%v&s)4Y>{<>p}aS> zUv|>A=tfLopCv*b~F@0u)KHbt?nk$^vd zBE}!&%#zdFk4!<0KUJR___E6|*@QV8%}2NE=vXVPTJ-J&safQ?kG~KMQ$oDQAW|?` zNU>;0A|r>9P~149!by?6nZyI_=fvWFic+S-Tyrni!~9CpXI!Yc4JM{plX zxdKyZdjr599XaqmFxvEs#J-yLAL;4t9tTEtT7iJS-|AC zQX2S8U^WG%{WsUCxV8s|!8G2T0x&$@yfsdU%BR>AKl~?LL~#RLCDacg1-EFl;0j*W z#nApOcozWcgvUnc72dd_)EBks)Ir;`^3Q92-Xk-jV&}?33ZIK=;Znp+ ze}~4!3R@sLd^Vc}l@{g#*JE9U+45#R)(qiw7~wNvi)<^8&(aE9yx!|y-JsIvmqaVL z3A3hxzj@&DyT@_RM_xX(xpoVyc!KYIn#nwG&>Iwgb^om0afPUKE0+r6VU||$g}ivC zwn>q_bdlg3C(E+>Jzt9vnt8`n6~PV*f!0nGVSuQT>}@VT+e zYe)ay>m~GN{+l4DY-R;3ENjbCW>UkSd6bXhK zjoDK;vqWgW1XoJ*q4JHh98|le0R>_?h3l=m(E;*%Ddb9mURwGQlqu`HAXJ3t6K?CD z0*O4Q`$Bl2ovXKRg(E+F<=_zX!(w6NQ!)O*FI$q08yaTJK>SMZ*UUiLE|(et!z~`t zo0Paep}o9O095yslE&fwO)>fRP91=vl7>eAd!>0&?FQU`ZKSyW+DLK#wUOff%V6RD z%V6RDYa_+|*G7u_uZNc8t9FhH;LCm%5SlXN7!Jhw$92;T6P^_!hY129{8>f0lZ~-~C6}A$DLMbIm6qJx zyvg0Dw2(`95McN8XWI$k_co+E9*G7bfCT!(00fSNXu*RRAv^y}r@({gAVbgp96JdQ zqJ>;pK>va1Jsk_|p?P}6CzbFYuLzMw05M|yPZPliz%^NjK>u}_P6W^khzB|d3%EPT z0|JN!vir{<1`dT9c)HvWXFM2r@qY^s{)_7YVAH|Q3A6z}^=>`UW1d(tzx%#`(Z6

    !xL-LLI=4Z zGD$K#&~x^yiMrv!Ugse}92yUP56wR837fF1!)j|xHElk{arRfxMYiBx$L`(q@2KG( z0ku%K&{NdV>(qR^tkZ18e(5^@bhp6uT$4TSDQdX#V{!wPN=fi3Y6ukbgj^1TGh|Mg zqVpZ%koQ&xPW=cZ=Yi%^j%#~nk6Z8a6j;YlKHi>_19lqbQ_^W!j_;{hAzqAlhw5?Y z%y)}AJg~#^w;N2u+dA2B2Zxt9&d4foP1fa5@RM-EpII0Q6CC1^V_H*tP?s^@UEnNLvj$yPJOs4%oYAb{ubFF{16;@o%8%r*{R>?=xUWQibzJsl zSU;|B8MAeeoIidfQd;Ld5J6p99M?8toD4yb2xT;XrqUb#n!I=o48FfzzR?$3ejPTK zSdiBw_={+e45Eyj(f1I0;uL3EBYSwILwe@Q><5ll-&`o2`UUoK5&HFx5#jLmodAHR zc=Uuom#z0aOa*i*-1Ut2vbBwO#=QHokH>O=)a#GXTfkWR*oG|M9M!g%h9S$@dQ5E* z8!fxw#1~p&km#RP7&JWvo`MH)%9f6E~Yjf@?owmN9ua7e_wcD)1nP|D|fV` z)z>&iVYytVfj(k{ocVIfuQ4)_iGDS}-(EHe-Q@LEJvBJ`^qXo$54{vm4gF6@a>ji* zf=mFGj1Fd}HjzCa7Kni+i`!{p`rQ0s^!mfP2wR66G*&p0r^OtN=8YYJnb>q-1wtI+J&=MDd1Jy%vcJXD3BC#0m(1 zhHgt_6)OgwoN1`&ebr^g#Kedw+i!bqoa{)i6#2?Kd%~guqY5LxjNuI85GS^v$n<_@ zpG9u}MS$CQ9&yX&3)!A&*Z8^k7qapdbvtKwFZK7+$*DicDD&hZ5%?;=EVqwl#q%o?f3? zeHLPkyu>;}i&i+LVpJ%W0QITHz_z@0H1!*FkxA&?VJt8MwjV$n7|y{wAVemoBMxA`|o(H-}iqU zkIZn{Gn=gJc_AxV*?aH3w@A8d5t8*Fv}__f3L)8hQ$mrwqmX>hOT1py`~Cj?{`ma! zyq(8+9Ov;kACEk*`*9xUG3-g2KGNj2izqo1_FH~KjEub-V!-FtTF zm*~%@!*$QPZiWVF;_1fF^67XQIIF}{7NMgWquw?mPLtlaz&vNdozDH+1rjq!?j1_`{Vkk zuWaSVWh&c_d!rr{ThU?-RjKT3uW!0}O02zk&*qOyttrT(?0rDlVzd#f^7z^-iqW^; zLb~<@M^3wz5sKy0<|pW%WT9DCZ$=r+o(hu_r{3Ss4F4x=MW~smr4j@CH^1xWoPsMR#OoI>cqeT z65)Sqp&&Ug2?73Hq6c93n*d_}i(+U6D1ajAe{YVN2oP6^VYtxGHgqYOKPzJVg_%P1 zX9f?bcK>$)w!iP>PD{`t&5(x?IHX8WmN6k>M`Tf$x@XF79p6QNkV)eG=8m>*N@lI& zbXWQ6n}Ual9W7g&PjgocfCb@H?RWZy3`P4eh12DZbC$xf)3jy6|&t@g%zacK=6S|7F9-B$>j zd9{WZ5E3rj9=wzE-uLSKPAlwsQdD<;##>nX+)VVkq1im)`r*n?az+!J^mg=SedVb1 z;PLc?`Ia=Z=~Z_jugL=vG8z{du;E_AY2JYR1kkd?5Cx7-Ej>3D&eIi8CqSh62nc3XZfL2 zWvZSdWiI%MS=MS+tqQh-t%>lqhz^;PaLP}=roK3rUf45(OQvmGg}vp#o-0bW*vU6)MDb2nvF^-?xAyKghpPB&g-O_sVVeIK+0mO7}qs zSN!Ein;G>V2}{1(;AabDFS18YR?BL~@R)M*<=iVA#`>5t-+Dbqydiul)?rNI!EFtc zd&OH!353K!r9*evjFj=0c3wUj=Y<)Ws!HQ!ci#6pe-<@w{2G? zH`cvWUEXeqe`(d-$n@O^iVtgX>DU6sLa@@^C;8VQQ4Kyc@vE7_y8@kl;^7L<$r`w zbe^3KeO;ZW1693e9Jfea4cL;hDP@?e`DM3lWV+vhetWZ5?qe`*)XwCk1Njzo?7SGM zlv(v2>n)MTRDxkQf7Q?Wmbb>1rAd~DQ1*woMPH^B!CYP02<1)p>mT#gW? zI-NB0?V2J7I^0sFada!QG||)!-zv5U+~aeHo)<3{v&IupvFBv3IW@eD${0}jB0QG! z1rBet|CJH#)v_opl%%8-v7SxZTimINi9MiRDEHH!!e9^2P|r19j_a_FWGMUHDnd!M z_*-*JeO%I;?Ovq`*-v)FA-9~DEKI$_Bqj1W+5>gDj1*Wl-P;2{?EGBfo%zt;_dVl^ zU}O8ELjg%Hoj_Uo=7;mOiUgl0Ev44HyX`MN+$snTpy1D9LCn_Z2Sr%KyiXLUG++Mq zf^s%fVa9THyWXMlrtMOX@kP$a`{At!^ZTxJZ1o)q8(D#O3-o&te0IU{S3hAa(jq4u<9hC${akeT5XwySyvxWA=y{6LT}SuW1Js_lAjo?K2jSx{`KR z8TOWYUGM%f_`P`VaOc~hOZ>y`lC7v@s=x!grCHLCNi!*J9@e48&k&lzQLTs1Zz9BT zhrKsH6}nL;hh3wo{-s{C9+&=8aoC$_|J!qk%lRYTkB%D+?-+XQWn653f;UUKA+~}U z_25bR!xoS*+8urUiwxm99=;8KHt$&26UGsB0&8N4nV6=NcX<(KRsq|%H$U+WX3^JvK zIYakN@$sO>6cBp8HGqQy(bB;{gJod=yT~5F0a)NZQ0O#c^RMGC9NLx!1zZmRildy3 z)r3L693eRG>4_VhnZYUbpEEPy8PS8YcQnv`Dw$3XY=qNZlz%JdgFdNL)pU#v})Q8dj0>I2?3yE8>_spYKvo)sSPCt~jJ z)HZvp$r#uOLXGBHiP9K(o~9~D%+EDlvR<8PtcP!k=~%pZa>X=s9dl2?Zu;fio9e!= zuWq%;@XBUlUdQ%Bz`rYZ!uB3^-%C}w)9M#fUP^QQj-^guqt zuQzs;=AI8tC|`{f2SfZ0!$VWXHH9mL(p$nZ7f@muH!h>haduXp)KQandObUdww3Hl zGjw6Z-h^3ItCUbxMdrfj=$riV(9!>({IZV!{?8vBLKWisNgv>!hV~zOOjsYrJUy52G5*+_&4kzpC*$Ed|8}HtmaXJ*yr+-;OJCKb>^n z^4BFBbMAeoOHW~P%O{GLR{xZuj~VdtB#<-Xa}Jxatx&lUNvDZB#{-34_REaBfm)H( zPPCL>rdSp&bCH&e^j*)2NE!@QP^K21uqL#d`=~!{GUi!9(2yj=ugb8E$$GKfcgZdm z*ycBUWN_!jwQ-UQJfzgazK8ygxAJ!J-*DGF*|6ngRd{oO#OU!{i^Ru+wdsIr-}p}} z{PC&zh;L*W0fqq;591#+^$Q7`5O`eAonqEIaJH|iyLVVm>B{BtLF`5-g~>!*)17Rk z_^-Pk9PW%uYf&Qb{EDiITy!QG)(&l()@+fxt@;B7KYv@Pth{VZzCw_G%iN>;DFAu+;_Qa!D`Sv786PWtYfxO6&t z+MZ~y(h|MxS0YoPRQ-%7u zz=KZ7LMfOwG&OmHd@5^Rm?Nq% zZxUhpO^AXIc($!r!RwudzYg;OAU$9J@hB(TAkZd;A13q#u6nxWvZ<~er&Ya?> zH5vYdQDG1*+N^+n1|oKGuAVA-4$?u>aa)dF^rbQ-tgw+?ha79xY3xQ3PII zhT|N1&4tO0q1`IYD?W0#P4kx1^Wm!PTu*Aj_&#c4%@~P3%1g8athnN|vM_H%nd~)^ z*nzCM8J`TUwrEd|FDzHu@b?&OcAd8l(07-5P-St$X!I$a3{#DbocPE;u#|B6674zh zk@9za5$qIJf%g^hjJ(5*txk(G6}z?Yn6Gi4=(V#Ut$z~9@QNtQ;|Q!8dV^3?D+cV# zuW0wXMRZjxh3~(6g8y6zk1|hS0WroBJhgvRGRA&Qm}cZTSqv?d#EP zIz%o@C_<_KXfARoK1rvMedE{Dz`MTFoAqes>qqvzZCWy=CHKDHQ&2`XObbOc8jqlv zx;cnKUD*Lk3rQRY{`oA%JuR`Ja+jLLkA`v*Z7@Rb#M13}kSO!%JdQZH8!_44nDw5& z`&LfWM<>nqwh}}QqbW<8$qJ(!+IP4s@T!DW+Y1UzNu~YRE>WmSqb_f;XXYj;wjI0- z!m<$JVkMS-lO9$blD0tiebfIZqE?w)O6n=@(c3zY^rF38HJ(o|shoFTTn=Sbm5CLP zO{ndZ4-t>Wnj4A4%H9&CU3hR*YL!30XwdHYcs-%4Fn3o|u^yvyNQ;p)(KM{Jnn6@Z zu|}Br6-MV^IqU01u>+@zxzi2=-8G~H$L2nK@>L*y)+=6Qzf|?Dl*yK(x~|>l4dNCxDHG+w zXO7-uD`DkVM#i$NMxtT&^BK9kT$2sx(vw}M{c99#4WsX3O?!wo#t|=(dNbJab;~}y zF=Ix4vZ-IVgci4nX9Vjmq)N%4-e8D-lspr3#R2Vs)WxFZx~000f^yW?=p90Twn5}q zM>&JyF1T6aZ*b&AFEeiHhh-SXNSmuz`+9Ok25?j!$l1FRsdHVhb@3cs&iV+mOTZi_ zFih=>%QVxuzt5;0^h_&9_{zJ3_qpU(StgR1-7# z7-x;X%J&p1QG~&<>deV1{QEfeH(H87(h4Z7sKVg)RS=t&7W^uo^-UE%&kYtn&)5Jz zgoBc>9%#e{CPFQI*8f3J`0Vly49<}ivY-NBA}RtPWWxz$P-xu5#)eL$V75@RCrk^f zk%rxa_^Jp%ZJG?gNR)v^LMtNRVigvRD+f7U2i)zO0H`dc0C397f@NLGFy&NRq!L*m z8>RwKb>If<0d!3j3CER$m!K*+0B@vfK)aJXSigxJ-B19dPIVvxHh=}uDZ=caYz@E| zR|Je8O)x4~f<-}kTIVA7Zvqh>Z9raAhQ&kJI_C@;6~OrNA94&yHs}Hc@v1-pqz6X! zYCx$b9;Ox~qYg&O24L>81{hs21fxCV=ma_H*90pjjlift3ydm_!6-xqy#W8o{D43KwQRv^i;vtg3wLCHXagz1eMdzG-Cp@ zAv!&#{{_GhUo1W=gg8J#tO)eb6ab>y5AcBaPR#!oK#ah`$A>bX;$TCJxfmQ!ml=#4 zalwozj7CW<;Hr`)Kb3fq#hhYGfXg~!hBod7XDrROhZBBa871@JaqsxF`S&Kx*(^<; ztZJ;+@@O=#BwwMGmtAxYHF06GWN_qDiIA?$7#m!Q%>MO!FK4n}wV;q5T%j7G zRzC^$-|>)iUlU!*%EW~&1toxsvip8iER!iWGd@$O9EOZ%{CF2~t5%CLijr-S?NKoz zkGJhE@5&S0{UP^qmKj5aSUb~#Y}%R9I6pc(uCx=1JNHEGD85X5hSev2t}YWaVX3@k z#ypwigs)9~k;&A~I!c0TUwMfwQ+0P5&D=eCA_UND9J9-O3Uitwb=_Y)?t&Z>b$hEn%5KA6 z&t6d(dw=Nku(aYGr<*R-%-!%KjpaE|7|OqgBlh`op5hluF3Oy|Lo=kX+~G!nQ~@mC zFN)gVmo-kvP>jQ`IK48!KTaw{V9e2~9(`KkB4Pb`kUiXK%`h{i8u&3#!oStY@*$#u z_gmu5=-8lpCdw#Qm1AiP$A+b;hx(j_mW4u?( zU<-XgTcjeQk8WpduwpSbbbTS0d7ch2YBZOjn&!LU=4kUY_Rh22Wv|qX$$G&Ao-P$_ zU{&#twjAuxXCLV`j~7~t4H{w9RJ0UMH;x-JG>=zCXiusf(P-E?zfp89K_{L4IHcL( zw`5K={q9A$(+@){tvQS9t!mMR*UfxQ!x*y~GI{KtxqGkC(4C_P2T2iKo5(T({8URqkB*)e9rA zPWz-don^yP(v_&X?P7^dnz$Fa=GCx=>+s~w%;zJC6Mjp20zdZIyQ0Gledz*ji`kzj zuZ)a`JsHc%s_dwEIzC}+KSJ;yK8dCfayyh=saY@RfJx2 z(LJ0W%jk)5)sK*vl_&YN!Lo59Z`B%(q&3hWbCki4z5~$bXP23SeL}x>xHlL&81lU} zA62R-U#$fJ#Kn|$UY>eZS|WVOZfLHy5ACkJuYdewHn}`ze!F}3zHx!40$pMEr!U{T zH*If^?o0UQ_Q)1%|AecgdPt6oi)4gT^W$v(7{vWb_HL&gA{_B^9zn4^L7f<_KC8a0 zh=mV>BnL4`$v^?)Q&;X^27(AQ5Q)cjZXbXMoYQMi|*XMw)06kH~#)RHD!tMg6Aej5q1(SpBI{{$j_CcPa;pmu< zoinfl7JSZ(6M7f0KFb$rGsvM~Lx)IG*Y7up1r57`CvN)zbbjFmloZ|qj9C{Tch4Qj zS^1yKS$Y8S1W7jO;9^7Ko`9?k0OZp=V8k?~5Tij9UI6@cZUa^8UO*LYAUKjg%}2w4 zI=sPt-Uk9wsHxn>TM8-6ohsgs7HD;P()HZfsK@R`%l%V$P(V;B%o$DH7+J(9tf6} zM}fpwf;;Cv5EV@DR~m1GE(OgVg9y9oJHRT8#RSg&<026AXJLqr4mk&bcR9ww2akX( zqd`JX0R=wMMG?@Wm-a3=+%Hk!6P{9rNQ#y6j7mmQm#~04-sJ@AZ%gLyTlPQ0)c!j3 z3;jOYUzG-y3@AB>g$h!hPcwL?jXro~C=vvX>u=pF()&{96=0%V2Y=HkR#EergEhB> zsD_RE_P{rc~1wVge=Zq@|uqYj3tkpASDF6Fk-dv7LGnoY_s%+xx6l}Y9k>mPxKo4Q%FT6R*1 zrd`Iaul?>}WRQ3@>`LFvk95S&rti{p-rm7{0f;&i^%C|eJ1E;cu}DeV)B6<(=@OUALPCExdu~r(^J$V3C%hMuLKu=>3nPR-;Yg?uH#g1U zn3(eJxrY5=JpqgOmiTsDMkkK2Q09u9-c z9Hh6d@-(=kz{u`xQNiE8o_bdKk~I>3(bNNrZrHE?d5?PHoh%`UNWP3D%NXZ^UhNk>Ul#G+>f11eH+jh#75UuO`@n%542K5u!kC-hR(OHFNSo3)wFp_Qu-T~ftY@K_ccxiHRS@4fNNK0!aeV*N0gD0TzMK`B+Oy@LXktU zj3kr%uHVW+cOi7pelOMN1>K-om;gO0;_hoTI+_HQ`<@g$8;kCUDq@6)-6N{&w~~$| z9%P!BULi84Oe$&;(dirsW@|vvBDikmqWz5>n;-Gn*35qT^}vz9Duqw9Zs;pC1tY8} zJCAq8q1Y7bj0rOT`fUZ%R@@aAE7 z;FSy2gsnBAzj*O&%(+#T>;xIy1R3fbCgZvKpWw$!`KKLz+DSuGFAt*#8fxoFaW2rC zS&x24+az*nS~u#(C-*CoWmABb+en(nTRShI^_656|! zdMD5HguMI>Z$5pA!#=rzD*4t8*7O%$q@-cPDUZDH2qB|-{a4$n4Z-t;t=8XD ze5oTd?5|3_xexWKdtLfw(@`IBt!=yIOTXvikmk(K7jbD>CD)>7u4j;LWRZE?d{jR1 zwZknnX+;SgWUd%U&}9-HhVrggE*1 z}X<~cPzA9g4HIM+LR;n{mBWxT1EG(rT%I->(+ zr5>1~>IS;>zQzVRHCGmit|CDCyQePK6;0|m;RPFQdg1Vw$BbJfy$b>wGmK*>EARGQ zsTT*$$SM_OKN)M>XRN_`vXUB6g4#AtUyCp% zm`j#O^evGYz1sZmLA(sNeQNY8o5xYu8~5mUl<_CjWmXdDZkq%(w-F&|-(HmG9p`H# z57Ucm;x~?Hdq4Z7E#{CU=d~41UA(h2B}?v-l*wb~(I3SZ_HTFJdH7zb=*#<}HwtOV zZoz?4zjnRwy1i7SRQEjl-p`BpZaWt?7n&E~VaVaoK;O-hSGiTVh&oES^W0%Y4?SOh zct&tp|2iha(PfclcOH=83gsN*5uk{GLTSXQjW9ye-(}eTHm*c}S8+S@zMuhPh7W3p zgsDTMQLxL1^LuoW^Xqew(|c&7(Q|syd^$L{hu~-Cn26}Pr6qc1X~EC0JVbuiItI&6 zdyOKOi=LTW@blXck<+5Rrz~hk5{C%t#00o@H;)(~Zy31iD2f8$y#D}L46_}Wn2^;y z0K|z2NN>#=HU>l)4al1h0oUUj;0_>3)I`7yi2-*EZxR6`;S~`!bp8HccL#b&z=GLA zA;5wT?gRDs$zTQjCeT+N3ly{`|9%<3BZh)@dNiWsp&xY)bjb70IUU1UtnaMx?CPV{BuL#o}HnI5J;jB zU_*L|;3;DfNXN+rju?cM1T2};OP3e~aOQJUU`YPH4=GOiQw5~*2yo4$LD@T_Wbp3M zkANxki33*>B1(a|pn~v$!60HS(32i)qdc7e+yUK&U4mj$VO;;UxR7R%3@5HCBo2X0 z1+fgE!Cmn(2B-!t27QChx3Q-M{(<7R(dD4jG;qmuy0xGbm=1JOjE@cpr-LAcQ`7JC z`sR8X_|kLfFc%W||ETc{LOV{&<&n$a6M{cQQBi1?7ncPZQ9LIn9)XmCn@Z;-aRwmY z{)be{0G1Rs99UAw_HX?EXISGOK@NY0`=7Oug}&B+@770+fd&2%2%|w3;JTry_Y|B2 zT#+mh+L6gUsTp}CoHM;9oOP#6AWKO+7Udy7pK!J6>q+ys`l+gAeCz~Eez*3o_{IAA z`fiARH#1~CUR93S7$TFob?@n|l9yacXnG#%32n5As0X2z99O7%jaU~2;%|Gd7VYKD zhy|>A-(Vlp;vacJuSBsgB#V&TEms#@c`)dD^mV6x*fy~$u(L{5>8SY^pVFfD$*YCT z1ybC@VvIMTj+_!9uT?A`XxncSTUYQ?Py0pGq*6Mz50@X|5pxkVqyA(uAPgb$4_Ke` zm7IEwc^AeVS|$4-UFnd9`-2ieE^$&lZJUzblm7T0H<-(_4{7A49(Y?LW4>0xbHV@UZ-+a#M zFwG}SQzYBP)xT!Mgif5MxrGS3PJN6BCwlvJBFo%-T@^zqe&46>?OR(+USL+%AJ?9na=Pb~6UO+ol{QB_`H3mQ88fYrgr!l{ z02ODhE*&GA9yh9a>Fz+NqE?S^47@N0;`WSaNM^f8=E>`a`#D5CkUiw6qY^1V2QIfOZ{la4V4YZuazFK*l z`<^}3Xk&ii(-6lo=LqvX!~@YqT_IaJw8k&5Gz`{rlBAly?o$z>1>VrR;^i3TO2o!j z>BLI(Uh@`~OZmhMk8uLaH0Othkz|otQ<5f-l@LG8IxVBj@x>)&jlKHDPw8udW;dL% zhhZPpOa|(SPZUPD<{loelELXm&3wCiaSm$h@GnN*Hek~v?bj2C!0L9empCr%Wu^x9&$*HMT1x!}Oo+PCXPu3d+Bp#! z8I|ABFKSI(StuY6ur|T@HQFDfc?qFT3$baNx!dNnxdn4D-j9Y+cO?kcU zT7TJMF*QXA&W#&;6#tIf)g^ zpPh=7-V|++(WBY>emG@tUB+tfBEp2XgQJ`0)5uHVM;9h%wx4V<{k~uVfpu`gQwxVg z@YHSdmxTj|JiW0&_x1l;IHDpDb2dy6iEWzMu&b`;If3wV#}NG7F$6z%48hMGL-2FQ z5d7RR1V48S!3E9?A^5pt2!7@m0<9VNemkh(f~SqbfPa922lvztz=Y zBePcksnuQszmjwXFjL?K0OPUC0XO&)xZT+*_)Fc}a^Q@4S_n)8{|X>tPy`h4RDuy< z@p*Iy^a?=YX(A(VEMY-4#UP;N6z1nE!P=WI0P6n-;Q?xf=%TAas3kz-)#t!!p)Z1o zLG>jdsAESDT@&&z1y)jK71&|JHntSR@e;_*=Y!`x-2m^u`VxE&>taAQeI!JKo|FMc zk4iNVZj1u2V?hk&2r6LckZC!1rzj-j)G1TO4n7-61(+K$$5Q@7uBQTK4gEyQsat}m z%yR{3P-rC(7O8>ZC2jOdLIje)86{c;WSL&Uh`>95erznz6Dr`qfrB2eD^(!2lK&4QH5|I^<3+oJ_N(<0EgC#< z zGnZ9w9-mlK}zhp%t|Fa)9T=6gkGdd%+sZ_TRUl!5LMnjb{n$!M8$BcFN5?h?T(zAS) z%?}kuIP3Rsik^Own1s)0rMIktV+0D`Bsesdc;FB(!BbCg~nmept%F zwIf9=#2X`08rnl40ra}bEpeJ(-k0`O@>l$>39)8YzV@Zz55)^jtzMEzT$OAt{U-g! z$BU(Q5YLv{z&~@2K9;j}0+({R@=?E?KiD&GG(~ zw4N-+INo;LUDyvt1Y632{G_A>RreR}DZip4x9%^7rbK;czw9FZzSmS*` znX2<^YX1(F=IZQ63I(5T2XVWkUmvq1Z{_z-FRMR2q^ru`F(1hKWp7j($XYmS-~n&) zN2`fK7-JUt&(oJ&N=fm)b>DZ1N$|&VFgj%&I}<8>%?9@ce1w(3<`n519P4t!O2*zY z`_b4!u;{G#6H>8a_fVER)Y1#q^ZoeW(ye?`Z=BB{wkwDj0s1ApiFou%R6O9sj1 zEVO;O6J$=g+JlNNaH7ydjv~Rf=Lz~Cj z#A1{z`MHiGbT z4+i|)g8@JHV8B5>`04c#{M>^9Klfn3|Kq_pcU-{F9T)KbI4;io6{e7CJ4hrr1(L3} z09yz;z)}Ax4lWiH+62h<4scxpZwAdXayO6L~iiHamw}4!NK_n^N3&VoU z-+>kPx&e6#xMZyW6eW59`LYji`;g>b572T7dy($}`Jxx7muLg(ZTbLXvkky4bw5~f zdc{-P4qOo{1?npN8SG7bvjW0(A{|^}q^`ZCo_y zVjp-xhhc!jz+oW;mGuFKf~GY3f1w%;a_Mf?UQ8d?@1s zVA-ihB4H5bltjn}K9Dx}!m2}HX%|vVk`rGNau))g9Q0wB6^ZDn)9Wwj0(JHu0pzkB z1Cb=6aOfclJ`42pjMy0l2=?KO;2Hr0+4yfU2PC0+Mr0$2*fZi2l4w68C`SQ-I`Mmr z&L{{9^*Xk4PXa=U?CMgwLbseC>)X+19BXbzvWH|+cTmXa7a!A zqyAm9g80awS7vdEjve`W0e2cr4%hwQUcS>*o_|H^(u%HE&H)h0;^+gO<57Jj?+?NxjjQ^zinM6f3!jax-lsGBwxx`+2*fDJ zMwj(qlc$86xg!nyo4H!*|P%AN~ec^f^hp7QbMfY$7jp8*T(=D+3~WkXcT9 z^Xp-llue?4w%9KFHf_&?qT>&p?Qwk@*r@MZLu8w+I4_1oa<-u4>wOk!v)P0{g2}1W z`|3IM*-ib5QsM;eo;A=s4|TNcp!0LBglmtn&VtDGH1&oB+sB+44H&jq;V#lH0{DOB!e$>jeK#Mi&h6kw{<_2im z9HDr9F6wZLXY%y}y~uLq@irml;B-l;TWOb@dY_|DQz>}ThovIW(5e&%T1v>MEaNFt z)s(VV_gV@af_M_;!@eEmsCe5h>?z3^2@fh71?aEe#d#}k^YU~1%{lwEIl_;@ySI~< zT8rFKR(I|6MdJ9ZG3-5pF;3JLJ1Qt!J6!n~FMLHWo19boA*p~;#k(Rb@dFp0_72ZT z2WFpo*TqzVnRBWPfhQ-$%hH(qNx0v5+1q-Cs+KUoE>6p-@bHe?l2v?Y^;|!?Z4e_{ zyVJ7@%so2#iCs!qn_1FvuGu5!y)Oo&N_ut+JL~u*W|_{WSO_!n-FSu3te`w$&R2=+ zChPMPjKfB`ex8r&My`qMfBa=0Qml?+tM;RdB<#)wEx~4A*saN@P{L2nIcvnT+@k6F;U~`vFXYDh68iaWe;C(w zeJwUBxMAlm|75K@gZpKy?&?(+4)S;*eWkj{9+zvc)LLjJq3vB_ktj{6s2hnqvgXp=-LG;|^AbRd{5Iu7_{ME}5(o6?u?Nck` z<{W^^$t8f(Kjy#@9+W{>f$-%CFreDc033t1z)vK#yA%YIC%}ZvgiLm>WvZXVbdGxLC}xPk=Ym*CKSil(UxU~c=(CFS1=RK5b8ji(}@A~l{UL(wV_k?6T34FyYKU_hM9K;$&u z)&mC%_(PUqHpHi%lRtmz!G}IYZJc$GBPjr-u7L1Qg>`UJ7k~zl>u*Z}`t@ zg;gNc@LTG1{QdX10XfePk~)BMxeZ*Lm=;E(!?n zpSJly=qm#lBLFbwkw@;77rsURqocPvXG}G@Hu0kpOQ!o?0+FfS9-PE5Oh|A5YG)W?%vS%@(*2_HG|-2&-mPe9XMH5F8aL$xLEmK>kNX$zln z;=WqypL{=TMJZHe=Xm9<*y}1y#1p-U+CCz%0~D`QiFc12EOB%5MAAYdG@F$S*&8!I z)HkD^KyPmeD`?Y^XcBe7rR5&;FkVN$b&d9+G;g-!qvdPprk3;Z-Sd9=_l-sk4WDwf zzgtIf*;0zV>G6)0gFOu4f@RL`>t6Ys?2hhbno-r7sluYMqftFl$5Q>hVtrB0Oy~^_ zg7c}-7Yf!3yw}^=D6pD>2m2Wr@0E4gb4=ok`YNwJ`*nkzr=qR-?vpq#c~nY|ViOM{ zh302|Lt-b|PJ&L^uCM(oLkCLRcJE8E?vnoG;{MbiG(+%;k3jfSd*XpZFedI!OS6Wx zh2Q?|A=kC-C{3l70r&TsS5GDlp8DbPzE(wqXHegxXTF<+u4v30@3D248ZWYVa_!v@ zmw^3Q$>W>Ly7_7QP9zdkOj8b->Z!Z<#S8CUDtPaIyQJ_n-o<2I)5Ko|W}!7m9+xIf zS#|vj(|upZHpBqKd`&LO#0N)Rov*9aVHHbSGov`x=o!+cz0Z9&z! zcvULdBU+of>~h4MrSYzn3?p60&6Yc>9XSZz+O98Zeq!-?oHz-F6oc%1hRnhR7mn*qWg;1ps zRqONB@N%3-hKc6J+dg6Zv6=pUsQu1CvPFk?JuZ2Ok}zE0Eb`st^9W4};U4q!Ep3QI zOy>{uk=wnfP<`fgA7LtD8|)QVw+}6Mjq2&|J5RQi4%Ml>*x=ANX!X!j(wD-Se0@N% zW5OyXaFbhDt`5Oc;t{@0?>09@({Av+z_TOQxA(BrN$xPF-8z&IJ7=HXb403Wu$19# zePmg9k!wwClTU*ZKI`#gz=IpIjeYo|HP*`puP;B3#GI zcAtedaJji#eVR_rxL37)a|m}MPdF(cT71)Nzm2f{`}52*0026N)TVYwO;gfFYcxV zqus{mpVg*{iNN2ybhTFUup`5}sxrai(`M2~H9KRES`1#M%};+!pZFGKgeFCG;q6a1Qs|B9e3a+J{P8 zR6@3EArX@v{>p?z9M#OQM8ti@-00JaX=<|E?F?zRVoW<4dFt4msKt?k(WA@a-kf?V z4Z56dFMH=-l!VsF7qICt@BesQ_?c{j>)yxUkM7h0=_iN1J~;kKQ5DV{oZlWG11QKV zedbS_;1NR@2f*1tJ4^W61|SvvU&;=Oo|YX%wpyNZB%&o16#XB*R?*X1dyptL{#glI zQBWi2^xQeK4Z_yn>ad&Wisj4JF0m6Ow?Z z|05tQ3^6$1l0s`SFnmg6{oMZ{As`4I_^cmPU2ypM|5t`hQ25j``3v#>eI~B}w=m?% zjZX?)N5Ll|`M=mCkjPCKjN*UW6e#Mz4S5<75JQ0|_$0*tX!tL;7rZpt|9B-(uN}M+ z!y$m@zrP0PQpn8*J=4J=ftJzmiT~Z%A8emPkU>O*M?#DWuyn8nMg~=$&5&Fa;Rf}e z9Khb#jzB;vQV0#aF(@HV2qR$?J`I}r|MhtU;7A3?e_@CT9iQaiO$dnaas&7t1J8Fj z0{^kXCLzKQ;1%K)05zseL2>$5DEP#XB{m5$v~`UH9U8ogO9JhKIii2Q62FKLR8EOS z3Jqi6ll%`R@=uVBgcK4)#V0w{hR!F-&kctx$ALN@)bmI1IuoEFuXMz~<0^pYzj{Dn zC}<7?e1;}0d=k=scEBen#0>(9PItY1t`Zq~@<0xc3{~`Zoko#AFAM$-Z2fQjsXs3f z_&~fjpNKFNfjN^cIHBiRevJb^ib-h}=9Ry*7n8n4=goQm&NA$YqpM zL(Vq9g)65=%}_JHVr_hK1e5D3pNGf4=JVrWk$ISH|A|3@Dc&y0I3_hhc7TZR+oyv$ ztd{anNg0&=hqH=a_}UB_Retg!&XJ}3i}$_xyyeFe8E$&ghez3cS0upiZjv2JGC(9= z=p|eFU~xN8z(+y`LqC8&$7bunujt*m?~_r};u(HEs#hjoEqU=?Nqb#TMDd&MhOlQJzUyRztW_7wkU3#3Hq_Ct7fT>{B9o% z!ft4$kmu1&EiZ&+nI>DhwO1VVRldbWZ2R$C(BqqsFRqmk73^i?l*NlT>7rn{u&xy^ zN{&(0^RB8Eb1^A*Av(NrsIX$+nYOO?J4%vp26rlgIEV^e*6*w)%o_Gu>4o1AuC;199?l1-vZG%r zdQ3Wc!ldb&wkVY(cd#Xuynku-hnyA}&!u7#vg<->o=me@X1d>3;!MDKQ#|i={s$_7 z!0FAhdyhFk$8z3PCp*D2yb&e0Z}ZR|F(S8TqiJu0eY*n9-sagnvr5xytDPm=!7ahD zDMG3#DDrVq+p6-H1_ssdf|_GcWR~{jPf+BOB>8W-go`=bM?>{r&3~l7;8|fDvlR=f z)Ek3@SEJZ=6c-Y*_Q zx00>Q>nlw-e)YYx4=+YMze)HgDkB}DugkG|(uVbt2?r_cX>-Rqu|D^v*#XleoKv(?x8;>(yz)}E!(x9&4LMYKoK@XLLfwdg) z0`$zm{k1@_7aJ}mf=WX_hk1ZzzlY+Ai-}0WQMTCtz?kv=X9gGo;IE+#DC2AaK%ioA2x$>`fd1ELrh#Chj0#tkGA*jLYe;L;x zlLNgtNz}d?5iJc|>Zh>u-zQUn3LW4cDb5L~(1ZTz@dlqWdKw&Y^eqD_LyfZVBqPK7 z$yI(If=P)$QHCT$RQ%w>{ikY5{;Wp*&j$d5i-DK&=iB4N0I0}fD5(Idm;rnWKfiD_ z8w9k#V-LQqFL1T_|IQzNbAw+BlUFG60#@-4Qx(}8i4yPW$D)VgXv3G(yra9RwM{099NDk;;J zKFEoKt772Q^ckmA6L0dK$pvIQwpY_fg_M2IYF=Z3k6-0dcyUsmZz~!qoXC7{m~9Bl zy-FEBA7@Nv5P7ngF7Qs=j^jDz`swb3A_g~xK+X7ei8n~uc8BCW6Ru6<3GA|{V$EoUe2MUkm-#2|=xj3Z%ODisq2mR1-!deo07 zsxi~mFdgpM`(JZk{a#2ifUIaakAxAMt4qa_(*!+Y`|hNN9YfvA{Si-ZT*bQhjm+yF zvF(u;bow|q?kWZ8^D7&b>*+0OtgN1h5t`Rrl)}S#rrYJh+D9cI<2fR6m&MW-g4c?@ z0J%47W2vxOUm%eUg$?&KUhaSY>Y>o8LRoSMixZx$YUoKtPY|vseJQ7-W;y3x*i*hl`N}Kv>Tk;;CTB&xJd@X!~%_`bbnlfzXe70 zqbp`x?RUgGqDM(xcw=4FElbm>_5w4wR6wc*(;RBLGs8C_?jG`lk4TC2i&c8%ZjQLr^81$<>y$N9isCHkTNO zCb)w*4T2@Jwj7tkqpvAL0 z_n&of>?k+A!IT1x3ZT3W%_f}jmmn`(+*{?p;-!@E>g)8GQ$THkugJ_kr9{@<$x&)#hJI1b-|>3Rvh6%0r1W-U=uMmcG)BPm#y6p`y5J9- z<;S7B8U>YjQN#%gA2g0RZCR^1XC4Q`S~HW*SSSwVBQ|RYsjsV*KIaKJOX$EIRDIdC zYZ0h8XmwqGvuQRxzPF7jbhGLr&JkAvyTHkH`j1VK+wrQ=_G0fV{Wodt6z4fv?pfUvTw{<359N!HsuM)RNyR2yAL9vLkCbyokJ-!6(5zTNU?Kp;SLI zp3UdPCljukv-KINhN=vK}5h4UhT>_5Ad=PA_R=E9=NC(Q0HX0_-4{5Dlf z4s0%@Blvu8txQ)zn!}Bm=C=6fy&p9%9R0nST-(0r9C_jz@AotkQQ5ajP!2DUdDd9B z-x7OdY6Pdlzi_&c@Pq9>@rRjT3Rr2>m_8{dt@JPb%AWx$#IFDqpor-5Dyjf9e@bRR z5DM`-O-K4yl}+@X-<4OSf0n332de%`0FwSoC<9GU7fhZW=r^8C5-Ku_iHShbR6K(S^?vn9#L3kEj*UO zKOqaMlN#J0ObB8`1sqmlpw9>l4xRxh--eJxbNPOJ=ATniN&l1-{XvXSHd$o+sCNv2 z93xN#v{b+ChzpE>y#C(dy-z>~YS{py{Cmeo1Q8u-=6`l%;NjAtmiNf0u@DH<@fAF7 zU}yZ)Ed6n%-vX!qSS8@e0_}4lpo@A;5Ni6rAO6iKLAMSt#HR`PxVpg*+W$5LE`Gm; zm+jF=b- z^CAfqpY%^L+5hen`aL=g5MD0uUHR9|{W&$dw5%*S4Judw0-^iY&487P{~o~umjYj) z|NU$*P%%N79*}%f!T-f7c3q-ePL06YI#)3kB%5y+>7toWMjYI%x;RQH**mTXZu`=eA6Dl~3^xN`G z@;z#iD>}GXToFn-f)AkF0$lG+_`fa52G16%vE4Pw{}R=DWk|l^ko5S?@`t-P6BSWW zuHjLK!_#E_Bz?6nlq1zTE!Zuh2{yW1rUJi&X+%vF9tLj=Dnd@UL8NOX=dA4Y9;z!gILAsqnu^w@SBYN(=A=xh z=i6GaGvaA|Y#%tf-=*TD+t`ZNvfbRW^qdS{qD5r{3aQ+QR{^Rz(W>m(I@>``;I z#kn0D(p4sZi#v|csf1(TyB$@;dzq`rHRG0~$R(i)$ss+a{%`v(S9x#AP`K5-+n;XB z8c!!GXRyv}Y0q=c<{(tGPmaLafxS3&=)rF5txReuor2^L@K0aIgc~N@oiXil1RtgF z!~-mkA^L};`Rj4zQiYYeW&MMlAPqSoSgd_E2t4CRmF*l|VNWc^$~JAFc- zg}fWiOKF=W$Bq4{z{HNX%fwe`N}fHMWAL7mk|{Bt-&+4oOMd&%9ydF(m${bNKAZ-f z@VmN?kDXsWlB!fs8@hh$P8J1qYMRR}D@N(%c%_4hw<8-$+SJ51E|V$6M-#2X)P;N; ziEn>pSabOnz1CU6Z+&&EAt@|BU!KA*uMLP)qG5 zk|a_y+HQ3ed$1&qb844DiKWvqq2~gVs=I@GenDQD4E)xID{so&?us0K>t&NyEv8V3 z9UR7_;8GsQ#i3Z!R11o3iCrRcP=6b7KFUUw*wZ;YJxe%c7UaoZoRJXDcKwV?Qzi1i zUw_8$Aw}=yPwXjFWls#H<+D0lqRJUqG?6=cKbBuil9JL5+%PoRE6JR34!vjUf74wS zPI?-%OJ;~LeNuLFP*^^)`U`;|?ni{q;Z7Ule3F*oTwncD-q}DhScpPH8be>eBlyww zrEi^8BN&h7i@3-{6MQ5sP6%O+y3i?>w~0FEoq}c#9*jh$rzd5-E6m+3<~PN0nTKdp^cy-?*{l$Dxo@Id;nw zUt0pas}VhKRGvgqix=Kf<(GY?iZA2Vkm^SKim}l2X7&IzPxd)Wix|HF&S?ei72A(< zBK{nVaRshM&B$Fz_{ChN@X0p8HsY_B=W?_x26wC_uv&|lz8j_M!tP_b%zVvwlk9-3 z;*m*znlhKS=NB*$QZAt5-7$w<74Ah`737iW*4EP8nQ~>sX71qK3TN+1Irj3Pve+HI zi`n_@3%BHMmX9A)%$9r*%Q2@K?LNV~d*&Se`CxzvnO4vDsd4bb{j#^xDOtN_yhg~0 zx7IQ~{Y5fu#{2bKLr!7V%~v^uJumToMZ$PoEW8@(D@I-ze<==Pi}o?ANRxMs&GI(9 z%CsPQqb)G857OQ@_b}}2iB8o*EL%4v^+4HvtzEj3&Jm&|Fes~qD9_bIfwXeI&{Bg^ zWbi&SLOrDUWOuDZelb*HfL+es<>~F4UWZ{Qr~#2`%=IHWUS!CX^s8SL3{2k#sfnF` zp8oD>PiL;(Ori@vURtKx3VE>36F#N&vhnH_c^sPwKcc7yAsAOKym!Jo!xWh@VMoE9 z0BQq%#{+<`a5)G9GSdGg-Z6!2R!9JkozDx6uUbr(jze@V(y~e@U!< z3BuXIG{4v_*!blKlYsul9^63&snyr*Cno^3TPnLXe0p(M1Wo> zf00Wmz(qkAk&%cArEm`jrhd{(poG3e1!xmkrX+~)0ks$m_}gHT8Da#SsFG_e+^8}- z;CYI82+_IMX-h!;lS%@@CrWCaLTM&d8VS{%E6g4)a`Wa0H@z7r{0kAND$xy(H z$BIe~CKE@QNkM4PiZ(v3pH-~>Mh3z8e^N)#q7daxOh}6wk^+(Ne;fmW?%&S)fh4k@ z?C)Rai%UXL;RztptAc>g{NsFaUntw}@BZf0^KVgY2|Wzbt(gL+|GutgB}@>-xG?bTv`JA^vc zv{?j3vMrSIF?p#bs+h6y+LG);4(`|Kj)r7UDcGL+E^Q35yZTq|?3c1xW$%~SWK8mCd8FDDGc@CQ?R!IGkJ+&A zWR7*ESh^85ajo`thBCelm(AX$fbpcpunWJ_kx{bbEa`}mVHcLdz7WkRR3&n|a6`p<9!k)fu8Si`TH# z)1sG?D=_H|M?;L1%?NyEGvCje-`TRe#e!$CXc((IpgX=zWYXG};R-Lkc|CEIuI#|u zRL%6RvvswAS%aHtZ`*bMM@tJ?U2bGZ(khD%R<_1=J*FB9jI6#ojLibdtr(0>q*V;g z-8I6l8J_qRsQ!8?MTv*iQeMAShRNQEAZ>sgh04_VrGCoTbGbKLkssp14IYuLOp)N~ zlVmJwdEnk1dPt8cCHWSnnPyhewbwLqhhwnM62-pgP{zTNj?Jl!E5%45{P43ydZ|`Z z?b$%>x7_q2z36?n&hE+!B5M`yXUOD*q$u$?;lmSwB>iRO32zv!tx2D3v?E|Cvz(0j znPw}2MjA`wEt~@GjGL-^);y3sMrSkcy=mQBEjHLOIG4gdAyBMNhDQULo3%G~zxNp3 zvX*;VW}5NBajUIkC_=sORtlz7x4t2vcWX3O-dumSZt)e+a2(^6qzYxpE97(QC|}an zAuL1NW8&aedJA*@+l!yC`a4Q!s5jo}x^l9*cL7I3g|**3l7g!-=-z@l6nB)jX69;) z#jf*{!Dzw8e2mWRw$BX6dK}MR`-3V)It$hM}=-pAu-I>IPsBW zSTi|h$@DVHbUc{NL-Ti*J=qqn-d6U%Wnw$wxmPw6*tXUawU!mMFJyMMPy+;Bay}3U z?V_Qjzj}KG-uUT#Ie4xociqgbuK{VxyFnt0Hnfi9q+=C5AA_=2Y(HI5z9t@Y?eWHV zV#`y^2-6eH7}}Ssg>rrxjz|p0R-X1e@uD*a$@MG}JNr@!RI!tNHJP(LUJ2#J0}Kf~ zLVEAT$V3LeqEoE;yu?`W+#|qqzYb8B^w3nZmaw9vpP$5J= zs}mPC!avbp_ z_kp*eZkXCc%~L2tLDweV@eM)dd`v?FvtVt>hvy^AI?EV?<-6|Kdu(CkJl;;^ z?;NACVhE9Bqeu1!JdQodLz4pm$m$Bn0wn{6fO|mk3FX1b!2SN~?U$SaC9e%tyuICg zN|WV%1&x4tz;NC2Xy?T7vft;D43H7EXY=t4I7#_EL zJM=o6wn_Xkx##pQXnUD2D>~E|+5BW{C@)2b4T!Y;@*d&<5(OH-#DahvL>aWb@utC( zW(EL@0DTM4kckd)|0Elru)Y|e2r$$EjQF=-FZ((fsNJs!k;9e(K|@g`zyXpY@aRxZ zN)RrTm==T{>=#4tXTy~c11>_84-qbiC@VoYaV3C@P#op61e`Y4jX?-d5fr+^6_-W; zIx}_=xP=UrA)Gkk2&f3^zz6)hY7Ewg9)m3=hCq8NXiyc}pm?9O5`+d<3tDDEla+w++BYuGAOpE|(89q%-7=cc(}Rn6+!@H{LQ!k?;~yH*sGI-z_QuR{ju+}o9`g6 z_{l@sJwX6Re60!c;%EA!da@aUzTPW@fgFW(B@$fYI*o5L@oUjmbw97kxu&+hN8K8QcG zyEpjwsMYjJWBv0&m;ZXFJ6Rr{Q}Tn9 zU|lK9cX)~Hj>5DNtl=EhS5Cv5NeI=f^1=!Qk%d}|f21ukLS?P&K)HW7fx`R7 zfCcVNk?Z_c%Qh!D_dRM7aS6l?2h74T?hFs;J!Up&p}q78)_0atsKf0}MHtD;i|g}& zYr&d?#9}7yD)%KJ2vGcs|-!(?4zt2WsZC*Em;urA?+l#ku87I@qj$ zDyPE6ONHhKsL*1MyzqlB#SEra6>#4!T1za5x}C6#yee{8k&}dvBxpu6?%avAxtE`eErVqUxZJ_%Kx}{wzPL8enoIKuRLYM0< zV-T~av+1+Av4Cz2Rws!@uMc;cQxaWvyEmWph^O}zeb0LAiM%U8ZLwZlKhILyGBgfn zGF~~qG?m=N`q@6+%;&%=JAh!46;X3N)7LzDiI1l3Gmh2!rR$9k&$3>OeX5HvQL^Zq z%Z7W7bn2BLl-}>OzRspje#o1=3l1y;6%EL_2gZ zYo`OoK{r&Jx0LECIC z{&U@a(k(E_35JsX2`2cl=H4f!1^H*MMxVc`pG^K7mP40U)a^vv?&B;`cV1OG#DDqt ztRag_IdSM|0Ge;vUKBVmyC^Uu;Xp9kSx2UYz|^DHL_6f&@UfiiIq;`N`efFEu69gH zkLlGVhhlwE>vlD4%?)EjnLhL6HH$)i)9&_D9KEYtw3ubHB3Hwl%iD(W{B?$@B~r+( z&}YfbrS^uNfUlS5O&#WvKJp=T#x1hX%H~7&0`5FbKn5C#LKp)q^L*T*eIFHhO*LzS zb_gwV{^k*mC{Nb>a7p1ZJbB_+l+TGmMaIOV$wht(&Z5=tX@=*DN~|{$OJ-J@FKgq( z9-XMA@;-SZ7ArBhoL0YL@Wl<*>7j=U1JIG3u8` zFvFUYAjsBMt867%h`pfv_eHLh??Y2t_P!6=cP?3QgiKlpj>sSp)b;Y@oq6}Y%)3Yt@8&BJqp-utJWH1gUtDA6x~ zeV_>;yXW~7@25kA`d0&>zen(Z@uzqZlkYEo2rw_jGGYM;(rW^g1NGpfX+aDH3IIw{ z3ML{6LV4mq;w&XDiTd$~hy!H+1Eo@aLT|JdFgPhv33*UAts&G{Al?-ofya$H0lTSw z+i`yh(1EE8Y{D#{^3p6OBSS|Nz(xNY14oFV*x7+i>81Uz^U-bxU_1lK6ffduFNZ&j z+kZ?X4h3d9T1-uiVl{%0p#|#yx|kROEv~i=0$!t*lD~}Hf6M~|>K;rt5Cpkw@>^5% zuW4YQA`}n}U!kBz1*-tZ0|^ze;{T8Mk7_pp7eRLx04g_h(|A<73WOE8JnQB(i89Hs zYRn6ZEet8(oqy^O9Pg+ux1oRbYKh~0;>wUjYQJep+Qsgd+l$k=c6Tk?oq7#*)44Qm z5NW3!wBI`L7kT-n{`lhl``TLvuL-b}a*Q65C{pzyB$BCmNF@f-R-)g2@v2$k!LGi1 zE|AUB`EBV(9$aTHcE3rQ0r~#*wQniY3RSZZ+0=1bAN;q5101~_dP-j|&bs8TyEY|s zpUU)FD~(}){PyIHNw&)Qf;=Zx`#IS?Lg!bA%81G&)Axg;Xu>6NDFEvK8WdL|(vzOfqxjP@p93i)+5bn8LceOz4R$EVj~5*y(#S zapUPr9jn!Y2^V575pI7BD;?*j(%~$IHnP(BERJ}xYpUO5yCS}D3Gx`{Dzt}KvfL`V zAI|B?EI=UQ@QCAz@VMXIj9X=iA5YeK<@fZBed-jgY01KPk={3nW?!*JE&CuwRg9b+ z=pUM95KORL8_rmZZa725BBc~lWG6McDWZ-f+@}ZJT8CehsM?!+=Drd(JYKWy2Rmxm zyof!*_&Q0r=LLJgW5*d*PMyW#P}r4vrDtX`?AItAaqpKFi@l~XDX4Y8xU&-ez9>XS z4-X6DmFQ)pbk0|=!IZ6@Sof?l^P&)H)~~(PI(S*C2P3wH7U%uO$`_G-S`^Ehl$v=N zO!-&~wjA5MFVq4^45o7BY|=RzXIQTrnxCr&AodcVCVX{){z(X&(^T^qVY7ktSMk%= zXdryfPP~T748)j?(=_eWW*)3<*&Ot3N4Az*Z=fgz>ib%P_#v(~-ClMB_XCf`$ zYzgnSEVrFY$x1S|*GrIQD^+&d{#fyLx#J_oZa$Ycojh}ep6uQ=Yp_>zO*ERnti6NL zFq!KaI)&-#Q*`s`mFXLu;T+@q6*#@uOU5JpEh>fM>c3e&C9=;G)sfN`eHM(x!s}(e{uwEM49z2MT`3J&4Z>CZ=O1*`{h~D2ox9{ynJbBh=Q}M=5zZ zpXM0f_S^V~3}Z^3=|<+17`!w<)Ol9yx^OSYvD8J%Sq;DLIKH94Cd|`TG)~yQv9*d= zW~xjg?U|ZuRG6aOZLM3N5T&nXbh7W=raX|X@*P+UJ&tufyM?>hy0CoJHIQ{bj@70e zZz<`9#7T9>{*$IvycAbEq^LEEfx()?<472J%dL;T7gmEhx-pTH^ud>^yc9HmY!-$G!GOsgF0O^h90n4NWzU#y{q^2l?mr+XJbF4pNG@m|H z9LUXHD>E&8k=tfE&Plezdz#_>@Oi_{IhHY9?iVknBNrO@AZ08p~HIb12XLVU5cndXxQwJDc>E8!bjB3O-47a z&+=&ZT{34JNgKY!R!pW6b{@d8zULv6w_2Q6)qf%;s1xvmiB?nd((C5z2gfL6SjcP2 z+Ec$L0(9NGZ@gAkQMwOWwG_)%3i{S}KYkyxlh2}&hvW>hYPGb?CUq6~MQkrTdfZ?$ zYy18j->rk6`4@U-=>WqHWE=m2Pnusj(|^Jz&|hmp_^{wm6znR54JC%Q4!d;mcxZu3 z9qso21D(V{@LLJAnRx_8fdtt2GF--4I!mR3t|5~NDPiz@gk)KQ2GxYz`usV zz;eV;w(QhYeBc;5vht5x`(>s6ULH&m4qW%6hT!V4h5)G0#pnM(!@t|V|L@$r|IHdk zS4Ug%#G^)O-2-~t$Cp9$9zh;b2tG_why)eZE)xPw5_J}bTVLVpB66!TEW!oPQ)x#`oN={7go|IKyY|E6da#lPQ z)6Y1NeSSqFlwZH zQQXjl>PoR;Zj}znGpn*NL$lng)lz$HqBIE-+JrLHNKzVQH)7}W_cV5ANkyS#8U7tB zFM5K5CuNaYizG6XQ4xy^#@;weJx@nvr=<)j7!Fb?39Ducx}(VY8p~xSi!Y1pelM%n zTBTdMUu@zzGL}&c9q2|;C~z@O3d&MuX%);}Pop<^V|~;@l$h~mIIt&~_C*#&4GtM3sO+-h_@D`t9>RVbNza z3T9@P*&dIJC~1fG8=rmSN*eNjW#R&eR%EBQGjwc@!x2;tMlp1*JNBzQ}wHC8z zs8VNv_oNVMKrwaf@vZsbt#5u8;sdsLR#Q#0U5Ty}_RHxh@p&zvyikjc+W`-3K5x+P zJ-OI)O6*0>m+oQvV|AovNpg!|kQ~23ETx(k3ENLPGOruD(dH^4sB?C~k_Ittfgi+q zAoPLG{L6M}=?CTkmHoZvAH!SNBF-_>7Oao|3f;rT@GsCwK>yvQ2kiR~&aPxZ*sws_ z=TAqmPY(eQe*)1b9Kau39AvQqO{q9gN5VltDj?(hAOi)A>i`Uq&LIvHmRq3di8c^9J=sVaZ(&CC&0Hjjtom)#+!*1->R zL!90EB|oSsz75)l3%`>~!}yb)rkd57;! z4~K@(?Bb_@{Rtud1g^nAA{`@kZbFh03QjJkt%^+F%f4GO*E*`#FAeQUrC5wH+j>yv zhfO^VuTCh_9Wa#OeTusp_GvZfPIEzYYGN$pc@YIyMDTDbH3YXibX>R;bil6tz@h@W0D z)Adj9D;Tw>Es$aw;P_nn(nhBExi9lA4z{BX$O)9(OtZdr5K~AM%g~N@_YgaiBDyV! zs3FF4M(VNrNRk%=WPl?Ay3o|9v!ZG#W$`5Qx|}c4@2k+Krq|;<-x+>9$E(jQXn0=R zd8kuzGAPO(@4S>-AFkmyW>7$0{B-K&HG1)-I~Xx!v;ln~iSKueBft-BmYPKx3?HwslyySwU5z4rapA&h%N? z^tAbtM3H4)+2G-lywS3tdzLrZajS^v65Ag*M;ItN4lqB|pTFF6-!%}Eso}CyL6M^Z z&a+ra-44(Bj8Tygq0>W!>vK~Uvew(ZHm^i2*k~e1Y$ftT+n?7?K@~6FIo){=|Dstb`zld&^fr{m zV&T(Cy6Fj(&|~=MCU&M3QKc!a$|svJMlzAY-Xo`UJx+C%gf;iVxL7V?ihDXqBmvbx zqdYG5dJog`sef~0W5m3n$-26)N);rQ`W|KnA#!8l_HVHUU?NQeusggzPNM3uy2B8J+SwvMBa5EoT+FzK8-z!hPv3Tx3AG}$i2dJM;%Vxqa{>ZZS6qlixb`|+` zaZN&2Xkzb2f8dTi9vNS|Vt4rgk1Xpt|2tZZQkGLAl6SB}N^?PKqvwY1%WqyIFgh+i zqX?&Kw`3rJIS~fkb3ML>qr#K+j@#2L4s*r5w>SH~Pk4}4B1Xr@q1QH<6h3OIb)sAF zbuKPhd0lmesQlNOag>^rPmm3toQ#o3S(P_@IHx8zDdgD1@GKMR-xG=*K@OVTjH#+D)~EH(fw~OWM3AJv~|@pPuy=<_h|LW)@m@| zAu88H#T!IHACHvBrAyanJ5Xkn?zO%`n6X%ky|&RmSQv=#QD3$0TM(u^+^$In;w(?Fvt3KUwdVDw>;LaV7qFwp^*v1(p8W-n1UW*0Zv-fKa5dQ-4(IIX3 zK<=zP?Z$J=8Ue1MUlzWt1R?cL-#G2hLR&_E8uuWhJ0b?c`ycE6n-7Ep|Cb>RqP&3w zJg6$cU&4P0DDb4`T?O_$gExfq7nJ~e=FodKgns3-{v1PN4FQ$9|K%9~V-3(pKqksR z2nslo2#%n#y??43U!b=aoj@0o!D1psjlX05pJw#m82}&_|66JNuZXe)YDJWk8eO;^ z_>)0Mc^0jqLU(sF#Hu*h87N|sDmQNNcE=@1#laIEleCRD(GrL_{L;~2aKX_{YV_IV zbf;PKCnylO=8RRz4y3pMd^j*&BpTClGQ z-&#J$Q_viZg@x2QnNIAa`tI(Kl`CgadL0KTsVR!AS{QMw&x#%Q6V$VdVB4*sEH9K_ z`El*}nhVx*B#!go=4M&8MARrZkXaqVpWfcdLd&e~8gaP3lVpN2txk4DR;FYOsUguZ z=h_l_yTLLaHdH+EGa9%LjpDd#TM$k{|06f)t9D}g34Ukk)CZHuTVpL{0b=}j>(y%P zsVKb*WBaFKaLjy*^rCRYsMPZC2%X}@DYdRooH+~}Qaj(e4qW)7=HGHn^_&j@AF+|U{b`!f-x2A~kwmYn?2KJJz zdn>p+!v!}r!NDlyWJLXeQ_A%?Ana(*TVLCFlX{gkr4l~UWD{(Y?BQYyTS30N>|!f_ zdHr+hmj$;m!$7F$9VIpGYoD`m^e#?K#eEaUdK`I*Ij6#Iyh}HuTE{oP{B;S`x*BOX ze#@UnJ+5Qop-vthXrS^L&`O|r=xv1Y0;7E)yf(v9uUZLB2tr|*Is%~F>;7DUNw zi5@CM3u`n!f><+RgkE;ov%$%UvNN~k+Pm=bMMPo`WB+)c-QIEcTv#-6GbX)TLlXa( z{~<9~qe8rh^lfYWY^g0{L{V+w2PM8K?2kW5Za-XM>IGe^!nDKc$<+SnA6P`vr8r)r};IVH$VN#vrpJn$8znn)8LGgMv*G^P6;jFflX<`OSsmv?q zk@7opK#xN5n#~u5gBR@CS|8o^dyhEq@%ua>NmA@)R#kO}+d87W$fE?jd4g&yh31j> zSW3YRnwU(zOB9ENH@Ss2ULkNXTux=2_-$PAoF$leZ41<&uXlXw4zwN_vbD~(V!P*- z#BKhCBsZkI=`H-EibsS!9>il(9H`ftzM#MmQn9`>Jl7y zh3i1HVuq|bT;bI!>=Q()600h9Sn0u=4^@;yQxsq6s+D$86iif9SO7;TmMMNI;V12q}efb_Qc~{s$qYP=)9{Uv5GuvB2aD=zquo?4m;Gu>OR*zXRN# z(D#1@cUY1V_k3=n%b-w1fPAkfmREhVK^Lx(n&q+Fa?{WYTXi1(vRitxv%NW6m*!rP zGx1(>fB9+5#?T!vC^VQjYzz2-b34s#jfH02IMf#x@MR2 zj>|ilNLjRk%G<21p_xv0{ozGtWM0R$+>TRYu~_or+xf#c)(9@8O->@yOfJMf0fjB4 z8ny=#Pa=urWK*J~yBhM=Q`kn3LW-se=a(a`?zVBYsz#>Sy+yR2MC3^PID6F<=lcFf zcFJ6YD4kE?%HdQi9^z)OTBH9J-Be1?C1$84M|lFnr|@(uNE~@`E!0=5*OX#32lZ9@ z8=l+U4VPkbekSWyl{>GIrPDww8^$ar|Fy39$&xSPgx9Mh`IJjJb~!qcqNJxwLK8OS z`TUEI5tq7%nruAvAh|QndL*2}ZsKtH_O=H^xaf!fsL`?2m=Q)TwYqXB4)=XZ0OvyA0}cbpuM>4#(*9~Koa@26xW z=+ah?vl9ge&=VEE!oJ+#Dg-4{PIVO`zf2->sbolB5@NC1zhV91ra1Jq4?gzIk!xKI zLuZUXjvd1I!kyN;vO0x0eIZ9AS~78Ma+q?8pYqA)I8$U*_V`doFFrRT`1;l$lU{{U~UAC_eM_Gs^e2a~&NIQ*dfneE0GE z%e^M{_SjxQh40C@xuVgPH|F2J?Q7WJecs9SA(Ick#`1pkGyB0ED3+#M9DLLusot5a z1vx>>I2^uH(oMKs6kR`8ZP08S`SzI`RiXU^OH6HRapei9J3E z>MZ728)GT+b$oZ=RAmn9xIHyPI>8P46Kk1os0g7z@{(80AmPH6Da6FYsRyMU&G}_4x$3PPNbl%d*Mw zcF14A4}v~032N0LcY0@PskZm@<;^}q;Z;P*}}sjBI^RCl_zs} z2lt~Dh3i;+%wF{#fljJ~af!GayZ7T1q$^@n-Rp6tN|PS;Qu=k=y);pTq58DfHd4j7 zD@*8Vv@h8J*&L`q5itC2M`8ZNcCKfRPieR64d&HoeGB9(Lpk0GoN<>tti&nLyEY5` zZw)ea*E=moU3--UdB3U=R#IU1^(ft^;6G}jbIClm`1H1Ck`HJ1(i;jBVbfRI^!MRi z4^B%1MTfgb1)!=zcS0Tw$51sdkMd=yMM|s{J-`X3qo!lBTM~&YkF2?&PcB$AQ0rkB zX__rJ0VS$L-kYq8E;Y|7PFDQ370V|coXFpt+GjMrR!@Jo9IhyEM&P2hm0OxfN774g z-d^qzyJa7#EI~?B65IWiJ@2M1^N-d7E+JB4-?H3 zp7S~md%E;+qjB9!uD9yRwUNW|iewn#cK#FAszm;nJ_*Ap<=Rx-BZ2NrmrZkR;Yq z@O&PtaeINGqz86t6 z19f!*_t7k|ID+TWJIR+{7wg!nt#8yqSmwO=4o!{NS^EJ1@UW;JO`?3{+l6yqMK9Xyx9L?2$ya_NYN#$fPxy`(2AEv;-AF< zz!A{h${WH%{m(q}pGUZS(A42yK6!A?H6K6}`htPU3r9Twqfpy@f6)kVbP|0O3it_% zS(xI_xbmM&;O`va|HKN=_scG-@pqNA!F^>yP`0$3SO|IBWZpAdi9B>e@Q9+gHg{Gw z#dSeV>;CH}<CVn!}Pnw_+FpVbv{a_AG>5sN)a#R zuqEoGul$k=`E^17LjGgq=>MVYz2mWZ|3C2T?Y`~3Wo6#n_8w(smX*CCghC28${yKA zk{OvHWK$F}G7_>gvz1YZe%DRCKkq)@@AvWh{r+;Ub6)4=I_Ey;T+i3*bzRSA(v{bI zf%2UhvJr9W8Xw6*mDA#Q?Zf!u;s{68Nbgd3ZpvG_XKn7m zA9iqGF%g%oUpOF(Ma9tSZD~BI2{~@eOnk_L#F0~6vuk-?=E`-%SEi6?Th%|(CxFCH zC!GCtg@SO{$eBDhI{{9*5y2FA?H(Hs{wU1?Py0qsbu3ZT?kQu>+z*r9km!sO zm@Zy@CN1Q1?1$nON-r(G+!+>UcAK{K?FidgJ(l@R4-K5rGGyunR))r7u#~4OUV-V0 zwZ-6mTPA<0GcL7LroN-Wr{|IQ%aoyB&c}D2Na;kc$3JtZl*-pJ{Rqi7OkT9Pj}TAZ zUR*05wWG@ZTGb#ZaW8SjSE8PUQL2AjxjLJ@Z*oM-AR{pVs@HlkPA2h4!Sa(g|C5Pd z_fwa-U+RkSJrVe%u<(WW$yt`^K?ImmiC&WWn_us5;4S`lmWlk7Iq)wm4fyLZxgp1B zurT5Db%VAQakrFD4PZiHj4uq1 z+6r`iEUr^*gnfoUt@Y>iX>fAZfY(Ip8B(H7%Xf5#e2BVKK3OjW z3ww?Hn9|cWh`w==yf`xPjrwIJ-XR8w%kMiwGA=V1$Lc!IFO-mB2XSe7CQhR#77}oW zF}Rp056Ra@N|)`_y`zf09Bo6)f7gq}4@EYUPt%hTA7UxC+%vex#sy;HYZi( zmD}6w+|sU&16iSN`bmhg+Id-N} zcezaEM0gp=$H?i>;Kqb!WpIB~sihFMqCo+?2cJ)sXWsbgQl@{b*pE1twCZWOSEwZd zcNYyPz4x1~B*TK5IN^*OLu>cpF}sk~VaDU3LW-Fza|`mA)JUnz?-Re!Kvk=x-2c{+-FOgQpoJjf*_d^;v9Gi&L+vWXeyn zXdX5n*y+3d5~bb8jYbs|F5*r$b#b8lER*;|LP;!`m?w)9LkRv_BHHpDw% z$4%j;ReiH`g9D+Gm^w>JN4gry>0~$iOVqhbgG$nbm`QVV%Bh)c0(R`KSWoLv7pZ8+ z-*}m)Y4rZgX`@7Hs~iw|`CT+xtSS+G5egG#RK_a*=B!CPWRSo`Z^FK~ltHsBIyz*Hxx7W=iV}pCfk{$Y#Ep?J8nuo?Ah9wIO+9%mhC#IgJ?#gKg zTDg0x38#HtJLFMIdt3Ep=IuNcKB@`#i-g}#8$T;O2e~cR$DO}WH&CXZ1HfvK7*bo$ zA24qp8lgeG{RpdRI_UdHq->7fim^M@H@ac!jpTwzoe22#u@o9K#vlskg7|a$#l7OL`1!9hYNduihafmer z#tdnb5z#{?F)$A3WD(GK2E@X2{z}^ZdxZLX?D~IumCus4l2D~DF$EUj8iH8k;Cv`L zi<|S}-{e}AA0psAxh*x4jPX;G(~INo`{a1W*5=qzs-4RA?=EOQE@(Y*lvFWIO%XSr zjFw~cl9v)?G$`5YbFz^u79G7?eQhnv$*Nyj!h<}>;(|B(1>({%7!%pst1U9-790L+ zFQkt8E2e+8T4j~VbN zw(tWHpdXs2Lp1Z7%6;KrHFH7PY$atQa?i(M!S5p;SkRUkg!K!o zx4(4wbyT6)h^qFgVPs?p+F^fb|2Z5r-)wp~n{G8Z@bNAND}K$5sFp-*35G)j1apVI z?77fkubyjsPL;$4uSwgBiee*fR6jgd{5bC1$F1)=H*h&VIBK8!_|%T;vd=pri+MZj zXWz(-NBlmn1+?jZkNG?~V4YR-d^yyu(>4rQi zZY)yF+J}8_qcr8gY6?Goe)~CnSHchnu=@0BN~_b9^4Kfq6p1~d=WBBNZebb5B-oF` zBCM^4)*HRTZ>JX;TU4o#K1jRuwdLmbSWF?IcGj0$My3iOy8ff84tEzA8Sf*ptH0f_ zdYO#9VsmUbH7hS`{Nj#%GHHoOKO1sL12yt=;j0J>y<6w~=Z{2j{8`D5JjpO0e)A=c zXO*2cqum+797gW8CcUuhO^tkU+`@NjUxUuIw@N0%sqd` zzfPpndXf8TVID3vc*B+%E9c4-yyMel+raRg4L!++iMI5g^6FlHwwu_!x1>;fgCe*b z^(;Jb%0DoD{8kt3jP9d>?-VlqF3NK1%6iJIxZiPpIXsawlGO^H*UiQ0s1P_X#9=#8 zwu@pu*L_#nulm{Dw~jB^ZxT{J6ZY>oYID>_MqD$(GH{1J)^lQCtfML5c3`J(!ig8B z$G~wZ>?2BYebgz|g*nDX93kxKoxIO|A?TxDfC<7jbn08&V5FG>(*qk zOI}GIzTw@XTt1iF7?A%?$NKz5?lgZ~)83dKveykSgs?{ERh4ZxvBZ39 z94m{Cr082yoJ;t$e=oDZhb)MF4PhqbiWhnlU*`x_>$RV@Y{txV-I`LF-geW+fIE+1eh8(i`YaxkLCa$I${AWX+MY}zH;CArbpvc1n}f^JUVNI^cnxV}XgP#d9K9-K$#m;IZ+aen36+!wJI`9fV zvK3*MC#?txU6o}n$w4dxRI5uA>H8O}ikZw_FPVI#X;|laF|sKj%(&+j z_$wFqF((G)$u5pu`(<0)zdVVgBjXUYxh+hQuNBd0rgPgiX`usEv0gEmdB-dB%N?7!4ufJE7G<@AdaOAy zTGvzY$+$tD^n0Q`Kix&H$$nlgzbn#XG)()*Hs1%OdJm9Dk;=y{d8U^Sc%&*skiw4? z?$v}mmioYYzn}Zwj8UkVuX8H%H?Gg6Eo4c1cal~(tuk0Fx%^$;ZBc{`vNV}TzQzu^ zZ2N{`(?__3Di~D*9po%xz7budQ$$H+7OIe~$6z;IZ^jE&pV9uj@%Jh-6h_qYKe&g?hUt>&Tp83F5Dqtf z=X>jYOTxO|Lqcfzkzu<+0RIDx7;8Y`gOCgrty(0G7G_Ybex-w#!tv zMWilsz%;UKPHv}jnEhPNG`x0q|DkTt?n(Kh*&~Hkez@Yh(C8mE?`lxptE`!pZe!|S z*a+(Uj(4J2?p$WPc(G1>j)Nwo=LC=2{1V`@J7Wm~bUJ6X5Q;OQ*1tkBD4~}MtfLkN zG8%x@QW7cro6Hh0FaF{E`ORtx_WU6L`b}>MjL)X(f$+@J2hjV;MZ&Zpt8kb*)R+in z!doih0Nr#$3<8KP0%i~4$AW#Eg#_}@Km;%uunN61A5I`coKDgt^#93X1Bn+Rgk(=E3o zzyK)@&BcJEN>LI>xrjrIu`pif%h|SREZD!60Fo^~uM;cq`~^$@rM(UMAR-Dv(X%WH zp!p-C!`1`$@KkS`0!v&9kfDR9618!4;_?JYq) zZGM>v4cV{MX_Ge=RTB(h=l1;g5!_(atb$R;NJQ36JxUeh7GzOS(O-m7z~(5UaEV4R zUlX%Rm-!LaM-i2ZaJnu|Ljh3?&8XuOeu47^aasgy`=4AX-{RgZ*y+9CT}McgT zjld*#mO!qOJp*H|Bx+L@!Dz7}Z%5|D@qr69I{k%jjD&gYRDRhQM-E`~luw8-WL;DH z;M_#i8-9N~aK5uyZ`wN{*>rIuWR6ga{>0A|?}NVBG!7@v)p9>20gZ0rV8=n^ zw*I?WkxrE>%|;W;v`s=&KP<-^ECp?*m2TV>5+vwm4ItK*HTn`*-F-1du`^Op#LLzqNzW zXDU(SbAhQyr>tUsz0g3nRv!*tTiaw%7(Hv8hc0EqPI>mhnUaud66^w0{2T_yLbpPa zU|duprxaxW?WZ{j#t$w0G5iS(p@tmT@A=Q*REcD8e1Z#p=Ex@*WM3Jdz)lr#`Toyb z)BpGqK!ewCE@L4@0JVlCxOiA3kk45(u`rxCEwT>uwv36Ys;5#-z>ebK?L&!*Zcfi+ z2ENi>dP*0eY8UdAHn_ ztgIyJ#P+KTuZv}zXiL78EGT`{NfZ|5x2)m>_uhvwh4H*)UZ#$E9=}1pj*=Gi;>VMD zqcTYOgdwSdMb&Y1?hS2sy+)+xv%m*p3Tj(duS)#-4s#1~9D6DEJiGDb$CAp%)aZLL zF0QLKFR5^!`0{!mbl1)E&HMJ#e(c~UcCYKE#kfHEJ(Zc~LRz|oCcemJDs^K&5gM+= zj%H<}3$*Q-J-bmh23+GVCf$4}feXA*$e!$s9TO>G8`Ewnk3?}tA^zxc0nBHv4{6m% zingrhK1EnFgkTL(FlgM_efKuovV>iaiVI0@+TomO0k`d&`^IhKaW8^=o$MiBW@@ZJ zR0Ev$+zjy+c~zoj+S82XM=knd>}kCsE`!^f>vZb!-7HGhKehQsQ#J&7QHDXc1A|}W zYrmM&>>9mrL!m6gK+6p47YuovVgG?)usE0g+o*H>C%Xo5F$vuBH?gR1{D@67N~ae2 zw%_pfreRJLf}))0wap#!aCqqFqcN86c-(>4ZqUN+&nq0W#)X_nuCu6iKAS($m{gD< zm5}0rA8KDcF0CE*8k_9$PIE+Qe8OJmyIHJg|85ZxRYI>Zv{i=3^O?n^UQ4j{*snysoHp@tndHyNhW-A7YVS^jJx03o!D`lOu|#KEsG z{r)O_b0L|k<3n0ltu5d4@f$e#xMGdHp4Rw=0gm#`tQptMNKwS=9+7|L)?!J^$NBVdqKpQI)hHgh3-pN(QLnrnTXKCd{j{xexsH0cRfIu`-u7Fa$}T-Tx#)k{ezJu2m@L-nPP zZvI|A**4mp;_0&#R0U5&<9*sYdC72M9O)DC!P~JgdPki(WR(83t-`pJ{u-z7QkYm$|X_*sP!2v z3}P+^m^w}fXbZ;|!X84V=%!#PXnL3e=F3|}zy#wvt^uS~4EzBhW6<49asr6E1QrHp zm2w=h^`T!Sz&D8u0Ntd%6l%~|DJ(3>hE59#ng+MCvJ7?~3NwR~VWNNC`xF3Yi~P5S z5PIO#&jB-poAu!2XuH#frWu?ZO9ZX72PZub6`R8Whd5|$91@?0R{pd+F+hvw+V5k9 zF99Bd|Ms|ngvE7Arew`fr^6yu0rd^xT*tXPm!^uR#OO4F%5 zKko3+uBr6*{How04rQaq1|$cyT@@8BkEl@yq#?30_9wnYk7)Vwq2{GLlg%9yHj}`@ zKw9IL!>mj_-(Q&|rGo4xHI+KWWik!G?k1>@R&Jjfwf3uDL4ov!@d6_=sMe z6tR)bBm4RTW0rvJMS~jVPm!#n4}-*4I0~^;aFIAmu?2%=YF`@c#%6WR1mD(0xnbF$ zOq4#4w&BhRe|?*6US9eo*{_QzwCgqBg6CIS{@1bDV{Z!`PmW8@v9gZnc)U8dQRp$- za+N)e??E@xTH$^BO@ipaupf{0h|9mOx0i>^TL5A38B%(~seUttxJu{PgqbJJ_)G^#$_ z4t{zsD`P_OVO!If)K*;j?JL?BB(~msO>=Yz%%!7MBwSK^N!apMO}M|iS~8ISvcVF@ zg5R|!>V%hGuZ;Qme--js?#~oH{m6e#(k8g>F(CnA*5z%Eh(MDEN^L{);ZxWvJG}EW zz9=@-!&l}v+ixHj$O=DUE1ayo0q`nef%EYUUI{;0?E68L&F9S?^_pXxOv4`)H0Ex9 zRweQ&?N<5zs?qa=df`_V(XJX_uhLra^pr$^I>+G>qxwzZ5$f~h-n?`C$Jt_)Ar05e zJr7IMGOq36XLeu8P#uhFWP^q31H76!{je&p z-hKV7p{{n}=5vCk3>x9m#~wmqh6O9w>^=;s8dcIxY8Xt~w_+b$EPnK1azVF*R>1+;5BHD)q!uUaU1y5$3F%Ks_VhW7uF7%dYYga*8qlu<#u4F z)(Gst>=Uh22e6;537Fk|3-%v0f&GRZ;DqfeGD2vi8DH$1HEs-pitHiw(>i`R@eYA0r4C@gzALh171Nu1q{V>!Ze|Z zF2I<41_Kn|3L`wTr0NBhO_QK+tvxUTe9$+^Q?rDpf0?mn zf&UEe1HDU~f*Ft@kVrs|3}}V{ufr*&aS~jJ{$E~+GlBUk+T3FrTnqvbJ&Q;Ie&o}e zA_)ccfr~lL05&o+FdIngJ^0TEBp^iw9wDW$fBk26=uQ?B8{|6xTyf6+{tc)AyRo1I zSdk0(kpbs4I0>!n0hqqw%n;Ea3;`WG!pXs-Dq>H@4Gma;_SAp^0!Rp_&)!!2y zu#gBOnBqeT5u_7dK=qmST%K2_oLau1nXbd`9d)R(aq9#; zH<^Lz;a~E#*L)%<6n$*Ps?HgcFOL-6df!|N0=kV`QSS4&O0^N$c^&;>tn}hG+VP>@ zoMQIZi4EBX>_;SN-%G9v&|5KtyU$x&g9O#f2i9H9O6eLE&Zx95Yh3Y&&}%FfNTH0l zjhExv@s2`-=E-6#i><6K#~!Vvj_1=%=-Ex~JG0^s>S43EHaoMt(}>;En3zOVT$XYX zrC7@-Hr@K*+_^6#v;A|q&FBjs&Q5LH?i2jnP47uk|Ib640Ss9_8FoK{0u8MAV@c*} zi^7mPuGzGQAFNTrd+sIY==Luk9&rp3sZSRB*X?arM&?ShY=&ezCBP0W^!d%iYI zl1rma0gE@{csi=!DN~b8#xS?rHrDw3x$ey`PxI109jvRJB$d)yQf4Tv!1bha7n|rC zs!)e714QWKT*94xNylJr1>$Y2_oy7z1xn5?^AzFYoqVc6QHVw~nJPq4>m&KG8gCJr z1q2x^56M_ka`nr+lHM9q`EG9UN_?XII$1}`QTrFyFT10k3y)4Hk6e7@Xw#%~vCZG) zzPN!&OxV|`BSmdnqTi`U0eQ*`ea`G{ouKU*z{4U*m%m>wBD&E^-$^g=S##(HJ(2V= z$L$~DqP+!-=TJMIb!jx&ZsJ}pHn?mP+MQg6HZ7uD;=F_WLqB_9=cpg!UBS_dAF#TolLJ3?X{Hlcw~BrU-mJi zst9_T%@f43bbTgX^oF-Ul2{fCrdl-Hh^OfLO6Rs~boN~#>o42BVZWS>T`#yHM5=jV z%+bi&!ZqSL-aACPQ&DY)R8zW;(`bF6M6_!K*P#kL6z0qKsj29$F1rCo|Mxs*i)jFw29t55MbdYDQ_b79vuP93UR;D#}p1`r)Z5Wq~v$ ziJrQ**L<~7kCJeBnjf!0BGpGC*zq`DeeOpKq$LIpG!yp9-p2J#$t%`ZP1?LIQqO`q zv6%kB_#SEyj_R;773k{Sa-(ZXBh|I>f|R0ms=F!$QCG>IVG0 zIIV51FK{va`wt7_+8uHbghjCx$IB%*TlIJo=!|Z3zquTFEk^~Tr{Hb0CMMOR zAeWo0wG61&u?tTPNJlunq6QF>9}$L;`fe)nsWQBG<(3y0Tz$q8J)stB!DF3I(aZ)x+z zdE%rChi{k0FX3~S-8QcHZfSId1V@i~V@_^AH!K*5>X!Es;^T)8jd$+~98Am5jTn@T zBJa5B6Y)tHO*cpR`qJOgms9?N>-b=!q3^=x6GuybDJ&g1YM}H4&5q+JLhaK4KTK4BxKy4Wgwc9x07N{Q0sG_!(V;6kJ|D;eIOxtS zIE_FV6nh3L@s%Kh&!9PN38GTeCb=5KHwTM^yi7p2iamcCx+aX{8$#3buuy313kdAd zK{zECi6sD;0|ICfhymFnF`(W6^3l0C4A84c7!IVK3I^pbi!eCkyMjh8W|a6;V!zK3 zMV|viH2yh<@hnugC&v|n_*Y?40#;FsiCjy zAXBkTiAz8M*r@0puvq zl645ctOc^#pYa)>idCS`Hdu?H#RwiRK`C*xdc&EqC_pHppu7LE9l(RrL&Kxs5g52a zst5>1fK#w8_s?wrVjiNPG&hIOf=-2i3_&QRRw_Lln;t0+-2ApiOYw?;)x(J2)`r;h zXFsE2G{~M@+XU~}djz%uG<%taQJ^4a2KdEDw!kZcUJNbvZ@l;abza?BHbe&x+=|Qz417@g^c14vLrs}Ycs*7> z747A@qBdWTxpTcIa%kN(3TQC`^a|(&7Ct)v$1RqVdta#V z^eKZmC1{Wf!-DoCMbWMoV@h|_OE}+c-X5xhym&S9~lg{uEUhJ5f5DrlJrgT(Xts(+A-xmTGb`$r_yM_boY8+xI_6 zSLZuFsn{dEzurc}6fb8u9xpS7S4S+Pju?@4H@E(x*;W3=nkVK#<&Y_PiZHKTAC?Du zo`L8J;td_=<+$iAz77o|PMi1) z@|R`DS68Z7@$HZ`6Ao4ADqT*V>H4x@BT}I}I-OqW%K2QY>|K9~qTm(D4_~hrG(Ba| zG*addsv->{SF*JT<^Sp&ZCs>l;^-|@LSB+34OFytCW(_INrI$7wqpP zRHUBB`u6Lhd{7>;d0o`5qmEDS&l6m_!p*+f7%<5HoKo0r?!4x5PT*!*jEqeiJTJV< z1v@Hpl96alk(XY1v1B{Ed(e;XS_Jkr+@~`H>8*)+B}rCh-mkfQ8>jO9d`qU~wyQ^R z8PiH~97=KwO_JTystU4nAKu_0ksQtx{=?AGO%WaU~g%OBIO zJ}U>cBp%&C&rbwY>}wcWoj6rlZaUee7B5s^=}~(S%X5P`d|AIx(Q7;Qbh9y6-epS8F)VH}w zV$;L$d~r8$`E$TJhrk^I&I^TfGqEgB9imgmK1a+>u?Rk!Sg>qdB#CLFwoB0oPIP~Q z*$H{(KK7)gEtr^Ye4L`jMw~JbC+2X>g_)xCf+K+1+4<3@_sPt^ zN_UpsM7&*5wuAGmqI~h~8_#g)WYpo7kDt6w#^rGgEFR5I33!)nTGaOCS0WW*%d(p( zbYQa7?~)}+Gr+WJNR%$jgZHvZP0&P)vz-;gMODYeHLyc3pfzK^<-7XVFv@o`4{b4V z$+%H+t348~I39;cYrN18hm9s;wb<_)2Oc3;0n~3D!`63P9X!r$RmROml){%2IH-$))Xi=&)va6gXe$?-q2q9DFpz--B5-QaGmWsn zYDj?S3%&3P6I2RvgfW@10brGc62HT|Ad(+21}8v&b_QQXMSjmtLj1wXh~L7x0G|DZ zxS}Gz%S?zrpc!PAexu(%>Slm7`*e>5kmEgF9cJr7hy;2QpU6O|r3(*_R2<0F0y!^) zxCl@SlY%~T5wo4)ikTk(lC2%V^k5)aBq)y7(*+45adA<|BZ8C-4F|y_`5aJi0RRP$ zT3iJ90qUG+;V!fWued1i2guCcqvy>Z0#8?u0qpLNB*n)=6L}*+AEqOLn^OpbeFQKX z@d-?y43xYCC4pQRxL6>93gA3s89~B)W>t^2YCi!;j&+Lw59p^!p6YRl156Br!_ZD4 z8$$vHi1io%Rga$_ZRh_BB%OkeV0aV&14*JG98j7CRIXw`yA}xz8l4$Sq#)s1XrH*` zqQEK0NTKy;fp8k~)a4TCZzMT!3`3-B!ZlAllA}9{hNa*-#lTv6F#w-zrU@CLZF)E^ zBo2YRSM>=%;sqGM_iPj~HZ=h3qCo5o&CZHWsfh!GBL*UxZFWpLNRbmx0BQXKx5)|< zt`AT+a1MUlKY&sc^cSSa#wh-Ss}T?l7F-+BO(ezr#~dwWCJatHGq=GfIqP^z6C42z zV1bUK6P%RjYXlGGKfb|Lia~>0XuLdvk8=iC6>&hXw=v-ORHDDl5#X;V&?poAk2!b( z@E|ap3qe27=EBN21W;xIsn9<)KtK&INUDtvEU?MZa2g4+nCSeZ1o#mEgk?(l^ZRFF z0g$|k2b}!Gh2z8i82%Q6`BW zd~j<#-r^HbNC5G<|6D6tybS>Xv9^C-t2qHMenm`zO^XKS0F0y00B;n~d`ADg=rc}} zlYzK!F(@Phgg)p_QvI>~qgeNkB@3jO3Bx8A<7EYJ+`nErpu(4rV@QS;wgV5i2yiS6 z9sz_1lG`mYE3sv}&;*fzDM;u7-A;f{~9GE*Of`nj?fvVi;6MBIF z+^3;hY%RzG3CE)p0U2v?kZBeb0m6CcfDWyr!xl^lBzYoW*w8EiTpr3C#|M!GIW9gK zTH+cVBmx0#Am|MwuEBgLv|Jtff$)!EVuk7{;V>wp7*tik_fCHh#!V$E{NJR+qJZmg zRv45=*=s<^09vQtsXqY+Qc?^m*TbcP(!f!0_TNX*Ul~w_H7BElS_wf2jb1v6_;<+g ze-%){mkx+CqH_oT=mlemBGK#-=xLJ)mKeOKkEZ6g9!sMchiAzgUDRmoXDdDL%E)az zeJS(dQT6<5)=q8VI@ZLcpNCb-A=aJF0WwJ$G#nMX8jVLAF2>T2V+13;E%qzC-E7t@ zwwxZ?(HsnPTn)md_7&*QkMM9;>zV!(e!fcZ+cY$N@YHvI#EzPcXEwtusebX0_8{{n z%5PYOnY2{H)#8&?XZaNGXrhr!nGp&bgNjwp|CaRLCak19!yXkB$>$xN{ntH(* zyEyeC5+l{j>@bC(l)Q6zsw8`4MNT#mk2MsJ(yx25-R3As6}2{-dcS-q)d=Of9)_YE z->^3!RUDP=8@!D<4a9{Iqy3~$xMy~&Jkp$qI^XVl8)&A*!EN)9bj*Y4AsG1lndd5- zpmrDLS%FgKNOexq#qL}60`kkB{L(K;X!tb0^F#(p#EBNK(=fJu4es=vyAve4$)x(t ztaxf~#Mks(aKFW~Cd*tg>B)-jB^Nmqi_P3t&6rhCvHEw-stWBBKV^!HJd7!!bjmgA zhy5x2>+6qZlV06Od$E>*jC5z{CyK3-;!E#knw!|sQHWJ>zI!D+jcLiDxWB;%%I}$k=GBL+k+i&V-f3!e1)Z_UmSFp5Wl;5t)p~Y5SmV})Et}?uGUtLdQr3)_; zk*Y@Zt{8r}$NsQ5zl)U|;|G>_FujXjbd=3&N}QHaHMKXhsmSsBk5!0%NXLFd5gT19 zuSui|hg*WBAP!VUs>aLP0#Ou!&8Rr-AeDb7xtMyrE>ri z?~SX16bIyLmv{V;M0tHz-VvN|%FO@xt_6#Q!$mFcn2n+Kh2jF%#(wsXZWveS!*OcUdMf144{XQ= z+QjY3WA9OaeUd1~|7JqW*l&3XPH8CCg?A}M@H4dylgV7;0AqGF?f{`EBcl$g!>Rq< z4=M7yPpnFny4csG-baT72Tu2JUL&3U*>NWJ%W6IxvroqQ46JnnYO@X{=5)vTcSXFx$a zy<3L5+t!ta=e~!s2pForr43gw>RuI`w`~)cAHL9`3>ewLy3%lK-|Yqx-PNz ztjs6_tm-U%VA*gI{tmcvoVPrxaafB!+5xj(k$CaCWpcrFt423YJtoodk^QVNtJX3? z??nFYp=%>CLh`E}YdtWo$8f%=&0<4pI&Xi%D!$>!SZZ?ZQii-|Tjw8XC3H?)5F@WZ zehltD!MS5~GI)mf!PH37q^&1s$#5z#n4pK3zcD`)e2-8^Co7vZYm8fHW>&=1krQ;(CWVc03pR8wm1y>Ghprl0f0rr007o)7W7TJ zMG91w0br5>)LSBGy=QPE#1P_1WXW`pFu-w883X7FKPwtT8P8loH0o0fjLF#|x~$F1ra5%0Qz`WqujIRQC{M1EV1PEVVaKLS02yt+k=)QyOIs(jmV`2fP`_+O##g7vX zgpS{nVw0oO>A%g;felEjqs`7ktam^jTjV_45O$hvM_&~Bmq3a_v8=$$bvC#tv~nJ< zLnQ|8;O~??FhYP5gg6KffDyok?BL2Z_~1lPA37I~#`MHUK{VzB{}D`&fR5RKE00&8 zLl8NLyM^aTr9nXeOrS)7CllBqz{R1FCa^mXF+?!2W6H}ztwbPwpZSRlz}W&A0SUOB z;0^&fMgX_PAy*FI@e(Hh`{?MF8h~$*sRuXU%nA+jg%MI{tMd@Xd2k;ua{~anSp{l1 z+2HmQNrLEH1Uz|?Vn}F`6S$ge1D%WD21)7uJpu(PQ1g&P>tcicqfHS&+Z!nfO>n}w zpqpH95eiTj`8zKUCWrt+7B1)z%r^v|m%o895(rF#2!aN1kLQC~hX3QZ7*NLsB^Yb~ zlvjA*6lZLu0Q~+@9{JBk@osIrrLHc1piL+(>f4NAsiaB7t@Bz-n zHo#O%&^M@^2hNkq1D+dnXz+hl6aEedKv4ECp=v0{0ImEd}M=IgQ|C>om>CxktX=d^!fRx2Ny5#x^Gr zy(P<+Ois-CDnOoYT_$FrZKI|vhPpgZ^ULP8IOF$2ZE}>Jqnzf?dq?U$)5~93qAhd< zu@%Wm?P*O4Te2|ca9Bqqc}2j^s24+{$B9Z!$6q{BGNQd|c4Zg5+~P_mKm|Izi&m zL>9k=d&hEtt7qemWpBygLDrTu1HV4&D#`48@mtzXw#t{!p>d|Zr+2&N-<7HlMS8MZ z^yp#E3D6N0(?=7+V~UMAW^Ii0 zfPm&zf;oxohw+qnh{7u?h8|#6W0{l2K&Fg6y=@$wW9%nB!}oSOZ#)WOzO9ZNG#b{4 z!|JNtJ#Z5uGfXxnKWZBB)btX%cBjP>gq?TW=t*10&sl51SIS$@>Ih+e%}E*Yij7ki z2^K5m>vDzHl#&c{uIkUIas+)*EN{^jylwpnrlEeLgrN6Tck+Fb^lcWD2FhZ4MmEin zl4fVJE{8Y$VIQ~Qe&McwQ#=b#zWj9erPitVHj!Z;`6W~L+iPN)KHsjML%pu=DVDF=y{# zHV&kJ_9@`7YwxrAgLNaMh|K}&!S;k=QDT~xkF_QZ7eYplPo=a*zOeSH-q_cM-RWgw zJWXGscRP)kH7ys$=3aY977z1HmAIIawj+_x9-rvyP8bCp26q@?Puz>PDj2b2E#sjs z-O@L6s;P0Wiesz0#+sRz7Z?`jsL=_RCAFO;@Uzvp#Dnr#n4qs~=98&H#f1hRH{IytNhiFWkwK@&~>TD zmmhZZ-lb1}duu0(Nc7le}Ub`(9|Fu6(dsE35Qy7<>eR)O!N27YO@a`aY5l~`X7 z%|17zB({w+997lxOU&y zi}!uqJ$&{?NWz?tCGeuZ)!8f}x|b93rkKcymvGx97@F@B;U15%Zw%<&F2n+G@d4`N z@EKg35Q5wMC;KZ3GMrw*aC;1pJxss{m}!v|j|^12!FY%+i;9E#Et-)lp%aK8UlRcX z<}U;o*y9aI@TkNUM0{2 zCnyI4pd|*}gEeZ67&K>?r4Io{S~oGcHa$rFfYc;N1c{+Vib1kT1Z1AYz_UT z0H+Y)z9=w14-%)TVsLy)kdBc6a1{+QB+)f^F!qAEfGeP|FCzx*rqBu28F63;MpFrN zN(vN=!Mwjy?_gHy3P?VldR-0&NQ(*yI-V>JPC=)psL-%U0;HzUqE(Wo7l3v?7)i+h z1&IQ@Q;GyILrVgH8Q4WQE*V%n0p<^Y+lN+t21Rb5EbT|Za^~Jv9E{hPlE4(*8FF;5 z!MY4|XC%>=3KC>W;$Su>y#(lUqa@rA4qW}7T7U#SkP?RC1Id`qd=)(aUA2({ux&{S zob&z?4lTqY4d=uL7zd2l8Zb)8TpG^tuVyM}LV?mCxi=&YXGd?*V}P++7S57VN<<4? zl!0@mlo8QDF(M#&<}VAUOj!oIgJs|x=nZTnn2T|0jfs?km}P+?NfS8qPh$ZL|4@)D zSm_5Q89=BpFh$~r44nNxEm2uGI}V65(1|@a5(>yo7S0Rho?w$hg|cuC98my&B+pb# z=4IjMvHoc>%K;D*AO{fBH97b-NKhVZk;uc%u|OUl`iw_G1-;h%^RNHe#bNP#7Y+iX zXeA&FF(An)q4mG)Ec>$)3nX-*U}g$RC`cZz^Vg95e`WLjYa;0BatK2xQWGqx0Lvlp zFeDQ6FQBGU&2=Y)DLZG5cz>qJ4v$qbO*~Ze4UUY=S5mgc553k90qD7ev0CbD(kI zyR>Y8|Bcp=r~ZOcORBb3*_F>K`U_KjuKL+-i#5>S(#deJr1iE-*UIL=EjTctU~zwm z`9SzWP~=jCHR*Kl#)CXUN(s{YI_;DrE$e&5SK?`^@*I}#w!M2ZUw#q`m`x4UYyNq`_Twg~?dGEX*GPJE;bxNL z@QY0um$d>>OC(wU&-n_K$lxkB~W~^n@A;PD^(AvVWppr04q-Tg0yZ zJr`HYeeSw^YwTR($;5izq~zGz`jO59@2_LsV%3|{)jjOuex^-6cb495nNiHAdF*$W ztyH2uBEO0`hd4efEenCk9#Z+nb$8?(7C)+Q2 zRMze=dA()RZQ;u(HrX>ErDbr=Ix zBdw}(Q`$@Axq9+du6oS#Zeyw?{ySFO50&?mKitDbLWn&_ERKFp1H+31Yo5|}WKd~| z|4L!@7vO;(yjwLv&4XXS1FTsV{4<7SA#B6~{9r+Ri7tQ_{jUw63pkO5n80TjbevyM z0buHZ7d6O$z#)apS9F9hc-?x-gLkO200bRNPza=t;C=zX6Ck=72%#=JNs53@kI8`J z0ggNb9S7tIKn29hEDblvAC~eU|5|9?hypLs3OVq;-@F6f_uvJNh66whke|C;i1UEb zP>yZCSEY*b;I;fl0labHXaEjacwc5WxCJBu3=`K57US;haaKQL(WI&Z0#6*U0N_}Oz}I^KkAPF#z}oE968>d=1{pRK zK}C=I*Q^eI0-cmHY+C_>fdfeTf?(mv16Ft<06$Ra_lIDm&A=KAq>zj;M)JwKIzw2!A3nHly_8LWgr$IEQRuLYX`pCISuOBxZZar$CW zm6`sW_4qSU>aR;1*xV`PE`VJ!u`vjdM1+BVINZOXQdbigWnUIo>}i-wkx#nzc#R@Y zk#ltHGr~^A;3oIbM^1uh-@wVVixWdSyxSIHYB~NTH`u>!2h1wfZ7NdEXjT;6K`z9T z9(@D76FrvPmQIT+7C!#5QdNcQcK2u7hvlVxkHk)VACbi{=@x|o{k8>Dr0&NRWH%VY z=p$&UvN)m5T5xIj88@{_ay0268_ivFzeJPQL|IDhI`NXXxmwU(_1&!nvvyP58apa(OGtc_bpD(M8c^8k$ z(um;UM_L`#fqQoP#j=U)gRj3o=iIF;=Z@yBBn{~nC$o9V{p97Yd!#!lQ&>E)e|qJ? z)*M;Z*m#|vj+4aNWD&9UZUDg+_i>=%NCLEUL4BzXT`79()YhD~^=%BV2F|ydBDbM7 z;;M=~{r3e~4u-}B$Pj_<`acqGJ5!ay6=_j2i|$kz4gAK4E^m!Xj${uv6*P- zP5~l9Z)STQK90r9aPM>le*X+)x1R@sqz@x+L#clho4b5zQa!6nQ`lbTd@?C%omHtM zGN_}CR5qbwPv7(~x_!6qE6HGAOmMqNyL0@e zDfP#k+3qzznQvw?Sf3cM7xw(|XL}H-u0hL$+h%t#pFddED%W20)Lb8zms%j_oT>hy z>8GhayL1;5mfPkvH|j@hPk*TRPS^8>(IbJ+6cYAl6;HPMRd_R*y!s+NEFibJR3>jF zcX5*9XFJxGVO;FRy}}N7V)>LK=8f~VG6k|KZZt~dD~TPAduietPx1=P^c@=+ZggGf%jkkh-1px#}J6TR;0?%|klZFZVfS{PQ}VQ@k#!bZ}W2LgtJ}4MAj+In}Bi z()v8`5LR{LPC~(mf@H83SyzXni$sA05Wwxk3a-w z2N1sg9hL^+)*n<98Z2re(CP2k6X*iZ-oldAAp{`rzW)Y~3C5rT;GL`TxqskDg8vD6 z2SC^V(VVIRA;ACpXb`Z{*hHJzN8=ip4gS#x?yz(ehX|%<3caV|oyr%hJXF zIKY4U41@3PSK;J8zIlG|BtR)-JaBa8%M`|+DaC(3)}K1VR8%4Of4?7o@FYsf(1~FB z`v1JyKN_3=7x!C1n-J>ow#3#$D~Gq^_qSc?jTi0Lxd@;~q4kG?QB zH3KP=W5{LJ|c z|M^`0Xf!wemqrj7xo}|;!JPkXj?3jh>=7nJRw#lk0sk(&JTY5}T$ z#p<2|!^!?K91#750mc9a4*;c13m^P8E+O6j^l)4vEtMaV!(kwg@(QQBMTr+( zDnTPU7BC$m{r%eBKxHQ=O&sRBv#N=I^U-ysScdd?nkxBd`Y-Mqw&A4p^{wW5u9jnJ zujg(O>)lq&{O%p(f+814{gzrPNy7xnW34LY^D)7xGWX*dVREZll=#u&mh`Dv=~vx+ zU#Lh3^DK$Tmcmfc+gakoI8{m(B6RcN4=vJ0qQkF!C9x74zNM56=DGPCESeH=9%L8F zN4vC_T0AxVDlBPs=lX+hLwyA}pXm^vJoU~fzo%1 zd{&kt&bE*|)@JvJda#j2I5o%OE)%IxoXu=D^oS^bEr|LqM`!$sU~0~~_Q-y<&yj@} z7X9&iwMZ3)C)R@vL#^SM0@}e!{H&WIV)!44u(1(>-|^Q)3hY`+-ofoWd_eC?g*EU( zY9fY3dca*^T`Ot414ZPISPVm}Zqcqo?%`0zuCHFjTe^YI zO8OBt5UMpp^9g%u2iu({!l;U)T*x`wY!yP^*|lDHe)Yic2$?xsmbcQnDUq9$?yx>= z?;Ow*hG*&#&sUO~Zu`v`_aSww6G3go@Y8cr`z@VgF#BhAFL~T-czpE`Fvz?!!t~kq_Bd717)o10r`g*7z(9Uk)zr>Tqbclyy}Cuq)MEw zr-uRz4tnb8Xm7*OG=kUEUfOpPNA1M3VEGCgN+EdEqb7D>N~yQuXQLHk7KV-t3RM zQF;+T{%x39ioQ!0sXK=}6)!Jn>ZbC$V1?)WFMWsI%XOnLU;%i|b`&!=eiV#3#Pd7b z?ACq^m2W92o|!35agEpg|SIyk#{#g*e>{%|%I$-5lD1ba+zYUF56f+1@ z>^H-5qjgOC8s9dlox$>OXo&i;IO7yvAUY%2imx&0>ulwB)v{rQ%po0zwhaG7M-rG*?|QawrSU5y7_kYKCl=qB9G_wBq!2B?4HX>k(mjur z-cavruEMK7Di_}p9;gk(k*Bt*9)aAk)m|L-+q01BicymF*D^v zTBgUXaP?vT#DIyQ&WOXFYt-u|k?np09HUqXEKbj?vi+Sy*};_1P1}@q=~Co8E3wSc zr@^Z0I_uR<{wMW{+MN{E`aW_cApc3MG9FQEev9K!BcL&RYA#CZ6y5<9S5-$lUz zaOZu1K0s^$rqK2cP%4A00lwMs4K!r^?uRM}D5+pl>mLQkUzH62AO!)~3L>X%F!G)4 zUq74N2c5v-2#`R~1ar*?2;iiHKsyX#Bpy)Humzk6<&r84Gou9=$9L60Vcug6 zAw}T_s4qDD79ltYd~IzDp@KndA!xwF8$`S4xP-_80588f031LEr5_bRT2c7@9|yQh zc|IP45F+saY#x3lBz{mvi&hZGm%8hIbwdT|egKQZ`a#47%c+NpmcZGO_<2A$ss<8< z0K2~$$|oWO`yvAFM7R-Xvo0@@4~}EQ=)imoy8XHrKET2T1mAB+1TZlh2rW98EI|?z zdmzM09=KWcT2ZH`ghL;!VH@uM@L2wxtc_| zYyr)j7f9jMQHfzrw&01u;dvm-geQYz?Ey5+4N}inCtZT~1~M_9>wEDDgWKum*?GWl`AwbMwNr(e@}SV+NVv~O_wWw-TMr?3dZ_WD z5g4=;hIQ!dI0*x_BQINdRKk~gs#`rR*hg+!@m3FT;a9Pgi zRzi+y7=(le#y{V}URV;T5ksr;6?=g!N)w5f9-C*S%B#om4tCRI2yXeyu9?N#sbB21d6k6VwZwGQ|CS`A$`2z>WpXvLn- z$f@uxXYa!J~I zt(_Oi2UhVMG{kl67>6}y`(X|bn{K%531d~)$}?+vj!l5%Gktt3j7|vB$DZ_Pjs+&t@bhFKkQF z^BSjQwfp$~DGX26W;`Dob$!?HQz0hIRx}JgtBSE7>m6JrjE}8tgYE<#M&TZEb5yAk zi9UUey1gKrq)2u@Nlr4pYrCEfqg)`<*ukM;D#UJao|;|2zB+!fMx)-R|0@rr-^`|g zJb5{nI?|-J@CD{!t`lji(TuIlvUK7%GgYc5l+Z6ehsgbeC@7N;9TUG{XX?LE-k94* z6#v#YSurK@zH*0XjTPF6GUb$7j&HBcl`2EK6Y;YNk#vePRrlS`k8RtGU&`xWdXpWn zN+?xnV1D%|?%1Xmt4ui{`%1ywM}$s;)2#OXW?u{T+t%IBMqFTygmx{wHgVrWPu>(> zy!ZG5(V|=yHnOTX5XBKjwOR6nqi1K~pp1{mWqnVq4rzC2XO0FMo#A4fd_0-cl=8}{ z&V@7eeVv`bE90UEx|E8C-Mq>z?RIW~1>_OO{{0CLgzEKlRz5tr?W?1`_29=QcRrhO z5>G+YvkHL`bY!hWv>i3VpWg&myf!jF9OC3`8(JwV2aB?*tpqzGX+a6-Hlo7Y@Z3U9 zXb+ZeR?&a|A;I!W4f4N2OD|Z%`x2HL)BZQvInK{jr@1scwzr4RGO{=Tb^qli4CQVxf zW`gKLD$B;Iue4sr@9J^hC{S)(+iysEL^}@kRZiOcT}}x`773=dJ04(Gg3EaTdb;m( zS;PqM77k$ZfqBSG1Dg2=3KF{Wn+T7c?vg zUgw~Kga&vOV?2Nm692gwwYZkeW~dULX%Tz%KzpghB+vr@$hFg@QeQKdS&- z(*v8wAtYc1cMInp{$>64=M#XLKmhRCU~!h}?lLInHi0PNeqUAyAq6CeSd!`19sIt4TW+$+>0LoZSW=_4F|CWc*M*Cyq632|MkU!Dq5UZQ@rP62oCvW&FsHi6!`MtWCh+U z{KX*P>U+*uMQ4FUyMvWHHUXC8&Hox1{K;+h&(Q#EISPWC;1`OHD8voRbioHjtPSCY zvjJa<_XeMpm*c%R__nomo35{{&e0B?FXJs$M?0dp>edhp@pSX}V?2SFFx`{hgTSZk z{wKZX3Y03$Htn^a(Z*`sOAbBu{As!G+^V-=mU_WZ!yqCji*8dzN}0}?%%_I-5;bhF zr6s}=hmHjjBsxeDc1rqVz>_f%s<6HDSFXhCO=>@l zwhbh4)xz6Vd+<6Dy`PIRY%s*&A)OS;G^C2B%e%Sr;yN3eHY*GApyMf^5;kf_G23S^ z&=IAEyClwgh+dqI)%&+3hgRDnxhCj56``keep(Q;*7lK}Tz&@iO#_h}%cL3`>hnf}fk5N*XOU<1=vb8rnh;uO;SD`=50j1v z?@tJsJulywX};Hs9$szK+|k2QPHdqvEZtp8-Y?=a0~1nh2s$*B1(HxIcr=(A^d_m^e--f- z4hcW03QLh__sofWaDC`YFOjtnNBHA{v0$J}*D763bl>k1(2~55S`jt&Nn=yeh|)$s zb$dQIcK`fUwkIMM2kYC>V>bz;VYv;5kCjdB9~K)cX!k~We6tg^IiNgHq2N)+Pq=pJ zyLd%}BSmfwIbk=**D*?i32>H^=&v1Ir^0!wiTYlizSj>)0kJ(+DCA*asjn7OIlY=Y zq2er6PWi)3e1#Mr+fapA=K4qq5BccV%`jCKS#~`#W|TL&p$L3hP1+yq5TC(%o|9b! zp-?{y+1m~7kM+>y^wmG4Rt;&b12dG5WJ z<#GYHvU|KLKMHZpytw-3zCyO1sLbnJaqsgmy+pWrmeiIu?;NtbL9Cu?EGyVTo2fNI zXkFrBY7?Xf{CI`E#auY^L-VuvbZC$5j~VKUacU$A zgF!aJf?&(f30-$uaimFtcEm=!Wueli`;}FkcV6@!`E+Os%zGx>;lRwISbH0cn#j~> zJC`<`Tb)T)nAneu14Y#h>#mQQq-ongu)&Jlm!t7Hv*|O`p=v+n*P34P5v#hdBP2{z zwSD&Hy5BLhTK0ZccN^&lOmY7(^gKD&tz6nf+GO?osZ2v@aWBoV?m^mqsR{W>`RzHk z;V+?T{JxO4GK-s?gZDL#4W)Vx4bNG>_J(#%6hXzQ{Agk~w4hJcZjxa(grR9WR*@P7 zd{9GPj9rzMpRFyn=Ae8$KP3G~{g!7h+Dn5?JPgDzEq!-cF>cc;-0jD5xkY|{#r_t} z^RLkL`U0c}2c7yS3KZR=zP1_*`PddsqKwZ}y7pJx)32|;t1nz_CsB~_h*VA^cRN(t zFpruv;#CI@0%yk5n;>v5&(1Cm)uin(b;QF$8FQx*+dX#9>yktwyp9ktnlwPVt+V z@tD}NqI~Z-M&FNKOa>_>q4hSf=A$qaN;$dz&N90^S;A}->S?iiG45176K&`*n2(W8 zXJRX)hx?I#t-LW~Q0!}tnM5RG&Jh`n_^ACtm=j%_cb>l+t2YLIM8M6d6CoT42`kh zee2r`b+Pe|Iy}NOKP&rX)rX$)Cd5w+&V(sq)EeLHL&q4Ntyh_GYVb0H&_48sVI$Pp zJ^p!3uWAQmMIu4eQTB}xh%(`Na5!7f7P=1i`*Lq(Lh$A0*nt}kOL&1LO_NK)R@18t9*b1 z4v24XA|TL3V8Tc|AlwNEN6MtYNC#vM`S<{Tiw|J=0Du4@4q$zl@T!#@&KabF<@kZw zz1S8L6=o`jA`0X3ga8ROKt_O34WR1*-yV?r0?8pW9iXHD11^OT+_26-Fe+dNPZGxI z3HW_{AfX}*XY>PkD_j{1t`QC?Gy+SWOO^(Z5%9onJqD@F#zb^USccc1#_)n`=L1ZZ zKaIKcQN*bQ&WtZ#19Ax^2$x~?k$_wh*olQq3MUc*DJqbr16}R!D+FvsSSbX^X)n)L z<_*qw{V53jGjy&?!MJ>YJofjHOH(2^IP=Gl%hNSC0&P1Z;Nm1eXoV@So*P_2EDXLM z9-t@&WPfnhE5r!STa@yJ2*8Y^!NQ_N6_p8=0wN{^VW1{JXM>GhZMT?%_|at-odiY# zy=;{BgRsN&puieY7zS@lLPa5gC0?~%c7`y4&O?9N5qpeG0wV~#9DUiN&jO7Eb_|l8 zhyV+l#~@^dMS)iM2U<+<0pao02Ujxi;awDj2qb(<$Ou_L?YqnE9r%9C5QJZ8!e5n9 zek%#!YXxB91CN$lQbFG9Z_WHb`3^{%BA~fH8qivH@{!^<^+P@6`Bcx^lKajuc zhyk*9`M3DvV1M+6SqFh%n!`_Y$@_nfK7U1-S6V7A`9G6p{u^WkNVDMg31mv}{~u5e z12!rjP#FFCBzVcLf&EB^;3TSIpd$f;DOhPQa2~pn3mg~sy^-i)--TVL>SHv7;cS{)?~h`xuw6RmaZ2 zcZfgQ)iO33IffAApzu^l8gyY;#Ku_-P#>GcnS4pYD0FFgbn>UW^hG=aN_QgJvPz&4 z9g1J`pnN`V64cmv&F4`Lg0wY1htF-wTY%GfejS2?}a&cxvxp@dN zT3$rx3C=db7)@6)f=jQ>h>Zb*OWhIpq;zXkmku68_yUYPsd@E_N{w4@HS!Rq5c6A1(bWZ ziHoH7Zk?S!@hc~Tv_SGacZ$`=)540pX{%zn7ox8qPXqmMp*gP|57F}XFNVV~EK$u` zOk`?OLu#ew#TG;6}v*eL-~8 z$$uEU->DHW_`%)@>$LnQU&`|S_SBQ{55fNUn%WKD1jy;-YnV2voqYp+6%bM|GUnfH zsOi@SPM7;RD-%c4W*OZ#w0h2m|~DGsH&pGK_bFWWZNg2~RTkdQFq$;=1C$5=c4Y`Nka z|D%wDbIaJMH|p>a2CL#OMZ`8=WMzD^V%A2*%|qdvOoJsZT92$6o^X#IRAd!Le<&JZbB=TmaYD1Bn2;%t>z zgQE>ddS>6JTSJDe?|OAFk+*LwIV{uKPC&P*3!E7{gqlwF&C2I|Yoyl}w~H1Wbo`*8 z09KmU_EX6dm+PVU)<)kq(yrk{!_i_Grx0=%VnwpHa~oLba#6%uM7-mQdaYd!1{B@0 zBf=Ezlc8MOAJy~b@)#)PLUReb_K{Iebp^$s;pLp9#XTw4U{SgumbBP6vlegn1m6fLy^yF0a0qG0d z6Q7**NVJ_)66Q5F|!Feg^o zT8{0h9GWzr|ELQ23(BXYk@rsdWx5+pMO$@5ZF#hlU%6*ry~rmeb9tfbHlt;7%VjN)fq z9f`>hIzwJ1h!w!3-JNI*FkBJDn9R9Qu2p*1yv99F=VO2E{=C>Vr-~nT9F3DpUY(T% zwe^h{PK>5w+uwXgQ4^Gt!wlT317zk2@b+@KU7vXhiL*3MFkG+>c{l{}u#m@6Dp*ik z6%9By)jxTU+iB%uRI^D|3cdL>mBkNz&L00U7F&45ExMo}9V}=|$%*Hp%#BB{O|8~K z9&Pd^sfwVB@2!?4L~X zFWi#^uy)`x-Cvo-!k`wIHH7(B+=>b2<*S&~7?zm?(WAJEfiA_daLERcs0i`_eF)&{ za$mVgUi$t3r$ZhBk$>P8=pW#9sf zOG8(KiRN74YjBHJ927Qaq5kBhwv!yQy<@=wagy^u)rIpahqn#0{%?LxGnvelVs2<9qqRa3p zzmEvzG1|SknN9I+f~ixdi?#Zn?q>nDo4Xcc$z!S!)A7w((P5 zV)n%7?0C$W6N9G6r~<1DukXVDB-YTZr>q->vBz zIY}CBmtSu-ZY!yT-i(2~G4majrzH_pC-D@G$=R7Ew=~?hjNg1MwYh>3f%o<_Z8YdZ zPtv5#?voo?3PDYs&GbaAbixEO>F9Zyc}(6f!|xkyY?jNFl4S;Cj|FNB2BFG~kaD*- zB)ZLR&SDumN!ywnPN26q;7C%1#+rt=9g>E~%t25}i81jyphkX?^rbLFu}K z_Cg?ed9j{SJL-ctmzb8WCL^-g&{E=(b}U3LN zKSQX@)rDkKeK~n!J^}Z|aq(gSVi)P9ZMF^0261;k#h7&+#^Z{*>x?Qm>zTq6>(M&` zvrnEH*5V?TKsh0l^kJbeNm;rljPd6PeH@H@*HW3z5!znszSaM<=Dt2dIsamF!0f`B zJdL8oaX#QY2xSMCkuG4WhRb!=)r?4pmKhPTQZ4!2BLeQ+Eq;C-1FS~Vo+)lbCP9@O zPcHN&3PyJGaS&2IX-IG|g_~f@Opg(!vg03>lg5@< z@T|yDhGdpFXXWW}m)P{iw+Bm&Le*MQ>VDNfy+!Oqn^Y6Vc0G9>eR*r?Qhb<}sQ6yp zhb*1dw9Om3V}+tY6;{9GavZWryCUs`+-<+QcZMu8^=@ob8*g>l-O>N970nP*zk5=!hvPC-z~L{xfwoQ5`7_lF~XY}fOs55E8F!^O5uLVW44+>QG$S@7kBWyY}B5+d;hTZ3uS~! zB_MxPtWjM599fKn(O*(f>P+c-{LK7`^+FwbNFoM#l-Cw?Ct2l0Yxlb4Vm>T!-peGbv)M0do!r{T_%R@R_=R<=M4HTia zwx%!RKZ3T8=T zo1!u~B2dFRi8>rg0}g$vDEqVBhHfyUR+a|Q_Gl}+hdy{0C3D?eV{RZKU+r1^eO32# z&oxeiO-=yz*9D3#C7@2^))8vGDjI zvFOB&6{u8zV&9Yg$O}=eA?X!)%RESRgoq65n#1yNx^14wA;*T|=kZOkz|r@cqZr5O z&kHL9l|SZeKxO9xJhY9t7LC_y3gur=(_*xk>d$gb2RJYK9b7~Ewouo5$j0aE;V`Zl zZq>b?s8>kD@mP3re?7Pu&yXY$pgD~T_ko!Io9R~t7qlmIy==MM9d4_P?Nb6zKKt3F z^}fPkR61qZhqT8Cc*uyCje0&nhh{7nh9JSkge{o28yF+$pF6kHRv~b#Sa1=kP?Lt`kR9^NfzWT z5f1?51mO?|u)Gk48;t-!4rB{!fWgRRcesBvtQYhotaVSXpLSJ`ZV%kq~0$#}zsPCW3mEg`HR_ZKz& zAAK(K({QOR3-2X1`scXq@15b~ClELSqB;TWN#3tu>>r)Dg<&opK#7p^_7`$w{(m(Z z1F2MiBmIJJf8$73;h1qH04lkN&@g}|IP*RZyoQ&d^MX8Kz^d-|{(EM;$NLrPlS~v= zb(7g4iS$+sOLL0m9AiUI8Whg=CEO4kWXh!a*tmK^Q`~;^rnueu;nmkf+wVW!((fPg zro6X_>amED=`)6>mW*Cm!g8|Vw*2dqFV*!PX?a|%pWRxcY|>_@N4BH0<*$kI3etQX zuK)$6@jy-*)o=> zhgmY)l^fUa@p809dHC)QbGYUp?F9?dgda&U;VUkS*EF_hqMq(bkqs(-9nMIVMO1E& zc=AK|J@U`gW4wORcrCiTWjEfrM?uXhTrE(#=O42fLR>;nK579b({zpMgT-iPGF8eI zUpB`#cf5i~wK?8C%~erNRHso%e0DxDzG!Wiq@7*VZ?PE?qR>BG_y7&X$*u4{G2xzS z>{6&SUART_L|26&@he3GXn-i2ubn?Vn`+?^d&cJnF&E6y`aU$o<-EwuA=dnYc2;Fj z8=3O_i0wYT#cRhBw~>yC1|GpSap-osA_xcQESF6&m1C~=htshjO;fj!isc??R(EoT zMYg&at)v)Zyqs(sq;IGUwRY&m%EcY_6U{$gSJ#_!Qa7hk!+DS2Ih(VCC-YoZmPIzg z3Om{&Bd zeCJNAe-;oJi}AF1XXupA51eP-c{h9tmGaj(91;9jc0f#8_dQ81+rn*WHsbcDi$$xN zA9GzXiHhaB0UL=3_OHI|X34EEpXaOga_vOQrw2HCo^s3FAjZ3g85*IAzjY#}UY~5@ z5sJ>MEYnY?VI%vdyH=_19pVGqai2N0_&3JdK_5&@u#4BrM@N5-RHL&Ky>N=e8-mhM z{;bZq)eov$%D>FVZmM-TLe$WBr9uCQVtdt=)Yc}jNX28tB;736n`_a8lAR81!6aRZ zVf+bnJLi#Gjx(DC_Rop&_Z}Lw7bl@-QFf7gkH3=)HZxT(n4H>8QzjCH(qMP?aJlMBgoHwBZ7x#F#u$69-B{NCkezWoWc1!ZwqJ_}}x)9mR zfcEbSQTr&?+~S`$KR=IQXQi2rJV4kqC{1N%eJM|V;APkmmN=DkFz$I|(FN0JyuO~G zAQj^unx!7cv>vRDI>~q+B009VrCJzHqhh$LRT=TJLF3ux8lv96 zH!>W*mnZdIXH+)?mw3lNzYv|~O_}s}^_18-q?6mcb+{tGA~zym|LtQLJm4Wrf+05#h$SKeUbSEdeB zm;AK{81=&Q2Lgb{{CneSnGW{+$^ind^6#drcm(E?4|iYiLY0E$zkxWx%DM@ZVU}5t z2e7@szAo;dIYu<>_;vbmRb(x$v_2U5!hfJ_&5w)ST74&!?qehnurI~q{qX$ z0aL63sUeWTT)ut-X4Z7oz?2V&$SqZXl_d-_1KS8Z37WD>f0;-BoO1aq!tsBIYW$CR zbiEp=fP?^BT=NYY;0)cJRJhbPzcC_GUwLj#P4F@M;da^FP;-(?RWNop-ucxc=V+RckRboLULvwAkJG*T7tJk{9g?V|JU4>H>g>tTDe2S*>up+4 zNQY))!nLHc(BtVRn2>aok%`4HzA5l-&_pzgbs}1R2v>$?S!tbDjNle9jVPnpKV5vR z!-@B1Ut<&cC^Lg%@Uj&AMwkC+sBiBeTWv2txjL!vcQ@Cx-uNEXbN8JWAD$kHwnheP zQU+lLbcnOiVXLElnT-ijuG@W=7hl%6!EdrYl}jE1QIS<|pr?;!nV!8F?7&_3X1lK1 zDtKBwbjqgbDQ5Nh^;E}uAY%|~8i$3h8J>xbCk}l9iFVZ+R?O&aKBWx1UK81$KjDX` zi=?~jevUI`T_!nrh}*!DN;O-0O*+m&SG^MtXIFQmGnR&)mhd3h#n6_tK95$VH+Gkl zuR1#LtwdC2xNv$4SDhLgm!lVFho<^-+WYrZPT81MV@z@i89nQh>!78 zDS8JUv3yd{0JU|i!8I|Jb)RdSH@9p3-gZB#g!WlssgF>fCiSeoEqeD^s;t_*?N#$m zcsm+W#JIZIfi3NP;1=dX=rzVAyx2ZH1byDX?V+r~uXesif%MFB_j78!og=f~3;#I# zwEfVOK4bzxg*5O@mXP&G zu{EH#&&JVp_qr^@?70x`S_-8vH1lg5;pF(OG&Rtu3un$7%_bu;sp>QZ;kDc*;o-B1 z?GcX>FVEN4<3DS_-qV^~J+&f)lL z_J<$wg-Y31vhRj#Q#QI8?I4pXga(t1A>DCO(Rn#1_Y5nf;ob4YBQZ^|srbcVUB!#9-d| zLHDaNISZ{+JOU zCIF2%e*LJ0(2`x`8K+(k#DeQK2|H@*Q_ojXZO@Bxq8bNanNbae6sRa31jIBXgtlZB ztyPB2fjYEM!8<5LmdFW#7?A8WBvWfcM7QLH>v8Khcdp%TpI6k~x)8f*Ejhhe*h^BL zKml20lF~Z_(jFUhH4}wl+@I6pznHiShcMGGRo85Ijhu7}T)UT7#5u?EtY~|!;FIcG zXag|K@?3vBAK;yOy;1!0kh?)$sg5inSqZ-f0?}+;UWy`mcL6uFy04Z(t-ja1wkvSr zY$B;>v~-7lf3);LVV&VbY~%SZF)mEh=9QVf?@IQQ< z`Kpq6%E&JoiGe=#3M0lOib!O#yE8>w^vF4r78&^aM z)BW<0x?{62vnSL0^VJ^Z86TFs-rsmW#*Mp{B=O@%%(t}>KZ)8(b*?Gd(=?jdP*dnS z!?%fpG?R9ZEWHR@#+R#l7(>0DOL{mwOLb@I=z*A9dlar)*)IvsiAJ+(=^}6FtuYgm zk9EQVuiL*YOnvwEU6G1P-_(uKQN|KCeM?&@2@2W9~xym|SL8@n&iuvxW|t|E~44Zu6> zS8xFw!=!hv6T%Q~QxL&k?O=(({42mTF3|`PhfOpA#ZF!UAt|i15tujiAmNe0$a^68 zNljplSyu@>V_D%nzQMO*dmwn1`+2HBXz`*6cv(4AK`6lFz1Ik2MmbX5OugQfSD6DkHGH=tjiVc&#t)$R%Unc5Gg1Z zu6fX$nA2FUPueg0Mx(RIv!+$SyK6r;OuT>!IzLr2Thz;Wz8;Oa^}?qAx#_Xw zA)fW1;m<=blgr;D?FT07kqy}kWI1I+;%~@Vl?qm(Sn-@oUkT`pWvxsU}dJO+WFZDbolxL$dT2MnjRKLOpyPUS_%Uj8} zgzUun$*XH`J!KHJ9@Tg{YM2U)&X~QDatO+8lX`rv#_gKbZ}&tcsFkrM>UfT~Yv*PS z|8_u$NB3FNadAPc^*1raD1w_r0J2(_K%(hnz5Ce1Hqg;l z)i!VvFg48@!uYAm>U+4jCgTXpdW!plS;Z%M@Sl>#hwrUNn?_I9qet4}tnZ22Dq@#W za%|nzr~@r3`{m>r>Y!0JNZzIjF(wzeeea+!Cg>iU z#6&cTEb5KBs&x_Z!b+`^oT2!Ux1EA+tq%z)#efU88EeSc-voczbZ~oe{F^PR^PvnRhfAF$7SXJ0zETpz@4mb!S zV7O#>L&J_l5e&Q7g;5bO=poh>Xh=`XC#Kg8bYgBx2Twm}L(EsT$9){SIS^;T4H-pb zZSm#lV=135spz?QXic`^g~eS?+J@l5Uh0BkW6*_TL)~^RT$7zkd>!>n1xOCK_0WyxzR}qG+@*PgjVI%yIV)UOd~LAew7b^1 zWYw|9RN!dH_Q0aOUamhZf#zrqFJJJ-C*uQxEX9BzouO~)#3`sGjlP^RV)x@{vL!yL z^YsUx2`7OK+J?99+@BL}-09DIP9R&QTOtS5KsG+<^1RnXakNi-WH+64tI&QUc%M0t zt7qFbow{{f&g5JTY(7(Q6&6J@Jx@h4yMNVi&|!-$I|fkNRMuUuE}#yhL8}{mf}(S`7bo~b zY@_(u*aDsc6D?zB9OyDjcf!k~7#-cjO6$*eA^SXNS^9z`Z=1I6 zXBEWuyMr&q>A}V15nCGmvW)h<5~GZtR-xrl>N+*pySK$y^C*^JwEAev*E2DBeO(G% zYE_$yHdn+qBd+^u_IRdE{S14LOmsEHm^ENt!sPgb|ALYOhYbZ_Ck7mx=k4%mU{>vL zwjZ3qXt@N|et_u-UlbAv0PGelTmJ&a0`Hg^Y$lk()>S{mCI}NKMDvecd@%7QuzLJR z2)T|1PnH7@3YcdTgoOaUjQ;BZ`Cw(BE8(R-*56yU;RnfU0}EO>`R^~2)&I4n=hbA@ zI|3#v|NB_zh`_7+(o@Uvp0RTpH=#d(ZSk_^zfZ1|8jrk76Lk+!iko=%x?M32(b80K zdG4k zaM{smtz~z93~KGbhEImvU|>m83MiAz$+)h5;Hfsy!{b<-2m;9u>WPL!wAHAjmvW0yju}mo zys=nRZ)MOjT_R9MgVkQ#N1tdG2QKVNKGY3xbso( z>G4TRPDPovKL3$sHkZ+$#~>}&BLx&>D~Fkw)7_ZO+Z37v6Gac0;+MH_i>P37^p#`*| zU!hL~z;XWXlpGix4;l*+_{&`WIe`H;98LPmKLezMf(e*lf+LVCH5kxaYi6P|fiXbZ z*ny%X`{$_c{~HqX%ZmjO=-*3Ofu&JnI^-r`mKZuuC|qVT@RYkPcVL|!<%JX4x~v+G zSyk^Sxg)fj^(Xn2pyx04QN-JY$5w7ooA#!6d-kRris&A$U*F#u#QU}*vDH9xE`*=V zaQ(p+8KXpt#0OS-8Lz0%Ya4A(yhr!f4%fE*9v!Li#6T=VUd{L|yFQK6nYeq8E)@Dy z$i&B_)5O|2+YKs%LT$nvr@r?cc22-_ar~pRK_@!5MEUgpVeKuW>gtwlQQU3e?(Xgo zJh*#+Ai;tYJitPOyDTiYdvMnP0fKvg0Kp-+Lx4MzeEZ$K&v~z%*KWJNm~+$^V=-Yu z^-1g5^is{vRHRh%^%vNLzw0`FIk;dzz1gMG<19Qhk$y7e-4 zxE)MOtds0|*OZXnI{hkeqL)_XTS3-f)>8g&U%TQozu3JFcfshH6UipX#H}lhP^_Rt zx~N+Wmqb&LaicODO$t&Ad4(KB^yP=ST?YIT`(py%$FV%ahH{S`{-;}kh`Q4wVUt_j zII!IEdvG5o8Mq(j0Vl${)!|r4)ZZUX307tmN)c|_+^JU}+Tc?RIN)1`PcRxSUUkY4 zobVupN-8%AoXL?f&Ha#IkfoO0(Fhvf69Kj@y z;|>@ssIlW#Fiei+{RYWqc1;p*nU4376&`5^jyKg|D=a-{s?#}?_Gxss8tWGl~#sMSOl>%03>jNS?4 zBK4l)cfq?E+fx+N>#cBbMJ@8dyC^gocT?Xs9&8}~U-K*+Z<+&Md2g1DEwY9?@a>2~`49h{Uau43tq2kG- zR)tBzTV#ZY*kWnt!b#(7MpKV0xrEsxR6xGtn9f~|=dW!Htna@qM8%Z9@YtU>8y>Nc z5=K|DMpGcQ2w|$gRFLKLzr*#p@gZosaVX}Su6ZRpw_j+1EeK5$oT!TOd4r^QooN*H za1MGG#`$r;Gbxw7Q7C#@Jb%=>h@S& zSVDQH$=2Y6B!9z9M3$F_mR}UCFO2lpnjYl?|rOE7i0^Fxqqt-}0duug@Gv`F>bjP|eC7 zu)g0H3v@DeLd_A9YVbK)j8@irFnaUzRV1|b;7Ag9REww&`Vw0E1C$GIl`c~Y96b1r z+vholzw9y1;qRtqJj?ZF66n|gxjEAI!)eUN%S z?Z-D^VAVd_y!<4Junwjog-7}8Ps$bme**NE{wJI9x9QA(W;1|VYI)?}-r*m(mjZ~Q zKqQ$_=ph35SeSqD8BkEn{uRJyzq1?v>1+5QwqF5|2XGetyQSbOfX^O({0rm(n|udG zeizu=pef4zyBGRDhiiZE84x6aCPT%A1KQ>R#rH$-Oh6IwyJdd--_6|$c(tgYT&PYL zX;m_hc@Mvh*jr7A_CnJnoq*a2WLtdv)Gg zur0><@?r@*A!sEpMe-6QBD|i_jEg$!W#&V@0L6*zWtZr~#8d@u8O7tC4lACiK>vKqg@0}`V%i?8)eUFcWM>D=3!OWnbW=RKHpPspua&qg6Zaotd=xX*#-l162B12hdRk?X@ zy!Z9-rgqHp8()P7X6=BxrEOAv$-Hx*q^!_t#&-~Bg$4Hs9A_jpl-oQ=9*H{brIb2t zC?Qw`dRHlez&1r-ZPg$i`z++4eqFBv;nz$9*~{*VqY~~Kv2Z9igU3e+wfT-XUe;ai zbVNQlH0mGe>O=D8&gAg*`l1Mp?nN&R7EJ|+wr6n3Uba^3g^kFigK>9<+iqM2QJ5sH zH@@P@Db{T=OK>8*$qqH(%*<}Vmd6r8xEKI8>rr}VFc(N%Yu`0v4uegVm4YXNF&D@u zr4z)+&=@Hso$9*8PQM<=oO`cJvZm;Ng^iOogf%pGMJ4HXbf|OmuNjqo2iKm&OEgqn zFzlm1wOJzTm$Tq*a-aMyfdA>9sK}m%tuK_9to$rKI3h+i1@W818L%H0px5tneNx*~wU_ub-A7bZ<%X9D>rx90ac4Ox}e z6wLZ#u{GidDaLUO1BQq6Rz#iaeQm=(Ve7Gvy=gVVsRPaJD?Kh>Amm_MInk$~kJe@y zitv&M)OWMN_R55&Z+wG^;MUt6OhE*nFn{E~Cum{de@*xH3~|S+73Rw~JQ$9ylg5Qm zPxlD#ARBDjG}C>n^q+GYGI_L)S1qdON-!HQqW6_0trh!Mq(5fZpm{#LwbMcSa6JbV zD}e{rh`|e9Wr|0Vrq@QIf93R0Am<0>2^UH8dH$dPzs~8icYg9JgxI9D z&AmJHh0n8o2c6%y*1rpe6shKYByfI+jJZ}4aQOVTQd$|6_8}VX;+N@uS92S3z561^ zEeooB|Lx#_c+1s__Sw$GMLHKP0%I1@ZqqHic{z#k+)EaJIYDievnc4%L2!(p8J*`}u0^@;^dZ*V+9uur$)te`ntd5;X9ZH=hszNzP`yvib8CW*ncP#U} z$rDvSq#dluo0oVy%+kWwD<8h)zU5=78fUOZ(}N@5R5(Mp%jzgr9?JbGTxI6R^4O*t zl{6%6O`Xs?;YM==q&rM_5~HxP(Ye!2e0l?0?BQM|eU$y2n||-jAEOiIxA_!`+QG&H z_gq?7?|FOg7g?uo+&>I%vuj4}Lr0Hsp`5ZRoF2<#{^?Wq#kI7agtFb3{3nGrg)5fB znljv(8l8k}71W+{79nx$x>SEAJlm+%hMi5DvC+0lLDW-jl=P%N*kw(TWY8yb!GS!i zK!M$rb{7rmhyd-U3e*S(LIhkBNzm`rzw z%C7Y3w#>hCW;Wom{N&OMWh^X2>ki=cOQ^DGv4UesQ=zo}B6&?b<*R_7$5<{RKGG34 z5(vS3@cZJ|e)+x!yxB`uvhgaB1nohbyyR2NkxQMLc0a6$YpTBs$3PpHQ>N|<$<3Hz zR2`OclplosK)T4deW|!>fdV{54&Fnlr);}5aJCp52qZn%?I*k1G%zVy5CMB9IrC8F z``+~)wJyDVPu?EvIe$~ZRZ;z+rs`zm;SnQtD9qSrnZx!K*rF13#>|f-i^#}n+Od|md~bguWuhC8?z{Xa!VDr1n}4)QBn7fsJ$Nls0q0jUrUztSmZ6LC<^QD z#zBzUOlA9ZeS87&Yov9BzRL6by!`-1KX^t(XBty|O9A7r6a|Z$G3aVdYvjDp;&s`p z?v9eo?d^LTf#Sl=dh?7)|EcW#9~=Y#gkDD^97ygV7BY@^FSG-<{Snx-hlftH&`Es(8~B z%vSj3HAqUZ55{W%pcT%xD3s3zVM^fGUC@Mnn5QwKlG~K9nP9=RO&41!vaEAG!H@G( z#E;^xV!kB(7{AWsBiJ^{Br)dho1rBkAUSKR+Kc8QM{VnsL1&TMPW!sj<93^|_0_Lw z$nv}Bu=OnMH$pOZ`r%U;o}?(uZefA2;3n~5GG}q37iZz0!qp{>CJ2JN z1m*Vd^)5rNjWi$%CPZl0Rpp~rCIXg`gE$DS_8Dywy-0Q#`9fQYUqaGe7Ae?fM(H_? z=TD?+Xwg~vt#Wr)2a_Tod9>V1e3Vv$<=WWNSA!LE-nqoO=j_Jx>Zu*T9q1!@1~bFM z?v8(tvp|XPpDS!n>X?L!5SxX0;e>7q(xRmmjv2Iy!DAuTf*1OyPFkX@_tI5zA-aS#y%yM33R*Dfu;)7P2 z{-(q`nyGL}Es@+JK-bq_~(0{ z?e>SwYrkeW0}w0C+AjW`yK;^X$yzcUoe)UT;QgG8K9X ziU+0d+eglqFRb<#$i@g*Pr+W)=HE4xM16c}2PjBssik@)Lr8d)<#Dxo>^}9Fy>TRf zt`(cK$}&4*U+@m@A#ijmr3ePe9eC8(l95i^Eikklo8cgQ6*GFqh5xEYJYFw0pK*~3 z`#myD`X*L34DnuzFFFusz3PTjR{2eP1=<)#vuS{Zg27PR$Wy(u$SbhDys2~ef`k-k zCe=e8O+SINab5ze##*q5n|*yixlG+F#kt+u(_lOHN8Rvl zk|e*K?(^NJPE?GdZsi)WipbBu8ObE+O43QEk7Br)*1ePrCdmi;R%I;juvTOnq&{5C zr4NO@BuM<8d&;Zk3$<39xw;7znOkC%I`Bw8JdXAHO;6p24?0a2C#QZ1F>hlU&c$ZJ z@>Tnfs>*Dmk>+bNCD^oji2@qFYh$Rgic0C%4?%a09+yDvr$y%iPap)Vt`xbFv?@AR zw(MwZO;~8!-)IRowKPaR?j65w?F@j1scj@;&AVtjZ9&07K0a$#(35Q~t(4#%NwxFZ z{dh+&{Rx9<{8i_ee00~|uZ>Q_ts~!_a8EQF&Bn3M!lWIZA2H~kX$M*3KE8-q?wpW% ztzt+aA#C;Iv|Utij6nQz%x3Bmva+o~6<_EYH`=iYF2q>VhSLO(IehOum1>4^ zuUxWqG>bPvex_^o#=WN-pEGIp9vWW#k=C`M<#>UCfY%0FN+)zQm}w(ecHb~eY{-D% z$4SQpvw2Cvp_Sxmd`QON7p3L%qvYv(zqXbq?bj$`ywP04@}s%w>ekPQ%Z`b6&C7K* z=L1%32tM*#;4HI-jmE zWX6>(0i}*6i#hpg~q9RJ?r{q<1lLURlK2i z?%46()a(;gq0GzvU_mp}8;^KGR}@QSKnud`EMII!L-68jBtFTAGW5l=8r*E3r6 zzy?oC&zl@7qjX3wldsLwhwI>HUq-q#8pg2qV}-*i8ckZZ1Yacm-(SC$pJo^EPSxX! z)|%@@G^e~A*JW5##u3+mUr@6uFR zoD}h`ps?f=+eJR!bc26gnmR4yhTIzX-rsZFK=o(kY-<&iFC|ZON`7bXhCNE3T46$l zEq>o(xNM3eyty-xaO@vq-nc~jjOj%9TK*sKk`(9($bOV>r|Dh5CgzU{)(3K#SKd@fF^D5jr;z~lQPkntZuA$}l72IzZ0rU4xgT<-stBm~Sp zTL3n~y!%&V2pDPnJ#sn-uk?R2E(8Pg=h@&u$A#qus&A=cfktSL+gNBY^Joy%9V~Ki z?ABrg-NQgW<9H}f*1HelnX1)HhRHNR_~E$~Q{6NQaPyq>jDsi&fz-X6DcEDW1@&<( zvFsO9FAAde_kPBO(V&$WY-(B+Ey1o-n|6oC{;A~JxMc0e@ zU=exxa5*!`+^hU8&(FwUK;zY!KIfbbn7p3QPVVE*Vf+XsQ({V)vWCa(qj;#_q!s4u zv4m}?&g{?iL(iZc&S&K4GyQ^`v2wgb88b->!Wa1@i?3gi&j`vX%IXgsu#wdoS~$;H*c4|{+4LQ<{r1^6cgpdybTX~J)S@9Jg+Z%OO-YM34t(5s zRzgH~;cYg8-F>af`~~;$#8`ldlI_P{OJUD<+PNXRP-j*_*^z?=Eq;kn+RPVS2@pw- zo2?>;<`lw{ASKOgE9VhureJ~Tsf98};Yty#!@zy0JEWn?I4>NWDT(mJ@`#8zX~K>+ zn@PfVm7F*mY1mRh+>aBnFz@svjlk4LeeMG2e8`csEEJ>-M(J*HG4>r%S8wrCQ+wCl@(-&-b6+sED{b#p*nY*9gtA1uobMkk3JB- z)UML&%*s7dL4ktKd)=UL&~r$J7EKEW!x@i*w)vgV22-JOQDd7PUa;^^VFaww=dHmj zTnfl!tQtF#wGSn~aw=)thuTLPpPh8AKR2onKW`uQcv)KMgKgHia~e^0Kz&GFbAb9I!l|^%sSTD~w|+?_3WTq#u3cQ1YY>5m_E4 z6-PV_VivOHQLgYjK{7sYcObBjTdrY4Qr5SrXiyZ_H8yfSGLil@t_R_JFWfwuh5n_SFS}s! zmWwfmwKEZA$-YmkCA$k)VnO8Vo@0v>iI~d5@^ZVQri5X_W}68HUd5s<@a3H{+58no zeLDk(L;KLA^Y7nH?fTFM&raQJ3BowX_vsymb z5!$&;YF3t+UnM@oFQB8?HXX;Nhz9JZ{g{N=mnOC-!YmXHr30^MK4`3_DTUH3lQs)g z>g9ATP}vX!QVC%6Mr_$n@IkXnbga>t&42dOBjmN(@=LW$wiqn<$jc(1Y2|HSHZdV` z99&juvMPYf*5{@daH(KbLH2Rs}EY|Ol+oI!pj;x{1Sd`Ak_F;F0{@KfUu|Rl9 z--J{h3vB$=7wupUhw3of^(ISka4@Pj>5Y|ixe$H-Ni+JXre$VMQaqIom+lmmz2KKU z$H^l?F?5patLjXg9!$197oorp+TDINVrgh)Ay!nnhzT2oTM=jCIppli}?gM`!=Xsn^mmop#@3m%duBze}7`r{ceMOd5b`?cJh- zTCRR4$d<4IB~Ek3ulR^L-(_U?H}xR!0F)qn#D+oqTS)OoDEB|rC4m3VQ`mqaIpDee z5KW?vu`vGVm-6wanBmex9ti)Hf8ZDRTZj;P4-_H@{3%4h=i>pou>BVw1Iq*hC6BJ;veyf8dYBrlskn`JL;QslS?AKIDrMPu6?x z@&SXdt_23DrPQh6VvY$w3xu{sG_rV_f)U5NQ;^$G?fEwttORYr=#l>RO3;^*>xrwiBb9*l)96%$J|keRmg-imh$jD-mG5TOzWn?Xj5;ElPEO$4FU-oj(Z++!VES-W ze~r&j%i7rT11M%yVTC3HI2cwL)#rC2N;4Mi<8smprU$GNn=)6>tNQij)9&*Ulpe}+ zWELIoihTW}YqfgO@A~NZ!=rAo0S)gK-afli27Sf65VZX^JL~#_Shwt78b0^uFRWs2 zXb?@Os&zT4OAK@^A6n2b({HL{!HNMWB)HPh5(J2p{VR=8!N`S0X#M^|$`8YtNq>I>OBL-Qv>iSzk>yii zQua|i>co(Qrt>?G7gK`O%1EA;6At{N=OqAVv*UG2J@UZWO@~*OGLiKe__hA}FmC>P z0lgo$mPy{)`EikEXbAm5wcVUnj)c&xV#@0BE^}r+@^-aEQ0G=?&&kL!aGt|-8!KbB zhqIrNYMY%#8`5g(YVW8h*+4Tjn+lZ1ZdN<uePHsIlOG?mZMCANAOG*hCp5K|RqaL-8%eKXQn4sm_fC-J{xk2J#UM@ z`(JCVuVW(`U=G?Yho*OZVP3GlLBshboY|h;kk($EZ*Qd5^qrRjhsnI&X;PnoPGYXf zs4gC|l!n3F{95svOC4y0FxGC+I?RT$_l_}l2DzYS2L(eio|J1eZ-B12c+a=XBo$$@ zerO{nYmsjetMq=L-xHBAs&?cWqH%%63swuX0mw1619s0xk}i`&M)2?_tQ*A%c;b4Q ze2N04Bh#$}UB(`J5qTGgq;GyHI@;ndlqDR-c$iXsU!sT(@~$zJW2whlJSK)t6+V> z4#XiLo*Q!RA6Onj9VL{N)2WtRiEWjhesz|`47Q&EZbE$cL$ZiXJ#w(;}B1+Kir zRpN^#luK+SIZ2WB?V)Lhp6GII?M3;ZfAr=8yvfRyA(5FqI8AUicQTJan;9aA>zQ`K zIA^cxsA7W9pXkHNt}!6BrPem8(Ms^~=+NJY+oH|87t``oI_D&}rNyL$%D=LSFM1zo zSSgOS=Cd^+St5Z60raNaQ7)A~>HA2uf_ z#RaQtU1%pV=T$6-akcXNL!M6eO(r;7)gsyU=qUhnJBQ4 zH=mV=bP^N$UFr(#=gaNuaAZ%o)WYdOG=gJ{X&2^FDl*PY3vVK_tR<4t(GHoV2iy^y z5IST5ye>jgx=q$H#4meFM+qSI*S{X$Xf^UMYTAFaIeDz-QPfEj^r+`y)xu2lJAnMW z#zH4%AV{c^5SnF8p+wJWHyraHS}I!@jCg_C3k|`!!4d>B($c+nsGysoym$_9|M?y{ zkOx}t^5oV;3RfC#6z4@+7NY58@Gw-*>i4ij3Ws?skzyeeol9=wUOija4Qs_1Bz+nX8lBrNk7Wy|*V;VC%N9}80YQi#u0VE*{ z>lO+U-SKL$*~l(+4P@yPW*WnZ=@;6sgUByJhu4>vpBwifyD%d)dg9S%okfE|F*3+m z)`Yh&1)Y5FeK#*%AypR!24AThAUd6oThnTAl*t~}+b^EZc6U|yxE2=Nj~=&=`@4-c zSH~LdR;&iAzUG?m+}+Wz8I~5soUhX7!8yk)SHWE4h)YRHY2~-8=E0o|etw1?6{|A* zhj;=D!btMh{D*e}q%{6p*h1hB?*tBrRsj9QGcbY1lmH{-ch-fE?;mm8-*F2_DGbnx z{kJp*#OMyFPz9;ODM9$|u_XS9T|~fvC?V$aX!Q6%?d^XR>f!@h&dlEf7_bcqg!)e! z1{eZ8{82Rf%|iMAslxT2N}&H!Qs&Q70J0B%G`Rqoe|S|OIs>SFQ2w?$7abvd;AA8U^0h=+3-x&Tc}tTvz}l z(t?!e|AC1!+v{UKS+lQ_GZ-*2(&dOO@ zKa8;TBV7*94?Ri8)}LR<5ONh1ymp-D{;U2;Q9uGU+wk&pnmln(L%g3|k3E0`U-vpF zjP9fsN+@FMD^gYW$3As3CDj?Onpkp$t$SS)9gqWCPW78;JouwJ_8rUs4t-egEFOj0KI5F>AZH1j+ zr}v<;iZ`7e3MTvBR79u%CKZ_vVS$WMv!Pcc|YrOLb;o zVpi?x1AOeuzP-lu&;7e4vk17QAV5+R9lU{$un9xdv(M?+NGadoowyuHW9R|m=>R@6q847WCXdh9`^k=*jck^ zzFt`wNCsWZ?}t=5f0pOXCkBz>e-vfaeNz6ex71*?WgwV`bYd+hm1pHo;K@oGqz!EVHI1eR}xPM;`dl_JS`4LP-+NwE>N3 z5`mDbqg!z#%aApJNVg5 zRqm^9W?)>Am|e-)(x@;zwXgztQv!M*9VCB_ zev1-ISFrlstXOFJZWg{v>5Pvt->Z8>$`Xc}LRtSU~n6h;s=xWxNtJe{qkhQ{h;zugSX{IyAzEUJL|)TkbahhE|cbEa-)<#@el zI7gjg#Rt+qH|hn1TUYU5s++mv9j8Xl!)UpMxUev`IYQJhFL;B(CIT@Nj3-nlrg5E+RVLhH4R(x=;ULCTU&B2tniuF9g@Yf9ha2 zXaCU37QR#M4$Jh;b8ScF^kYmW{%xFhN$NZYgaI0O#t$W#bqLwI@Hk$ERd;VaHtzR? z@n2=K)utMK9Ks)n#~vTMSC{XxV$@zsYuaxsE1r2`amNmD^vQr?Kjfbnp=qfhr^q?1 zYx+`g*RRX=tATz7?^`^hA$cXSoA!|dr7n1@t>w8h?AF3_m7ct^Ra6L5-r#k#{~Uj$kMiC^+*Cm`DMVs?pQ68u zr1-^S_=+O&n_Tl>FPET7LI~;U$3h3{C<8G;zqJs0H86o-JmAx~j4{a}2UwuL4TJa~ zr*0?=kWE++AjQoM$*RPqgBW6i{^3^wBVDi{Mu-s{5UT)g?qER-xBx5Qzr-p43ojfn ztx^aJ3-}Cfh!Y%$0WyvQ`lpNr7%Bff(hUzngRI0OywLi0lI8z7%iv!Q?cZc2zfyuQ zA#5ASh>#h05GOcO0;}tSWd{i{&hxr+sKK$IP;vX4OeF5M1iA|=k+aM6(<6K3X3$Jq z-Mc{OBi{=#56`UeVz^O<&!)&|>;)7F=Gav=M1r%qw1mS6$w9J2F*SGf%I6jc`Lvn`oHS^a8=IZO-@R0dcKyrB_7G$ff-rRYDcVDrg<&U^RepbLvSLfM;@Mm?Nz^L-PW z@Hy=CthYaSXEN_SEgOm>g7o_``$(%sZ{`epvMv#E>a>mTQcFOzld?&aC7#~xp@Kel$7df-aQUzMM?i)t{7V-J-T zesF1U>sYWJ+;~72_E&s(Iz6e$CeKnAPky$?xI;Lw;oxV985hQaQ8+L?Uud_jbvH?_ zkTu@sh}so4q6+gQR(LV{epG6AY-qV%B`H4UvA5KdGKnLvCCwz%Tvrs|OrvoT-YZFm z<0+(Z3O->BTZ-$!qKC?TLm*S*-d&Kv-)r;{;k-{u?4N`bgo+U8KlMi-<*&->e^QEp z9#+wC^nan_@vpi}mLdzT?p=GZ{&59no;4W~%_?=R}V6JP}YQC;7+0%1U6%u$gc z$XYp>o0BD(acREGeOS{?df}_fCm35$DfSUoimX~8wp+Kqr>JHs znb2XzQPk&!_uj|ZG~Qi?CPTe)IiE~%YS*upa;%Az3g80U;2$J)bE2ULyF6S{B>9ukd@afWzq3#bd-{cAtE7&_Z7$!Qm6^5rwNun zk6f|CSZoG(i{~dY;4(sWSe5b$a8zH&@<-Gy<%jWmH@h& zly?*=!>V`P)DKl@628JLNYPd3qGBh`URI_ z*vZS;g#F{`olV0|Sm_Na?Gm-m#;s+79#v+68Sx%q<27e&4;Dn1LQnqdlR&3=rEbfZcFFISb) z5^95s0uAv}oTqfEzlo2u70+9rx#^h2=5zRZEGF3sYI!)Y5Wh1DqQf_}Ugu$zzg5zQ zYBx4+rDVCcF`-Om@J^sLV~JEuf*yQT=L!Gv5qXS>-3?c=<|UaONkPi))2T6bYABtN>m=I&dL4n@@Sq)Qw`r^D?(lcC+^qV1R^qiD zIPx!HI&$;VzD46rjIa12pVo!-$og96uZ+u@Ud_qegzfd(aw?>E*QNpd&MFxln0f8{ zc*|F&>6bif`s}SN5P@O|rre!9Y*$#ikA_ySHRigj^O2!`!5sHGZjl?dmaHla0)M#&xT#ou$fMz>9g9wR$1IlQpo*^w}GnQ zQ=Bm>vZr$_wGPGv%e^2V1MAaysIz{o64mw>N*@d>-arpTD9Y;&I81kDzxja4-){_8 z?O^5XUF;IYhP*oS_Vb)MPoP51vAEJ%Y(Ocj^>Yism_{IlHX5Vec4s#c=j$j^68`*N zT-=wCb6rP%zk&U?Y_ZiOhJ<4I8tzuk@eQlv?i;FuQDe`3HBym}@4%WlEhaJAXJ-Q6 zCRn^ihpx#eHnm8zyO^5eZkN?qOHB!VqrJM2$dB?JpfJI=3%z6O-cC7JVQv#v8W={q zqLF@E;0I?F`c))GJ@vS@>C$-uRPhxuX@wsOFn#+Uoz`-3S|VFK8Bso+sM~b3`Af$X z>7yFXCO>5u@EU8pnjjrkfXXHwol$$4O+O`?>AkJ+6*Cw1%M(J%tUc6UAHkoLu<%bu zMW6$p1qkS@2dGQ_3mpAE@N4t^(FFf};1~Mm3n>JF{`U$vNIV{} z!p#bV4Rj^sdINwikHEjr$n_479#`nUC7OVz|80Q~6M(Q``8k1}!|}*;kgmBoRmuEK?!YA{qdka+f0vUnkg5N{}Ko$ScV(YHlR(GTyI=j2bBB zE554JD8LL#9bHX#>iTFWghDUyRX-W_Ei2mF4hmlq`L{TjgR`d*7V^u^4ytL%gT8!( zF?xN1V~7-pPQkr4V(W-U@nIisA_ zKiiV>Gq0ODa=m5mXN}S-LWXK@4=Cfpr5wHBowbZ!^u5R%-_c35rV|xLdHdnwhD$X7 z1RB>CdLpQ8zIEiX1s~9bmwL}oYt{=2yj1^8jIzNraNDF4)qr)dj6lb)t`_mqp#UoT ziBHJ;1*f=x6bC~u8WPb<+g}*lYZ?k0L&Cg=bDqiPtju#PvT*eg4kGe0MW;wRFovpQ zC-@Mnw89O)Z^|MfdvvEHtV!6r0;ic{vPN^V#jhP?id(U7Xu#|h7TW6>K=OGgl&+{u zTayA=%gIDFO*0>fgpDS`q4~0*xu)Nurmj2@+&N9nA)ypcSvZv6hIuElL zPsqc3j(6Sd~LDqBC zl{R3G{m)VYfvBf~uN~3jU-iqL@FUR;_T<5$YOWV+w`8;9F~^-iB8LF=&cBKBO>ZLp}`q#mZpnx#Wd-Hwv| zc5KUx+yU+s6j@!(k5&a6swVviQKNzvt$X1;oE<2OeB;|?NsWRBQPzCdSq4Qf znYyu&{@U**Im)UJa7)o%{4e0%MwKa@+e6l-%1gnqC;?RO{C+HF$HK1mW-%N@-(Ndz zy9IJdAYh2Yfs3=FG>-)#4|v1ZnbG4jw{&E1w8`8bt8(q}@C?YITAWJdT5wKE%<#;^ zRVwncrFl*xbs;g45Q7-}iQF$t$N2RJP>K^=gmmIp=~BZEeMDH4JJA`dt|LT_Wo|s1)DjDDKuU8(&Uc z-q1wUk;qUc^;*{9$Py@&Wyb$9>u%S6sulq&*LjBhyx*!tS3~&FQLd)Do>X3vQ}*=G zDE1D!X0x^pPDQPyxUG4zOY=(rZkUrD^I=Uzd6MgjhrzpP3e{>r{m1GgXn5e~`TeCm z|0Ab3=Ir*~QZ}5NP>8qRyfJ{I(kU#+5Bb!P`pC|@ml+&>L^!@`v?E_Jn7%|J@vZ^K zXu^0ux$q0W{AMFYV;+W52DnVOoERD|ms zq-5fCDX5os^w; zpDNKmBmW3q^8aB6{u%klsq_CKb^ICm*N5Wq{N4IL{5)S0h!=3tR3Ima5+M-n$o_^T zPR7U0#m>beM9B{*a|`fr0T4luT?o+l=I7^P=S~7S6F_n~5a1!}*dRDsK}$Y9b8|sH zAxmB>A#))?OEW=B3m|S|`9{E;i<95%4>NMv5JU`l8b=jR>M=vY0TjHs0L@O|6WE1- z9)JA2{Opho0T2b{|Fjq6juB)8Ic3B^f_$R_aRH?w(@elX?l?R$#Dy9p02yGyz=CY^ zfm9&yG$4t;w;%a|TXjXi6@k%n-mjz?)%*P7iTp0b*UiD^viY zhtRzO;R4svECAHlV*#KB4<-S0kPox>09{k98usEyJrFL$nxJwe5}CY zdDcIR-GI6d2(i(h#nr699Ekp(ap0OBGOiCmIZ`&z3*LVZ82o>Mm%ka9zi%IqkNF!) z0Pxxr7#{Dpc115b920o;cd3jVmj6Nx+P&wP6Ix*^aSCo1M7U^siYR|YF>aQ5y9=ok9!6$^f_u3p7KFb&>wnJ>5n{(<+-<~w@dV4&6K6kM7;=H#fZ1`@1z^jrA%gqji zzNuTcN=ngg;ZDXppHCIWE!@L~*3-4&o_tnbo`lvd57N_b=)`@Df@bL!v)H)yGTAW_ zLVGcc^Jt)=(=9FuW&6sL(Wg%(iz%l(l=&$8zV^|YusGw$Mno~fw*rL=pKqA%l_-0i ziANv3e8j(^~4wVmsd*&WkoXgQV$e*YiQ)y zS4$|T_q;Fq9vmQ8=FDprM-Q5;vV@=f0^A#BM+Lu1bD%t*yRzXxUH_24gdu8r_GfOP zXv4K3MR>@oicR}AgwnFYmN&^T-y2V?Bpz6lZ^;C1PB>hwRVG1ZD9)Jw7B5k~s(cTJ z+$c4#_JeipMRuR7?A4Mcp)nWsFZoaPF$rUZhL`gVumuuOPIM*hUOU@$k@R<+Mv;8} z$+sw-6Za)MvDb=a?egtk`Ss>G-Vn1NyVI6cq}V1NKnn_7RxCD^?l3-)aln(SwgjS4 z^;BAbjqx=7zX(TBqMW(w%{ySy2g1gs&tcFIK z5L-NN*1PNXNe|!0fhk_v9SfV**4)5G$d0Y@d}5STRH;Upjp%BFD#SO>YOq@px^?io zs(xC^$UiLC3MfIj8^|9=8(qFZ|mdi z!E2XNL_f{j^9P0E7gXr#Qy1uF(QB0CdWuq~6!2_zOs>7dY~+#qa-Us7^NpK6N!eCU zP6$?@_x+TweHY1k{w)2G1_8fWN_o59a)pOT;RU33!cwxnT^fZ%WosRY)fvhB{cNh5 zLNM866CpK=yIqnxaiRMH=VF~jlNLGA9GEOQCtD^~iq@g)K>0|G3cBv@VuYd{cZ?aW zY34IA!qGgJh{>Sf<@r)vA%qDwImNgzjY$7}3>}5Wggknz0Sbea3trj7XB8UD# z*<-?oZFtfT-(dLEQ`*SOyX3J&`{gp%GkiRwdPXg0pt_A4n=?9$6Uv?FUIc>i&A@9L zIqO@X=h+pfUs{=GNz~&NU~xJHZR2t%JNd>VB^|+>QA#)pV>%P(NwNd>ilkTBvz}$9 zjec77B?sknlI=|DpUuc_4hZ149kX4?W;v#{2fd~1y6QYn2zSll@CLwq2}^+b=j{KY z?XBaY>bf>y>5ieh8;PN2KvF`wyAkP>Mq)@oN=gojga`&8Al(vD5+WfYh?JxPih>C0 zw+G~XZlC-8ec$)b``_%@z0W>pt-bcTuJzNv!AUylaG|zlf?-Xtj_)(+av9Or1(a%U z@b-+$@+d^g|6YUgo7x?MM307R>_2~TN@0c^6H1L(_kXw4y~Bvf6qPza7dS6r_*HPL zAwg(Grn0N3sr==Okyb0O3H4EBg&VQmFS8`dcO5?Q$nlldsjau54!kiI=ip8`CJ!AY z9@&=V&)aTx>FbsjP#p!<9V~SVzVu2=LFD0(BNOSnAZp0$uoz2}SBGYOvH<)L8K5J1BSVBff_1d{1_AZgEn zhYKYmVNsCLMetuyB|ZUUCk@mBVr7^%Bq{@18Fwbtg4ShV(h&I|J~niP0#^hIRe|Y3 zyHvP%(Blh0>5xzbbuDGjRGbafv)tdZAh$#f_pP=&A+fMI4t z9b~klf5mHnUqOoC7r2W619|`yC4>?fvz#Uvki7*C4)jS0H2MvlOdlb^hVEYkRvcp^ zm;q#?49XE1gA7s?Fz_x{94(0aF*ZIlssd_gNq`k%DM8+XEUk2AE>bd^`hV=l8l%Cf$2 zzMC%zledJO@ajjdX8( zz7gph-I6TLoxGQ>W3_v3ahPhvttIEY6=hQ9Eb=yCK~~~=<>BV~<(JIk7aJ~fsb#CB z>2YwGU!jXAHcm-ILh?7C&bpe2**|wY$itg6$;gYq@1N^RjOFgq%?13?Ol^DqZ;i$u zNEh<>KT*yL(QazDy>R@sQqSDJUHmi0Tpsm))bVAjNKMhC`*dmaui(x>v+6q0OQH-? zHl?ZI{<#Z7E%`3VWp^8out#a!Oa{NCeny!Y3*Fy)JuEh5BIK&F-?Fw&)%L`s3%si;f0nt6cv|wZeObQFh1nRuhG33 zt4uH{J){-dc4__L^UQ_B-QB^bUzdM9ASR`R?|*;X&yII(Za(PR4O z>j##U*W6>)n{DkejAix}MqCNIUVhfq)tjEF|Fj@<^A2kxk;S{h!+6#B%2yi-^9>(g zH0;jS9bR4&fL^NL6Ry4uV>qv@&NY`+r;}iMf4iokTqs<2{u3PrAEud_L49b+PMYbx zAnjGF()w|Ca#CHw6b8w-^s@78`irYyESCcaD}4eAyAtzCo!n^ZUG?-(cu0VsyrO*k z#!_W%J70EP4`(~j%&FlJhv5NUe3yV%z*zV7$zS^CzC|c{(BHB+s+2i$5$(9`RZ-(b z;!t5=9A#s|^QszONjZ^DR=(uT^`bX~-0hifjawNE`9=^446Wq`QU@Q~a&$7Z@)F}q zi(mp?G&~eL*iy+EiJtH|RLQU#d*Da8xMgL@DcW-s3MtEGnzs_V3O{mg&T&>=PnWlr zOp>+U@6Sa{<_UFTDG%An6~ZDYDH?iWZ`51AGjXKc?x}h|yelJ=$NS2%Gd?liJJO@W zZ#Uv?&2boPJmHgd!!J?kVI#g?C+1m9Ui`H@{RN9#f!3K+w2^^9@k}Vbh8U}xQ;!w>0wg1 zOO?w;@gy&nC8Tk)?sk-`nex>0){*fv*E!W?KPYhek8wwtg)>Tnt5j-fS_=Amm*}3Rf21vU z(^;-FD_J~}x*eXM{%bIO9Z$LG#Z{WkgYKc1Zw40;U)d9TGyG?LEKxF;9*xAUO2DY zI`g7#ckR%+IE&tz9`}r)d2uYGS1v566K6odfG3_ik6L!Iex`$i<*yl&vC(npOb?Z_yx(=li@<{uHbCjF+_(7-6;v`BJ&?^uiJlTm}0b;1Kg8*b|PQb=5 zzfPzC6&b(dDTO$#C5`}r%)p@jyViS7m?!||T+Ig(cVPezk6aQCdO7I?4n`~mj_3xYtdKqx z=t0v2CIZA|#0nbr%d2Sf1 z7_bZde_M)xrz-l1qD>s&;jn*)X)%jShzW}Va4ayfoir^j4Vn}KS1bS1G&Ii%gF|Fj zVcJY^BtjU?IwBz{CM+(AU=xQ+2!ksy2`O|;w$FulOeaPwNRZ-W*cpc~J!sdQ0GmQw z5;P9*zUUxsl0cOdmxAu~lCVO2<}h){-1pB-5LlIHIx-%Jzyd}=3BuN&JoU3MyP#VL z!x98TBanuX0duPr*cbm*LQGUx6x>)nvVd{Y{O_4imoot+)PEI5O8>vLATEsnfqETG z@hG9@a2VN1gxvpbNL&iU8eS!#hJ=Bk>0hH17ng>7EXiqjfz=HR|DU#`e>DWc)dAbi z5jG`M2+T{=|1bG@GO$yQRP+j&gVB+~NNLfK=Kn^P{mI7x(dB?D54V6(QA(f}>F;rz zw1Eu=Mo8!?E$F^X7DmSWuk}Dk3X6dk3mr8X+>ELbQSwQGef_V-{(c504;ac&1+S_3 z70~;C<$@OiAe?`YQbG*!f1Jv|v>^-!TuLnP@SvwQWK>X-JdE^&&kvmi6CvP z@hJI0|NqV3_t&ER88OfsU$Wp((}0bJ{xCMpSwjuYRKv?>50Bdj(S+|$32!`nbdm7VyywQd@3bZjd|6&n zq>oUYzYc>8yaG)7g0_P8A5ez{bzvlPL=lphc4kZ1V~}KAc^++$f_-^8%049`Kb2s= zJT>~NHeFJa;cCzTEEWIUtVdqkU0#dFdu?xT`RDfrKQ4geKGPXE^f2ip0##y1wP1 z6{x2d;jW_2MdwpMNY{NC8PQl3RJgq{;O43KUL|@eD#tz%b3V4?P~(SiXP*iDcN{!< zy7%|RSz5QYNXzNFoES3Kaw^{qy<%BlX)TJ5!&$N>{hVhy(|9|&w8ZnaPK{GdqLvL( z0M$qHR;W?%z-oS)u{0uzT%KXF+@BJ6j>CWw_pyCLR2R>!CxruDTk|@K>U=F0it&0^ zqLpXPSz!@!v#hWi9(|aG#|Cq2C3qPl1~bPaBDyheVzHO(7z;f8eV{wda=wK{n;?YK zi^?@+O&6xos+0 zoS3FBcawidy)j)`whM=Q>l~qbG_H(B)krd~02iq%C#R+(4@#^OoNN>k}HQxkv9cb;PZ z{W)av#T}6__hmG35l@TJ4uhu8fjo!73Y-s<=eV#lYJ%OhO|823LUub9COtk;XC@VV zSccU5&4D@PEZJSYK!wuli3U^9k4jC{5La%4MtQh;&4qm4)-XP7H?JVZ=+{j{PL;_^ za4UANE36|8KHN(&~vv)oFG;CCwu!;al&eb4k?yjBH8J3B0q8)v%e= z@%C*Cp438yo%cH*ll5afN_hN{w__Q%dt8$$AsPM`4w1~bVRDgPZ+&%gVcG)zcQ`aM`un1=bQ?4!0(t~LLoVG*O!5QnvYAP z_%|}BD>PJZmQ^TnFg>!>GZQLfdygW=wa8O`UVFb*D*h=K)qAL(KTZG9ulx!ff=AJ^ zydH%-fk?`)CBJwrj$9ie1iQRKYcf6t5{j<}_futHhs5RQx?eY+xWh}7_3PSa>Y2OJ z&n7=K+x8Z{G4nDMgH|}M>2eA16ijImy6O%_O5ABq2>Q^CBB5`% z7m;hfCJK1MJ-i;vedlh`L?R!H3%(#3{VJbLH1)Vw7CSE9K9&+*=D~ zh`Y0VcY1r*uCjHFKbwrPC&z1>8@P%ZddyrooMCd8=BG2GBC4S~#**PX1EEtwALr)H z7Q@`58AKU@PkV|q3a&QdAuh%#GY|R z#rs;_3WkZ1ho_#F*r15OGo=LBqR=d}#7^KY z2@Wmdkn%twCNMIb6M+duV^B~+E&7CXXmRu3sBHvX3R*)u-SjyDO9@D@JAuSUQy9rV zYkN7t7${C0iT)B*r%hKlfoM50z<&o_eFk0KjPs8lHM(_OXBanB=?uJcP7?qi&h?M% z8_u8tx65hgJs?2^N89NB>IYQ(g)X-5cUlbT0s~RkIkIg0mN zlcd)e>5N!E7Ga4}(SSC`aE_S9b~WmmvOxp)r81#GoFCP^nG~Vktf8a4o1ZR6>a;x! zk7?&63xl$rp`-EKyV-tDL(f<5YEmM(ZCydz&nD-2RhdJ_FGH8V?8jbaVdo={xt4tC zrg)0PXDSo*#ZIg9?Xw#RIuz7b?+l~D1$Mu3q)K^YQ8^s0dw+I&NW&@MIV}a5)|6@O z-_A6x)59v^zgk@7qN3e%-a4=QN~rSNBb@I_n&{ZG?l(#Vs0MaXd|Edb7T;+4iL0N|8e$(0Qoui3`K;3nkYr&dmpMxcr%4rAaE z0?e*-x-Xi@jOU2i78&4W3`tcJ$mjv-D@l{}d2?43M&fc;y~8E#nnp*5{jzCicm$+w zD^-oqQ0SNZ9P#GBEe|ca&S6^FFWTJyL(G#YNJ5od(yPZA$6!~Dh*oU9=gw_C(FS;w z7t=_%+Q!$dltniNk$3npK`4}C(#TNjnyAL`rhpB@!733=$g0-pkaY6x=MyNqlvH*$ z9@%dFP7G=Nk#lg&A5SNUpSpN6WYl?cM5WxA(+$J-cO1#&5S{05>?xoxb3bL)6>Ea?Rshl-HJ@?3ZSH-T& z{F&0CL{S^=Tn=O8El0wGy)e0RU&MYIMm!m}PkO7< z95}l)`r$sOg$_}PQsGNvTulhHOn)B9qm<%nwZwkzCZ=qY12HD%{y=1{PY5U1FbN0dvI@5<@NL%9+m1`J~2`b z^$T1@_eBZWEa}4URWCo9V|F%RUiB`)-Pn#Qt8CLurPh7vuz2mNNid7{U0F#%GUGg_ z;N}f4S4tj=A~ySF`)(=fy^Ko)oWztBVuOL=Gxb;!>K=HCflrd@DJOoS4*64&C4`lG zx!xMj{l9VfEkt5H=`cmS>dtW`ec=D$n(j)7Unh<-MJ|=J*ceIjybod6c^gpy`D*0Za=sUS_UA^w^cu4m zE23nyFG>j-pvBgxLGTR!M!9DZ@hr=Ue19bi(Fj# zY+tfH5|uP({XLX7g70xfqKKr|NPakjH zmYL>OAyt~|?y_j&C!e>K0C9}G&>eB;4SAN0z&mua44!`$REc5B) z~Epqd6=0kKOR!c+T@8N~c1&D3 z3hqwOUcSJ{gEmnyAtPp3OLI41~KuQTtcUkO;+K>neh6nBWz?`!jT7Ye+ zoC+VR@CA;#0TJLfMHmGGelJ%+GCCI*+{Ppk<3bF6;I3sZ21bI7Ru~}BQEWbF)Eb;{ zPv}+=Vo)b94iDt!4@9__7)0O?Byob_nFt5}sG$E@@CULPBqBiqIPLzo2nRXM=wc@5 z3mK5uLno|(KMDvvD+UP%fYc!H3`J+Tq7!#fe}Gs2N5P03Y=GhC-5s#%AQ%xIq<&0( z9_k2$k)U3M?0ODf7OZ7$elV0hs5=8HRInN)c z$>hHj*4V9<>FQFBirvdX>0z&`_b}n%4k`k-L?F49+GM4FHeKmTSX$Z1vRmVQ=#qpJ z$Hs|GvU-5wLgz-oSC-z*lPeOlwOcW|EFkn{5S2~H6v?-A?e>bvN`v=mp-eqE%svc4 zHfEaGeaYr`Q`LK{bcaIZhu=jNx?8Wr9%uSVrti-(9kY$%U6xC?m*c+NdF+ncKi-)-g~79B;;B8k1PjWPHY zO5kcAIC==L;t4S0-t+|oHCUyzfu^Ms7RKE2aFZ5oO@#=}7hgBv{P%~e@*})yglL>< zEaecU>I01L-oJ53i^^jRv`*_ZQTl2iEk-UxJZhd1)PG&D;nFAgi#@K{g0k2E9}N?` z!|-mErcu4QH;Xi(?QOASR^l~PIz1`oC=a$dJZJ3h)M5dw`=8xKDfk4Lw<(tA`dxF3 z18dhs;aC7B$sG)DNVyGixHtOkKsCaxpAgz63-X zJ4}f=_bM~AYGEcnbN=OVb!U3$`D&?y7lP3gN9G=3PCG|p_2Pn10tXQ{)m~BEs1M3} zv=j0W>8S9&VCBQ81=f?KMvadddxF5{ZwIsO zQ+jR*O~IeXBvs?4ro^b2f8M<;^-NB$Opxu7nTv%4J2Kon^hFimhB5YAezZz?qlZ9csb zMFTP4r)pwROl4RfwarO9TvJ`=V(@-?4v#)z`Q?6}uJGgK3lD_-N9PD4i9W8N=GaER zk}ibizW$sfJNCn9%-_c&!2;9!#?38X-breaQs&JLP6-*hp<@T`urn%W0#wLbzF7 zfASZ#HrDr?hi_N*8Cb-4T$BhU8Y`Xr^{YGh(z~7jpFyyJVb!gTV8?cbN{aYDy8{~| zRlV5miQ#Bp5wfvF{`?;6eY!Hnte&@0cT%vXya(0no+NlEPy3SW;r==<7C5G}YPy3D z!%Xt$v7$;4`9ycjAL~IkO*cPVVaa*^x}JInGR2A{%Dm^Ga<{T0@sne} z*Y8JUqj^p)OS@7xU5T4#%VU}+)SVM;+LYwOMlfqu0XTXfV__kUzS`P;jP2W- z`tr%E{u4QL->z9<(o*}^YuObKY)9O1zI@OT$6w=b*X{X0TDnf;MzTy5N{!n;gtt0v zyOOWQ;3`$McJPQwi#1O&Rn6`tm{4B{!+J1w*!+&C6vGQPRj>O>u;~ZEi}b4w1=^4B zpB?ogF5Ikn8xi|XV(2)b^?FM!|Is2sta{{^g!7tebLta4YLkL8cOmsJIlt#`zJr>C zhq!z;7SBtmy(z3`F!G`{lt9VW>YHXeKJ%dt6|<3}G1;4RMNxRnQ}lAogeV4JJWaM$ z{5T%A`Xj;Fg`E6%z|+d&SHN9@s2_W&D$w_MX4&gwGtaxOXd+$9#^1+&8ze27Id0Y~ z$ugCM?+v|w@hc+<~2*)~QcDRQ^7#i$kw$ z2zkzKnM6U9^^0VyA|ZGe2=HeS zz^mhNGH{*HO_PUw%7Ao_j08Rp%xFgx5pfI!wEXvimeFN_?8k$)Q7|V;@qeAE!O+mL z&6F)*lrXf1@5%Ys+`^I#7_fmcTM&;Hl11ZiKq%X}DBv%O0yiF5pddsW4a9S8G?3LG zVE__TaP)}(I$vZII|g*SV&`NDLkK;rw647d#Q^LWN)%K8cVJ0Gtkt zkcc1k!FN55D@df7}WrA5!!Tj! zsJ2L?VE=@On*Q{sUB^6bl;r5ou2)?iJ93nX1WRqtBxIIkgagXAoP9STqRuq)xkM!$ zu_y!n*ta9ROeMR&g!o(p2s_@|sG`*fKJ&FMN&C0ZCG0EQF!fhEkE2Fl*wcd58n8k6 zD{;PYIFB&Nv74N3ewOxTx`Ora#z^flDur|}ZWkZUK$>bB5SlkqwPfnUVdrV+@MOy~ z;^EetwdijzE0-jm1WtUE5#&0jTKMrJIR`l@zcc~IJ+2r`IRZ|cSKYoe+AylzWYf!H zpM-_A@>x|jk+XP3n~ZkDxw-Q_Ss@A^m1n367kk(h3qDSqgK-KWFOX!2s$BdsQHH`5 ze17k{>T|`1J~kRtw>~+Drirz(rbN%c=pLAs8SHGmsQ5-wW0`jJ);7Cf^CLNTy0hWk z>l7~Patr4i7s`<-nQ_|`(EP zBqEpn1(~FI_BFNMujOIiFTcJzf9PZN47KO;OVy3n*e>$(>e7c>R78-{|PJ>)v;=x+$SUTFyZJ15Ntr4DZh~&1h!OD8$_Ft(o%tRG(iP(D8IW9W;$G{7uu3zRphi!(M zAidbVE)?$)7K zs~IYBacofyb=hw>()H7IVuPtY3-T&)R7r$_;zhOa+D|-!dli#~`~2Ayag)w#GqzsU z+;iT|S35(TIQ_Irqhb=-NU1)P*xAfEb<_&u8MnOlGJ{4QX}dG8`5Td32y{reWLh~l z(J(i9Jymw!eaY{G$@#4^UqM-}%FnhmCZ(f_Y)d29wNh&j(@Q<@fsEtT&K`j zo$b1{^XcYx${OClSo8yhkC9dnuZKfM+9$uK>S-)n~WnTz=KD3tGJ z=4;domn)mXWNpFkRybc{UX;3G%lG8tyW+1w0tdM$3)3wFo$u?k z-j;c9U(iC$MH{qu(bBH%C{%3G-+0g|c6__i?s%K#rO5lH-dncrjgPg%6GfJ4_RP$J z2d+^!^-e_)_f_@;Qp8{MPBBXA=la5$P+XFIDvu2d{>Wn}dWf776M!z!#Hip5ePS}l zE&4+u0+>&S0Griap0j&gaRjt{13)CAlF)(;0kI?jnHS7^cFG0+m?wek?2OZF5OsqW z%F735)NoqN|5Jh1$w~ka76@q93QquKZecX5P9C{twr)__oz;A^-&5W23S>ibx6C+$ ziu)r*Ifs@?rt*jPJ}(_O=^4Y*BhIB-PV|5M9C-76itwn0-!#+Wig4Fp|9#d=z{Kp3 zN*Q~FBcvDvd{4Y0d*PbDjN_n2;Mbj3toQFDSgEw|mDKR>qh>6znCHgjp6>BjnjQ zMm9a2t*j7oZabHJNta$K&JmR4s0*NC%6*Wx&;6uazpTbf7CC($X3n8YT#>v&F*^ML zoK#iNC)JmOQSTV|nYlyA+-BrZc+`c3icRa@D7^Ymy1AHu4Mk7N({_6V^t?WED?fJQ) z4DJu^#0A=3Uuzql+LH__AkE;29Zf!UGkUieBq(G#fj{&%hKWARxMNm1E$#jMGVd3l}QJ3$bwT zy|AHss{K+}Z5r|lR7f(oPGa}8Gxi?WFp7zUOBeMn45d7p7$7y+O1-yL`dc7)xLrZ?+C5gDXn%GobFgNKIb1cgBd` zt3tXfZ?>m(R>v7pd$H;946#(yRWZNQW5#4gXudxKGb$PfGulPDc!U}F&BeTEzt{J< zN8b-QssAWc=?bm;bHYje5t%*yDGYaEPlqlGtw&c|F(>gK+g@jQB&9tlrO!z>p#98q59gzfJtyE5#{feb7Mso{gU4 z((YBt`5GDbN@W2ZO#1EQ=2j9Z^%5<0~a6fIOQd2ki**K<~C3Ti! z8As`pZt>&`5GrE)RiDCYs2A_zr`73;<`|z_lj%~~fB3e-(xug7YdsR)ls_lx+z}L6 zanlN-t{J$?9e4EZ^TK>4k8Cm_)sY^N=KS@8HjA}e<8N;q6dSmi{)o3mBJ`P`akfk} zAM|d>J|)p18%TbDC%<|w{wd=%hg#jDT&~NztzuMcRN)Jl=hNB{LtaLj;<+6Jg<3N zoSvo7v-Igu$j#jPjTNKRtz=fe(Hg&k_$?(Q7u7{`YgrllsQ_Fr+Z!K3H25u2J5e0K zo^&D*f!p8W2qmO=8%9BOf_?b=fGY7%6EiJB;`EC2FMKFMB5ToD6FA`^grz~8dN>j| z(gD9Zv_qW)Kv94=S->IfKaO>1e?TgP#J{|tK&;XLLclF41^<^vn1mSQnE`|=VI~l+ zAn`B*2v>7!v~3uqme5)6?*kO07{r{3mdc1T-?-DD-fU<7cVg1O1rmZ);!r_!CBU=m z)Nk{DauMRdo9x~%;7!(W4>()C<0JS#72F`4Hwc%300U|P$Iw#aA@5mH^0qC(eLmKU z{)gm)j#da&!xCR+YJBpQBJ~u`&P;2Ii>PN3k0*1CTC2mxlUBBeM}4JrX#L|p@Dx{L z6WSFQW|YLZ(i(|!Vel&wsOf7Cl)GLtx|%Eb>R{cGL8pouPvvsSmGq9Ng=|;sUMho0 zMaxdd@ORUpW>TncD@hs*FYmNfdA@Us+~9BjiFG6$IIwQ;%CWe-x0eQht1m9T_KBwO zmN@?MP#|4`9WyoA%y)OtjKKxs=mPz<>65sB6 zRk$czPPKhNWN?e&9-$7>$Z@hYa_W{@az(AuaAk!jV|Tq5YUo?JSFOqS1MG-wYE=(J z-hrpnMFPjQTIS}u5nWxE-?QAk>Pv5bvqC9Z$t}*=M;y4#UkF}&mn`>amD!$BeRHYp zEuJ2)b>9Wlm*|AwkB>HIywvHlmK*MBd3q)f(RgoNv)ZEcaqoa} z`=-p%srKn|A8f3XAi*au-2dXgA@L_hFarE=qhN)Mz(zgs-T?l7r{R2={^`;1w?D$4 zVDZpY1#mAOy+eYJDIsxc<@jW7<+Egp)(pSDQqSvYe$!X^R4;k2&a zA;WObAy>gXf`?w(rm3dEr75*dpRJlZLJEl)pZIIh7mvttGdm)CP*2j9MK>(_eBI~n zJS0*Wj0xORZ?G{+S_MI4J_Lm@Y2?im=y+7MKs~IkA57?AuTt#~u4P^Mx^c;k0J)KsNaft^zH(j1lWK=k= zMAGWUW~+r?)0uWK>c$>jy?*_vMa7MZ1ua~hVt4&3nT%Al3%|yTM5{4lg%gj5z0yXb z8|@$2ZcC5GjTLNhAQJK@6~mYU&RiX!>w)S?%3O;&I@M>DSBI z97i~38wO1@HBuQLyJ_$6jV79Z*BPwW@>N+WTO2m`sibq&vL7n>a2zuG@kOz6 zbEc(QYng2Vd~;mb_(v6+!f+eXzi33X#SE~D#JYv16}MB~lJ!HG2Q|L}id;pb*LaLe zsQM1v=g{smy(a~O^0Sra3lH`l^Ov~PT>A2&XQh|^%8pprAaCvT;*B7aJXe1i-8zNM zJ>lg7Ctv3oDOnqDdXL-?QDlge#pSPQ=Ryb$jahkyjdJe?l@EL6TQ&5HygLvqyl!P@~*^S)q zZi?EhP5K(n&387~a;fVDk`L0Ssq18ielAvJI^A365++&{EBJ14wd^?Md8@ZcTO3X4 zr+N%E;a~kSi7YC)W))E_7Z|=C^~gAr@)ak=D<}+=Nv8e$CV}#rdTJIJBW7zhw=5zdbg{5@|Mx`GdreAyzLrNHIN#qG%(nz#%?W1|gHaAfhWo{Di zCT{dg7=?E1jKY{1O7@A58fL)nC=ypD-KROOtg|M4UcGZc^sDv)fkpTBGg^lIH6!V0 z?+=D#Pu&td?}bwlE353e2=5LS^09vEZ8;bIuvO^0sb2|WJD13{`x1M#IzNiKy(1Q6 zt}hHP7YxX`w+H&Kj;NT`UoEreIw~A4)S#kF!#cejG8*2fBH zr12+;#Pb!nbM^y7F2!#5k3RC>@7UYvLB4G5`|zbyfAnTpJ0ix9!i6XbH0Jra*= zbQdD>YS^P!5P^+$P4IY!?>Npc{8Nv@U%jZtkVNG4^}k@YjSOzgLwW*9@%`52;_7c7 zMs9T^5Sp^v-ty$JXwwZ;HMro`k9p6KhC52Q=1#Yyhj4A0P*uy19Vwq3Ujqq#@6Kb_ z<*a6P4PQ)HY`=d&4VTBPF1xj6y`zli%zLvYUV=Z08N^PGNqLG;0pNyzdHP8~2I?ob z|D6&9tWJ^$$y417{5S)qNS*;xB>$jV5hu>)XgtavXK?TX?c;y)&)L<(SxmDtr#FD2 zIBOZoxJN<>oY_RL5ou?oOAa<;zV^Hi7Cg?P+olFhd&OfWgQ2=VSfLg-R1ZvQO0`Pxz4H#v8A&ebT zOkrV%m|b`MvItD05(6~r4I@#7 z;P?J&HsKz~{fN$$yN0a_5f=ZWMRv%p7~~3KQjlb&1mO2Blp%}z zFfxi0H0fVgCD7u15?<&==s{noaxk%)|x$u_%R|r}{U50p#39 zmvzUaAcj1D<11YJ3k&-XjNCK5{gpVG4QS-6-D!5+Am1-u}<*E<>4$)z;(?rrelt7l~cEe%NzCl}NY9V?#Pl)@k{yzyEvfB} zH`)8UI>@O4eaJa|N1=iHEc>X$n=KImGqN``P4fuHWi|Y6J;=K1{fi{C!{Tlu(|3`C z1ffe3pB5d`6B?aEv(arp~A5KaaGbT`OnNcc6pdC z1w@4ut;0{h=HCqzV)x)P(HKjWYx!;*!Ag{+a}B^{k1q~@0`IR3-i)>}>;;qCHYcY=a{k6&)a zy%BYOpe*dS=ohy4BWox@>ZcV^kIm_5=BfmK9q@i(Pqd!pRgaJztg4j==bnjugufR> zkR}~WLTO5Ai5Z{8^^oBQ7dhM9bB<(}^nAZ7E@3NcArC$b)X85lO&i`+ zUi~o8CV#~s?fj;4&``#^AD**sGr`&2Mprxz|}6T3)+52FZlgUGH3eh?i#Ud=(A|s$V%WJQjGdAC!C0ZQ!Sh z&#Y~z{K(r^D`t%o#`MD%cMkQV7{8e5wRW-8eeLuxfy6&9&xR=ekj#X1|T7N@VMeuFNT-!DYgczY?1A#r7L05;{12~ zQ`}gt-JWloQ}7x+_ptV9|DD?j&M=k|A>BJ7IHIwICUlj| zgkC9Q53(Ja=ay*`9ee`6!7gfk#96F*;oKsC%Pg-(!*{n3KJ~gz{f_DUYs2|i0;2SG zNe8*_cPu{B*1t|ybyB9G5=V7}o}1|&wce3derbK@G-*$S?B zp>~H#2Gr%BLz5cO^puP^w^QXF3elG|m!2cbsn2h%7}?nAy6Mb6U4psGoky}%mxXtD z#Yw|>B$=+iW2IFc&);Y_6Be?lI^`85BfS6Ia3_qeyfgA(%-|`Z|C9*IEX+C1wd0BU z5?*b~Gn0v=ZBro|U)DFvp$sGe%E;>XA?s0IudeO0Od-f-Z)=J@QrNZ){dMp0&2CeJ zFr6rIwTHzK(WxVt-?T`y{amYh`z1xq&SBe7I!VE+$YARGX2D;rcN41;n)lY}{`E}l*nlcuO{KfI}Xlz`%LieYZ>qbd!AAR}e zGnP{Ghu9-u?KJoovM2awuQCxgOegnO3$FB&bX~O9?IrR*9vPOcJBPV7y7fS!ur>8P z$;0n9@%K?CT~XMs*gX!*UwD-w-uxm=5w|NoS{frKC@z0Q7s&A5xiQzZ(B{16O#HQ> zOT_NeZm%Num&0ESh{h1sDG=-#+_7MO+WVLwYTP1GPVV@ZBXMb&XW4ni8LhNmV#z=E zC~KSa5igiDAME{{V7raoH$jqBWK`Q^u3#JEP2?^VOsLCmN6wu15k>8)nRj|zy{d}O zNekG|PgH}y3`2nbd{Ld?#B%|yen3v;uyPdO^!lTEAkQ$d$TLhV@(dG;Jj28y&oHq_ z(LbsO@{E%d`3Dv$i9ChovVk9GEyMpPHOLbf0MJ~JfGF%lg;7GDI2xS%^+&5goFejxMGD--i4bW8-JtrWCLMs54;zWZv(KOz-!E|VV4M_~J zv`HZ)ghc^2o0t?rSQHL8>A%A`PK9}IHPA7lo&a%ws~xBpXo?R~Noi0RU}VL`g#lp% z#I8uh4vETB;y?kxEF6$-9XPIgcfbtEq$DJSB|!)jF);}=k`-#_B4LL*<0){V4|Om@ zNc|bikVZ;e^n`ltPZ>atCMJx29IpXh_(WF$McJW+dN2TvPEcv2pMU`OPkVFy1pjG| z9U@5pz0Wm(-j6{^YAFES6_WsSIxWp61s4bQGKWnZ0OkEN26m_wU8kWD)Opv=C12d!mv1tIF z_&-$u+EM8vF3UeE%-;YNjGx0SA^l8B0t#_q37{tbPZyGaG7h5;jY9sPq3^_l3e2sb z2c}*y^r|`-0WMfPxEK=Zs5^UnC##o>E_r}1xerQGO9G5Am;=BppOj_;;9_Ah=+zX# zKU?oakH4!AJsdzKMmP-<9V|^*KTL}rfUAWi0J!|D zIKbu#gWZ2t`s9I5p@70F*8!@;4xH{Nd=fBM&^*{tNB|gy6kZZ=oNlPBR2DXfs24^7 z*$x8!6{0Z$0wOVSuw{|JA}a=1^eFI7wh1m&ie3Z63sA_j5f7gP-4bB)W0R0T3X4Mq zhm!jRUkhm_mE&*{H6h16BO(5R3^D{tlCe>;tj!DZz?J1KwmY zz(yzm^i*-caR_1D#`)(038#ahfNJ1OK}BvzDTWjSD0(;>9Bg6<@O=`KmduKhp@%wi zDDa`A2o_FgZ3=8ITP$!|C^&>EG9rYLz$aP^6h|M;#Kh6nK{Wt2mVjP$g7@U?kR=M` zqbrey-~uK9@C;5~t3TDi5&_!8|Eh%zoqW4yvA`+G#{@&3Swb8Ro&GDQfNp04e5_7t_55aK$3ln3PQs>OfXGS#QPSKD z44>w#q6An9NdOZ6S4H%!z{AWK!_Z=p07I8Tuh*a6&e$mbRq13-eh&b9Ql~#DHvP#w zMA5i@1ORz~j?kZ8@V-hy86Uy^|If6fh`_We9{`rd1qxhz0K5a72WScdaqzC9@#SdB zgN(M*#{?F94y>GEJ79Y1oP+&OX$i2A;ed?lU!}oBFp=;R(nvH#5pb6SBLWvBV&Dyc zgU>Ns3Vh1g6<~~~Z@7Fo*lKUyf$ftqgssIS4!$!I;5&0xO9IFmz$EeCwN94{`2Pd1 zKQIMK@X-@Sii33p#GT^kYZ?i7R>qq@JM>o=*rA&5K^I-T*e8@s1e9P*z=Kg7ApRx5 z+Xz2dC3p+4P``f<6NA27z^8`X``gy99il-{Y-f~a?vfSeLZ9(hp3RxKhmRxPXg<>`n)lUL2-Wg)`4_qQGRKQmxcGYno?FMKpi%x7T?T9$&KP-cw&a4DE9bGr z%5mWs#yALY6M8fH%r-JHlQ7yb?6jsf@+NU=KF!Fyw@tl-{ewQ0$_Ql+i*1Sc+8)Z% z`vi+eqn7#MVu&n#>GsskqspuE#k)D(kdQ!L=$e%RiMREhE7J^1@ILF;L3zfs?x%%n zJx$+gk3JiGT_W&%Y|%_gH}Qrq#Qo#d&6OWrxpx^;J6Q3R?`RNG;#H@Jj%ZLly!*{? znv9Z@ybJTZQZ)}|s>8xKDk0zVf%emVi$=Un1%Y4e+IkgfzwCX*cB^R38XY6aHEOQD z%i$SGFmSyPE$?T_>Wocurw93vfL(S+>R|(Re&DN(alLND>;@cD@auxzOZf>C!&w$b z^8B36dvYoSQqT4A626FLQ8DYMl@5er_Fa9$v&cp~F6z?%s7OyjuMj0DdRY)bzu3Xu zUtcd&KZHlV5yxBoJI{ADA+l==Pc%_f&4EJ!D52?e-K z@JnBa*YlX1ODzACwJDIBIlcPu7KMP5IfDhSn!dCDO`kk{54A@zYvft?GpD42)~E~L z{(QYCifb_A_dO0{>yX5=8vmgPh5X~u9$rsst2+9*ufkbMRB?h!C_eM~hy&c+B6k^5 zTpY7$yr8IeTz=_8maIW$-TvdvGc@0@H{rDzIn~2Io>Sf)-Mh!0;4J2Sb!s@&>GOc} zy8hkme4!ddn3B{>D;+7{62p$J+;87`*lhgwIZX06{M-1s^BywIL}k&xr^;tG^VRVs z=C_abP|TbPUzV~+Ohrx3CHiW|&<_QXzI-~|j%yU-jJ(tnf~tKbMlE6=uVHx4KI+5p z&^AlK;=S`R^E|2q&m|vgh3r!O09-GQZ>jg3oyVsy#kjYIH+wHOeG;}4OvJI(h~F{) zn5JGuvz1-{d6wOEDmn()5@*7z-TjqM+u_1qXb4Hk8y1nV=>Lbdw~nf+>)OWY&O?Wk zbayCH64KpBx1fTApm^w1X$~bINC_w*At{0a(%mK9-5}pOsLylj{k`Ki#&3M@U%K~- zz4qFNHRmt=YLH;@Yk5|!Vpg+NfWD>N3Cv?L>X1{v{ImE8~MT#12_ETb&B}#-d;B*>6F$A zy=Z7|-YMGKIKGz+k)_gIOG0f*V_DMbF3ht2UNa$}s1UnPasw(Shaz=de$5RBHK6?2 zXTH@Yb95GWF+=-3g76q+$>Z|6e9Skf+IB`6Gin1*7`RZ>(C@{YJ+ZNwK~5aOGMen@ z(fiP~8%l|BV05c-`J((0`4?fFY~vJ|H;yvbs^EJ94T%!eJ)_3{R$AFhZd%5mIeWLc zcb3Nixa&n`65h2?sC~;tkCJ!8t)?J9sZuk^v+}besy8TVe0L|xLYQ3Gr`KDrYO(ZEO7?b<|v|ay+V=cC^ ziD5I|_<%y5zmUn*D9z@!6yK&{{+S>!Ycw(`TG0$(Qe?y1eOSmiNVl-v?ts_7KA(HBEY-mhq<)=t_ac^ zys+aXLKRX00PJ~z7xk;EARLwP0coQDR;>e7-!BuYQ3ALJ$T6b84F-@5?nLtfhhI=k z04NmE>;HB-9lgL1T35k91O|86D33v|UmF6i%8R}YC? zBh(=lg!_QtM+~9_@V^T15kdE}HNe+XfX{dS2IyIF9n3fIwK0j{o*mfL0w4rv1n@4e zAONGqpz_WmPq5J0Z*9|?$830w`k zu?p*IR?uLU+h7t|w+J;!;dCKz)a}(@DfqzPU!Y3=PsEcBpTM?bI9F&3Oga!>#UO!? z9*%e54*}sUe&FVU=+J-hj`<1bzGE9~LV2Z!D|OWdOe9zhz;^=rZ=!I-3&szKNIO`+ z#=j1C_-~$q#~Abjm$d`PT91)n{5NbGlgK@hV6X~O^3 zhdbu5917rmH}v6w1?>VG0|Nh6Kn<5)82n~{^Unvqne;c5U*GJ~2aKQgf)Ec5*OpL$ z?+-8sANc*kfepMFfMEds0%Y_@v#URCZwBz3XYPm+l<)-&{FwQ`&r%qmRd{#+4&V6r z1YpGmaD+dEf%;PkH;)5CgamwhX;KVk7!4kr(kxMr&NxTn)i1=TH zAPNQ}yu=`eZ83m!or&z95aXW$u>W%c3&a#&YY}K7gLNC`!bC)j1Xh@<1XkkR2j4}B zgSYlLo+jO)8cr{H^l`F}yR1K)tx!@|RJTSR4>&Rxk0b<2t80-U7v>MMDC9#dFP!z{{h)swv&HPnTtV zliTk57T8*5JnvBR9&*ZZ7AdtFeu&0Q`bwCq7OdHUFY%H_MyVWSG}K@;-wVkmTV2nV zysuv`$(ONFW|No?S4IhAr`>jb3z6knnpy*aqLcZy;uwzQ>$n%UlbUsmp%Ef}^#q?M zozGl}KQL%cm#dVyri#B*4ppLx_7ZSqG|$MaQ<&)SvMF|uNE*!vM*zCs3Tp*&4( zj7^zx_&Th8_D>bI#BqD`e4}TUT>jmmdaamcUB_FY0(90@i*+ZQFCI9m z*`BQiT)Yu099F8rUa3?|8&EY$0f&@BOGG*utC&E(Mjeb#zN1VhKN#Ee(PKxLZj(5m z1%%04WClfr^bH1{-Ro(fdV%gR)ctKLc#FHc!*l|sLd+~WJ=m$cxoDdJd&eh`lwFX+ z8R&saz*{irZTOw6*GwbW{i(f_W~)`|k%NVIzajR$X5Ri%+d2p7N0U(R4a01?QW{HexJX3PIBQ9bIOEl{LK$^_Hu{x22~9O%)8}$4|u;1;xHI zX&PwXP0FXV-4*EkaC2AS_@fDI&XPo9gXL1u4jBL zS^T5v#T9Dq-2-+$)ynDQXS#{E`?q*0HiDmfsDG`dx0;OIx!-!+4UKLiYLykS&V15s zEn;2zq%@DJH17%jAa0U3`e+ZM9gjnJQ_{gCM{&C{M6Vc~`L(Eg< z<+n)p1oDhu9Tb(_KYKKAb+vyu9Fg#PxW9Jq!MxAj@?sfIIjv1qGZGRL_SP(i zwD$1{vcYGo7tfsT8__`(*Qvf!gb)BRq5AwYWc-^30v zzAIt}(oY5;9nrsbPl5`Ks%XqGV~{qu(sva5l?wU2ya7iM)*DAm8ov)ACj9A~{#^u{ zL;80a$m46oVv{g~lhgm+vH7n@0OGAVA~p%^6bnKO`TP053s*pD;Yub-4EA~vg9rs| zUgd`I(cuvhgGc<$QTSO0oZl`6>lPy*B@(%kSN|uA;b#q0A&?{i=bjo95W^mS{Iy@_ zcM%9L3c?T%k>O9ca@qgg9vK+_gkvCb$PVKB{}>$_@GOBJ0V7l)Ac6^(6XH?A)h_;i zaX~R)fB52OAtbP6TnOP$F{=Ms7PyBBR(vd=Vn2xoA-;NTR6)=&$fJ0JgIuZc|2kK9q9JUE4b-%7sVigsZ(u>GqF2=dYGx{|~X)2v5N|=9WNHiA03!{5IFs4MM__$TW zh3hbJad2Z!{O$MAjY;iVe8G8yHz8>d_0we0;hh%LpsVNgSo%@YICSUHmun4*|x!3{_2&fR3m zrmu%liVWFf3%#oGLlGfMYx``YoAG@pf%dhfF;IMDvwbssC*1suW^keGrqAK~)MIXXh`x5^*2U=ir@a`2oKELr>IH^#cSbdWA2R*w~=S=eIppe7=% zwnEt4&+yp_3r2ufiZlNLfu-aOxvH9Vlkc42D)wf*kieT|JxZIEZktQBS>mbsrWk=x0g&j?IsUflQ|+D1<~SoiMvA||O_4RLpiC~JxL9ZL_{ z7-}d6tmEY!v-)0h|1HT(EyCm(g}Qq*2)eREgUP5OqEbcQh)9F|nsH6SoNqj=Y)opr zm#4s+Wu$=AO@=xUw1va(%3(-d$nlSbncvMb^!uRt7Iz zGUCNhTD;0v%yBv6*_GLt3x2GqA+43zh1VZL^YrXf*~=rx-L<%s%+98rLtd83mDZgG z@*k{Bphm294zgH>+7YT1NEEyKRwGc6?3p3x%cYG33`A>_jt$Y{Ro0o3E;5poCM+(u zMl6xW;D=8P#lt(3kw#GtFp{laCaD#G%n z$}P8OCUcg4OBO>cWiEz^NKPv=JT2WimMH*o8I6Ls-KoJpmi%#p3!KlRznW&;?;qr|(r%*7FB< zTHhXccAYjQRM9$CU-OJZ8*p9MF+JxJAAzp}Roiw?@((W)rI!r4(RfLhEY0z~mblD2I=B?nf52_Xi;k-dWW z3?yPdDI6aaGq_}!?0$^<0Q|FyjdnD|}} zA|hCg1{N92X84yD_V2r=;4ZusJX-jN!vd@)CNVLL)DevoHZ<~AD=|$h5>C+S@BLD6 z754w2-Cu&zLLf^h`qKruGxm%13F^V<)q!j^_$IJM6#`-s(JKz|pCbTj1%Hr>1_XB9|Df)6M{QG#4vqIfUN&H4!CLvJogLJ#K9tB28q_cg+~AA5MG;C z6xQPmM9MoT;cmh|Ug;l&tiX?i2WI~o@_%0+9-ji?6%iPr2k>h?F#NkK0e*fM-vjU* zkt5(Cz;|nF{Er^OKk|vcyn>%m;r|cGP}s~Ffi`R=1-N)w8Q7fAazkVFaV3Ih-S4-p z7=+rVcp`Iq7`%1jkj1o^!lPUg%m+*IWB2nee{^_XvqWFAOsO|#NjmH35-CeneZb6^ z$b&ALh%Q=1eNK(h5gqJFP0onii2MZ{1%uLS`Ou@>yird0*lye9hUi(LffNQmkQ+HA zei$6h?3anf=H$Nu<*cH5W}4f}-qqKeh$UXzntAX!r=a+F_1WoyE|4SKhy%@@6wMfz?Cg;r2rlE+hI+kY| z{2d7~I0O}2U~KLxAT zfw`|iX|j$)aS6+Y*0Md9u3lsCIvHF@I=aHxoZzvM8+x8upFdcxg64@X2m>kK|&> zJ>!On{7NR&z~+YLl<i;x{jtG)PyEn4PMbt=Z}#YZK24-8agJ2 zPQHQHIi;Yas7djUb}J4@M*21FZWRR9;|eRrU5!aF%mdXe{NN6_zDaIdKsKLJajG_eYrmavt^qtY8})3HTw z4>gETT z+IHJdC5X@)k9Hkfx(fW}$Yc)8a1$Rky~`^^JKu23*dHI95pR27 zA#j)HVr#*YZk{ay(eFDUm)&4i1mYJiGGwMZK796xzO$+Jaxc|BO*{-0;$ks>^cd+S zgle${dApC<7tN#M!Mn+s(1>F{3K&Jd_qXgZx=t@1s9OeBQzp}t&9`ie}BZ2l;=B)@Gi(?|3wy|F)v@&G=ZT_r71s zy-AAJYtVMZ6Jokt9pcyr`gFNHx66m>d{d?N1V(DHqqLt#&*V<;ln-5yww{H~pjI-x za+xR*kb$1fiOxduvBD!DU#?j;_u6+LL=HbxB1e@9RDZKm`XFHW<=FKbsry6(lk^y3 zvu`7bxegg+X$26o*$nsGCuos9GVM1UBW1cDzmnekE*Pf$WSWtn0;|c)=d6bn_fCb# zD^CfbqZi0QYpz;l{b=Ef9OZaKUltp%pCqFO%tl>?dpPIBG(D6r2R7h15OTseZmBU zN-cUM$W;u6_~+@lf7$sGA}nC%#XvZ~50DOTI0C-_F#h0*Se$@*b9J@@$d&+p3{bvg zzYdH)xHw}$Wr8h$V$!R~0SKc1#|*>mkQj`Yo0t@qejP$g{CAlM{2&c@gaB?D{}p`s zt2Q{o1V-qNNdj}`_;*);Vgvwo$vuGB?^uEq;{T$c){YoN9n8wr1`TkeNMkAiI}Dcz zLIZW=c!|)!-hOwzVVa)pW(-$@wPy)gM2wg~Dtbd?Q^5}({LJ1=xhzfDtns19gg5@= z)cvgx5=BCXMvOZYxXeWbHJFj_?206fx6XJ zra5y1#9yi2yvyux<}GZX7UMv3rmlXWey9QucR=UVN{4IZzUl}JLqk0%| z^kv!On0dvDJo~c|1<6lm2wliF#F}GIwvT1A=$~B%R#XY~PCpxBjL{)PByE{nM!eu? zCn^@!QX;Y$l(;53PT-7%D0W0Vb91rV>w?nFdK?9j~B#a5w)n9ISVPWaurJO zC@vSEJhF`;?=`Ugw&Ip<@@a8Jup9W`(WBQK*i$yLzjR6ODJF0&ounjYPg0D4b~#m5L-{xJo$L1p;=Wvpbo`(}y3;h*UN|yk97JkbHjn<5 zyx?8C-#cr1ahezx{?=FvM3OThV*3E=8UCYw3(wNBgwD1u{nE|AY~LKiqg}dVwq2;i zF)I?cXVnjldaZ{HUXA(&-(vFA$XMME+6nJ#lihR{?~t%U*wTA_#@j{n)T@>%vMKI? zj^(#EIu@=CS@vh?AMNlus-OE3aqynmCq7b@swwxXnPy$Epk&oErQ5`P-Rw9P9wIzB z6LUvfi}`i&$Ht!Evu1a(>z|3&r=hCYP+PD3RAjU{#x7DS6Ycd7EW$5S7h|U;fvfqM z7~Ey;LNI(W+y_*-swx3w4LU}h)TKaKU>y+bT>HyKJETeYNW^}{03-96Cw>=+%V>Ua~# zJcr17RcTscw47H7=O>MhN#t7}ScyNDo40v;ajUb*T*CMbqU?n6jcYjpP|=pEQ+>1N z_lx}rMLgnH(*g{G7jCA%ZgtaPRUY;pdf}t*I%mXrlyoEHTB^Av%8;^jb7x9)1qHb{R|)pMo$tLj8k^l6XodpF3#Pc!tKQ`)czf0^0xxfppJ*l;esBuE z)>}${%@wibgHw!5P?<{|$0^ipxP<9d0`EP&N0X>S0zbrS>`V)4+vDlK$pkeGlbIQ# zBTGLI4nRka)3BYi{t?20wEtz2`c-eF-a8SGjgMro?E|q!CT^PO)xkr&jxS%0wvmTl zeSd+9#pQk+sVR+Ll3?+I*z8Hc&1A(JQZt5lyN05EPE%t6Qdw27h>T}Hq_>BMy@n9` z?*CG`Q7^OwmIhNVeC zm|+VX5C{^8rH+6L5bysRLj5`{{&&_u01yqp`VMl=Fe8vpCH}h@fK0${2Qgi^_4@Z9 zev)8*cg6=aw-6nHdBPP0DTu$i;saLj6AsDMTkY86DCzzai~Tby`zO=$e~RP&b$Y|^ z^BQ1q%LQ8{hv3Gmf>5r2Fh5K)3&>|F!;dGgU(?@aMeOyqvr7-5iK!A!W$;_glR{|W zB@RnK)ri#6iTH8W<*H~L$E3^{pGo#eakJKL>5SD3=K(r_>hLuh)$25OJ%6mTawlaA zMN;=i$3#c_gY&~5PkpRPvU2;lem-@=yKZ7a@f;yhTS>O5GQacGG9JEXx(ZH9cEu9jj*2hI?BwoyQ8n(GpjDV6CHq`nnaYDSxdXlw%|)K z`Yt=}eUZKmdCWWURGxOZb%l|%aDO5dwnAs`N){(7Tz)b=NU|*t3_Z+!es4Y+;}|9C z@-=RpY)*0F*#IQ`qbaTE(quWbCC24_Bvv)m4MtX)(uYe5!^p4FQ+DS~T1Iu%dzzJF z3akf^r(T`D$~=3;F||g;?cY(H>vfc_D-oB^q>Iv;`eumHEDFnBI=1E`$Fx{WG46+v z!z9N@(st*QP!?#-7S^k(qO!dEF%fuSqh0 z?}0&EikMZ_yBy~+fh<@voQ%-heX{8JYUUK3g_|Mo!w1uE3pmyo7eN%ShkDpR(v)Tm z?SqLE#GKV$Q*?IFpK-Vn^HAR1hc1?03GikPk(Y4`hSeriN2$zR2hix_-kiXNl& zS?em5-(y#$*02f;rp%$vJt@Y1>>1@CC{W*T^m1xzSPi6mtib~9{ir_Z_oL!KTXO>?Mn0SB z5%WMZQk+9~Qj|%vE5TAK<}tTJOgLp~X=$qJ=ShyfeLh9yzJV+gvf7op7R?4p4VH~# zwt=uQlkwO*qW4<4J|DjFLSGMR8ucq=Dz-WYnOu0TquIBf+G4DKt?#`5RZ(2Yv#nEN zOsm!~2lYe312gUP11cPap+ym-{PK@ZL8(Z~*mv^E2&knsMHkfQM8OoIcfqIdA(%og zBE^877xa1v7O#t!f(;&~#;4cg5|BQ(QcBdrz>YIz+Ax$@@MDIe8o2D{K6M^{@0b4q zD@i%a>0BEFb(0ly!=-J{RJP`Ya&d&Rd8OoHW9mL1tyGvSwuX!kb=(_t#ZPk?&0(Ch z=u8ZFhbS5`1L`q%wl5LmD1BUM<+ELPUm++h@LYzlmS<956WiLgK_W3a?4(h`4GPG8-D|J37Yx9*y_=n2`hC9)}aVV|JHVUyL07-GOLT&`O1<18(S zj|`dKyi|+!6lrcJ^=yO6?D=Lefl2`lZVn>uyC={?Mv~^4WHdreKVm=9=e}``kB4fi zl6GcFmoKKyL|5;cIM5(O-BBWao`&4W#z$bE6P5$jdHz5u5lg*A3FCDg=R{zsp`DJ+xgTVY~TOz zAq~GHP@P4P&XYAwChDrAPP?M0;UMzR|FqT;UeD+HX@w3a* zY_FTOAFrJZinH~fC~JMC7#-AO$DzJ?cibZ?%1E~QUKI7MFHI~xm1XxMw>XUvQIg|o zlvm|O=XcF~f>&T%F(O*sIqQUa7QUvcw;af!uT^Ld3#6v+iAFsMkHz4??DhrhGMsJGxzeqGMuY!xft&Jyabf~6qM3vzQGEc!Z+ zJt(oFm{2Pn%s&2zwNa~>+%DZ%WqNncz~fjG`Z<@;p~|Z+MzLv~ ztN~kLXJSB0Xrqa*0`sHoxsp#ZUbNFfsi5!1uv@2%0*`?kR$V)zpVikKhVVlnHnA3$ zj5>630!7~gbSXAmj+-W5m-(}cWyKCZxP6DFJMlcB&N=DiPfah~Tfhh%Agz`IU1OLACdo?eOgDi?l0)ta*Q;g_>_$|HG zp%R^Zw6P&RIPo%>_FlV9ruQGqzJED!b}ZOYkt43{&noEjiGnaknjj1IAxW*9i<7S_ zrmC=FQ8(WD9a?fyys(`<$vSPjo7jcPWy)!|13t2`-E)ik@+?`n^nUh5T{2WicQdYE zaNFO0cpD|;`i#6t{@JX&@@jV@I8$jHj|i&O-oO3%ej;x-iL6~PW|5Regg@`Wu^#4D zq&7>*`(_92^@q8Zc#ki2n8v0Q92;*0+)`HSb=bl=tdhq0h|+eghh`YUGH+-esa(5C zeb_P1#^mf24f`zrmP-7Q@qC!G<-GeF&(ig~p)PpXvw88$>rx8cfugh)bl(}m%TxoQ zjg^FGBC|+qfn^HVyN^Fa@V<-n#Fmk0ciw^@|Lxj zd`iOzKVr!P_-Ym89u93=5=ToEdp45eWHst}#@r^)N*TB)D_}ZUGJhUB=p2HX@1paH zgqfH0P8g^}U{Mt(hGykPR3oXzRgwz@b>i*4rnLm7PReNe?paoOKZruadf)rm4UGcm zIOPP`I@J2zDH(D+iFZGUt`rjJ{qo>x#+Xfcx1dwgh}O=1y!PbU3yJ+4A7$H>s#cZ= zm%GP96&DBOC|Gy#OWvpdIF{f!GnXlx&$MtoNijRWQM(gSGcUFivg@KfWj06ml+dor zZ>rUm^7zZ-7~=Tb@q3jCj%=weBQHNS^lZo`{j?ZIvQ%U+jUz%_lAogYe*z$|OI6?x zWAU%_8K}{V55!ZX;Ko7_=BWr4{u|Qpg3ct7nRsix#YyUSs5df@tKXzggSdlb{ zuKisIa##R(;Sm$T9N`pLYA(Y6Es?;h=o;Xuj^U9Yfq)-9Ee;%@%n1|JpXqPgBMUW& ze7TKZ)5hFNYFK4RW2lkLW-k|sE|ILV*$v;! zoy^-k0#|;+Hv*Cv^jOP=J5w+wY<=0*~8>sfl z84%lCBaIyTO8nf(xoqs^!}s0v{&_Dqv+t)Q=%dq-G(Kkvp_SseR5RL0n2Lw;bX&WI z)~fp=$kw39AVeYLhTcPn#R=(VO-KwkO^3)-V~5P)bVa9ZK8lRJpAsu$cM%-Z#b<-vo_C#+DG4WO)a2AvD30o?d*N z*iRZ%-)j|j3VK6F-x60W4<^(+4A(TIy7c)5=|F^{`gEDz|MW0ML0qCdTv@o>fn<6h zHgpn8?N;G=_KT5c>;=Vl?lMeRrYUfF#g@u_681H$rd)XT?#7IJI-jrRzD-Y7X^P$o z&L;B6ly2`El;zeV4oaa1`hsmFIYw!Ey?LKx{kaj3%GmmGRfHXrc+M4!CRuHe_%UHc zHV2Q!po}cnUa;4MwsE8j$1HEktHc)Vo;2&N?00^9R8_Mf=z>tK*OJrLt{i%Sa3b7X zL7k?pjFZrbTUCBg8vfX4lTT{K&A~HEUFu-Q=v8yB3>867?rZfM)V}XzEk8SiE*Tpd zct&rJyLlIH3!Eiyr4>H+M)h5y-*|h@G#MG09DYRGM@Z&t z_gX#kIv%d1U@NVR0`;mb(njZ*n_KGOhTA~t=~ho~<7P#FGY-NOwW@-e=`&F(m(PAL zMtYr~%^7d^Ze@;rkypXsd_L;)PR1&G<=qb6DuPX@v^$H6(@W2YO3alzd&cOs2?sn5 z!hVh_I!Ao(n=DPacQp(|qctG%UI{}Fn}1}7WE<gF2)_TxEa!lm_W;>KlGoA2@(N6@Myipd+v3*sHmH=}8#Cu4cVs}=5D z&x;#-k+FGeQl~|KHBrBE(>S#SkMa(Fw*gfdT~|kMBC3}!P6smiXIf~_&YT)e1IdX%bJ6LAEfIYQbY`nK;;}_So()y56j4GIGlF-FfoJ^% zISRCX+z=1(WrE?|;2hh%rt2i;aYbV-DSML7Itpl1t3S=!=(z3}8$6{nX?bw>uq{|s zjx_9onJZysK!L`;@GC3leX}m}es{dPVF?9zfbXd2bBUnfzK8T@>Il{V&m5J6ApTdh%*uk3-)0L@FrQQArNR~r|=yz zw%RZ<)+jVTD~>S4>7j(0k%q0PIO?_?)tEg-`B{_hhl`>0%hQLxwS`8{YYF7v8C_No z#}0f?CR7m8j3IAhW0ec;ANq2j6V>juwl%c$Z0&miA&T#fY|#}-A~y1JDIAdjUWL=^ zuG2rN({THDo(`?Jei?)9&3Y$8JNl#_AM{*S@U^q*KU<;IH!Wjx+{DVNTjll~a1DL? zY$ z7g)kx+$m+5z#q~3_|*?xDF-16eEb<5ut_&H+`}q5*I;&(Fd46J_MVBvi~g$6`k)1; zTRut&*RsdZ&EKv%Q7~^onJs%Tn8jOUgl~r-lTc0)#@x7WRT13Hb4LHx>Jh$-TuR-^ zqBO{8;uJb#^rLx>Hm`Z@Ia1`x+pyKB z_PLvOH{77cep;%A=lO8K_bt(98Mi~dSNy5$ohk9Jc9~|}4F~eA#G%tmWzFgR*P{Gy zrzq)E*4-tkh{mFL6GZAx6uO&;QuMYpWMAf;$T+`XxK#-d%=UmhwIwc;sw@3H}7l2Tcd zkYGTOQ_)RohR{PTBeD@H!A|HxWp0c8@_UR}Yh@6{3yBIzn;UYPcKYTsMh<FiN>*6+{g~uBljbdI6N%oH zH;{5}jq5&+{w~i3^)42FtZ`u{*1qnnzqW((#3b~ZozvXMW?a~7C|h9#Myp;wXMddZ z)7s}g_$LeM<}n)vse=4-UR;$tIB(l`@TTVb|DBz?-m%t;1&Is*1;{2yHg7V5ZSR8pcl z;v0M@J*s8i9#Tfe&D=@c=JASpIQ4qKn@a zI8XJgC;A3w6AEzp8Lk^L#absaXR*5x77xkVRJ2m?JKK9q2GJtvU_BwNz!=i7J;rn@ zNgJFbdIyqMBbGK$Pi0;&2kzv%p0k8+Owxlm{e=e>Lw;Zw7TS2=tH_nnHj)&a8hynG zN_q!fLZ!?bvTN8wdYvbHuvP1;d`>D!p5XVepkjypQpje{O3kH;T^vo}wDXB>jZD8q z1p^;q(zNfz@|T{?m=$u&W&2wyOC^Z+FO^UrFLpZ%Yfg5%B_qF-B3Havx@A@F34?z5 zLR+_1Ri&C1Tjh|TKiFwrL8BsrWEIs;1|h3_fH~rE_YM^IW0Ipus58nlM{EJ z(_0#GJX%kqU*RmrvL-D(do0mL7CX)-*S+AIVJF*jFq7`bh;+|@$hufYD?@`sC9Qbc}_eRUPv~+?#Bc>t#k&nTqCLJYAtDmD97B;kRJZN+$2rT+54iIfl<{Xit90U z{x&hXsXp%~Ug3QeF=L)?xvl1(_tq);qctA=m$G}t(8)orT?y5?84-rpj^Iu6P|@P&<76@LXM!@kkQkY)oOd)=rl7rq<;-EI(OeuK+tG{3zXYQM>QJouTk&C)i4b@HZ@nrG!*<|tB` zG-Ck3Fx|!d#!s8m%m39+twd6YjHu8^GP+60S0}a9+k8fv(%5-P*A1Y5f6$RwG6ODP

    KX-A-drTsmuDAouLWKtN#6Wgvq3Yc^_C`&nUad(G{cDX z8Sx-Qxej^onjV(u2ej{7ZAus=5#NHk0~(-7560S#x{QRKU6IRESJ z`0rO!aCT75y0$}7U-|6Y;8cG~eQw4rmb0(Bzd-#iwI0()Ohij|Fa9P>P+C0TxeeuDpvalP%j#kQfSP+1r}tP zeWQE5PkHkGLYC&^Wrw+Cg+vX$4_i|Q0W_nY?3~M5xZG!@A;!l_TzgxmZQFdf(U_Pd z<>RFN*!lI*%v+@A#lpKjBH9Wm`rZX|>1!)TPgC$Lb3||z)V)0^W zIE9~`95pm>htm%t_6R?SGx;$~w$&o?%;jEs;dI;=4#<2>v>oKn(l`&VIKOh2Z|$ zKqtkH#R7W}U@+0-L%1E*9kF zhG_<)6TwuC;P&Uw#y~d#7Hx=50{a09|Lz$e9>9QeAwvPob}-a`(Fp#Z!Ws>jizzk{ z3LoEpHh3F9pcDh<<%HxJO1@}4^)yXo$_FL={E@i3a!Of)#LS48Xr#e+52lYs(ijB- zqdxY8Nbn&`9L?>GroMQukSgx<27sTow;nS2Sf)xT8)EPKUJ6FcNF9MtkFbGp$=_xzHH)7ncveDCdc}6x>V41Kgre$ zQeRC4_2z%VC*Lk^u+Ye?a2HbBIet?<=lFuyFLV)Z zh?XnS_WKoZ303qESe8~(6D>(4?N;NU8lxO=jpj6-g%69r$Ii`2QDjCHdwnd zqs+U@<-4kLk`gpZY5D~uU-pAO+|50?uf0DFMSgwSW(m6=DV(lXzr3jWzNdMulZC|Q ztH(Wwg>eLT#H`>5^6n+w1iY$MT2?kse|9El%J|e4*0>@nv&MG}zIV<@0pcUf-|3(D z&b8VhTtxb06z1rSCyF+*c?6N;h*=dj9Nf0|cb-M52x|5bOg|N&IP8|ZeyPhpb0MxS zGO8P+j+T00x3uTH+bPM_S9Q#x?Zm4?nmO_~!|?L#h6?(+vRu_0ykf~-+I&=-G4-Jt zXqWz1Ym=|#tYHbE{6Gx#b91a=#JXbpIRgq}7GD#m~loj>F z=Y-5Br<uKrfMo zuEyk+Y{2GMGLiOJIAcO8K?8L0ArJY;508BZYoF76457ia**~s?c)y+a*h*9K$Ormp z$J2zmH>>EaA5CulccGquT)vGd*+@*ELsIYHKEAjTT?02p0&YHa<(DxbR!mGZs`p;A zbS6i!4ADLRbhmzLfrUBh;Yd>^k#C@jsPTy+0^>t1e@!8T0Wf?7T`+tEA&*KUrnsS= zY87cyUvVMdz?kDQM_f9;Y-^#7>QD#NOu7 z#vn@*Fd-gw{O}?*z-M@8S@wl@wHS8(;nFPrx1I)=rZNc;Y*`vO%u^MpS1EJ|@s$+r zpW!F4^BbHfe6{ew*Jz?E>Hj}6=%5Ci#@qx}P9z~d7|st2CYZnF&kK2Q!6GDfCDHq5 zqd&RKK*|wpN^4$DZ7yt+4Kf%ErI5=o?boD6tzFeDl&gWH=nCcTMdIb)QCK%x0K#ub>A(YR) zM0PrdcF0RBS56{DKrv@YuGDn2_T@zZZG^hI1*UBu?qqso*Q0?$@ug_jcS{}So%RoX zgtA;IVLRW3Dhh8*P4Up-uRhA)M$RVDi|(Eo$M;Xz{z26IT|Nt1H;AQ}S)76PmEsiX zq zj(vyW0Osis>VX1;2bNv*c3Wd2xZ%KOZ*B0)0>g6}=Ol@#asICDy+I{Z^p@cF6;JN) z8YeD~+}_>8B*#yjE~>uuWN88`+(FxQxWW{s%5^DVrSWWZtX|9eo%IuIRP=pU=!&L4 zF6R@S6-9m2F4*TE@$E~ctwn2@w-l6ck#9$B$wC*ou=_9gAg+W}^xh{U3g!>y(ab zKd_oqVf$u&VXZOR!CZbfI9J~8Q$~@%BejlwRlRAlwVE?Egkt4Kl`rDeKVK3ZR#d#PZ$~u)onJp= z3JgJ-j}Dy@rKUa=!)vJ`cqV*()t(xQp#%uV;fXoX3B7%B`+y&>yMI+yJW!d&O^$~q z+*JJk(DoKkRW?!oDBXF^Aw;@Mx|NWUmPQ051Or4s36VIIigZ78t4Ioh(t=1zigZef zNQ(-H{O26teZ}|t*1dPF|6R+4&&+S{J@dqwy=P|6-i9Sxx(aM}OCukd2Pe4SZRq-7 zm)!OFqw%K)Cgj(LY_c;J5{HF{MfzlMOI?sTWbh}S&Tv*|r0k?W?ut2ASF35IP5n?( zqH9ftJB}q*LNF`ZX}rB~S-AFW=NbDKpPJ-n5;>hIhjJ>L5#|e3(uA)Q^`$~~y_*?m z+~i-&=_3mauC-=Eb3r;WoD zkjuBkUgHi-KUj)8QbP#xgh|gZLW+!LOvCK4}UByEKTS_17`YzlcF(tp+iCYRJmr zzk-Cp@fdKn7C0EA?+Fu5tfBgU$1(WO6PWoEI84JXO2mh(0D01Y`Hu3-qRegH*x0c9 zRe5tXr1-L(fpPvv<31ctLS7PnXkuY%tyGqUghGbqJMOu_p8cQRO!c&r=Gb1B+;F_C z0_xU&P4p>l1PumA-%d2{fC7na-4t!+P{o+#M4A^LvPjqZvMlkadcmAUva0nq*hgxnSY28rOK-MNJ*0 zHgK+Ge$HP*O2bU#{!;kEnB3V75woJ1reAWtB}}1~CHNT+T`JlVVw+(JAXn(*^@cCTnAb4s)w3rTIfUBS zy29u-S$smSrCh|sr8s^5^p407yK|S_hvh(udYUM*9^3Kd9HCFWpWXEjyi^Mz?SD$8G27 z6bf1o|LB_Z0H(KJJk1gX=4^kwR^(XCHw+-IF{(DW_a4SA7Co?Kqr zM%p5uqaf`lcAuI3)w-$DCsohdz;)JKDh?C%=$TKmxwM);I4Ih=U-lRNyore2W0RYZ zrE0R*|C&~dv^^_VJZLH-KwgvS6eEw=a+aC+a;f>Q9yn{FWvTIn$>j7yL)J=Rbv{~s zyh`6W-+uxQT!7_BW)7Z@2mc?Qg2+n`SJ92J4ZJ z7pOP**CWZK=*&+2b{LZjI*l90>5h5)-5r125gNm59URG z6I!9RKzG$&!@z29Y19eiNP#89Dvbq(1a1wPh#~o)=P(of@*L9BVPhL>axMT zGO7+Wc057kM^jqAZ$JHCVPW66wk`E8t}s0o^YDIDvqq`#&sFtZ&%%hg+@z!#w8j{2g438(3ABajd*}s_Nhq97u#%;|9&VA%1>DMZ%|u-$l%@2?-VH zdh^!F-PA7598bB)u)!??o;|22^H)Vn0;#w6))3TOhOZ8Bey-KMvwgw*m#=JaXv$Z) z(3DL*VPpBHJDh0`H(Qw@a(;o^S70yJWIlA3j-ERW-S`pNfGiW(w)%66`7k_`tICnv z6Q%@IY7IkW++qpC`PZn2hIIcOz*%g7A5-n#QI;lY5IqTu++0xNeQ>}76i~xA0-ZeL zq&+VUS%?9${v)zPF>ok^OC8wTwdpwW|2GF$a1W>;+t?x?vd@MYJ`d7l><+N8eTGcd zqrE9qFmP11iz;Hn=s1k+JY!CA&HAeczfF7{l_|S4m~qQi8{KNR; zVxfuVob3v?vj~^7T$pdz4T@dia_s917syD{c(UX|p6cj_ac%`jK?9#l(vSyxt@p(| znPB+Z`==VCUry#_=^>CiQekmL`8QJ5oL(_qD8suBs(d!z4AWt*3}PPW6zlcGx>|rHT)#XNX5sBoxDZT<_2= zx`*j%O%z<9l7F|(UHK?)&-H`Xrmu_}#pd-mn;HT#@1R?^my0x*ku9swLQ_iIn#Kl| zZrf=MK}^~?w|OfXa@0Hv_}lDW1xjX5Za$oENtJlpG@Vx~UOD~pIbA=`-jf9F$V^r8 z>igd^4RW4^tHirkG3Yynk!I5uh#ApwdO>p&XJg_6~VnmBC`-w*#k|Lfp6^HdEGfnX%&H0@YUwn#sb$+H~Do%pHZj zAG&eh-(A+F`jKs0W{>k;Tad3`ecD59P zAQ>JP+3fo!eV@R7T0HE!QlFAqO1gX(#MG&XACQUo-Fp7Bn8J9p%abLO9RIwtRAaCG z@YY|yF)Jb$jPEdenfi5T08yYCW6~aYGdV01Q)6M%%5Q|&H}d-i86)S_gv63HfhyXE z&sLHySY*z5D_`fKyM-hhW_+0Kz#!bq(or27n;*M=mEUn-$tQXpdtvYjNxS=hF z1`gttgMItjtBCgLvbBFotNN!Zt-F3OUb|mQs%282H9K?7k?!0g?$_5QuwA~#7B_h( zV+JE?16^H7<(?-=KHs7-z3dfaKX9pT&WS_wa{KeAvi?_k1{^x5k)>WX%}GZ0KZuid zTFDjTdCgunX%)R3d2knDWM~Yx-?%&7m=wd9{Uf!**F_$7VVtS4sBL=u(~|!+Es_#> z62;IjG=eyzOg}3NxVB)$&e|qsVuJbl$!t{^zrVSZ(=3g^6m=1k2!FG<0Uz)4p{^;Xp_L7X@tEqr(nbD(fQi`RxgkQ0v z@-UaMA;>#Nbma~q%_Hnufv=lN4;ZdRF*xiw~bH?5P5UFYY~EmqxP`u#4+ zcHb0ET;L15v}}>_F--Mt)25=K{hnF1TR%G-59)6<4GC|4Amn)7hD7s9enqV$CW zw?W@g_<5Kbajo%-~GxT#b zaT3~n6f+i_np6+o=Lz3!7JmFA6T9sR@)Og zNVrfVI4ZvqKZp{2l=ny)#5YDc2!WcTaMRN0q=D!f^eQ>TtO=usitF*^pk4$e4m4eh zz=cNLVIYLdMK})B7z@I&3VFcDAyYjt-V0D*{Y!@f#dyGkAt8N`nyAeKaGW&*{p@;2 zejb{vMc_f2_l~7x?!yF8y(=vOVR(<5!GW+n0XwRhcz?Jc&|4ebxLSX}wZ|~Dg$Wmg zxXq9Ep(PG|qeyIER3J)hqY0b~Dw#dXMJO$CSOX&W{ym34At2=;l;Qv*BM?MSX+RaL z7mN?Yj{8r9836Sq5wKBM2PAjCefGw}Zj(DXq`{?D`w zusrmE@k0-@VB|R91CWB6eSqFhH-(X);?&ZeaLf85SPeLFNqGuK7ow{KZ@t79CWcIO zjO)V`?Hujg5ej2A=Ok`>5zJ?*lTCk z(~nIU9b2|iPObeGA5j;p!MbB|Hf)?y)z?E#3S{3&c&1T==c$SG!H}0PE=4dN- zy>j*<>-Eh}8XUie8ZNL2*pio_OU6&+_%k_T^>TK}+hUtf_J(9MXS~H0LaH3o?Aado z-9Ifml}(-zdmT1Q%xjld{qt(OCpqnw-+e4GjG=dZLUY$hD7ZTtt{&J<<169a7*Fx% zWYgNnWD`}!+LjP^QzOa|7HSzU2;HG5GOv3dQrX_2D45HpySjL4CuLozr!NWyKMzi?y}yVUE-{w{GHeo+kTH zt69nlR6$c2gR$>hUoMp@z;3K(=6*k0KkWG3Htlun#2NR4`Uz=a3M`{5y=!9gq-R$O zKfltb(I}A9-w#)+%n-6_xyRj-s^|A<_IG2|C~?4riw`@sL*1VY@ep%Z8)k^_m#^!MY|wkp+DCSD+RC)p?% z$ZaI)@&z@n}Upc zuH?~ZTgLH&Js#87+WU9uDLY;DAjkOJ7PdRR4_>zIOe-LfZ1@vCsk(+URcglFU1q8Y zmA~jqSxMTSP2N;NJZ(_ZDNY+2>;Dal#`E(m94FJ(JRbGSOm%0{k3My3a_`fuVgb?KK zh4@ExAjPGR6BI}vCn%5x$%_tk2v|%%xYGm4$wUaf*svWrW<&x^KpN?RTfAx9<(O+0HzOBqptpax=g6I0KQRcC@$dypo@A4 zq!5t2`4F((hEAY`wE$g2q3<67R4wrYnt>|j1_NN)6Z{ysl1}u+GYO!+j{*7%b@gvw z43a_dQV0MBQCI&0XHr1%?I)0x8DW#nNQqoZGI~+8`kp2h23~?0#c?s#@wvrwIxR6*r$S(0a6GjO~CprIP{X+ue5_EAO<%Bw_VCcXY zpaOU-Qjl>#7FcjN_CFa75Q_i=qx#GnUcRxU3b15{_3m) zS0`=<3!!gBk)+f5SjT;y7h@t6UlR!3>Bn&|b2E-zei3v*i|-oZPH*yjf961vhPxPf zcc6!9ul?zEjC&u&3Vfd>LQmamYk<@DgFW9W>!)0 z%UJyKX;wWgXFJn?R>jgMb?bAsYxlGzkTEGD(e!M#+F_pTjahGUCw^y+_28xTH>#{T zzxZvhS&D0Cl5%abI$il7yk>XC_$#xvt~rmkqQBqU10^b+GcOS76BR*M95~uAipMlkp*N|a=X=n7gC*NG*8Y7zj3)Rmd4leQl(kQW(YrDyfb zk$xF2M^&Jqe5o^k$-$)OOp}d1>B2yVd%vHvP-skl_tL^dReeW|(#i>yXr#y!j z1@>DxJujTPs6DFm!XWm0)WXMHS!F5lo8(p6pz4xAY&L`=7WsABhk6|M1)+sCLzPBX z{D6lXX>l>`>5+aeYJu29pE2aPnBAxZ>u`_-&LVkErI!1$^$_L7nIQG{PrgPvf(ApK zD#8qlTO)ZLCR=t@tIICg#2t#1E7K{KzURJL3M7yQH)ZoLZZltCGIxn`H}B)SP2|zf zU*fYo>ugNQm6BAWKI-PCvi7q?j)Uq>PL7vU{>r0Kfe|M~RW9^Q7^(;WzgSf=I9Y7^ z5FXl&p%w-?Q-G21r!NEQcf<0Xj1&C>(hDVIggOIZ1<;kJu#^i&28e{vkpTiO4h;}+ zacF>mi$enhTpZdT;NsB!02hb$hXr&x8fFQtEdd>3kO!uE=#j6Y48{Uw=7BMW5*yC| zYQQBWfcT$-MOHLwR|du+ujgPK8;t>D(P|N#0K(0O@%+K-Q~*fa^T9oq#{v@XuR#2C z{;>eGc!2^SJ|YgFS_GtoP<6oxl%NoxH{t;*xC+F_7M>9Q@)Zy-ngB*uyP{+D`2^Ku zEviTl0XFg$(By^Ue}q!Ss03`zC4j9r5dfS20O-36dkKbd zhZle?KMA0>H$h)MzBuj+AW?!;N&y;_4A7^g{|H4rzkO8kN(va1r*Gj9LIGvR8XDZb zK17oW{xmoO^=t9+SgoR;%ZrzQZ3BghmLJPQ-&<%o=;Zq}0F-3b;5p!BtUl&N_vK6#XfnPU@IJ@~ z==YPTW({DoEC4`v%`qG33oF!73Yt`@1#HrV0DW5f$CCuF=QSXquLCH&2%uL6z>9xa z2i63RKV^{Lxe5rFC<5P$QT?%G^vx91gQwO~4A{=RIR?-@4MO!ax&&~19Rl*m8jj@w zHYLcr0kn4i1z?+KIA%kCFOy}co|Xc(%n>*~RNHvsxsbd7LiyeTUIEl65cc-OeN4Xv zw~zl409Tv9)nV@|L1LoXCeVhBIH4xw+)O|S$-g^p65UfG)a}1|2ZYv?174cue|S+J z;cZkgz5)On{{ZMaKUV~LYTp8MyAK|m3=$9^Q-(TPU?PXcO>7(RZDno+pFk}NMH|Rb zdE|u9gVw{w@gUdPZS!F3T6+^6GVg%yyK5Hn4udTfTR*dB4`8zfY}Z{w2Z3zS4vy% z;jCa8A5I6YbmOT&@7}}E_V7_NOi#c=lIa9JksttqGK_(ss1!m%D6RAG$A_k4fx4eC zvY@6Hm1J;65CmJ;7Q|V3f#MjC!jq@_(*XMODBzKq19^Rhk)xt%K@M72sD1RQyD1=> z>S9T6XWewt<-RZY0gt@e23%T-`YR3wjD8e$8X`x>-}Yh7-tC$e9H=f8|YKCO@m@%r4!`j1%%|u3Jbvpc_B3GFh|+4vW@ zv?0Zs$j2XG@wV@Z&qn{;X1QvDJZof9tB@Nv9f(m#BKGZBvo}L($$s-Fxh+1Sh1l7j z22RSw+dnI6QryoaSCe4{$j+P($E6Pp@ggB1p2U0ZR~}l+>tXbK#W5b4&@K>uA#V7f z#Y?t|N%&?fo6gteT5PCJdIMd*%ot|zX^tl|zRKfl?x{v*vIn2dUsVl1 zG3s4q{F>QT;Df-QS2Eo@c#gsA8Kh2y(VBYJ;&#Bmrk#)pn=pm)pkR84`ei8>3^Eeu zb?-{0K0R#u6{d(&)0YmMk>ePxdyn9FQhoBNSN-npmT0}y-)2m6@VmSBXp6-8%7L?0 z3>7mr2HgWY3_Hx&atsCqY3&&->^$PcIb6J68X-awl1w417+PmM46(-~ZI~e~?4m+` z-G1sftT3KraX!hy<|Y;AA!V3hX!!{fZ7?GN1-pF{9#oye%{-*~%^N)$qG>(U{X08v zf97ka`74AE@Zw{M8yB9X$Sbw4n_3l*yr4KNX&v*BssB}MaZN>aN!U!bqf*JYdnJO+ z_zG3_vq?nn;o5K7%U;w`=z|X$%#UQn*LYjRcaBi2>A&~ zmLYG=Xe!>ImM=*`rWG$V+7!!-xCxndPp0u>Zx>!$bYh%Yh#}atrQQ4bbGse0B>ci| z_LEwM^V$+UIf-vpc96`!CkQaUe(%Wau5*v%dALY1c0bKdJt^qsrsXC3jF4%eu^U(6 zToWaaviF|Wv$w}~Z4DPkS~IkszmsJ;6KQUP91-VuBEct%5^R^6xOCeoa+e(s@3mgln~VdVJGt`q#;dDQA(gd1njGt&$4R=0 z?bYb+`q*%~k@Db?m2GL-*yE!G=-JgR6dfBwzuL>dT%1=f~36 z?d_#z`~V62-S`e9V$1y7#g{iiah_`ms_-qZq|x{^j*|8@rZ2NRW!HEUzJd}Bd1P0&Ha*!}O4!u6Jgz%ALD7ZLWasn5JOHSb8$l?<|awGyZ zM;4zjI-nt%{m9}I7C%~X5|%h_0%T`Ltx8FtLIk2OxP*^(RzTIU=%bw#P;)FAq_mv- z085ANxx+}Xz?L?8B_ZhJ@rVqDexMjW0)-@eALRcAGg3mxasZ&{X>;rVP!W2h`1%m_ z;IXFKo&cK45fs6ahV@M{psNNCh2cVI=yDnuy>AWyiHr9nhP_)rT4<{ty9dW+pgkY=puh8l^7hTI_z%b+zHew9oQLo^T1kpHvlZ18}lc+@CH>(9|VBn!U-S= zRlGL@0Q$ufz>h4@>G(y^Y5ie4eY& zRB;*w1bjIGWTINz`vTai#{g=+d;)b&1n9srK;y;%D)IFM8jdR7o&W&F${zqLL=1sP z@^}TXU6=&umy>9D0zfIJfSLMk^$#`{h#b|L>>6O(odOSgT^y`XW~>1c^l$g$8o1y7 zX_ywIyAIZ(DcT8e4%1B*egezT(=a>&h;rkY_;`<%6nZ#wjCO2*&j-D;`Wsv!gwb=@ zKNqEd2c)nk0VlPDFnU&d5)y)ZHh~%5z5rH7J9CNt_ned`-Q!OzRe?3r4t!mxk{?bE z6_XGWpkkJ^c&s&s zoWfPmh~pnC)BCBcaM@;RRqh;r`|lgh>U}HK7kbaIevX`sOh5E`caF$a&_H>;_t#uG z_!^NDTlS;$$5`Hwyb--#NO&Ac_-%?I9EUWvW`yy(Ok^L41=m`_wnb^O;ntm`Yg#e3 zalFyLyexl?s7pUMfZ`>8irjyLS%zH6AX;xJrBJ=>bn1H5T}U|mu1;NzwO->}4X&+u zAB*kx)d8Ugb{2yLanZJyf9}{yZw2b*tC!^$IHfJkIcTVtai{y|8cJe*v}zHFI=D|^Lqv61b1`$gh{)Sg-fPF3arTwD50b)3tM;pD#bmGNIEphc6b z1bel`-M2cG2<~3~T4S5amGPK;a2WRnABF@)z}JTjnS%kRE4y;If+Vkp7UIwOiY_g{{mwS~L77~f>fq`lccV4YMcXuK#yZl54>%HLCQ z6JvppM`lw-qsnzr`K}^Aqi*jg)3Y)n_%1a`oH8R@8THTNw(7IXJ7qH@ooVOot~#mX zE(S%Hvly)k-`?Ehog{d9Aytpp&P$-?xwYJKPv~HdHZst!bl`sXi_QuUDQ-?}E}aVyE5MKHnGA&J?5@@{jY5h5|5X@S$!o@c53@R_p~wAV+-YHQ$rVW0lNzpE=EP}^+DkkR~UiLYh=n#Lk2h1OYDV8XdIq_KGxltEGUp)*= z{{U&u-OZmU^t$(2j}8~^L--Wm(?(CIlv(Q>5hr7ix^g3XfKWSkqAf-17I)%i=DFwG ziG~!M47kWxvbVO`Rw68kmk@QwF{Bek;)%^ zSpzP3HpcHOy6!*7JoW1rV>&xHpYC+s^$~liY&#aI7n5YcPbamSHTa!d<>ynI`me!1 zS!M{xCRn>jW+c2fm3_d~z5V^>>#Yweeg-Y0-15K9`))7!#_Ojcmfc49?`%F}`gPxP z0Y7l&>ALFs?-g^8+RM`U&FAFWz{&Bz##txtN~=rlwQk+-ZcDS+9bm#ne6b;ypI}?m ziy!D=S#3BqwTLKs74U?;DIyA4Uj_T3N9HCJ&<~^614+G)%)q04PYIF3Slb|vIXav+ zun<9Jc=&5;!;g7!AiXSRThW?`40={9^EsCx$nQY;_2(g;8@b3hXW z?EbxvNdZyK1Eq3y?-)4VTOo%e&w%@x%!8>y^~r?z5cV&yp!e7I%NmNe;MXDVzgr#@ zSRx{^lujCuUkDj4baNjjddLX8c7$WkM`%I~(#!GyVXmu|J`{5=_l_W%%LtEO7e~hU)o1zkk;d$;IyLrL1ls2RQ=OuCt+C;J5MSM)Zx32pCa6K6 zX9IuJ_UVIQ6`R;_T4=2P4+cgY6a#9m@Hc}6K%mfuKWJ0Yw5VCc-?T2MWSy%xK)B4? zKN!F-7zjtrU;bu@q65*KZsWoc&~nos3_Ymo0$eyX;_sAL=(`(0$&*BVu7At3#wUkE zTzH@Z4OuV}v>O$g!vGJ(@t3C*8i_$8|I>~4p%K)N@9$P9@WCU|$bwN~qn`dnR4Ezj z@YO}23S`)a1#2Ht5M2u&^hW!rhJ*mXVMhf`0ysC+fel9obPOhd^FV|+a5(s6{J(?O zJxl=j&hf)Z(196M{#>&u6DzNI_24{Yu;FF zr)%Y!1^UwKCy7FZ}#iGI%X0M7!?pl`&a-_oAG4FfLcElDR~f8T9$-ow)VZ zcK)8Qxc6c{-?vPQ^gp#sTkE%vzt}Z4up8o{m!feJe=OK%c-b-S&I%6EXaa9M1(F_v z9OO2{q;|d&zBkh1EnNJ=a5%FV6y#BOa4MiQh^;l7BNl`N58NcLsK_4J2W97IFQ z1O$WFTBp4Qy&Ac&+k#;m1l~(X67Vk6`zV&D{>Aa z6uyIhR0=;33J02g!-$6O;GYF%{WA=*wP)Of=kG9hnfqtka;S_j^5kC+r@krY1RJ1j z;WTe?181r$iOxunK}6#NiBF0{WE%`6+$^{c<`*M3 z0&8;(v*jwT!fa@w=;@sy{O1-5U+9@%61Syd&$(k(-SvAbkm*b&^n#dH>UF3_jo)`m zt1p|mi*@&MYf0IfEok8lN&LlcS67AUmXMarB>aY-Jw|SZ;0VYGY3UBtm|hmX3E4S> zvHIyg2o`2`lQN%r6`pYW9D~D3j(6gdt>$IP>3FJ{`}!KoRMj$Hrn)=G-)z|E>A6QD z6FXjiuX^q}(>m;`@o<31f;7fkh^L)&?iXQ_#^S94FWP6!D!LbSc6KB3p|4DQyX*Cb zs+|=J>(JwgMnnv)a{p58Czg^B31nadxFY~mi5PlH1zo^_qassaN+S56N2u`veGP}4 z*9d5!W&mP|2|*)daBld221o!P$iaDOTwE~bfWk#`I4`7pRER(o{ErGIzoP(c-3K}ibkX`8IABdd2|oo@{ZVld zRq^wWiU?GNB*nihU6f!Hbo--%7zQXjTMiG6fMyOQYk*p~@P9jTh(1Q~5VsvG7|=DA zGYDQPVRXjw6N5W|tPY|7jQaqJwgkHK0vz-xspAh}lZPBA>+5e}3<3yVNDb^)p!{&r z4`l-mG?6gM3j3S(d@V@pSS)~`fy}yKq({07v^s51!2>4J=)_rn^Y|Nr9(5ZMAy5SW zy?r4_0gU(wR6vo6{73l#(Gg6bdrK6D?~#QPY(#QV9)3VTb@4#gjtgq|JkXP)LbMQq z2b!ZiMQGUa9>Yx3dH)aXSzEYe zN;9)RHcBHb+rulzvOeFWruY^_AVZ#xt&TI;%hfhbpza@Mm8kI;FE+C;+1WK2cc^hl z>V_EAg*Y2F4y6sYnPjUXw%o+GA+!kcJ`3auzlBx|^k|1$bD1SJmwG5ZZ$F*$`;yq{ zhCSEY@|BT-8Y~5``f@`GFT(Gzy1dhC6BGNWv-PsSe(AN-jPG*()N=aV#M*k!eKu}2 zq~IlwN36i+f&u7k{J;>z80tk+39Oan(PmbhaR)haBOay6f% z*o8te0~P0Iu2=D`%=jm_iZ8^hxP;h_@%pZF^TKUg8OIqOgr(KwC})vze-uP4_`Ut5 z_=${rToCcekM%cs$ao+tgKn;+>0^3bR^%JqIP8#3VwJO*H{R%mVTWvd4L;{QPV6r& zy4T&-b9RlGVQolA!@?@Ub*5zWrPMvL8@_AG&}$uYo5q374@;}*nJmA2Fgc@6hx^Vm zX-BbRjS7Fk9kN4sovHBWU_;F*1NHbnc)N|22HbDA#mRmYika9vV%bz(&n?8m=b^Yf z-`=$%CYj%U%XoTQ=gmkrr1Rdry8Wt+yas;r2XndH&_^yhw^=OHqgGsdeq0M8ei$ES z94lJtnfW$mAJZG&T6L&%grz8tylh3p{)g!#)1I3t#S@y7@Zt$4yQ8U5Fbka zpl#F_k4b5u%zr9)p{aka;n2Di$Pdg2|A#sO50?hA__qw{awBM=G&p+jK#Pm|fkOk0 z{Xx-t6`uy8L!gEvaNe5`3|5yBsDVceVkQEGq@#k%DNqe z!U*hbBnzApC4hqooXd!#I@GJE>6MQR=*OAbP*VR}vCah4h%Pm-?E(LR z83h$2dlo?jg#!{)jX5*WnX{)4;YM(>5@M!5?D+@^Azc1P3y49qEO4NI(EdFqpRpKZ z!UELkf94}Vehdpxr*&Wdkb<7=OtS#R46Xc8O~Q&6{-604kb%}QS6Bgu=Gq?|V5$R$ z(pcfN2=unq-(5m$nQ;I^_3QuWJu!%Y4NPV{HvXu&fT}_6{859R0wuA51|@g@sD1|s z5r2nf0s2u4!e)o_B82`kxkU9Hjr>>3ia|(#K#lH%n*Sd)4mQeuK%MBs0#RNXNpX0P zU6(ZP(n(X)_muQflC{~Z%f>{ENad!w!EBt3@>Am+utd^{Iw=@yDh}ji$%O(p=xATL z3nb9~SYC!MSJRGv^9!f(x4OzXyftC61kl>N2xIls1t{nIn{`NMW}-h{tt zacC@ZXfZs3V@MejjRVZAROxO9T@9qHK{~jZv^$@Are4FQS1!>1$^J{MT^w>(?&k}= znk$0UR_|W*Mkvmy8N24rX9XyJ?U~$O*)Rj>u7X6 zU_CMu>M~}CH#A~HdrnvnGUeAZviXqVG8hc03=CofY3I($j4-=?`TF^zXP<1+(OgN#Z6y zE7o^Q;_;)te$h7jQkF>y$IV+}kz+_0j}`k+&scDg$Rh75GdlFG zzHO;A!`JW{OE5OCGqf?f#Ze##;$^>#`_SA{o|2Dpp6A~?`z%=`_l83o(XF?;jS!JM z{$gTzL*Hj)?D>xg=dNh9F@;~P!h>RC zLd=oOaZ_Fbk|KR3-Sb>L6P5~8Pp)$#Gp}kF;IR|u1;#GpD>jA4wyv)+iVZM^nirUK z=*hz?TE}SbTxZD1588hBZONfz{l?VAtH~Cs1)oIDz}$aWFpBZSrx@(bj*XSP^gMkl z6iZJ>djGw=htAv62h+sawwGE~uf`Q}XPbT|irNz9laO4qO#Og(lG@nCBPhN)_z~CX z_QmL@?fggcrC922*#&0w$T7|y; z2SqE;??*+TlJ)RuA#v72UO1G=5BAsHS>Z4gFPK3RAx?q3@`s|}Pze#xe_Q_)4^9D8 zgzXrWqXR{ke~Lb+V#zgD}a!nZCY66XmcqNde0DGXS2>sPlQIXt}Wwsw8ZVRV_CT`6uxIhf)J@w-!v!YU$h zmtt_9+!fo#(~eJ3H1VY%nwj`gYg*7@yMpX<*xjFgB|qIjWs@0l#-zd4wmm?og)%3C zKgg@|mmf^AcC>8Hw}Ift@1J4!suRcL7s4-GeEC=;r-h_I`zmMk$S%vqo%seqWeWM= z;9LIBnJCxRR7Y+wv-op25kepE8WZ1{D|#3GpixXz>f=5a9uMQ6y+Q8v-ri2>Td|A= z(p{sSkTX;J(L`KV;jPZXyoE15*96M&!^}+C5W1LRtJXK~rtoDDt?g$sVU}@h=44#7 z&|7Aq+%qYP?U_&}j8OU3N7^P=P_!zBH*v5?wj0vcwETVGU3|x<9y3KXdQIQLhnWlo zBo~#4q!tsoHj=LNXY+5|T-{^FZL^*T3$(;h#cj!TZ+>QL;OsOVemEvz;h zYm)@|VFLFY<6V0vG~CEis`C=M=}GKK`jkJO9_IcwXl;~GLT~jgZZ{^78ecMsHNlpOpPpD9hR^fU3i$=A!hbd3ptPLDZX zy#LOB`&rt6fx>)NNSJj;PH|$-Rhj|iBEwK~lPylk`({k7vpCyUcG!}&ZQ|2muRgo*Ypf!R$>(>hAqBIU!qqO+%tjLJukUBZ$?ee zIWCq&G|=C?G5d}=i6EU@hmw-@l#YyG4;R0u=*q9Yor)<>&!?9Z2}BfobCDU&52HCB zXA|)tZ^iuPu3Acu-+9sY)N?ck%VSFG(fqrG<^~U+l{aQp&A~)NuJTT4c19KBS2bk% zW$mM$vM1JSJ^oC#5cv4aS8u(S-_4i?&;OzeHuL`oQ=d0+-lF1S`;5e|PwZ>=khhN^ z;HTum8=ak_8#GCa3>JPIN2Jd7W5lnIj)&pQPZH!!Qww|+dTY#A2&J$GcH^YfgZP%j z$v;z>mdex5y%o1H97w%##hWO&^Xs`3+0h*<`g9+$dU4wD1@}^glu|-Mp}vLE0T%y} z@jUmah7O#*B4%MCYrMzqoEDMqyDRy-x1zOqR(}tZcIkC{C>U(}ZainAXfr2i*kJm! zK}>xQX^m|n^!8z(B-t2Df?=V3+%bK-yWn-&9Ov{o0ViwI*L*3jRUBL2SvOCKo-O;) znAK(dvU~pu?vyP#Jo#yMHx7j3S49QS6cU;YA)pJ!_^j3vtyilUgq`Oc_JctyuewCY z+L&5C79szbhIC8+8Lk#*YIzQBW3bw2u>!#y36d~3bI|kBIl7^(xaX_Rl3WpY>H-l) zYh!Aqi3wX-vOH`J-yMa#^i{>WG}2(h%xoj2aqC+T=Cl{Q45ixWLog64x|m9eGEp{l zPuGby$}b4vv8TQ|rD$Bfr*xXJu7pPphi$F=v?pDuA#?y-*Ail;V6$ zq`;{ZH+KfuDbA14F)(Yb41EqYv4R`o%!w@GNK3Ms_Dz?Bv68bSboCwkp+$pM!Iw#^ z2)%<_29?jW(y0$4WK}7L^n{S9)Zd}^lhH; zYcE}{UHFuh*fQ1nb8usMyLoRb^0dm_UQRgKdzA$FpoI}rLq9ivvgKvWwIt#23MrEp zkCEy%)!kXiw5k`go2C7DbI&P+F)g}zsh4=lKA>}=H)lX37STH36LG0Mc4sB2JfCUr zLZ+g#9G+Ze@_=CxiGOYY!+1SN%{PLqz;HZv`9(|8^SjvBi2GJ&lWLUoEB5XU>Pn8= zr^BW+1VG_cy=#4MTJNbHZCRe}kj!-(%_>s7ddKzW%w}PBE6V`I<#!KI2!gwNv z%R=rma1OfCvejlcjT?Nlrm^e0D8AbLex^?n&x0qm>guxUW9~L~S>>pkx~Fz2&R+|b z){1gb!xJ@nEarP9oHF-u{`1m}rwB96EY)8;-$dRMt9nRbNT{sXyFA+N6nP`tR;r}NJ5 z>yY1r{;`iAL!M{pYPEWOU(#FkaL)SjCPU;CvZv8cjemKzQsvDPgvNAbxmV^*I#UKZ zwUWsL`L|cZKP^c9;UyqF=OR6Hh>T1j(H4Gg>^VT6tbF5 zL-NJVvwmyYBOhW~l{a5ZBbB{7_Ofbrjr&{A!iXzl@~Q+o$*HhkRFBrY!{D+H`J`oi`bIS)c2%= zx{pbq7;#D|=t9x2jV-(3i6QqG(q}t)^wj;@%+7-c0~|VGa_yDmCGtM$I}S2ZuV?2! zY^VIl+W4}0POSKA9ckd^d~5Hc^H%~eocYK@gPPdIiqr4BV3Y~`@a&z2yMFPY_^`bB z7izIXtDlA9Xmf^I@?mo)Z;@D4Drv224YVi2G^IRLDtGK}@UIg^2q`e0ovHAoAqV+G z-ap(VAS+5zEr?CwSm-}~5D+oyc-o4g95nVXi1OpjV+X#c;U4l(?D=D+e|vIU^)xyl zI_T}E98xY2XhaI-C~{(HNJ134s0i%x6-8i|gF=WRoC8JppN%JgH7f%1+eQhjj!B3n zD8V_P?;c0zRYd@LRtbn%GJ#Wo*jl2HyAqrW_{&-!5d8m@G~J&Nei3rw0285pTuOdq znt}GkF$IdcJ(EshY1@}mOs$GU0SUaMs)~yA=GWEPn%%!deqZybb+|#F%_`+to9rpN z)|KK}eOY8!jPL=eZl#tlM~H@v@YCsFJdQ>+okaa8n)YA$6fg2(*4j;zI|aiqT7nHi zly-6Sb!|@3-VV+p`yhT!OQ2fB>9vt`8q!6DE0lMQ$nurxz57}vmZSP_jhMb?yk{Jt zXYC2NU))cK%bF<;wo@}@}S z!)xca$R!v;TXQ)AQuO-ghiWRL>q=hlWz=`OZ^&!Gvv1WE`}9W*z2pgZm|4qktc4XO zbbGsUWTo3|p8aysYbD4=ww6Mfr9E@7fshH5vf>z z!@_N){xsw1TL1H(rwk(GCf(9gZ#jRp%eobeaQe(?=_1#x55La9tjFn=x;M5j((Sq& z>Ubr7S-`2_o>^;aH@k$2|Bb?0={Ge~Lk8ElJ}22@n0h~Md^T@HV*I{$Z|~#cslcHucSvci|SU{$Jnf z^2=~qX%@4$)*z=?9&H+_kK(L^-s_7Jy}QsEb?)>OskCPyh>{OemHYAg3lHZ_EFV>U zI!X?8dftwlL@lW9Wr3ZVTzuWs>rKZ!>+!QSaV(#NwUsENH#!Bvvx7sFkufehtAUYQ zw+24v%Tu_(f?pgs{(A2&?Tx3X7tb5VuHF?0_*Y2dPUNwyqfkR{cCa?x$LV?k4kc)WRCM z7bl-@nE$}f(h6LTM0%SVRU?Zv=_BR#L(+_lMkg|~iXBqhf00`x4VasZV6}SM?PB2+ zl~;6jcWF%ByevyrQ#G{Ya@Xfw*Y+EcW(>z0m1$}L^OHSyuI-omIurJ)d~U7ZQ4|)N za=)_@CSqXGe;q?6JRp--;$un8ryRh;78{xEGb<6a4kC1**bN+X% z9J8#xLh}8aVz2#IGYj@xcKHpS?tiM>d1+j$nM`M%N&obL`U~Xr738rmZzK#kIvzOE zF;qA4)hn_#e3WL|z`HbZ#;bJSvlx`7T$&xbcW89OLqf`!6jSS`XQS?3=F``H5phZT zs~0f^K9hK`1M}3QZki;2udD}@l;%-kRH;}6FH*9scv3Obkndl=ef~7@X`{iDnd(h> zzNKp#hmQ(H{e~X#beF|3+oMX+-RTcZlxJn|whQP!voPEdpY}N!v1N0Jb8yMQnDAFB zPx?!Tv8;%&-g-hMg|3BflKuncnkc5*yx6dcw3mkScmx_RP|e<*>^7X@`&Lj)8y2p% z;G+2&R_(`L3nBeA%<{natgI_uGR<&p=_a*4!xfF2hq%)^Z|8pKR5fOg$UGSCh&D~@D`yeD zI6lYnf%TiJ9lKtFkXOfu{Sn@;AKZ0X!{V`|pAHOjM&BdmNur=p_fqEFdiShS?rgBr z(4_N8x@ys-!hk#Rb_xdZ+gIZiTQlOXT{m_7-&~ z8^wH8N&8&Mbj_suARCp2#&Ci8`c1LtR?n_H_FA6_zVd=<_`=66)`POT4IwHG7%o=6 zr*4P7y$ZRcqJD*T-%7A-s8Y6TJi}Z5kqnHq?X@kEY{=P)Y1M!5w&@iyD%$)9M7gR@ z#~XAm+~rew^LjPF?`pc7LN~vOuENni%g5Jz9&2VM(7Av4pkP~d>~Q8MwW9OSVh$*i z$8J(zE_Z$l1LmNhkKVv)fs;t|jL4S$pXbbhc7o5D?>Z<(QsPJe9qf)E@p8xyI8Ffh zv2*-LTpHePLUNG3K~A9Lb`BefBUoPu2^U-nostA=hU{-il%ODe;5CR?0YJ8DeGu;G zg8|NoAtSv!v}l0B;0Qv7fKcZ`3{D{M(*V#7LzF0k?BPFARDgrg*-WDYDH{DDu2=!3 z1Fihd!x#fe&Wa$f#CVtQNgRq3qCSD5hwyz-CeZm4C}CW+y97FjT?wTNm5h;6P>Tu6 zfCE?{+ErLW6kMcYg5uiK#C|1|4zq+fxWxrTW{6ATcrh4hVJS)3J-j9pC{gIn1r!Z@ zQw;D^#M+7Y7{Oru9V-H!g4hK{K8V`_Y##3XP6xjU#DVdEG2V18qHtIp5+LWi1xg4) zSppXdBw$cCi02Xtxn~nLHg`QKc@rbhAVv`P8=~;uImU|lV?AY0JjLFH%0I&Zcfr#h z1c74{FMO#;@ueYqUs^!`r%(KH5-6Ha-+uKy=S6>GvR&BKcsI>p?%O10 zQHOH%Oz*pn26`A9ri&RKnlM+eT>kk}LH6M^>+4CfrnWA^^T&b%mgnWkL$C3YWV>59zEtZbw)<2q~QAqLz{BYQfjW zrn53KuDM#GEv?#`9bC1_ux+_L^SMSR8dVQcp^c>KnQv+7gs0J7B~cPIyZl67g_6L8 z#XR^L=i7SsjWgcXcRx&FpIJ{g5#zCDVwIG~&+sPm{ycBQ^V2fUTopI*z&UQfq7^eXxXi)Js4*n8ervYd5iZvT+t@tFQYl}AI_kTG_;+H1b|;?1c3 z)VUvOGK3YyH*KDI%~ict|5V;9By+5yxW(p~51gKd(~j-d+r4WX=I!#H2}UvnKc!N< zp&-YI4C=Lm_k7I8&tXu)o6c;5k~1;4LR!|!PfE^qd5+|s2xISTb_yA4(;n;c>de&w z$*dNNigonIF09u;u2Pt}ki@`8p3qIFHdW{vsg0Gy3~gI&?zogyHOBhlZ0o6tdQ)hH zbQzMweyTFZ>gx$;!vesa9-ai=G@RhCp1ZOGW{b1_Q15X zHfBPqP4~(p72H>BQ^o>)I*2B}96c+==(;TGDQsq5aq*L0eB(SX%QhC%N_y)>R-R~ocGJ!5(M^ED7;{TY)Nk|~~Kke#COQ0TqYrNeEd1Sr=t3G5tgZ$Xl zoI5CFfV8VQcTmVc&d%vdaT!EmLXY%ND$uGP>In402KC|(Kro>B9w(CNLCO`hq)?F} zI1c~N7EFT>m3qtp=(Yt@pxZQ6fYJ*dAtr-3hrnei_I4KD`Q6CapMdL)Ghoow zfE$9Km&Jp_px?%cNg&ZPdj{t zTw{=)oZJ8h>5>dkRybOP>z+g7XbSy+vk`o=vuANdzJCJ)Q~M2w zOE&`IKoN3C&3%u!RCgfmwDGPu_H%p2rlFos4_M89=0Llz`8pyNO_W<3CHrXxL z<$;r_vI6Sk_XILEOi?GGd{2}pJb0ZK!SIJ|gJyg;1;Z=5(#6)z;S2Fmq$gQu@=3tm11nC$3z90MXa_B?0{sU6A~Vz_{-4|H1tx_JQ< z8HckGJhE>WP=dc7q0QdntX5%8F@|EE&_3h4xrrFZ3bc}=OV5gsLBlT zbOhO#FX3uI2BPBAiey1Ab6oumqF#d&LA5AjUi)))FSN+>kEAk&)^})J>!8Z# z?x4!|*x#~wp(DXSNbWfxq;@|Q5mXwy=Yt`JPpx1*0PQ+}t%XP6I|-*cv>k$?gDgBj zwteXDm(dIo_X0VxVJIPl5q@=}!%(6qO!?N2lE5rDt&9dv(8lmB!>aGNy& znJ94J%*o@7j|-=CoRJ{7s&o`~FoH*an*S0@H0$#%|LNGpUM@zbtDB3aCO0%OhD^_< zbmfG(4sI(xIPCIu?9lzxr%><3bJ*qAt5X*|WoE*jJtW}q2xlOzJ7<>|7bzkb*Q{xA z&>@VWknuA8A`PX`a`V_BKWQre^~X$j;$=*)y|{0ccJZ3MVrj9!<~qnQF^|tqPxhq? zTQO#g=*?~DoK$4*X_?);Zyjwe(O0>jT|wVrrMlwoae~{rrWDi<3rxA|US;2myoHg^ z9;9dPUd_wDFa1*|_uEL+6Sc}h3EQD91zW~Gj{*E(Ll67S(1}sz_i6{}kN27HW37nQ zIbPkPW$mMvuR5)9ZVsEP9c5S(v2-_5++mh=%ShH@#r^(?Va9=M!g1$l%gw;?Qh%uP z>Be{CVA?xfirRKLV&yiq<{bA67wiMyeJ;>dQ1kCr*G_-of7tk(OJ_HR)1_AbSm?Dc z7`+aRTpJyxLH`L++m^!DI|}>gpI#36 z1O}?_`gB}Nc(OeGj!)vpuh7%2{hg&~hEU!5{6HGlUBiMZZ zTM>EVjKBKygxvV5D3u4Hg>8oNg^Srm7)AVdH^(NlBOgCf{DJCp<(}`2qOjl0xt$!@ zJvQZid)dQDkXI_+HJ+k~M_&Ge!SrU^$=5do?_ZYXc=V&n+N|yQB~RyCKmKfvfZ?CN zHV0Pgl_C%Mn3{T!46OGvjV#j+)z|7-54mJiN1RPvb;F!|^xP&V#``480r_>AGL?Np zGx*V$u5_=*ZW25ckfOm&%d+p{re$>`Ru z>>`SR+i!4_K#5&MQ_L=e1qO#`$|(gdL&Nc4a1dv3&W;0wL4x^!lY~KH_lzN{>!_2| zd*M%|ATA4PJ?L8`O8U=P8vPC|Y7aP(_xJ`*IgQ^ynL>tAtUG?ojhi@C2C{jev70DS za7Zd{8lWi*7t;fjo?9qBSbrhZO&Vb8hi;*0;G=gJcTf5$M&VQ(!V(_^)Mp3*h{G`~ zq1S}iqxTedk4A*6@B&;<#7+1XjjNg~24xCFar92R4ERd)`ji$i? zo`QnpP;M-aA&Y`T13C}~Yl7r%YcZwJ40STxIW~jMC2hJ0IU?77m$ zg~qYy6Oa$IXSA;ng9q{%!aWcRB4bD=8E4AACjwW)l8}SzFXRXe4v2*34vq~BJctDX z3CKI0_(W0)t}$XMz&Ai#je!&#AJRwBRFoLLC?xh7U?n_F{mse?wG(l0K}XVnfi0#( zQztws0(h+Y0G2i;?e|mSh1e+p6G=K?`U;pJ;a~KCD;?*9A>v<>b8g1 zObt@W*y#>D47GGXLRAJ}$ccnKCm0#_z+GHB5D9AV>#2VOSoEd4d-|uB3Hm2}6By%n znR~eBsR6e}77#~&3()eiaJ27q;FaRc2HBtC?2zm|Bha4>?0-WP$Ub>*Pj(tyZ*(-M zS2AZ$dp+nudy8{`B;OcNgfmG1-Df^nc@2t4Vl`=HUtY~|-u zFk9L807Z{N4lD2V9DwGl(<9-9-eiC;zA>EQkiV8Ec0xT^-T~e=4scFbJP##{ z)o~iQN1N!cQm>LzXXsuLr+M0F($K{H0gGx*{=!HZ^Pureeo?^3&cTd@(SgqPQJM!R zf|jn@5+W+X$(vlF8pXH7{N9q()s3U+xj36{EsHvK2;K5+G>nr&=yJ_XDf-`rhiLC;qapUOQCi7e##g6hU^YI%JQ z{`spdWvrG}_`&wqJWQP>Z?~U((K(WD-+9eax50t>$+K&(S)IwLbufLbj)BaBZC~9A z7gVqhjN*$4ht;*JwtnvCnXQT$Q#Y#mbTFrL{>erD!I|O%Z21qX9cFw(?Ph2QoU0DoE|WOn zn)t5ed|B&)*nN>%)gtGsY9*`k+x!o#Ul`t>!(a!BZyZSHeCNFH<8_8e?oy&?)!`HV zy}Tb9oKqG`u|+uo$12}Vba6Wu9WH)K@pB-%zHqT|NGEaK|FQ84!xU0uR#M{u5?;D9 z`XBc@)3Pv~UsbQaGhJV^#ZNeknYut7vWR+fq}e>}y-NSv3kr5ragz_%eG|eSYCbVF zp1NsMYA7V-lso5ZuKFw-fKBvaql@oVgG*zqC4^cgVsqBbh|`-4iMtoYOgTPk`9D3f z>QPhdTP$^WE@hdk002ZMCP`d~-ya4W z+$M?x>;yj$z|OMks7L~EVm2-kEOQdagO3GZZIVI93US86z7$xdwHe^Wcf^B_EO?NX z2x2M1`Q-?$;|rig6@f3UG656@V4KKX1EJ;30@|5kKufp{XaHrC4C*MxO>Gedjz{3b zJC_J(0ArI3%765m#tW@I0$-s<5}*NuO#tjyvZq06^FZ)Y2@uQ)0S2?jIQ@ahEPM=P zuENKVbMrv+UqJ=dPeBC{DS!rW zH7TGsPxq8dgIgd~1{lB^tuX|Z?J2wscbl#p(B#qp&9E61zEY0j`CX~@0$`Yh85q+6 z1A?--y$B!&O)BFxFq;wR|V3szNI_dlouv@UT+!AhOJc7N**Rk41FJNVAE7YJ9-OsISI3NxTRa=0;yWNw0=NI zP05$^7wdgAEC(fv^NiOd3usG!Z9n-SZQ)8#LL?waWXX`27>@lKgQv??|BKz*<+%4Z zg$XaC$-#ub}nnVlCq%fij|!rjOJOjOvi z{Ycx<%Yt*)#z=i)2VTD$&U(9CG4jKvejl|(ZA)B)y|=;s`#Dw$1~!F}v(K9?Kd$#c zTJ|DGZfc2HM@>sqId1eEP~#VPCf2Oyl_BZR6&^HOPGOOoT=ZZ&~A3-T11N zVZH6xiR`F@w{$fp(iANpOBAKcyFesC$~ie3-b&SBesUcG_b0~#q^xMof4(kVocnnE zmLMTxJW=H;w`62AiwS6i=ng5H#rW`cS3 z49BK7WiG?}?)ih(GsD96#>D?I!@FI{sE6ncZ6$ZJz2A+ZJ?pa~L2fM?wGq=G=?=0?Ob{BUsyNkP+-3b|H zcS45Qosd~zc4uUm-5D8XcSeTUosnU7XJnY&85w4GMuyp)kzsacWEk9x4AQDWC4fmq zY$TXF+Q6!6`!O{@Xd3_kt!%Y8A6lgm7#7ZM93WoIKH$2yyMQ|&5Gd!(TAZ#AX$CH` zZXK`#gRl_KCqM|YV*IWSr||LLv#Ueu^kYs+OWF@+2#;JSNFchS)W@ONLIxtp>D3wETp=N@7+6aYiH z3@p3{H{p1ZhPE}~8VXAl1E$j^y2dn=!IDu7;F;ulg;_h1}i&aH46U3-53b4Znq518(O! z8)&CNGTjcGpyx9%4b|(|^I(J8fTR~4K$1E;khFVq2N}fCiR)KL2UxO%Isrq;9XdTo zR1xf1a8xmnCUxPY06H&Z(1j97;Oauwo++W8E}Wl)bf~EdRG{4r$`?F?7pLJ#TJR>o zV1C-Y(^UA;9q+;6`#yh(vW2d`-!r5`@4=8})q;Ak?a zy&v}(ffp3aA_o9%3Z?<6k$W=os@LaAsb-1~dQ~O%8<&?s>)92Z6%^H3FK^ z5UwhuT;34K_Id-d6<&d=BtGm^1;6B>9{^4JEuaw(?=kM5L*dr;E{mdh!}KvN&L0Gj(4js`v&KB%A<4CF_ccC!`G)W>l& zL;AE$!ptb?5k{Y-Fj+x|ICNkiuJaYVJSfptjge78j+C!d%h=GizJ!?xkt6@ zY!3gLXFs(&F-b?;ez+<7lmz}I<9;+o6J;j=iBV=8QSV; zW7iw-HoWWmNPoTO>b)%o<%>jj?~y@2XYtChSLH~p;JBFn9?xWJWB4~G-h@7l%83Dl1JVeHy`@+m#DSLdFkG zFF{dy6UXlwa*Bb_)B?kgm*c=;)f_Gv)0%RD?2n6Q%8y?TS=J@IU2#t$)}ygf424<>m8hK5Dwbzt!rQup9%1wHQu0=gEW-JZW*NY z_`Ud~woZ@K6ec06Q+OS+_DxecYXt8D z%gF*x=-dncv91l9+2beA(P3^s`*n*;GP7GZi<|l7LRla+i|DbeFE73y6bPPJi-#V4 zLfH~1*FtpDC|#^=+AGpJo#5Q3XYj`HO2pUezPk+SyD7QFLP~XLC1P!K$iu*q0H@QT zF-j9%%vroIf9OkOf19$Kil#bySIXG^qECe0DhsbFw*w#u)61-i23|+=W+`+=W+`+=W+`+=W+`+&RAo zRL_oRsokaRgp#jlcrw@744{s89WXLzb#`YuIuEq1+Gn7}_KyLrhfMEVKjX~S_$07+ zKqK1YZqUe|+nJohMS?#8rO)~WMGL$07keIzHwT00iO%D+IAAct0onn7j~t?0z&RyIIok!$Tz?MW z??unis=>2-a%gU0Pk*Gp0ftJL!DbS8Ce`15%jAKCr+1L}Ie@xh?!(jIrJd+QSD4`xHNbBM7jTe4 z>}z|(oLd9+K5O%|$ zo|ogeEq+QUZ)0c436`+F0i>tTg7-CObB|z)Ng#M-69~Ta8PF`Yem9#3D%t`?nuS1p z;JPryq)ZZWNPK(OigUrfX2doqNG=Q>dPbijG`9~2Cxd4 z#B?+tq$+}DfPeR+`5`%cH2uzR6#O;sT`QIXk$L*~pn!ES3c06L3eJhd2gM%HBgNnZ z50jJxqEP-Wx{Z$(L?asJZ;B!TfB~5f-6J%B0HB2ES)!;&;j30bJvcy<76NeQSyLE| z1Koq~R^8DZ@Hs@z9jzgv{^zpw-VN}*KzrZ_LlzYX6_>$?BWOm@FG4gm_I+8**Z!Ih zjS+TX#F~}j4u^*Z& zve6j_Umue6XuqB-W)$y2ep^s&ts(DD(I2Rt1#A2%6@p$crZsXoR4|9m>=brRtQ}nompM>p{x7%Rn zG{MK$QzGkr#Lj-kZ|!m5%o`#0Of@{Tsd7k?T}X*a`8Sz2-7yQtlxi<<+Q}H2;qzF9 z^3>Ce)K3OOcKs1S!PCmMUYYp>0#Atq!`Yr+eM5XI^tIw5p**STG19FsxrH|#C>cDW zH|YuMmU}Yi*rPEiHzL$%{95+~_WgWNWnp&D8^Vxlq}gI4y#w#;czTI=%zBh3DMTJq z*vi)aWW%hm5%nHeLY+;W@BcdKatrEb*~w2QE0hX-?`ZFrPm|Hu(EaN(e#S~><;rQh zT>2dLQNoP628myO!P5#IQ5DxH3dKX;hc$kFSZhlj`t67!``BgL6zmldnnKag_b9-& zQiShL>*qH@IOI3|dAqu?BjhCw(Ze_2zY}Gwgs+@h(WICd2@wwc&R8VZJYx|b?Qpx= zqD8a#R6Kf+t)1oUN43-^y#X_xwohq@%DUp74MkPA{$Q|M6K~bN?!J7q{mFpy+2Bws zUFL>mx1+uEni>-UEY(=Y@9L}$n9CJS-?IAWPhOX(wqWmREYx}N@Ec9Yu!=V8Nu9Cg z5#cut82{*Br9utNdi%2S(EgnXm6c9YBb)2*$+-);L|SfMJ1#cc$akL6=DFH;n^bBk z#uIZL;a=HA&wYZ@{n82oWM*P+tY01_m)?Y)l_hGe7zUqrIy36ur#z{Znrfz)9&M&V zQ?uR#CQ1gvKO;WankWt75A&@3Izb80Qg7{iqQc&6eIxfksw5*i%THDM@Oq!C@AISDR7DmupkIXJcr?! zVGM*IAi2@w5<>fd9wg+zCEVeJ4?Z#~2;k9+{XqF0lKn4Z1J3vr2Gr(`Y#0~`9s=aT ziNA&b5#i4YO0Zd>Xh;yPx`SL>LJp9ho`>Pa0pVMKb7s|9abeD&*GR7NI>3LL#mDv9 z&=i2q@e|+@anzu#E(EwVN73h}uAWW&8n0DO-HVmZ2Fz5dT&NZ>Jak^@i;F~nRQ#+KdDyD%t9cWq&d z@n}7MmW@`Dk9%s2)?iM!Om}O}K3aUf1~hAEmg{z)6A=*unO?PZY;9M;8Q+{fO*h`J zOeGQG3|~UPy^ad%R{S1;HllAF?=wnJ$hx#uU4Ad$#3RR|&fNLtkQ@8HCcJ0e`z_DE z%VGR5m<;Z9BzqIjUi}cu#?&p(>dU)nZ{(TDRF>mm@^yuua+Kzp&Gz%;aFU9qS%%X_ zQZcpHd+hfcyRhr@D$h}pHEcZFxRg}>*{bi_q*j4#j!;~EO2z}p0&%>IuA|%TyrMrg zyNs|jvsnwJ-g)NQ8&}`!3L&4d6KOJY6eZOiP?s4cnOWHW{-of!Fay zQ?f&;tjF7AD$9%``x1B@&!5(fzD53O$dJD>a`L5w-s1$f;__6AnY`d=irKtxfhL}X zim^T>@xtaeqP07XT#pLqX)tyhz4kG=7Tw%oWO-CTr-FoyE%9IrW7lQ5z5lq`7}F~b zBLA@WxKD;@PJjLPpUZ6C%yTxj0e8q}M0obOP1oh>LqC=;nK>u0v5LIGUv$p@Ubrrh z31!4d#@t?{_kQF+7{g$_-;SF4z-@B33?pw#&tSUjB*u$$Wv=X1<`J&(avxm-d<$fb zS}5N(xNPMdRw$?S5=$BK5=@k73)+4qP-Xy3xlamG(_j;6PT0mC{4j zXz7fGb9&EhzjJQ%cD)RYDl2f^KA)J8R#6;pbK!&+aZA+vofw_fW<&7RC?x4W3Qs$5L3<~O^)j7eRZ>YKz=REY__wXQ|TfJ-WVnyrBKzE^0`_nvr zk}u*_7Wv_Fw5Ja1`DCd4uUrw;&(gOf1u&N2wbf@imXPNpUP*xf*k6F}bn`*1Dz7y*P3 zA4GQVKV_N)kmeBVAVw+7KOvf+076H=gQ`JD$F2%SauM5R)ArYXD-qQov3(f_VyJ))3@AM$G>(sWJ$TA0Yi}Qe_}u+yo(kl3k}A z$N`2;0FA5x{Hgt9U@IpG4L{z)3rw9LR8hJo2iQA7u;u%YiUo2H1E3;+R>TCIeY&T> zI2kbjUd15G2!B@?LJbUuA}g8+jZ6^!CVQ_D9fp>{&h_sxcYKsJqu7=zmXF& z!L`9_9e<0Xhi&0N#D7mOP7ds%1)m{>9|W?4_GbwQ(1G!MfU~#=^Qb9+QXHiR^D_`S z2bbog0mg!d8L{?AhAo_NdQV0P8$f8vVMfC!_6WxUoH2>ZcnokGAz^lq!OenjAQ|UC z21@GRE9jsvKc~O`r_K!h!x5vlxDTPH+IDC-(2aLHG)wADJAWO0oY@ zB|;qqRLHV>2bT+cBSpHvbwoglYq*5LM5fHvz`HWt98}ffsHZjDU-l{0Dm% z_caOt#}8iQ{y&;x=%zfH77l}RQj^rRBMeo= zk3^K=#~gipogqbw>yJkd5Fesqx0+tLkwQ7~mf*@qJxoc#mC@q;Wjc!z}wWTs}s z-yWaDoF1E2&SxWeN(6o!bBjud_2`M6ms4KzSizp4$qkFNad?5c8lWq5o&c3k!}d(k z=Qva8L`R4(8CzaJUDj0S`Z19K3E5jjB|9TqcUjK2XQ!~donZ{-wI_b-+Y>W@DxTRjjRyT=E{#bxf;`bt^|EeR#E;L9^Ji`)j_Dry@iWIvmXe|; zto~vqc0$HcHJ6;Nnb#*zD(je3)`1#8v<-_`Lk#wg@Wh)opBEOzBN5k1#T@|A3Xm0y98F!X`7Jh%CP>98bkw>}A6d zP*8H;uC)OW>&Jnf#Z8PQ#o=Z8KeXl!9q~JK2mUGr`yGr@lH&hpZ(J#y2O^2wQ}eG- zG^9*I%mnof{U=n64FJbVBDdK5%a4K(-{a=+uH6L-Z^-1?#eY|sgPfy>ft`W3AN~{| z4qZG9W?B{VyS4(f@D~jlYB-E$LH%=;3W#O^Q~+tO3e2kWxBnW5>K`))q7`5= z0N-bUte1DaJ0uqk#n1z+Ua6Hoa#0X~;b%rmBEdI*jv7uB0Oe!Fn(r8aC46g1dLx2iSzlp z1OmqYassu3tq<4u9R-ksn_lcG{~rp!dDVaYi6a4{{1wLL51)V+Z$OMX44GVuMlnID zV5J3oHAsfXSvqED;4tvAb~0e^1llhEJfxiroI|oF1NKFrP&_>t^yS;jY2Hflb~!HO2;J&L5;hM*IC*RvmI_4qOmkRzBHg&w?F}Pq?LR zAG@{h`W-)doBN()&kr2v4-dQH^`7@Y5!oB}`o}lCKJXq8A%=$Nd|z#X1!hfzPFQ0k7^{9mIgcRKExcIR5|Oj-^Aa-Kbt6zW=v_) zNsr98rGLhYZRv2Y|9Ktt$XCjecd&NJPDXddTOa4Sx~YDUd5G^VeL+I5Z6B3j@#97J zCNWdFilU%*_e?j?27R5j;T=lfkN5}aW-r-0)SBc#v$3|eHuO}pXLF8TA-|Ly&eY<2 zqSffp+;NhlaXp9aZ1WZFN}t@!YR7BvCL@j{5vBj^6qx=->0$MxrH#nkdyU5rz~;5n zt;mi0{)|~kT&}X33pSe0`{Fk_Vn2uydQUr#<_{k<`GA!?-ow-9;g`eMwHa|<4*GLcr(oRy{m|v zHXo_%;>{@hqTqxwFr{r#b3Mjkq@2zMDaA_P3YiSHW26(no6mQ%uXJOcHvg*f1j}d> z)eu^t>vK-U!c|o$!;P8QOkMHiRk`7zOQK(Bv@y)efzL64-BvRGqMi}$BBbRCu9|XP zx^~5sb+aEF$<*sJ4we6wq|3Fpc!z?hATuYQ0s4MtRsLRrWD#O`wmWO|4t$R z#gV(oBz6F}&l>PsJ4->bvS2T;MhWZ%Vx%A+Sv23j5X)fG4Q_I+EKsYpVB-;&+>!;2 z+WwtXI0}-AqPx@pI2rgmSpoz7SBdWSegRJY+DW1z5l&#YzDnV!Xvl*T7|bKmyU9YB zdiHm64o>FpBpD$=7_5KxH`PZTH1eL;)l}{Z4wo z$&oD-4yA3U*F_+c;U7Gb9pV>+_>YHy8z4bNxa2<$29D^a2-}EGjQtFZi-I{6iAiDB^jYJN}yu{J4rN@3sWVOaZj;R3C#am6EGHl;(ib;G} zUFiEFhjyW4Qm&fOFu{?_!S(DlYr&J4)AVL(RoS;3FH5p2T)nOSgTYi|JE~a}!(eGb z;D;`FOnx)MmCji8`u2XW3F+4)ZW15)UVbWg<@1x*Zkg}b>A7HrmzbN``ns+^+KClW zTA_xTy$^CixVMHzEU;3X_nr#9Q9Vo9zOQcoFFMjKT{2&LlgB#oV$s24bY<;Uj^t|` z*Wv?=#Y6EwiubvJ-oLA-x4xj zQ(a=Qp~_gZC~C|4I=d2Ge8(<&<6F8-#ST_ zzLrT*7uj$U1e07YoS<>>=S~eb3bhd3FL1m2%g|)Kk+!bsl->*3Pc|1^-re+SzfYh% zu&z0M7ppi=iysxxU4HCJQ=9pj0P7EOj;`g6#66YX#h1-Eo|G@hOh@W

    NJ}#5v>M?fpXuOHH-%b-kftQ^3u(11HH=r56G{m6 za(G=I0vf4Qrb{0T5ZBSPF6>cC#Ia6z*YFrqV_17BfSxjO0?12F>_dk$E7S$^0~M*c zD3bD+YMb4!vQA6tE-)qa*%^%mKa`V|I*S=$<5dWK{E@WPJJF1G3&p)$x!Y!(c0%BV zea#j-NIYOH>4}7k?Yr>j{H@)P@JCDz{@cD2>?7-t#4Nr35yg3L$L;N~zKo~!EI21~ zc|Z34$Zs#N#J~qKs)hL#Zeph{gCB$Qk*Q0K5zP=$u&<-L?V6jSrN)?+n=w6Q3Y)XJx-mUJT;#jpZFP-j&f1N z4MTiO>!n(ucwJ+H$;jso9UNbO{Pbh1(E&DcFxBy$>q93XW`ZyXv9KX|VZeic)(!g7Z4T)iiaIU_FF?42nQel=6_R(tN5zglMoUa{q%U8 zlwdtT+Y6VzbFlCEU^O4SS^XYoI1HL#7@D9(=*~5>fQo$B!XOki?oIesARRyf1Rs2w zK*2kT`oeeT83%5gQA)!aBcY9iz*O?XN%Hprv{4BT_`6cUiz#e#oh>NC zTA31xHRm`wIuizPkEdb@6^8bwg$aJy$+D(~Z3v^CZ8zRsB`7uAlAl^5;8lWu26Dll zW~tO48z^8F`GPPc5jZ#b=|7iy$yn@ozer~3?y})y0y`CD_KY0`d!v_nJRIE!cgLY- z^@v5nOsl~G48cuwD25x4hchUMO9?ZATvRW@up2@rx4YU=eMFw*AyAa9^`jeAgOj%3 zxQrm?N68jtQvGtt_3&0_gc-@Ei=lS)X#wLcWZf|a*s|ZhwBrx$Oi-lIOj45oGu9KA z*BZh>z***Hft2E62c%O5J0X^{7#R7tg8J^MAK)4}yqqrI95tN1DLXke+XfVQt6O=g zyE2Lh#+)7TL7IJiao{FViPpca-c1(8z>CDobw2Z^6`eMQqfh>ozcM{e5qaUnvTclk z(n)#=71gdH6-=4Si5VH3jOw#;j|*j}28s1k4v2t@fI}p1-U%1CUn9ky=kZAlF3)m2 z+R#7X=SSHkE@{m!Iy>`XDkfN&N74%QaC1|F36l?A&#)4fSK2Z?mhYQjmAXSA@R$>`+zleH4bUS1s6g}2{uSmB8lVc13l9r=xnjgqMy-ljSsHy$4spyrQyzUeMn@ku#2v{ z{&B`5!NpZEh*PXNEsliz2<>!IwUl}b12O)1p$TQ6LjcNpa(xAlx{)eX2(6n+JunQ^ z$-1w=BVaH$8F*u)`DstyU~Z+!MFOh6kQF$F6v|o_es)X%f#)Eb&%|a36X*9CdYJK4 z@|?2V6c1KuZ(nQkkpQGXh)kZ(NFTZg zZ&SFh`w==-)r5~=6W47H*Md+#8solelAXA#iprX?AK;EF|I!E7>-~=d6n7@m-(fiw%MsGqg8x* z%&{g;R>|u7-+FGzKe|Yh0fP&EBHs>t_Vc{tbvbR!3pv=V3%q$>`YGgRXn%3@#76?z zip9BFH8q~E;x_bjvvOU|rBsX+y!&Fw>ka)A9!}h2o90po2X480k$x4aJ<&~q5oQf7 zFQG=8=9J`ldd;-Q#i9(=2W2Q}TM!jN?Y&yn1SobY^*6W@s=B!6^*|)y!9$;myS;L> zANjH85yVuzD-p=Sf$4Cn^MF}8v@+=8zhQfM zTu+MjEk;rh&MXQ>>JzQ$n!Qn$!G44qlFdj_!VUc1JAx+)Q5(PvW zOKr{9xIN*9IVeNsPbGj^q&xVc0y){Rm#$blu*V&OpE{rLzL{yn+l1iO__>d``ntoO zzMT>f6I_Mf%v}I%c7_>v5pK$J9`t94v9twC?t_AE&s5#f+$7zik<$ysto% zz4;;H(4l)wW16SV1St)TZLgJ%tLJ0^{l-Zp9w(CSEX}9s>zUhUr0UQnag6)9{6ep@ zN%PgHEavW#M@B=#2ni8y;T~;w5|&ttgwZ04BtzVa`wWNx9{5U2_N&eD_KedDa%6Z2 z8?BM8J7^`njJECpEiWv}7-j7GYc@HC4p}ok0>lg(UOuZ=%xQI92)V@Tuq|mDZu(bf zocw)(KXd?id-{L8pLzcuyq|f&r0(y;qCagS{(tnXy#MH1d3pa1qTv0@q8$tu{&qM0 zHyyweD`p_~d)^5Fq=yPH1+6oHWg5S?{2&}OfC>Kd2EOdYkNaqwx zRM?#%i~KbbWrzVV!RGxRzSF$mZp5G5Bwmi+`iOra1zs)?^Dz2b5HYxs0R=u##RNlv zrwGg!qD_v=xx3i(ej5=Q7{gEC@6g;cN4(@SI8}Zn)Cp|c;j+%E109WaN4 zW+Zv(b8hF^gb(WaL7QnX%X8S22l4*;NU#wtWiG|HqcevPj|CkYNa@cPf}(~Qsjae$ zX79P51&>aB5!KfvxE&D`ZFp~t^DUBjB10+QTpT`hE6;9P;7*xBWHKPT{m9TXyhuNY zel6XCF%fvmp-UeeaRJ3kYY7WGWij7eZB}cwP>&l4nU=Ecp5lWSSV{NOh0<|(@2Ez& zSc1cz^tk*jbock#riolwcHM?pIo$k@J5x>jPxciW>DOsFLrPk^*pL?L`NJs)9PDOJ z^-Aq}nv?WVxaGdK zPV@DUYJ@!|O$eJpKAcbE>Rh0$x^5=~cBaRqtL-mbJGgCh&Nun0?R>93uM=>bH|n!o zk;dLO5w|G}R@T!>S~O|R%uB{i!QLeF^-^71+D4xDV=_UV-PBT?b|v9Br2z@ga2q?) zbV#5of6U(zB&wp|7SL~P9WL)*p2h#(Qt*P!-G2w3fgcT3-jE=HuMdCiB6LANZosNF z03JXX)CvV4h5OSD1GgSM5U4@3ci{j1;U7pM1pnK=7Z~RMF(%802K+@eL340%fyg7# z>42J_VkiDM_-?U%K(SdB@K|!uuv$`+F(EFN9{TnUnvNX*9s2F+>Ao-`6`qFQ`de?l z+w#ZMNx`JHR+G*af^h?qUu*Y!i?XWkDDWo9sQFdcS-)fDeTXiTR<_lkXkeDHlLjiu zD5v9Nuu6ZS?ni+75E*&Uoq4R?r0|> z<75HXxS8@lRV%JP6pN}VQiU0|yjk%B>iTqT@cvprCq8_Qg`C1*Q>E;bp`Fkb!2`wy z(np)XU_dS3eg7~+xXO`ypO{ftLn=s3Nzt%R*V^2iPC29xlWj>gUQGVpK2}X^SD$r9&dF;d75nfM)vz%hEKK!`P+E~Q4&rG7nHx8 zYfmdJjyeWz*@8FQmgX2z^H=yq7|nop%T9Si?9U5G@7lj_lBFL=kxH70kU3L_blAxb zD;wU5#YMaF`r%14hbW=KG7}g+HBbk!@~5-PS!gyI$41il%Ohm@8f@c_>eL9gS6}Pu z8RrhYUA#3?^bUzxqf{q@^^%+@TztOm)YpJegH?#ugvvAs_!Z4j2*J^cNJ<9;$o6uc zE+EalANa)HVig_)#@4s;YS|`B6Sf!GI8RPOw5}AKG#?HPy0GU0i54N-;ie?N(YEsF zR7wY|>%#kq$yB{<9t$(kn^Ts!sDaBX$Za8rgQF7o<|$ba;}U(#=ZmO^sAN3VA)wpv z^b;~J<>PGm>C#-F)ge*ZE+7sFwKSrmuIU#8P@7^}q{v{gR^K#!AaQd8A%kMKtDG4= zrL4cW8itu7i!8ErBuwp75LQ>DZf*=ycw_HGX!Ms=JGNHg`%y|sxpQ=1|MWn{NE8)| zR?9I%5r{W5X}7VC;kPP48sc0o5mWp+*^5KwbZ;2ZT?Q?>dEI^CWfKc*$}PlwH`HLh z_r;ohIOUnTh7GOUp4{TYY0C|BcaRHITl3ndj0gjZ6c!M#cy~O~Ky>q@157;zZ3I$v zi(DIH8bF!{e)g*f%g(58h=io&k0rY{QjT8jO~ZWVn6wx79bm%&^Ev%}OgT4$jyr8J z{p!A@ggJlZ8K~n_V>=6|R)GMzur!GkajEj`Eyp3-kpGeJhZm_sL%5iwHpc zHr0mh%rdNMKgzy_z^{vZvv+hlA*S!t@{Vu5NSL`paeKZ40tf^As;Re<8-iEVzP8N# zl}mT9jblB}f@fs`KXn?m%zz;~?!|?n3x$&Y#f3&35gdL?!>%$6%LzX&>Sli@Xmo}h zt(X?M$bb9|9fl?Kc*WNTPQ7gY%%mUXX`dDIATWc(s1#@0G->@#zB^gGw62+pwoW&u z0QP+gVcqA;&+V{4lp6z&FDNsm^&ZnVi-2Vv{&g)Of^Uk8Osi4Ej*^WaPW>hF#SNOGk>#H;~XqrtDV_F`sdKzIw-!x2#Xq z3^Z>Fmc*d*IscX*5=szK?IiqqQ8X!$%ihY|T71ifkPV(%lOwo%N8N+!qGnT%>#i zm0YtF{q{OcODLmut_j=&aHNC}4<3zpsrrivrVah|3NoKc_=-1q`>(8RFn|_l57P-J z@(E}%d58OX0#8+UrG~-nG4IgNLw}@IO#k}%r8Z{jqn5TYVk}F&!vOzqe)%()6%*t&S5)tb1T?UnDGM1}hqsR8 zLi>vx_2V5+*z&%~((_z@9_zZ}=%o(Z8cg3l_Zf0bmi5nXSQ?CX83nefGoswLwGBy~ zg)Jl*N(>V>a?mZ+HCL^*_7@3$urmDqRew7=Pp95ypFz7ooj{83NUk;RV!r>F00oOd zyHHh0J}8J1+4F(-+XnlnS~3+l^mBZS7k1p}Ey~8I+XbGC!hY!cecFn^l&R|KUJ&|@ zOMH-yS46&oa9DJnBNedzEjnDZnu1naj>*83oTU6WC4(p47zIh9@&T&L9)%{2nSrO} zEh1j6S{6MOxQ)>LX+#sUKKB_|4`hqbN}pJNWedz#sjtq_GqDi{g~xRAxDsfb0r=>C z4W_0Sx^UQVHpbn>a*!mfeX|k>YaFc=q=u_AtW}79K(s||R6-zMaw?)*Vq}i82BG>G zRGZ&eqQRYuRSel%Ma^94uRev%Dl;c^Q^;^q*=w=^Pa1&yk!5HS?Rb0`5mZ<*si%y| zW?dautq;>ZKOj}B=`r(3Im>T{?rUW@_XwG}4-y;EeJspXC#vZEtBN;eyiOq0P`!NC ztkU{2{aemNqcVX}?|-EP2_8Jfmus1}_G5X$6_(;4(=u`*-e~E;ZQlyT)>-BS6Rl23 z3s)T`U{&_r1rD>`^N)2~6<87><9ch7Ok*-38}9XqZ>7FvWJC+l_~2=)6g$tt8NxJ@ zLo=>_k(U+{i81>ebh=-XKxhlV{)WYbM|swU5KM3bhgS#m7XlbEi+nzW_V9N6z^bKv zj9UG53_dufz;6|)bM^D+Sq$W~6eBG|+bHa%&~EFH8g=(YvDezRR#XZ1(Dxma;2ssm z91`8vRH)IOLV{k(GIqC?gnR^A=^|r~vD)yNX{a5fcQ9QaKut6YjFa@>S!E~-WdU! zclqRjcbKL1d_Q}{Y+~P-m>g5p8g-z;it?K~T*W+rX(W_%`*8c-&Yr|Ah3t+SR=QUnGzH)C zI^aIVp4=#ot8qz|7T2GM=@1jDA3vNMQFfLKb3^Utx$FusCaJ5gighACEmRd=G+EWi z?~I8|)yi)&j2eMRd#m5ECh0M+!VK}TsPMR50(c?XO+c(>vVO zDzcWzWIFb3?b<#IyAs`#>(-f~{248=0q4&VM8kN_2x4(F`JK0%rE5qdZp=K05YYki z`%x4LHWVPlfM_js)VgA3A7|JLB!+-WgpkF8en%JsiOb{X}KoP`F2jGKb z2U*hrI6&Q~0EJjqJ_OJu9f0MpfgEQ@bQaJTH1NV~dI0ZV3pqg==m2?;Hp4&ff9JA*Zb`u3LZ=z*fo9JFU%9^040bJNh0vh3Jf4fr@R3M~^zMU7c_b~bl^y)PZ`o%a2OrF1-vFA68Y z-peoeyMvRP00f*702&S?+KTS;?x6h$=H4ZliK>A!y464+Tc@@6^}(|?tX)wtQ0Lj% z?fQUP!w;R2OB&AYQnW8Q6etN>8Ll-vxyFok(w$P4S}Uclls9o^uc|DGsj-}wB)Ih4 z0(dx(?w0%BDUMjiIsLskH;>&g2D1j6ks%2jsIe>Pm8KksD56Y-R_$o&q$#4*MVL~n zYvbTBr`yslK%x+D%>T~3vN<=GY5N8PcOU19uXr#|`@T|9myb@$7D$+ly`5)Om=e$D zQdG8#x%<-K^W~H3;&9c4uhn~8ld%uehb1X|yc)b;7nc>4bxMmuIoIE-GKWkt zhXsAfOs=zRw`KHx5e1IupBk#iGbIN<=2O^#&~B~Y2b92lxUg@p+J-XsoB%fTV!`-x zlx-N$b58PSZwfJm%}+2aTTN!OwSk>?Rd1TU)cAxcVcDHRw09YPBy*&MjDANUdGZX6 z=9u|PWS=)dP@FdJ;BLp(S@z7bl!aw*aHmJH{6mAr8Ojw#rViL z{X*c50`xTK$+^r$oiki9;V$FTF(pRhdFkb@Zkpq@J1ebK7{+AQbA(_ea15JVl0kW4 z*R%K7xYrEj48!z(_$B9u#tNN=Mx+DthK-DDoG}u+(CZ7#TTpag{P10ztPT&}+E&P6U!c~egLw)l6Q{y*$yhwf;=hi#=%VU6 z0s$wi&DjG5%@_qC5%e0eJm)}efy60BCD1{HI%=UoWLpGBVLX1)`5kW1_SyUpE|c~> z*2`6VfoDY%$)c)ySsNyVJZ?P>7M3Y|*d`g%No>HE6n9<|582qyOE8vs!o|K48U9!E z+$7@Kl8hL@gZb#0P$(8+{oX<`qIcO1Xud%_Db}WGS~Bb281tXpPmzHl@KM?M_Qs*akNuP%!&wJ4 z(u53OE?rnzMXFM$JnHV%VYDC9-62D59&`^SU<_1&8B$LsOB*2cSwb(e(_6Umtk8(| zAnF-I*xm>QY@c(cCiK+v(4bq)r6Af-dtM_e-UmkMwE30eC}ZFwCB}u7wPJsJLnJjk z{QEl^%gz-4J)Gsa(J@{gn1D;48&|XuP0><=@;w_vw`0s=9N5R#@=}H~AUavAaP;0Y zmqHD&f)u6giV3BG0DgasTtehSO}b>3;noz)iMyxYnZ(IA20Z&|>>8DvS}oNH8R<($ zm3#hA7&h-!ZD5!Ex=CZShuIc0AH!|*7{AqJyJ2HhkNbjiF%GNP6I0=@nriYT!%6Aqn~;xTQO zd0*ewh#fO6WSQ))+4?x&#HU~0p~*F(8(+a)KI4PlEpz|YD$51Hdh?6~IvG1gmO31xv1E3%&lv`U(} ze2%%6DsFbhZ5P9_m5yz{ZXNSVhPw|ZV0NsrAHzBvR6qmlZP)odIs zEFERav(q%EVZOQVyJt9@w306Z!?^Case*$ zrt?`j3gex~s`ecHUoL#0dVfq55P2=aUt`=*d|-Q5S^yR}wC%T33pl~|54#Lboe>9v zl8^X+zpO^UtNvJ;Ff)PsKWt!U5*gUHAP-W&Z^QBeUT`mHmlp7stvNW#2DHfwpvL|) zWc{B_q~8@{J^&5)qkbEHQ2!?%{l6iaf4J#h1^`;TA|gCAJ1f`U5vSUpVpDoCeOAE{ zr$tqLP8sWLk(+U`a)W)%g_}iGXeFYU>X@{?p9R`qu5?5daAx2f(s#P9yq5Ld#$esA z&(?)PZ$^kCZ!jW#S{@IT3?ezF9OB6E5lQI4(HRsA=_h)v0+oA;F1H!YvuJMREA%)R z{+Q(ER~2Cm8zJU6K)Rynu6I|_Qtf?qEZcQVMUI!>k%&$YQN|ry_;^x8NPP~(fbI}F zpV>mCsPHU$Q8rG28?*s085H4~**VItQ*=;FS%T8HnM2xDAyn_Eu>5PH#|FC1BjRp2 z!dW4$$(i2{=c3Q1BRbdh?k;AtdEq@mH{&N^>vIN? zv!Z*qaG*Qfq_u@vg!XSgnH2sCGYWEo@;cuw%@Y(uG=w~~>~DfWgk{U*{2X|fNyn1k z*FqAN;9`L9cNJ6yaeEvT(on?1f}ii8TGwcC>a;qZO8)J&BXP%N^UF&Ilo?AVvy{%< z_r7>LeXsj@5RlZ6b-pDoxo`JNG-~FNd>6)U*S@_JB)XWx5{ctSK7ffsQ>sokhw%YssoQ z9W$qbgBdm0v}1CnKKsQ0eCCS1t6g3=pTMaV1$VXG5#aV*(-)qHR8y_=#)tfDp@s!E z z(iX=b%nYKorKry<=@nrRF#`D22JZxJp9o-F6VJ2ud&@iqC#xKUI!q4vhb-SXd&(X< zTNUn7R011x_KIT_cFT%%%T4C5-W0GZz8QS0pu^GOywl4S_*0|>4|%EvCYg7*%1J7b zL>v9o=CXu=Snzn+?l3-0^k&@(J~G)7D%uJmc!A1nhhTqn9yN43FSzZ^2fkbxktY9f zZX5(sJOt$%AGiu*m}43DqmCur(H70aq93v!e4&-`b zqfJ2Lv|Md)z%!lR&1su5vD zsHm-|>6JGtx(U5LNi8HOdp@{5kCCdb; zHZoWU>6c9f?6dPIBpr>Y)d?D)8Lo+mcMCw>)608J>oC>5&Iv=MdI1^IwuQT{+DZnZ zdxLkAI!Zxz14dK+X+pfe@H~2ZHlR`D@h0r4y>K!Zr~vl|9zpMI(DF_MjjV(GKJ!Lr z!=NV3ML^_NoNtcO%emyIi83@Z$qfW`S|s-x=G81_7s41)u7|(3mepypTvUWIw3h?Q zZ01^!=iYMDe!p{o4*niC!a&?yqsDz*A#M({aKtsspfK%jpY^yHue(t%*HUY6t-p!F#QbZp&O2u#jt#dC;Xu& z{b`Rk8b_Pa?Fs2ZvUm|HxKj~ltgSPuv^AVgve9fcze0CdA-6Gg%>KPcFTe?aSO{Se zIqgVaDK4lwbOCHEsZF(vfEnj$gYkF9j7DV5-A631!TR_x-=VAzQJUp>3q=c5c}dZO zST=yT8kE#>H6D><-ljpGMwWnRqJ7m8sVP9;1Twb*81s{(D#gCz*t``w$lfmKM~aa{eBJZ; zh~9Qa7BEkV{F!Wc3+1YNu$&X9^%XeR!HcmhKj854(f5#;_2(lT{M-Sz5Uvf+Nn-sC zv&eCB<3Ugxq(zq98Hypori&MJH`S$%cly}~l6|XPkb8Ulh=DhML&}#XgS6yggFO@# z#m#L(_$~fyXMkOYv;ET9kr&ZzFM)j;4^&Iiie@xdwL039@ej7vPGvb@hg5Re28|-R z#*31k;++L-!-}#*%X+$=4a(zW;Mg=d710kmvmwOb2$ew>1Q7Q44^KN`u{$Jtp>>It zS*fHMeWLZ*Tdv6;W7CvW%Q|)2C_lP)^0_{Jbsk+K$b3r4N1Oovs&}LttvsKc>W6=5 zY3z@FxVD-`+5_txCGRusS`5@C-cZxIk@O+mJdND62UFR&wirpHDb8f9fmL2V9Hf zzWL=-y3l?7uq_g)^cu?fKJ-Mt<}k{>(@OWz_L*@0G!L&5+132AG>lEM>6E?Q$Lmy~ zX-v7Nj=p-Ru2hFe$RoF3@nquU{XEO+>&^J6yoVJau6mME4C$)NrJEzxNTZ&uFW!5Klb>g?}|z_&}9RkbgB;0DON< zWdEy~&Iej=L8kdP+5rco{g>>84+Qz}1}w4V`;#8{FD%2(3KEb2i(LMg;QV*R6k0kUb@ER&CZ68d9t3(h_>OJ(qrZHFpPoV`V;_)YVi9EQ z%3m5W6LVk|cqe3~{bjy^2QWjVFN=3icNo8@>peSMAD$iD6ZTMuN8|WQcL#?sM9Ovn zDWm{unljxE&V=n+I62fTVVzt6-t-U9-+yj9s-m3)oqiP8M-yYNWDkv(WtGF@!L&QI z=L|Z(#gJB@8PCz>iV~I2tloojZp3Dx(3VldibH6OBGsUgefxqeL?b2%wd$)(_Y(_< zeoaBRtc%T~3QbJxIhbx{16YInc;mWb( zC~~Wmk})JNb@5>Zr|M+u!|fKfL>zTY9F(C-FUitJIVp(iy_;k!qE$xRuqsQqS%olO zm#wUlokG%s?A$cxh!hZuaGOP+X|ySA>BqF?`0}xlux*}OeRWA9#IgC)Bu6)~E=L)L5q}dkk;94d zmIHY2#!P^xjxqu#`EwPgnv#Sb`YQ@aWI4FG-=46udsu!31xVhQ10sw?y6b2||KON3a#;SMZe$dYB!5U< zeDPp6I~3+1ESFN^DxXq_C}f(QWLn0i1W#qF*4}bco%;!RimR^0?^+sM1Wc`8y@+*z zo^+aRBUK?{A*`p!z&44G7+*`q!fdyShnM!`>mmwbf`yE&vsY zp}L!kL(*80gNlCUNARmBu35FEt=Fl^2cpE&=9?2;D+jR$C>(r2T5q zWT_9NPu7)3K^C4x8j!9YbNxOS5zFUmXeC<4iaTXvn zVG@N&dSWpJYrY}wTl=Ztx)qhGKovF4Lzm(ZHRdXMu8KKarAVRpUqI%L(yRSjyjl3u zwX}f?{1(?;9lDxVBq5zo@9wa-4vGAW)|S>gw=M` zAtwUJ^XDHF^rZLpd9w)KdcEfHNt#HZ_M)J=8&1$*PU_+{`U=jmNO}U0h>}A(D^S@{ zcs?U@NgyMLh0$9nYydxlk`ZOy)n-e{wJh^B$ctIz*#Y1YFFhQlu>Df4O!=%9^ae)L z)n(%*&0$9@KE=MDA`%hec@!yEVf)x$u33~Omv&8zl;xE{={}d7OkSeTP?qR5@K=2c zl#-h{i1+o8R2W9t3&KW2W-@a5+Z%mCuEodpPR1!m}KdmhLN z)t+J>PY4@?aJ}Gd53 ziim<;X3_Nzk|h2~8B4HUXPR-`vH5nZ*8L4klRX0Lnlvxx+pNkjT|8Y`THp`qFR8K9 zMO^faEhP0k9|!v7{9qEO5qHJ2SGM-%&<$zC2+#>&&tn>VXn{24qsDQvfBZC@)Yd~3 zAZyKLmR!uXabsCPsX}a0HXXVVG;6uQyf^P;*2oZ6tnP2=hHpA*10}d{_U%iC3{gbP zFG>U$_f92OL?ladYUWMM=pBnAW!t)L8fFUnZ7ki;85&^0Quw&(PaM7=SMM(7Ypfjy zX*o1YjtX1ikYpU#niR&wbTc$;t{CUG!gd9(Gfcg8N%4pW` zSv$gWTel(*Oc=Suie@@tC0jQkx+K*kAub0tDJ)bq*oN&>k${FCwRWUGzLP~W_;}CO zJ-AH?PFH_mcR4LG^-|qo&IhG5BEZ`Xyh*2v)E99oCbiD^iKUG4?F&`AP;?9t@E3WR zFY(YM@a@}VG9OwA;6gX*IMT0-2AkJyEbdd!*Pvx!9;q`(C9g*(bEI#&x$3czneddm ze_RgchINS(qwfW&@nyQrvmWVZQd0+7GL$Wyy+|**h2j1A5RDrJ&%7XMe2+Vyr5x^2 zJ&7eRLT=4->sj}ZNIPT6p{dKU{(`OE^9})vaH~_q*u2CJ4uFz0N90EjyGwo5qA^#f z&gLcH0iterfKE*u+`SX$eziX%ZK4ez_^Z#;$O!lofcn?OEfgO+=-dd*U9f}JWfA|I zyVzj@V1W#c!D~YH_++=qjRVdwL;n>V@75dcj+Lu>MIO7Dw>a`66rPrq392W7*wAEP+GOJC zQ7HHa5nT+iz_@dUtm;`rY>W-i>N-vtRH zlCGAd*s}dnW{VxK9=GyqCngd1arHbwYI)(zJL_q62UO9HuF zY&#ONW-tkYB1zRSWr2%d5{c0PnutO%nNu{7l3i#348I7;#&7upcIkZ^;sUD<$!yYs ztH4!#&yRs;+hnM$8CXSKWzg+Ys>#EovDSv%mlU6-r{zDoqkl)|UL!6$L*H@W4q@=` z^dxUCQ;?Qxh$^1r{XV8h2+0qbbIm^b<>|XxOh?Y?xqNcL-Qq{Pz#Gq5cKnumO<5^K z$u&SZowc_a!v{|Wuf%eQO?t9awQ8)%5i_76Li`)o48)ab4`_5Hhg0u;rPCaWWDSS& zsPRPP1HHcSlAj;jJ}ocuX$fXeJij-B`Vd)228C{NWH%G_!+F4*NNz_DB0X?y8G&$J zn5z0<#o27Y>*o)FC}iZyTHe)=6x@5vH|Ia(D=}=392CCwb*+G;81J7`hw&GUrE5i4I>1iD@i zPMRrcu~c9Iq^?4if9Xn@;Ex^I&$slZbf+p#(44XNjUX+TD7~NbTp)N(jLVDADCSME zxWAifF7Bnzgh_nI{eB4@VLMA1B5_#)2$_(hk;oumz9aeIE_=-=3cafE-g9v#3t4BR zzI;UqmuP9-x!H9T(da_GEt;Jy8&R?9D@)L?d30%+eJKqKBu(>V-<0Lr5tG&y39ol2 z&G$&1B)R)Wq=Fx%1S3!mAT-brNu<1Y6L$hyLy;yU72i{bStCCLoy~TY6B>c9kYnRA zZ_oG3_7)fGn4b*zzQU}Po?%6c-j(Z&i(}}I&yM#|8P~evnx%~M1P*fDE$}P*-hnXe z35?_W+iYmr2fN_{y{R5y-Zd;4BM06Yi}rsNMc?RvpWJG#a`oRr7c)nnkL-j9Sz4?? zC3C1f+fRWUh?j{Ik-VXbqrgm^0W!;wj+7D2bJrag+U(W|5yGZhJt8lr+x1>R%y6rm zBzh+7L}Tndh_SiFch`Mhczci4e=b#r@oQ4BIGbvcLc9>YA?s=xJSNgtGZ*Du|K*Wa zcFc+xeqZ%=G*TL@(e_wy$CIQTG4xGm#m7diby*AZNYCRll}0-+QO2|c02N6Bi5J%t zeUA$*v>V?D6S&On$2OwEn+9_yQVV=t_FaIlIP;BHvNcUS;?^#kaCa(#^Lq}m6-DIb zh>8vYybFI9|MQBGJIAO8Kg%Bd8f#&mQWazHb7hh=Tt|jU4C+%f*+l{9T_Qxy;Bum| z{vp4lf7q%<7d}ahfm4N@7;veNGJ4tKg7Z_$SLBuQ%60$9!LdoX47X?UESG#6xSmIp z%FMkvwvOqlauZ$Aj?aLWXH!Et@tqsF^l~oA|=i9`$y$%E>g)4F-`vP8+dT$rq=&pYqN6i%wU7`F{)AxIo05+7i(`v(}AAIgL2 zd1SUmXL8&u;+|*q*0f4a<2eVCt@QPg=L)k0Si^1Ubm8Z_b_8qP>_Zk@fSdd>NFa`f z8#`Tvho8Ha2ni;_lt6{~rlJ+cY&ey9qJqd#7JOFP_r8!J)QX%;@^~B!XDJ7rER^QqF6s)hy7(M^BTj=dp z-tJ%sLBGg`nDabh3>&UFf;MCkH-h%jHQ6KrY;c0nbW3?8Qbdl?zjSA zIfNDAeJlE-5)jNWndt13rBx5Jv58TzAk@G9<2_^QuEgvvdV(nbw?L#im~5!jUb1Z~ zAIz%9rSJP+(bXxaz9EoxX&+pQMIU+*KSsx9EHOnYLfDl%+w*U5%Kk9xBU|)W#Wru& z4qx%z)i~Nns?7wFH`3&Opk#%(fosvEe`+>$hBP-)vT|=Lv6VNl#+F17%YMnns7paK zLNb#L8s!cA{=q9aks#a#^&m3li{TW%yJzzezS;KK=*n8IU*GTk&~7IPA?oTa z$e4^UuGj5^)T5hztmjP1rb24r&13u6b;LyjK|Bfz!Ee-o5*G;{qHe-Iv}$ilNu-&i z_D)(p6Pm>D(&42W1G^c@yao!EPs^-?pT^EX`X8lq61ZQR`0j6qYEx*{xZBDp$MNSC zqWv7Mf{kr6A++t3l|;PxL|(i+F7J2Wb3AND<=M113N<$HJ-~1@5i(!bPAC4V04FCE zRQ6XWeSOpb=6tAAB{*;{a3#>fVx&o*Iv)YHWTE-d86j;NJS+GDQ z9&1MN&dGs}WuWf#SMp#9p1k0qh_m zUN9n3{tEe5MMd+EB*#w^zzN9_3yCWSUjJLk(~3*_7Nn;IK#RqQmH%^&4y`}uIMV`f zg5df8X>D=*aUTI8@PWN-!9E`J|Jj%NPZ{jLGHCx##vnBKM}coHuvlPt#t!O4fuRE# ze*)k`z6be$AAodPk#jnzh}qsrX&$aSN%L>X^F}F7Jy631 za9c$@C$J><@1yS`v6aa1veft|gIC7S`~>nXPG?t|RZsT>*HCk*;=c3cG*Uu|6>KPV zKw-LPaU}C)EL|$HGi`A0qa}ht*i8PQBF|yV>*L;TI&d~}U*{bSncd1b#TY)yVV~Uw0oQgJ16yf z^UY3An3c+y?aEg&lEyQ9s#vW!HdCr6*GJ|D%mthL+drNf@^lY@D)fI;T)sP;nBS@R zMI%lZn_iWkP9Ec1&h155nr&g|Tf#L4v(kz;06F{{5ltCaCXQaY9Cs+=LWU$+L}nMt7B716JDoQmGZhOUu7@0jU|Wu7zibeA3qNJWWXq^> zLIq4p>b=}QUp^l}IPWx}F3>ma=uQ=zui};-qU}a_m`Y0w+Qo_TMta*9F07EJm7L-# z?+5D;vA*q`(e(CBjt_U3>5OO*F_KCFnYgB#(&QG;m{AzYMu)t5(&ZdC;n!aJV~%~{ z1*O2WF>m^1bCaM6MaV*fg+tmf(Ak)=6ag^H(OeeK-KT?$eJc4if^9zTffGF|nB)Kr zraHZY+R6bYvbPaqX{$k%C@EiSS{BKGV;>Eh>}8CdzT@1~7H9c3Y1bAfPk_s;P&Hnv zns<^0UP-H670vdmpG*4d5&9ll!xr;Gk3PgV6jhYr4KK&`Z>IMBXJpQsU8pjX?l{2h zyvv60eEDj|Itf^QNWx3TX~Ig=9qE$fvSZk0SC*N%f^st9{lOA8^FzUkPhtglLLEv9 z^1%>np3)IwDQ<2~(6%pcdCVK$V%5e` zBZO%BcZ855yjfPx0~*X|MXIb44rpvS`D&hSiUKN~gG)=}e z6zbcYL8|bPYK5-*_4xY;(wX8ta=CAz4np53;ZlnyQJZdzXDIlM98^fZSI~*Xo$wL- z(t!y@5CAHwJV!Oak9miOnOG}&@ir3HjBjr8hg}FtDIr1x&m>m8F;=IlXncza2@^GHb^&y*{Aqr;KnW`+I8Ij)_RUTJw z^X}t%^ZDEr2IAl-1@fl;-0d5|yAG)i}OBi-HI3IYlu-vT}7IiBZv-|M}u?-y&VIp$n*?aiKZ%n|pUxQ;A6UpMt_ zF!@7~f?!RJhNeXsIBip1Rhia5@QX&+D{I>vSZ6^6^h!Qs8D~kS2~A zim)aaah-0+<#(J8H?1%eJW_2M1L6+Z^8KmZpf!1A=e+6D{gK(rb$ALg&#l{N!?JRU z_UEYba>a}|zd7-Dy9mz}h3?zAyA&_0X*LPhe(g6KW`Dt#8sQ0nTzqJGrr~XmK)^6L zQyL+Da}4Gsz9l*FaPsk*)WD8>LTO4cQDJrsm+Ty4PFHFPtfhKt>`f|2o2nrXhDGLbQub81-SiO$-#YMt49FY+OR*0-mE zV>sb543#~qcG^vJA~Za^T)Q8nUm_SOzIP(!%a}unH3)*iAB7=2>Zkd8aVN;;AW*{(}ybjdJ4D$o+tLTXJJ;l?}O8Px(xXXT~PJiF7IbiXu@7RDHJJJ$~#}p7D~lnM+dN zj08ybz;}V&7XaU7f&(lInkOg}P(x+Ft@G|7 zCIb`-TmkSWX?H%LB6gtU7yxsfwE&V;CY1rFksuV`5(v`(c)LV!icJ69^#4qw{WCQM ziYrT?4psU9Olyb=6$MTJd`~M6+0wIjOnHR!Gu^md_Sr`2{!mNDyM6JTkdzh%aBqy~ zvw~o_$olzJI8{xpn-jqSj;^lR>gSiT4cMsWvuS{3ke=kfbl^4;?3QM4dNAKH{bjnB z-5>mp+I&E^hQ4mgDKr=-L1KR1OWbT)z2f|GI(B(_Su;p4kXi@j+4&VACFa)Ae;=y;KCIxU);7gX3WKghre}#22U3Yl45rrrk{G7 z1XhMEuT=(={QRP394VrCc@;Z5l1-Ox9!ii9V2B($j)(kud@SKxz*0?w^8u$1_cPA& zw$JjEtP#&b!|Lo;d8Q#BXntmpPOftGs)_Wy0vC~hH^|gFlJXGPI+&uRl4V^uvE@xu z4_Gvv9!ykdV@fAxl#R%WJ8;OQaIgfW0j{rqLSsv(PCFMg9N?vCCR5NwmO+)i&RT zs<-afExb_)Uvr$yuJwe-8J_Qdi_7U;jXFYlGGJ2FtFW-vN6+yPU5=v)QAvKF?csBr ztFaGu=B~4cH^-&{j#MUBazVq?si#s)!Hn6LrUhG{H|QF^yfs~GX^?tjGn;WbW*ArA z4rPyW#GFwI*IVBLLBx6$o~T}|w^cb`?t-0;1j?V^Y2(icVG| z62|uOP%RnSuR<1xXHZe(y}`EJ_wQPsS!W`}%4Yi-D`dk*f%nd-wYsEPY9LDWu`s57 z#>9*Mk%vx0sd9Wx{+Aw@z+c$$mmr)AVfj!?J9xTVcis|8(%m{#nW_Id13np4rcWj^t9QBKG`*Qon$#;05oZ$a??Un6BvsYW zjF&S_@MuJ+&5#YeeYK?|7(v}4-sH}!YN~6tKRc$xZfNA8m-j&`v$9@;-yWhWWv+o7 z5(%XxJ*aKb8+3TbREMnMA5<>IX&m}l66r15ULiPpw3Rd%X=5Dw7ag2`AhX%shnxf zHAGE(#nYc5jwx69>)tm!WlKLudvP}|3Wl5EO8E2;Cf&Nw2ocFDKX}599t8+Kt9YCS zgL_elo1(QwYkGFBG)pl@IR%d>)N8*vNo@UgmrX}XU}@tKIR448@1Clfh?q*N?>m89 z_3qZ*DAtRa>r77LY7b1ipAB6^^RaZ;mqb1m#j59Y5Cd#Y${Zl0h$BQe)%JNjC=rgb5H z6WpV zdWl3)3=j{#@Y6>UFopA$lQ`~-(C(Kn94-cR7cIEz7@a_U9$BsKKB^41-^JL!3x3`4 zZf=33e?nLv)N_y2DAJOU84FT#Bepk*Dtw2#w#~M&Ih0hhD@rcAUpd+}63h2oI$pAk zTc1C%L1%%!!t#ngH)nT7Qb@?F3Ih9~0F>qe>mNsSWHA3- zFhc{1uLGhd69Du7!p{aSezWI*`F|1fKvfmUXkyjWC2_a_$Z`NMUI+kT2blft@Vl2W zDevMOlFq=1TTTZks&N{IMaARe-~nJnf2#pV^*}`AOBN#8-XR}@Ru4d zFweGAM2f$as&#?k{G4IYvA6)zpWlN4kB?o`B8K|C1|b42fcxj#>)nlJfQ|zO09yaj z17bEpP}rn@JQQ~o_f8EJo0|iGYW=Op0|rCes{y>ye-0R@4Mdhkao{9zfiMw2;1>8x zS%@2IER6b}DVpM9-a8%$0Dx350OkVVv2xt85Z%MoL%*D${{71M_gJuhTl5QQj|0KP*@;GdPXnig$R6hbZo4Q2bI;?<~lAOAim2@!9lB9NUG^%s-aGQee~_Y z+;Qm&E1ehj8$M%|zQbWI-M$J}x8eHB{q^iU_lKjj&l7F@m0ic{o^eg|a8#*mt_he4 zxY&iFn)ey=M;3pKr)KiE^o&*9kj*K1@a;jEq2*kmta zlK>bxjdfE+qr~2>Kra3fB1JtPJUdSTo^nhB!Sd(xOmD~}Tq7To?!FkfP&TL4Ub9Ty zQZWl0TlY19u(DT}1ljAHgkRgnCd>Um7syxn$&O>J94nU`e0`{^F?-N@;{>;Gl#b1~ zlYcW;w?Y`H73+1T3Vl^^NxK-W6IsM0C zmQIO5&N;4eA-SJlOsS?Mmt{y35{w{W<$a*n?|77BhTn^Ps2t5xt*YcNe7^?6&~f zV!=e$<@AeJnX)Gl2`_7&CVmOajdby^ixxLz`yupcz2eo=hb>P&x39wG414;(Agzwg zii#3zORhj2Y~e&q@R{tpF3Xm! zcK#@D++7or{6f4&He6q(9UNP?%HvO$E=HFg7T@$C++wRX-}(++%XbUBMah_#M3odh zk2m&yDx%F#Yu9r}PoG(S6Et>Q-#Ylnw&M{qbSkfQH2on#~R+La!)UkK!m;;#Xi()4c++~z2dUTvGo<5KId-9G%08tfYv_LVQ8 z#U=Iw`syjVJM)rx&TGexDgHuaEt(Z)n zzy|5(vnpHO2T#R_wn-I&yRm=3O9dcXM=u2hVRq$g3CmtXy)oHl2cIQPIG1fzuPIn^ zOrm<~+CRte8qGuofKJ)G0=Qdv@!2~>mFEpY)<^gTSxKjN+M6(>oMRYepT3G<@$6qD zW`bPKaf=RsTD{$z?0l3dRiFc9-HXVhxwkyA;yu;_WrmN|#QWRJWRXw(pR*meKU-)I zthf~_%%v~S-FL*RCOk^OYj!yKjqw1Z)V81%CgHhlQQJlAw*49L7n zg4obwE8dd&lJ3oEjs+d}7YV zye*CS`c%y=?aK#0nWl%5_IP#L5nq0W&Q&nK)Q!LVXfT5h5Z{dTqX_&m6CU{kEA&0n z9~gYUtr_%CEL@L$Mg;J=W~?&xKHIsF0n z@ZT4Am}7U||6j;ycNc#d9KT`EfIGjL0KwqjOn~5DI7xsq_}4Zf04?{y18F`$C?V0|&N2ar`x1QbLkZlz zfVuM65x80AdtU>*e|H1P>9-ct1#+)uNDK_n2f54NylbNMyVt$%?CSiN?xx?pZp@vo zus@*7>H;(Y^FV{9``?EnhW&xTpL+kgiwf0#eSde25a>|&8t8E54bp^S2HekCA^-?~ z)Vl(EWAh}cVQPFBczRD2%lsk^g5fD2glaTB;1#;?U2q zIB36n+(E?)0O_=U_W*289%E}$u(>6`j%+Ci<~Qdt6REL4^MO{*nO5E-(jFEa2gHsyqT*90L48 zcdE2}cTeZx7UJc$;JSTnjp0QJ#5G>yjJ#w0fn7=Xub=S9N5``_S>MEn0i&WIq$#mx^LvjGa$?%-n} zxjOca>q0m`hf9N9jbA@86p1`LjXAC8HBtg++%#N?iY7f`Z zj|mUmm&d&vg2WG6a1zQFi3Rez%-=q*hjit(l!u3NZr3Sy(5l8djNna@=b3s$E8spU zaU5t(wm(?8F2_tvovq;{u!?GoggersbQY3Pn{9J2viIKO-bOuRMyV{39U`c46MMnb zs_~4zoFPk85U(7iYnz#tfQf5;(wP}8(9J7cOo9L_PXtawv4&F{uQDBc?vGuTgV26wsUEp%evPOjMx=Fa4OpscGQC(WWd;#9PL#Z=);D|O`dL4!HpxcZ5fU(cod?%!(25pDDT(_jvHQD9@$cSr0W5u-reEk#6 zhC^kW2J?A3oE^twvXq4vWjB|InjX)^ak0V(41$-t5j}Z41}(6JV>X0JQ_3O9KF{Qc z&3KoM_)McTTO*`PRY3u0wG=t}XQ$nWoGVgKSFQTci_o8PIk>mm*{M6VyiDSAse!$zzvohq`9*T9aSV!3zo#ha zPcW>|+chP3E)Xa z?grWHdMOH>XNV(gKD3syaxOEbn82vOCYmky<`zeV2)}d)R?(;ZxPJ-ZZy}64k|{h} z=unrReMnirvIsGLQX1%#+D~Qwnd1Su+E-E`yy*hbRNnQ5pSh;u%1=Me{P&E9Ip^cK%6gi_ABdFg$zaUglnO* zim69FAphjdSZPvP)n>X4L0adu#48BA9gj|_agZo}f(|Rp&g&-r3G34Kn-T1lc#B-z z3yRIF7dW!i==GEY-@?e#YRL=VRKGp(zo(Ky)E^xB+gmotg& z6Nc+S342^q@OXv$wL44Jl{3gfKUMN09*z#llq1(o*-KrnC|bPoS6 zr>cuKnwE}RlLWhBm71pPhjfITl6z?7KFRRpgVgqgi28lZpIc=7v`#XQ{5DA>3KfuL z+`Fs=61~h}vA@<1cz zcxmC5_=(!$GvPzq&!=MF6Wx-mrE_;hH{6iqAOce69D;a^S{nI=kEJxERSJHXM$b)4 zn){XK!2M7N2R3!*=RiP%B@oyr09OFei|-dNiZu_}pLbXVx$fzf|8dm6)4sQ@ z?i~X>07(iT;DZq010o+N*aXn?gu9YnKIrRMY{(D9-CAs}qR|2Z4L-;qf`1l7m{a+Lqwod>Fhi%tk- zvj0E43a^j=@G1aD4FMGQ!+(D%|7d>uznTFf0&p@R-~)Nf_l(;Ax9^MxV4noCZ;lWM zpg;b{SbsO;LqpvF>y(@wSAy-IF||LVXw-mT@6Ouil;Z}RR@{R8fS1h?Q3W3Oe4()R z$ROyKGHe#el&+HFf*elEwa({9uv1nmbWyhC$f~g&)dbX%c*K15@ANe3I)f-KXI@=M zg~ZY4Cd|t`*5|N(Nx3rEtJj=tY>ewTmul*`XL_LawL$#Lz~rpCP`6ODNEUj9@#8qga;!b3RC3? z0VXy{kk2^f_7i6!{7F300^*7JE}4+>m5Wjx%lR!dBaXujPYEr2mLEZbEM^kV4}ab$ zPwAx^$Gdr*F_$bDo1}b-Se{Lt>iC&IGxH)1A=pY$Xx&hklU1mwLDMZYAQfX~-i9Y$ zqk-DO;yj|u$S^+&Ux=qEnFA;g%K{?ukA^(cOu8!$C#O(}!$F7G(lG#2+cd zdgqCceaLW}(G%KZ`)u8>xMtDj1m(frKB;FPt=iPqdzNyi5K4|kMs0LS(rdPoFFikl zSlJ=c)Si^4w=Ra_vnzW?lFgUe2XT$5e2jYu#$JU~0?YgP)#2yUD0WR#QS*kJFfHQG z1!=gmz6QS}bB?gUcq3`a=!}U#CHJEYo$jHOyWcY|TJp#&VpP(3(d8Z^Pl{UP58F(g zUWryV9?RLW1q_A!^H);W<~r3EpRzV*Zv>u0QuxDPj(x~s8;9>}p1kIE%3y!sjOQe+ zNHG51y$zlYzJdz;8u6Wptl8_tjkd|MVAFY@SqfV(Y)&!fcUoIaZ zK)P|lNj6z?&DhSnou)3J&mhjtqRrX+aR0f1Y>{rYCqOp zWh6>Y8`AJuF}9ZXC~q8m>tHy!@0B0=5IQ|Ue6cpOfFm_GZDew!MftcrQ;0Z;CH$SZe&0r+Nb=={0sj8gh6R z7kRxMh{{#4*CRhUAX_xU|KYc@aB1hGqX()o)U&bk!6rhqfl6rdaWBY*ZZJw{jUX?0 zD?iSSN#e??ed(QBd6t2)Tv>ioD}lkvxP(-JsrY@Og%H*FaD*5~Z96a(2_+K8`YYeV z58Ku#Z&3ns$z@!)Q8Vf)e!wuu*O!sSWg?46>LrSQIxW#g=JNO`VXh{b!t;`iDTC@~ zHu{<5%4R?(=;@B;iw0Asx>iY{k|lo#972|`mDij#(|WX&&)f`OA$YWppRj6LF>+)R zH}rJ|RJ7HorTjtHQ2lr+A_ z-uOGo3tvI|!-f<>lC|IAJB*6IIwbRdu`fB?cIxndWH_x7N| zeY^Xjs2xG=^W(P?Kkb|r_zM&s$!u~X>A1}JwL)4dmIo(UCT8Y2YzG?V2v(hRk+Rz| zOG?CBzJIMRV5Iv>BNViF_(QPK-N((AXy#dFk=oefPgEOOVMmOkNP3)Njdg^}ncaG`3;ba&O!h^0KqcB1b zf$bOZe)|PTa{yXZAmnwoE1OjSw7mB?CI30P@(KZmWvKHC0zLz<8~uyU7P#{#ryLI- zP)Ur957ZI;kD+(~eqaHp`Zs`r_iZ=`i|{Ur^IszXSu5n&L{P>G5Y}Dx>7R)xe*oYA zGsM9M#56Q;)SwLsfG6cX5rtdecOr`JisJ%MJ$z~0E>D(7{4?+CEQ3n414Ts_D_WIo z#;1x0ZSO=w6hm*$MMC2qfJ4bdmO9HAHM^F+xUalgQ4miuHde1HU_>a!ks^w1Wq5}| z-jSSv!b*oY{@RpIZ*kwj6{YF5$t-7Kej?k?8BRY~lJ>m2S z#gH>yl|$6DZZHaM^-F&8(aG8#JuLVz6#3N+_K}ui)qyOInZ2XNlcev(sp?>#*}C^t zuZ!G-Zlvjw5H$++Zo*@2lh`3vQhjfh^2JG(N@Z}Q1eDt;hzU)1Rg+gNt64i-)ZRJN zew=t?kTiayZEOFD_PcGq3)>FcB_rRx{m{>6S$ z6b*|dniEfC#l8YHdZDVYLw@Q-B0&RYG4$mMKF8RvKGq+&=;laiTQGz{X69DDY=}`= z#VMPgs0k{(n?A45Yup*XCd_R&&pOOH^XOB|$yJz9wN655C}kLR(_ zmFG&NLn$-INT5kTT)ov#Bwfdw9eU22jet%sTxsdxa9~U>!bRB}s-YX&TQ^}Y#=2CK2ca5DR;3-d{2G; zw5B3A3;^6>DZ*5;F3NAqZ!z5n4#u!Ye5>z)8`G8Q%*y$`6|c(g<`O4Cp2pLr*o7{n zoYcP&1o8?Y^tzG&bWPbE& zJ#pY*GCjDD3iFLhIY5#`E) zHPw%0hpvMj2`h2mLlKBTaRG;Z5~AZ7L_u?Y!b-qlL>c9fk;l)WWPI% z^$AY4&d9o&Bm#};g-sbpLAAreTHe;rluugTo5YGjgvmE*rig}7n%v~$x3F(O$Oo*9 zpY;iw6`v+eF(^Vpn<{YJ(XUS7Jj9M?ojoYXuUS0AgbHCvrwt&Q7s5iTsu)6zg7CvM zdf!DUNp)eFc@WRlpQ=HdDbej<55uZy@erT19YnimkNOxk9+L$f)qPEGFMhwm;gDg- zms&FK80v{_hRnzph_Tr3d)bU1Ge;|TJ1uDegPHpSB8KY)uYPh(Ycu$A{Rl($xwt?O zF@mL{+eTM?6g>h&Ha_sy=}?!$mb&w?SNTc@4`0y`Tn`#0eMc-TEY+0Z$xgm|#}Kyw z%eTC6JH|0pR^MCKExZPWGYpHDV|1U@4J3R!FGVP^EEoE3*1nEBPN`{KQyN>@-#*l- zb${WuM>w;`<0dD&j($eNk?g*-yl7ORPg7ghvU{__rJNS6!2PJ?m} zBQQeMYd{2mbCml9Kpa9Z1C(w8ihl->1@3v#foe>AD02(2y#K42A6VYO_oU!=%llo| zKTbXW(&pph13ZtYzO_DD;f44C=J*D5W=OU6 zg2RL)j?akBVfKTVz2)X>6!u~mP`tpS_>2O>1qJr+a4iI1F2kEs_*5sP;Y5$Dnnieb z1_zVKhd4Qj^#t%069V5=_Fsl%;b9yzmuG~$ql+U?)S%;FkUv3_QZ4Au?@#%@xywfo z$X%xB%wvqh%tS0FO)33|J&AEs$q6za@0_Bw<=O+nR4u4u9j}OZo1Fq2tPIc$&lh~b z2kb#93D&fe&3h!ZCPFXrIP;Vd)hR%>DbquJ^9$g06L_TQ2cvQUSjY&*4onK4e~4Y- zO-EC87QbdHkm3ouPBo-y8MA${H0VcT(thB3%o_a3gT_ zxoxKmK2KA=yGPVzBda~KL9+*qBu$_H$APM&eHTNM!g!Nn-lB67>)^?7Dj~BIvFtC2 zpH*H*snQGJA!D>*Y_(Jra2Z2dVm?3ePZ}o9?AkMgvR(^K$;08% zux-PitC8sEvW>c%u8Y(2$T40Mkmr11ipmx>{*vH#GmQslhD+}JN2=VB7zjtP zK-PSu{?fuG+PN~O&)RZ_tG3b7dh?^q7=a zqPgb{#bu5^@@y+ClNhdts)`?oa9`8~KNOL@QkQy( zg52~O*$M{}{iPS(j|GzPAZ!tP2i6!h>TbuLVsDM=#{DM`n3SBvc|IB$eV{7kG!)XY zjWIo^Gg{PEC8@oo;R#VKkGioD0xH68iqm=UO;E4m4AZ=wQf7!w7Ponfa*j1fyeNmW zE5c4;>*4h{Llz9hUCJmLBrVaWJeQr#YrC(Bw5;M*p%$^A7ynwxu3|x8D5eVVqdtIw zK=AxvApn#Y4TQkWduK>OK~(Vkf`Bh15d(BrD+TZm1GnJ#xS&zZh(vHe+RQ2xM1yd@ zgxy)FP|ZY;irha}hJV_KKp+($f4+Aw0*;nH95DZbHtF6017%DC5y1g70GJk8Ai4)H zQiO1Bk6T}VVHDNGM+laeSX55VN#aw9WpF6vwa*WTICSdn*cyBK^Lk+l4I^;d`4ts$ zm!kgBL2cu@iL+04xC*#DBEpm`Kb8vL!Al#(d?A=^Wi=hwJ+fO!P5 zzp#6A)oqnDTn~3AvRs$!X&3wO1IPm>IM%WU<}t+YhserR9M38gx2!JQzT}Bne-n2< zg5{k#^+V@L@uJjR+*Y;u&hfyZZH~&)+&i6@@KinuJ}IDNP~dYdd(Sr?+a0V8EB*Ba zUh}KP&?$Si2^XbFx2HHapRZmsX&Dac+BiJa8dr{=@QQb=tyb1?rnj+VdF2Lii=F{B z*(lKXPG%K-4LP7)!4q3P;#o}GewU~1L8~Sbm+oQ0!`>`Ba2a1FE~t)6+qUKu%CuYf zA(sx(R9(61A)9ri{d5Xu(fVSQ)e9){_PRC+452H3kbR*po)7b`5tP=$zw?m+qKz5S z_$`IwtE5rQh(PI{0p%0J8J9PZhWIXUyx5d?@jQD87Wo;9@!;UNB`(n`-dVq*fHt;+ zDR%Y{CC`)4C)x*`0<~+VRh$W^DhU}K@tHwj3Y1kqWjw!G$lk$ul210cw$M>7p`%&97?VoD9%m0&rpdk`F8)bTC-+i8&{y zB}HA+9p)%*STIAV{N$nwr;9e&g6M3$b_)WJ5BSts-T(`eX#@*XZD;S?8vmE$eB{+5 z;RK?$UDr!n>zlbxX9DO@a9nhYwJA!N?HaG*vc?%ZdwEJ7Z29ORkCEk)Ssn{cN}o}u zjF7`fChzGY@Vw!`xN!G_#0uw`C5-q4;l;gsar`!c!Zyu;rThU|85D+k1YSwYi*?FV zBS;Q~E74>3N;>JW!9?sXXV%z$ z=&}e+74^(FbnMvU93$*m>OBwNMOzvLzw3j!R6LXEkD2rg*v(~9ieGasK^W9d9yyJ) zv7bM7RyQ;4fH{{YJcm@u#p(w!kQf|9_Ntrjq(vfw1PYj7)VG5(jK8V}3)N4gkH*wa zrYMZ@752nT2A&JWkz?lSv{BoZPny}tuiCyox1C+XHr+ufuq@z#`!K&9!xrB;yRd-Z z)^yI%3mgyG%9W|7^dJg4#g7GhFFN2Q8*27ya`~!?PUZRTi`9uXD8TiT{)?TS*B)*QpX-jDc-eY@Y4cloI{7kgOc3#)* z1&OL}>i3!?4PJ3;ny>BYD~`0nx^L|W;Z{p|aI^Q*@n7O4@2no>jJn3-IXk`Z{(1Cm zb1G;ed*GEI6yo@}#Yd>==_tXpj`u)QmK3cT2{WD;=U(%I4%CYX>6z$2ew?pk6Ilo4 zLuBH>^IOfY#19{M(UE$|o+RpHByBnK>>e&CJ3sDa3KSWn*8QH%#1Ivhv>~I!OY4Qx zA+|?ie_}@HcN=EU!hQTDurgr4Hy|!&izDm=$w&5D69(c)_mwO|WXYxfm9+fjt|eB9 zz2CtoRs95bqp5cRO7D~E!rQH^8CId@GqK(Y>Qzh>(Y8?sC=p1V`!w3! z*;`zqsl{DtGI?}|pQ-ab%k_cdK*L_x23zs54aL(1ksl}*`W;WnbMf%xQgyRip{j(y zW|8Nc&JFqDw(Y{@?FShahg3%(^uatCc*AfB)vw~OJ8Boc(qqh4`)B2#S!bNwFS`B;UdApK;P8Fh+ciR%@2org+&n(9bx0-?j{%TyqvJ= zQHkrOQCG!VUAZBJelzpY)vL?aS;I23wwrAy#tJmAJowlfc-kjS7EputAUELL@z;?A z6MFg{Bn-#J1zpJiB6P-?D8#@P4kSGPEkb7$0L1_O3;^-JxdKEB$Io-$mQV>~CkcH7 z&NO=&Kp;@$P9)6-k~{!S0_e*-(IM+EvHVU<%?F}?pYO6^5AVdGn!oxoRsqFfzb9Z; z1vC*C{OSmTrdNSTpvUFFq3I+8gae(c0ue*sSNtX7R|Bo2m4AttRrQWsd^Id5=+)`x*ybpkjv{icror66k+I{*q_)fi+F6ABGT|WksBnH65t8t zZ9ou0t-bQB5kHA)EI;RMh!AAsTz4&oq_)m&q&Cqy&Ax@N8NntC%-F9oz0M1Z5yE_D zh<|`o!KOq8b$;m9dGX`zvAfdvH33ipRgg5DzlVZV%fpsQS#1V81 zJY@25mq@5=_9fTUxN77|1GY3sxXl}qTb?TQNpz#LCBb^htvI((vK|+fp4jjkDd-!n z`OEjuOwV?&P*zzGeL-Hy$w+xe$mdwm)!BNu6N?C?)FtX2mO7FhB+@E-EG3G%Y7`Lg ze6ppn>&%$G9H~GZoJS~)7%lD6UWi@<;x<}o*+Y}Q*~w|>UMPJGvCOw_6KV;&#xVSO zxU%GL-x|Ngh9C3PY}&@7wmVDJBIgK8vw*4N!(3_F-x7tb?H;}qt*cL8GK_qxzeqka zvktly=D9KwQLpBW#`U8>H3;KVr|M8>T#W^xQEW9*WBk>d4 zqxG*X@i`*ugiCT$?n`oO4fF&w*y1Ql1_@M$PPfw;G!yp0=E-Ghq?NU3q}1cb5LW`p z2RKNt^j~oeH4YrsV)9iw`XH)ZD~^2PgXTWv@W3tlME9}aTK0gBZ<&XAUzK`m`RMv# z1VJq>^5}prE#v!jKD?*1g3-M=a|t2Oumuuf@KHlh$2$TqAuV0)-$Qo|`S1$68O*ml zH6L$Mp4*dfo^)r~J*#KQ8(mW>XNIU6ly&^`#*P7uja7ZK`4*Os*o0WW1ff0;ln3^*lj*iBL>B&&N%u*U-1Bs`C?(5=RN}f+Jky8j?zR!H?`69FC z1U?bLD#ibBN61BEc&D}S)R$+&UP)iQJMr@IT;uAy=-|*ngHvt-;+M(7-evarO6ce3 z;(Mi?#dPV|3FcEo0cRnB5wyM#%s0l5Jik2IO)Rrae3JX3NRO)PeOb{5#ChV4>{rnN z8W}`6(Rj{BJ#gfgmhvgd9sF(M)NU=EtU;1U3R5bDOiPD01#mrrYbQ=Vmu`omMpk@- zxxG^}e2Zx?4>(VzgqwI^nxs%tHh~DBolGn^{`;JJjqA9gL})VHWV@C-q%=Tg*D{r; zUeEh2imOHAR9602Dr3)T0fZYsMTsD6T9=>bE*$vjUXvKfA%8RXNYL<+!a1}xWuZ;$ zD9yMrxRZ;v;Zv)fF$W>|RS#{{ZB|&fl4<3q%2M%*jRGyG$`Xp*X|dUmig7nb=kE9s zBx4S#VmF`ZVj|n)VWp2HWO8MUJpK5CXU&73HoaJ%i+WcMPbBme5eED$%re3sPvsTE zop^s^_=NHFteW6Ufg@ej23$50S`R~kR+F#b$NFbv@JI4n6()MiT81d!C>LHX-Ec4>{4H4B z38Wn$43uB77CwKAv}gb=P^*?-PmZ`( zyGyP4!lD-p&T7M1Ub0=v07V7SVh~L6lJ5aRj zQw2hY{^&vc6O-isVH1Ulw*A7(hZEwCRmWw8=omSsa1r>7l$K?QwZUTv5KHHZUWa??myN$;w&p$N_IPL{s_H3sQcQwjUo3T?oltd1g z4k8>=nFV=K2#vyZA=HG>&>|ox6uyWdUS)gUY@ep8pY~z_21P0e2ZI6KL>K{Y9foWH zGCEDch1y=slc&%$NE|issQG=9N8bckP{}$>H@DI%`NP*~Cn9~Mf+nz0A!$t`nz%ZD zCtv)8N)uX`$(BJ&j!WgRRuSu*2peOx%}wcSkGmaZAD$RQgzzdBazK@k!7d8PcBuXX_3Gmw9!8 zQ3ff2oB&>PAMp>jw#bFpJr>oiS~`e?1A7(IHzn1fF~y1%GUsO=>RzI_S~5rId+vPwp$y(^B46P27e}-vwP-(C%ffe$ zh+dv0R=j3g*!R~PA;Y}#d8C6L!jyBW1nTeQGdI@7bP-8^u7WS%kh<2aqVEhTmhfL= z%Q1_4U)YE1vD!p@;9)=-5>jJGWml-c1z}^|pGre%4^%7 z4)Kinw+|3rBdAzrxE5WnHPq$dL}N=dSro?yKE(qX_sx7Vh!zi&?hK_bLeO2qj6|Aa zzN;YC9Qh3eXWPJDOgWeWs`TxsCTH$CF2Kc!jJF zII_atZhlT#8;o8_^%y{uO)+~oiiGPbcI8MH(uUQCP(LfDK}}Dbr;$ZXlD0%gZe*1m zm5uJ``Z8B2i=a`1XR|oQi9TUmHk7$2IIIarEd0a`&%QD%e0*p#1#&r3nO4a=HD zWLFcIG2{>ip53lmGTLA2GW$ewb{5k8#*aiIh=j)hCnb0N18OeTO*S05X#nh7`uHB0 z?q>Ggc03LeV=H`y-YDDD?=-W~CiWtk=JuORUj&PH^N&0;2VUlJ-MSI>BIWL;xPKXr zd1R`CJ1@MWCM4!oZUXrvL5nu401?6*#{ zL|r{f`z%+O@p5kU;r_(J!I4h0KK{Op0o5L6sxzt@T}`=nuw4CRD&P7|Oet?BzrSj} zj5R6>YSJWN_4VBJEi9?^*xBi4Kv|}&P%ACyp|Ei7=6V39rzQk>X)tA~$(6CFjK&Kl zE1)G((v_GxH1l}XoGM-pOMPs@FxCHPruKbPVYQ z;pkC1rEH#o#3@K;UnV*cVbFX32W8k&qYlE9XcQ>3{o@|Oq;{opz>Ox^ikbfyNiC5astkWh) z#5dyH%r!Y)Rcz$$n%tpCcQud___D5O;1dUq-3Q?R)&hpE~YM$ z<9+Hx8Q9R<8SVcFt&Oj5A`(WK<4kt-UjKW6K>JT%6Y7MG1Q6%^GK2*Gt7htM~}J-++PUv^MgPRN#uJ3WH=yqHii?G1v)nj(uNy%f^v@lPL9Y(sQn0t5t6_@ zO~<1wAJhIUjgGeB`-@hVg|w0c^X80)bgaHcav|1~vQgKjt&Sr5*H3RD1x`o0+K`e@ z^nakGYVZ$GA6U)!J7!g@#yEAJtAPls+3UwN9qYZX^469m%;H zR;)}ZBlAp4W=;e}m%UU&;wxp1RUBW4-4j25(9gwJJs&M9`odL5+tyRn z6Fn^(dkd^9DD2Gy7bw3D7TVh;TdsmP0?Ygh-M+CtdS!%Z`8JOy4dXjqmjMaHS}gC zGNoyZw%>*IhSNoB14;UM$t?GC;&;LNZWSNr%~aK2Mv9U*@8e}B>UcR7LPSp&m*XyC zPl7Df;qlvy^mL}*EP+W>Q~arPsV5r}hSvu7iA*Y0^srqJT0_SXK{&%K$wdO-) zUA!i*iL}=Wnxt#A{f@xKX5E}LZ#~0GZM9|>Oyb(uCwITD`qfr!yIhD^f zs&Br|X0`=|9dAX(qYpFAM0}^n!El(~_$IeEn&oZJDrFpvB`FQhVp=oKs(=S#ToQZ> zf@2)s(VT{`;VWjDbuLF!1MuS_`O(_~*=qc`U3SXQ&u%?A!J_rHUVCaeCg$bU1u$2z zn= z9xBOHd6}KJa0Mn^Z|G*dTyzyl6#4fvniy{Kc*fKi2A*icvt|8%jD2-jl}*sMbf=vA zJ|Gf;ilTrZse~;CU>6FusDuHEN*JgZfFg{AU}7L(VuPIsHjf2%3w8qEZ})KI@p<3( zy1sw7;NID(ot>GT4SJ3&+j3j-Mg9Afl-WTAg6lHl1r{?ZOs_rFP@1RG$wz7E+&3X7 za<_h$%ux#(u(5OW;lY7%zhlz^jQS2(+;Li@^Y{^~mVVo^v!TtptG%+1yRUzHC}m5R zUGBbxVXejK8)q4M+&ks_B4GD`jy5edG&Ezky7kkYb^bz#g~k=5>e4lByq?^o>H3Sh zk;mq%$~hzVkL$TP^7NCq-v3;V&&#;GapacqIZrhgPY7Cgq)$=Bg3--iCU5T^cl=t> zv{P49tUb0o-*9E@`PWfhcei-mFR0}t?f7Dx%Qcc+Wl|FD*1J8cP+A6`ruEkJX)8% zy8g+{{Dt9^+*X@DX0<9d%T|)8SPwKC&_(JO_xxP2qHQZT?*?<9e`;T2VYcG-j}=NY zx2$~T_G$GJ+j%d`S{GGr*WO{vX+EVFL;V9&Yn3kN1%?f&e` z+4Qb9IugHq7i`z=zVmvLSDdig?^mm70lNK`jImJg)R>wh7`JczB24#(rSs>8bhTGnn|A88ItT;_ur)=H=ZGNb9Ini?AEmJHZ?pl z!UBKu8{eAt9m2xGr%$sOhEH{O$nlFTqroq-!w&eR?q!-D=kfoPPkc4fp)g;?CKU9K zM2$|CNk&m0TzpQ|w@~}@eJWijW(%eik(!ouK$(0l6f@r3gG65 zir%*WIb!wqnyvnd3%dYJdo=WOi|6NPHN$!c%PM0D%f=Kg9k{hf#tL1wND{P(?e@l&T+M z(`mq zytQli5Sv;Y$lg|}L&+7AaN4w3uppl&5@m%p>E#mZKg}xSJwVW;;75`}w5gntGSy6d zFR0Vya!Ct9e-5C6rze1E(o?K>^Pk`vw$4GRCas$+)hGQfq7g3V3u;vRSYm;Hn>58z zMhWM$DF7BE#4!T+effSjWT$-2s(6|H8cjPR5LSG@_Z}NpgynB81Yu(M`!R|!JS^e27a9#K-1!# zq$^E&12n7N!SpiU05Zi?z*+ovFgU}vXz2PL%Ix+QqaXiXGLcTOZ+evg{`eNa_@+XB zl@ebHe+OeY&NRB^9sV8rvO!>G(t4>S`M<*uH+he4;Zx41jJvM(pYdLEzW39Oh1t$vl3{*ou;>X(0kS+NmWaHL8 zOI2!0wXZ~VSzonJ&}@dC8u@*JDR_R8Pm0`O5GXWCsz)OhNY$zOGe{iyB(Y|Tu!>Jm zH%C?y$i)6CX-CsPf_SU^FRW7&KyE4U4S&iOt#@-z6S2=c~ku9DZQz_SC`YQN#~P zAL{ZArXKtqUhnx2V43j^GG~3qC`Lp;OB_M(pLmv{+jrPXTMGRS<||w=n7e<0C#_!+ zS5&RgXZ$bp?(hS8%K9Z)KquKZ-{1K5g?&qQmm|ynEg490KkMdi^J+}K{Xa4JV8-*w z=a-~udJUM2`UPR^l`#=4K0<1r8p#y0{w=XeEp}F?`!%rQ!N27Y0R`YjJ^^j1P)+b6 z)oPiqqTRK?=2i`6O1zjt7BJQL$R?{T1x<#v#ned5s7Og@gaOzy9MjgpSvhU7phpHZ z@Hrj8I3_m7t3pZ&0w!$@20hbNl`-N(mC-T?2l9Ceyu}JaCt6Yqg3gM-aiW$<-%$n< zlQvpts^FTID7cZudyKtzlAM#(O6X<-?CHwr6{sMzq=3$H(r7fICCY+5=_`W%Lk7}W z5g__iK=SS@OfNuLXvRcWp`Qvc>>5SEnpUX@2IQzDw2&z=cQ1e|RD>~fPYGB;R0Vy~ zQwA2O*pLF^%)}t)r8&@t|Byp+R{}7 z&-5o42DxfYDOp|UOkGq#=({@DjaCKsY?`NCgNWWhP44MB#^a@{v zR*T=ldL>s!y!^uKRkM>Ox$8m$08pV|17R{5=%Hto0Y)O*er)9VD0w4lGJr z@E)@yfcwaL0C!zjEs_WW=yXG<*`kkj>Ii>T@)6MXwIP$v0(5!Qj~9e#wAKitEER#{ zsu66ON79JKY{)>6>2wec07d%6KoTVYkw;W@D)+2s%$RDc(JNjmG$M(B4n~Q<#zGj~7BPQ<`>K+H6!QlmSBH)^5iB9TF`=gs zsWuY2(>f^>fn&i^3<{6Jc|Ge$koN)ry>A59Fx?oe3^c`nZZ`%E1m(S$ca{=Sro8cu z(K6QrEr`IF%>UK25q)e7Do%gYoqDyu8bvn(Tk?>tL(n$kjSr0xoi~G#wlU@Nd$6hC zDGTAywIzj{gQJd(;c?2$A@z*Lb#t5kQn(8FTEN6aGr%3Q09-FKz}+7LHz8NJExB3> z?g%R#y44AiW(h$I%>jtGZYUc(XaN=!Cu&loCV~U)Glwc7m{q-CaJfxj@97qG^O@YN z&~VuTyz>dY*a{OG4-!@&TKu-c+}mo2rV%!fGIKus2%F2)y@}9>+jzzhb&6_&iOd1? zYB6B)IlO^PthiTEPc0p)Oesx4rkj-CdF5kfspM)dq}aHpNt^Z40Rb*qpUkA@cOtgf`d+_V7{2FlFiO zdj`F-8KBKu2nl4^T*fAyZ6P$I&du>XXfUwwapv<-uca`ZBsPLnw#vwA2~oP+$Te2g zQoxgBv@uRkbcngOpO?uV} z0_L_vC_+z7@)!UbSVgE)>()Xj&9D`$Q|*IQX`?N)#NBf39vHoYrnr)nTVXU9j)Qi< z;o1rY2M8514}m|fvBO+H*$Q4OKL>b!4=~5+x>iCH3OFl7(Aw5@rAr}WwXy}B75C`ZJ z-&CmZ5?G(_4eq$FZrP@;e=P$W-$vfwp{4bS zTYh_3{7e`080Zc&$Z-J{B!ru2o-6z2fo}(0**6a%jRv{ln{PXOTk3{y0WO%N$?b$m z$Sq?r4a)$@or2J^+$PN!knjsEr5wZN^lrHQR&Ru^s@67z9>$=2H(F>?wGY zb-OxSF7m{94Q_{FE$RSf3l9i!^t~PIvt*h&S+|FD_+Fof>d00O)n14XH<)1UywP9X zQ`R4o^-ng66^1E=?U9;Mh9|dZ91HaZ``0`n;a}P4G>Y=VxAQ*G=Poay1(MFmB=v^F zb|x=xgaw{s@)b+`Voa0xWH9919fs1~SZYkCyoKg8up>}^_hxGBD8$nyAE+W?hAI{N zu+;5OvI!*9DYOGrDRxGOtPV`aorTTRrXx6zc46x6h;KZz9MMI{BE3$)W42~}t>lS1V zEt$R8h$t&`7cAh0*dku$reeB-H>a+cSIn`asq`a$*ZBffyzegH?f0QnGucfLpdP4O0DwC72A)L$z!TLQc!~mqBJ%LVx2=8fEzA$!9`+HAq9B8E zlSOL9JY_o37n02Phru7&gMd?yu+?2rlh*eG)=>e#aK9hm(gT1YLJ!orG1LQ3&cT!{ z>JPY+JCF-j%+sP>eOUIxVB2@Yq?N;_(VD(6t(f_0c+L;=wYaYwB^DW2O0a-X)kjDq zr9sFb4)p^{zd>OBZ9hbP)D!N|v;JsE9E@)!1MrPk5i(W8x^AO}z&?}*!hty?sWK97 zEcrM{HXPkh^cp@0y?Fg#?GbRTGfZ%#(SwokxYClr$aqjZK*1>(=7a@{3bhy}Xc-4O zI5>1*KYFnrzU)Ug_QQ`thrnsDC42BN4EXLL@CfA_!A$ZTsYqEZAWg{waX6(6h0xW> z>eN0E_Htn;asYtUMR|lbq`nf=7*f)uno6$D&ZMAS7w1a?!N}BMf_eIIgcO57tbOcP zsMEdS&|Q~6!2+LED07m);)o9O;g%Gbh+3q#dL1T~WKr@6WCaz0P-Y3M6Y8&r?flY& z^uw~n&LD_qpmf1`k020xHXO{&4iXkplW@^EH6>MRWvVLK27}*pCqQV>*p{BDhf7(Q-ttG<5Xeh&Bs`s(l%3A1XzfNa0U})^zGm2RC~8 zcLx@*w76N+^$P2P=^8+fE{P3Er2rM7Hs?iL1{fn)skqrW<0|lmF@I6yfek`h(degw z8HdKO@TFc8WoNw5OJ~Ra)uBGb)eHQmD-9k8rrAmtON{t&=*ZLJxub*wWHP?aV-6Y( zgY_Ga5iPEP-gr)|J^`clVl;eUy9sb^LB$vl?kSPUI#7>IQY$*cK-P`H!2YNMfi>U- z5~|oF?MMMF1Z`$nBIz^|2hgk#n7uuzQ+5c90O+`{G$g%oLJvheFU#Bz6^+GmKW-d| z#!ZwF6{)u)MlmZG)5nJ;fS2vW7UU2nXi?Wm(2?hOkdK}u0|s1gkS}xtubI~9kvv|Q z#hwE>D-$%edbK*uo(%e>A($(en4`%x6tTI8H0jj@C}C14lz_&%>G&7z_S7y66f;8r z7ak^qi^a7Oo+*b<#Me>b_?k6Q*hYpCfDD<0Z(So~-}uzrL#3=?+GI2+Pmwp&Pei1s zws_Va(&XI&5BXz6ES;vv7AG_`6umx9fzDGxgQ{Xqz-j8-n2RhdX_`(O%8HxxYm{vwYTfrN&=?nXQCK7BW zhk+YDMbULWg+#&kWM-<m=u2d6;QIzL%&C-9t zj)Tpl#_6*GtThK%&&C7Jjo!usj+YSsBHfbq%>`Av7=XW=3*7pwgqSo6p2i^&xz3Il ztm3xKV?lF|DqWukB=yfF9MX2ll?~*=|8ykFar2ivhunf+quZ%Vl7_C~Gkk5ph6`iWkds->L=3ND>nPk(mJ3Qr2&Hs|N1d@8 zV}xBROEyv9T5qh7qk5GHk)XQHbjC$$MA7P^9;GY?GZR(L+0kqnM9R?1R%2P#q# zk-P?gP|9k^KR87=MNX-F25XVm3cyZCg*sUR55vdq7ONB+P|H;qi~oe8E31$cB&}qM zNrS*V3jMQE7)q0s*N8W~d*Ncd#97Ank72X$VY4akJ`9428TJ!cJGtKrEStpm>- zgcoM>9cAdIQ1&{A5Of-4l{FCU{vWW?EKucfkW(!pSOz!`pmFPEOv4J6uLpZ29mO5w zox$>-bqJl>>mbVX3{dk~Cya+1K^4K0F0Mn2>9;}lwXQVFYY!Idg{}aaq647C>j7kx z3813&!d!es5#2I1t3;h9Wq>!uEa<1C1Ey@%4l#;WWI;(@rK(hz1ul5#k}FAzf-}L- z5k_ysMi{b6CYVayh;il73kr&%ftz??GG-H|2G1zvI^n$yC@2e~)^al@_~9)0lAz6S z6A@AH0nkSs0sD;@^{UM%B{Ac~Jdcm>zEdQ~3ul zOy$`^ds?;?R-KhCXr;#AQKiFM*%-3MC2HaX>bQ+16_2zO9qnlJHpo$$4G|jcWMkYE zWzC7|;$%w5mI2YT9T?&x*#HB?Dr&SHX|WUeY=;Dw)`O8hr7=akGIR2Th$er1*;M6=ZvFKk)U*BzK(yrz}AlPyvoX;Iv6P0v|GT#eS|LWhrc$?>M=Kxs^NSkbv0NY2IcZwXv{OQ3#-edulk z?_>AWY0++Q3kZ=cdSU_<=41X%%B@o&@_SH#?)M}0=QhH2ILR)rj{U&BV1HB|6jbho z1e$NclvIeE^IUSxN7wj$0GXT*ki7lSG7KdR%<-f$YCmGom_0}ysyc#0ZY?|Z!t1`+ zFU%&ly>(2`!UGUDbT3d;9Km!!;t)@P`!K%(%VEO@VZ$r-$r|gcLF$x$5XLZIKUmN& z01Hd@%R!Jj^rz7WSP|fn4qYq&^0WiU295zY&m6GNZ%G;d2m{$P3m2i$BUs9`rEv#g z(^ZF1%6)qf*1zsBdRi91`jH^ORaT<^?;~*5p0(Ir^*#=1EshA|XmbI8bB+MGi~*wx z#E%kK(yT71HHfDYRjlX?7v6Zm%fXr0F|xO+lqtUxEV z(X3wt8@h3f&8bTe!M_MdVGbcH&SXMhtPUI~{4;*5$U@h63pjfWXwG~3w!B%n&l7Yg#FkG;|G5*>9nYZ_3L^@R@R=%N&q-a z@==9OoQJR;XMu`~T~C~cg}4bY@1n`DDn6}!O5wG3u}bRiXUykaKyt`dLTp}ZQ7e55 zRL;-JN!&UI#pZu9_){&$f4*!Y^1;#j@1&;lu<-?#zRZ!R$Y*dUuxB7 zRl0Bi#RJY}a0vkFr}dzVf=T)| z24J0A;7W2G-|AQRBW_`zsTOM^#WroI*$o!_Sb;m<9l00xh!}KJO1ll7jBjEv9DW;6 zmzxmG=MMbIi<_7*ukK*r8s7p@e8aeS!Aju7u;=?0zx6J|q^rIKuNP6RPAzZCy27t7 zVqVo6;hP^`swzYOm$wDuvJ{MAy`%4HZVwhjHjNz6?RUl6B1}Lsz*IL}B2IXr;=# zhEf|%X=AE<4=D=AgVD4Ykv-2K)l`^Dn*RuGkf^r*2g>43(d<6x7Qca?%MwIQihG7B zar`bu?8`q8s{B4EwYUpPa`)Jbg6|1_bm=Z%S5@5w9=SK4k9tcS{B^>6PBZDh*^zPf_8d@1rFejIxM9^NY|sL_iDK)}oB z^`r9_*Ctvm{#;+f_OZLzgz_IjuT>A_xOp8Fxcz8Q1=d9=<%ogDAH%9HmJ3c;T458N z)sHa-@f1@5-p)RO@OvxZDEN|!58_`m&1lqPSpHm`ZcEo!P^H?Z=y2u{I`Dm61SbUe z3e+5iJwY5ue~h7XXGU=4F+lj@ifbQ+VZ@HhtlL+qQ^_X={^G-7F;|e)mbipmr%p!@Z9d?@f;Zq)?IME&#hU3Qlx6f1V=(p&^yK?euuo5fim>{^!NEVX1R@$y z(Q8CQwU=n%`LBLM1r_S~7Th_#;=MRZTLu=F&W<$(zY$c)`5n*>c#XM&MHxzz>?9yG zJeVE_OQmcwQEo%0k(m&(VpidaC+y_|jger>q6eSf6$X^BMa;*T1Adf=_pMa!71jnkM z;n6b70et@>90j(IcFQa58g%aqgt-10uqCfVxDvLnV)hlgGDp5Z?9#77V|w=mb13CC zTKS^i;u|(Y3%;_T`VHOQew9V}a7z2et9BX`^Bp*EeM1T=R{+)sjj#r2ogrq>(C^5C zDjLIIEcyYsK~9tw&7l(_K&){!w#`xal8shwHAd^xZ_wgf zKo2C+8ML%o#v-<1YcL)~)k2H3BFK+Yu@6nGfm3v(lo}R^YK0!8Q;W{!wE*l`3r**h z0kVYcG4RpLP(XOkSp?TxP%GG^EfRIYK&MwhXPNMf*4L8H?z=fuAmIV?yMksQm~>pgsK(L<)Na861$>kPo_%ZU^=9T z66#td*u{HA(TJ*HuO9x|71&g<<5N zhSVxv53N#l;25VSw)k_`45VfYE=tun0(dKv*uoHI1oOM=8>t`Ugvj09ZrBtw&q7s4iLMhUufmla-+f*e@ZJMt zhnZpq@ckW}GV7w)1}V=1&?;+;;d5>bOW?;N>uvz_rUQ-9alIKZzGjW3W|#xfW=z)R zQ1M!G1c_Z{;4mc={ju$eeA_}COHt;~6<0^P1^VWgqp#j9I58h5oa26BgR0O1AS2eJZ#{@>OIRAUfK=ROXSW3TD=kDx0gP5bfdX4% zE1HG4(B9DgWm{2$mb8SYs%i_V>d*9L+5*dvR_J@nmW?4h%ZDRs!^o)>B-L*%Hl~nP zP!+e(;MU?T`re9hwFCC!_P{>TPHwOK z0*j7=c$!w*1Jf4=v=-aLOR#Gz_&%D7R*25@pbY|8sRO@|qe1$8AjB^RW*;u{P>E7z zd+O;3U4u<6(szPw#W{jk?yvdo>}yBS264Bc6I73?@g7?41n>xUpWu93(TGkt!HNRb z0V7J`*5v9ej;E})80U-5(9+$uA|7&MS9VZKMaf_o2)tuQ6}??B9PCUnmG{K}mN>I1 z$BqKa4=83(qzlx?2^F12W$;G^INa$1xj1uJgZfjVD=SfAgyy(mmYs2hGKxBamEbq9 zSrny5(NH(Ja9!L%JIM{g#k-3gsfjy)U${eagWRDLez%5uX`Bp9r6PA3Z9VciW3EC! zJV5)4J7~)WksU7#8wHJ2Pl7BJU10C>s%CS#%Zc1)WsJyU> z6ok^FAcokmJ=RhIg8{~`X#hLYE2b{&&o*O+-43~CX2Mkb>kBIdiO9k>L!XL}!>BaU{ z+bKG=Vf8MQ_dCL@W_G}!@T1i@s<8ATD9m+3-$h*^SN(Z#>`=^rAH?#>gz3ou3E%i` zuuh(dV4DJmTDxNpqh)u{4)#U=G2Iz$b|h0ip&Y0t2ZqqrS8PQNJpdBn3lRBEg+7(` z5!DzLGj$p(v!wM20IG3)z|EWh(Mwj|sSROxaNrgOLc!00~!C>HbwM%+RhLDmDnP1Aw+7Bm3gcv%A~jisNg z!DbNnZ#xkDOKTg!|+sOJIWk{4^8PQ(Nob0MO-)=$~te( z_L18Ug}4@-;m71Qj8eidLGc#b0{l9c`#I z9^PgAaHxnzK& znjZwG#$(|S6Dt9`nx#`SpO3m0Mdcpo`(0? zHyi%t_Z%=-H5-5Oy$pbD#fLgwaE%^P!X>+gMsQ2W)wJkp z3^-7W17^)wVE#|I;OH;z22$KT>PPouLBlK_z+xPL>%#`;6rRwMKE(mVbS^;b;sL_1 zEc4c@bK$0{;z6wiJJV`A7fi~-22PpKv(BgY#j6{ODot1z13O&BvVP6~?%QP_?Xl$nG|^T!1wl-nL!<>(tpK4OVn> zW_xQ*Xng|w)#n9&mOw0f=J&?1D)*t;2_hEc3n5B=0<2!XTp!Hydeuc3v@r_-!LRQ8 zS#v*OpDryzC+Q;i1^G%*omI_nt7Ng5M%jztdE*1IxVW$w^4whn`Z%-fM(q-@a@e}K zZdtS|ku@#`627p+x_dJYUBP5|*8@vH|5zgIwrUAV7@KUN`<$idaefJU965j^ii?+G za&q9eA@E82mqL8LzJ}$fFg2P|s58zIJXntL5SPP!Ojw51tRp2Y!^rXK5Kk~TWZ`nL z6F*xhTalVg!oUn!E+3eggW=5b&j8QpV65~i3a~!*XenrtxhCSWhb{)|Y!XCQN~#lX zb~0F9@(hl z&T}=mOTv5f|3!)DTF2+EQLqp2=|qy%uo?d~C_DFFEqchRNj!*hd46|6ZWWU#YLoRE zIsGgB&2`CtElUyC)X`URbE#V~a`l9lAfV~514Q83|8lXJo~&icXB+NUm?XOE*!ZxT zYSlU@rF1=_uEJurJHqsVrFI@2T#p8h`Ev$Dd$%5ZCy$ZZk#QFO49}=p>KF4A4(Q zhi{v(&JW%Mhqz!f$gbN29(k&@iyq@<5nQ}y$uLjZ36;+BUgUOp&MP_ zhSn?DXw}X}E5Db^v#6AHK<~3%97db60djUb(BI1jdR)t$N^7^n=D4bsN5G}*+5ta% zYdiF%pAWUjkG4hAMg=Jj1Lg4}W!rXO+2~M+c9y-S9|!*0ov3$)>;(P^yMTYwPT}p;d8#MjnaI9ERno^G(fOOuCZwqn&!ZR%Xa7NKNC0AK-Q5*nJz#)=(jz{4TnEe~*SbCI>Ul9o(GIc`M~&?LGt(yhsR}+?IP01d}JBGnUCH)&g&jU`@=nWaktwZa2C85 zE_>b{^p?l@Ipnez4FmQ8Eu5h&uyYM@8<%f%jwQeSP}7)w^0>}zyl_7PYLoq#0VW5~ zf5d+D=i$3vUGi0-hpf5-Q#N4#2))q(*_v+%y*vQ&PY7S1kFo;zi;o8}YCL3fjlusK%HT2>f~kif=k5aF`+Nw0zGQu|`m<(xT`10Mz>ny| z7`=;!08(@qAj*dU!dHJ#q^ay=xX%&fT4{%|7DH|OFxceFJ}7c1Ek1&dsYjv1Ge@Aq z`W4^%qvCQ3If~Y8$AEUrQJ`f@JScJ_%|3?jSB^t{2aZ8~{J1dG=gbymV=41EgnM@a zz3v}JFTTi=tFJd9WkIRu1VCyF0aASeAbe@Zt&PhcQG~VQ%|h_&oL&TWu?4+>ZWm!t zj8B24!Aa2MJI-8XFwlOovO(!7=(0_aAp;tVD_Qb2FE zNaD`OkOrOsGd);ZnArn?70O#owr9A?2Au&9`Deuhay<*VgUrEZ9P)ct&2a6UE#b9?f6Ry8Yz5CIoai~W89yyjm7m`sP3&57m4fvs~G8Sb1mnd~Z}+bqp1!-~NoL)=O2?#N}2E`tzL@5q943&I`AZWO!}1LI#N zwn82`rwkvs=J?hBfDMpk`%Xm101{R{;a#G#=NF~91g8r!cT_j2Z@*r?B}0G=u3!1E`t zv9o6ZNH0-s!a0i@qB1sAF$}#Qf$zi$#2UT~&U_@|)!AxHYQ2BZ+Ws+k9QsH$L2(D= zF)BcMk0GDg6UgWLn90W;8mhMiemUKu8_aaW6FA(@4A}fBSZe(QGg)?70V#jZjNmaN?i@_0$Wq#f z+P?yyi(m4R!M>L;1-|%b{T?>TH?GINfh5>)yAHb0(+obw}s!0r#| z@cJWT-~&4FMZTN?Gn)Si)zuRp!Ns>v;Nr(e(TiUms)tDVjEQyS6JkYM`u+*A0%zPt zQ1)kF-TnnwAAbf`o&`Wda1iW-Aiwhx@dez+eg)QoF9>No3*ZlqAyF_R@f$ox->)D% z}X1m{jZ}|)GJU3vp(zhcL<*A@>gak_SPdQwZzWo;675Gzvh=sKnqrht5 z?D3mvgw==tM`u5FxUY`T%o-4yTn$1zhu~HU#_$$fcOXH11)FKLsJQj6ffNO`0A5@J zV1B5LjYd6JD6~j$a1Ab|&<6Zv#^6{(e68r3b_A>WbG7o6gN;vpCr$;s87eYG#4F%! z6Fe};4c(BgC`k3wE=hU*afqeww)9&OZx`NIz&D;|{4HBO3#cdA(ltf=&{YO`EhVWJ z4O5nGr^l?pPDN@!X3A1G_KXY9Kv2K_P|w$3QdU8*L_VTI)~bN@R)MqUZ$aXI*aA{h zMQf>!R12Q9nX1$}T^BT1$$oXI#%J=CSmX@dqtovV%oCQnJQN3KHeHKcPWT0`2Dk~M*Rw}!MX6XAQ@ z<(kqR6rw3@M!8z(o2iMuvU;=>BT|3#4yVvVDN#$xPQm19L6>;Vz=>kCfi`Oqk|8f0 zsRr%Q25$K?1~^S)4X2jF#4^-D0R#dzqV78Qrl%{NM2B>sk)i|?MKW{|O5f;6TTt*C zFpRVXXFbiqO-eCLb54x3GtJON-(Wrb$kPRaOg-r~>ZS*z96^Xa6h2E&+EP}2s<^@i z!3aow>;(tvq>pY~yS@fMI$IwIVhp5vsF{JB1J9*Ms{9QgQNR{(z;`D$7)pI;Q&=4c zKfd1I5Q6jY=hsjgOb-m9wwn!svtdK1jW6-cjihmu*ARMYOSc*_J+ZK-D}lfpjOt8) z)=MxD*NvdNQi(KyW=bGPz$yh@nj@egM*>agTfo=w=mJgfV^5|uP(TkHd(o$*0_e#K zTkJeTvMFRm2x&$?7)V?)1_UQb5F^+VdG9TNfIr&7!^jp9hO$$NhB8swh6ftl@e!&1 zH4=WSwW+bxn-ZizmuL)%N2H+0L(URY0K=gUppnK>8=7E()-}dx<#C6%f)yN(sXN{3 zNs1Ea=h@Ha&R zViBr0jiupKi3UYnpqDg;lIz0}r$5Y08i*Of&z_Yh;VAC|GZ=`n8OE1~Ca82i9WsNy z?l3cZ&l+TP=6Y=|mb$@1vUe|tqsXuTiWlZmcGjBfv?tB5fF^@2*`%_N)*Z8kD#wzC zrL-C5$w*6Fo##P_bH&3)8*Qm^!D~zDoSqaKCUvG0p)d;WH44K(;8UpFYixfHGJJi8 zS5ooBSU6^}%o^7yXi&*r80@()sX6=vUQ_C6p+dS5(hhVqTxyMkwIUo6@vRsB7D~)E zX@;UB{;PRMT!1TmiULt|1OT}6z*{IQXz&zf<_z)VXFxoE3RKMtJo2+5>y_NmpDQ$a z8dzK~6*kIij~A!GF$|d|?>}fdOfhL1rWk*pl|Lw#IbAxPT&4pgVhWsr&kK<7iiCZK zOb3=fhYbFH7E6tGL_&QAk;t&Qel*z&oLwVfeDJC#=v^f61ym_8hpHXL9V&8*Il%hY zVK+M@S+pXy`K}8V&%ZzG&DcU-ij-ZMLGj6MIh+M)ewY8UF^8V#h40 z8_pFu()!uV4=_Fl&H?u8v!TU*AB5{g4s+njIAhWn=y}{6<}=yO9)F(nhpx02ePkdU zNx(ZGe;Wghw2T2J{>qAY~a2V zt>T}zsr+dET$$7c3=Up|RkA9VicuinmRoH40x6y{rup;WJ9ycj3oCnz)e9r*K3{61 z=lJjKDzJr3PZmS#Oae&%eeK160nS1^oR3NBL@Em~M(~q!aF-nnrzAl0c$np17Q#D& zunPB;^~AYL#Xar+ob)uK2?;Qei3@=!D*+O5ulZNEp44$6^LLA2(a{T`7w$UiLHyq1 zkqvPXK+F~ci~k~E;a;;I1m4<%ek=mVPZc=0-9vt|_r%_rWS_0O9Df!VmJ4O7j&pj)VKt?VFl~acVEYleP zKY}OEOweg52xKWLvTcc|rT@xHPLakkh%sV0dUsd`9%ZGHIpEuEIsC7_q9RVRE(fDw z%hAf`3JxdmOMN_d(BKn>9q9u4hLo8EP~{|m@+76(64;JIbDZW>Nrv~EmL!vfCMJW& z?Ma}*BcS{#Y3iH|nypg6%&cU{8k{1{CXE#4n3RFsF$D&Uqic0%NHbPQ{Yh;FT2b@Y zB;A#e(PIT{H-OdrU#*ZfVtS*SD}k=%N;pNX89v(B z@B_O#>$3{Z^KhzE$}yF$0@v?S!8NxBzEt12N{*x~4M-|h0STJ~{6tpkbZG<~NUQ5! zlnzE-r$MfuHL!tTC4rwRjiR;bz)`ve5kHdvQko7N@>8Yp6iv`ckvhgfVH+;!$z8& zEwxxH?LmjuFp<_mxVLLy?AQhDMKUvCA@dwDv*1>2j)}wXcI<(?Y z>0J7?4n7sPYu97G9$`oSVd-JA6RIhiitI@ol?>Lz-n+8ffDGY8hAcyP%0BPdi(%yi zc%BoH0d58i!VlXeu&BUH1jdXFa0qSa%m#!Vo+fZ`MD3O|ClhwYqtKu%Fts-md*QNo z-m|2UOH3`oa$GXgau&^-VzJ zU9kyH_T|QZg_<6upN)8lRXhrd1`yGa(l6j-bdiAc(vmj_AhjH0;K;A;7gJ~T_bivU5Ptj1pM3wPlzhEz^G>BLN$&6 zMxr%jC$v((v&3H{{gsPR@Z2rcCcI+Sm8t=mo&&;;yFi$4G4V<^-Y6eMcXxs22odBS zvKP|{MLkWBhf_n}gEJN3%$$0Npi$87_9_ZlUFoVJj;7KPY z;xZ+6s20Pn;`TyKXZ8RgU#OJqm3o+WVX!mV581<7?6?0F3HukHkJ@7DaHfas18=ML z0u`^`foTtN-wVyQ-3QL?_Cxs-_OW2cB0O)^*oT$1#(uQ^*pI$m`_Y$I?|AFOeV7Y3 z_Cq)~`ney%@v>d?e%Sqv1OHYRrhf4O*n98xaH+g&>;WL|bx>~fc~*+*^2^Zf&ZH2G z>B}Z)`ax+=@+|<1e%2WL$O0HOUrO+W*Rg}rB$|B)+=Ul_yZl4oj<31UFoW70f)VaJ zjMl6}XnlDYtvuN9)=`J00kW%j=FKoWA0C!!U_q#03mXWB0{~%uTUVA+@E&-zt|@;L z;)v8#$<-aZM*J5iyb{5FadEBt#R-Ra@uiWHvn&3+;W4SHva?HDTn5J{<+d)6uI@La z>$2aRUGQiY>(CZ&Ort|%MK>2J0#0QoSJyglCl|Lma3^PX8Mv~OBd#U$j!q8Pw>>5` zW9;n#MY{W0O_L5ClS&j_u-^%kN)8Tnux)T(iXkW8$tpM(aztvRj8AoB+O)+fM$VPH zGkCsmTsoT?ox+Sed`YTbF#Lq{go1)gLFE-`i2}VjDb>an=J%6QD+RX#(^JxW3JUH8 z-%m@IDA2nr=yT|j)Sw{ataP;^%&@@qf^-IcaV*HcB=u5MaAH{YD?;b!*|^|jiFAS@ zO#Wn^u~C87b!kZ>dZlBmji+H7q3mR1ERg$qX^uMorzDzQUKDF%jPhchu||PTrSxQD z7+{rMBZ&<1r?&BZBUcLti@t!AU)ptd#;b0?E-9$hF;2t_R1SZ7JTv0|;^Z%27ifzC z?`~l{wkK^op@wJtw2e&)yd#aZ6(uh0U)}zV-36-#)**6+aexbT`p^)m0PFB!xA8Fr zb*DObGE+3+d`p!aT^#Wsyop4)O&bSCnmbS5CT_;q{(+%;?fk0r18*oVvRHn!XT*%` zkgOHwOmyDAH=JjEXjo~5-O2fCGqm*YKl&Im?ZuTL(@OHDy*U4HQsI~Wo?F9r9|+me z-+Azv)}~iZI_d^UwNlcCH^|c7<2obqn#25-d%L@p4Y_dg%G(C^4O~51@8056rmZ?9 zzQ>xAMT!j?=f|n|Xlg4)L^O#1{rmUJ{SAE8y7`Y^oaU@ul(jYY^o1+GYl2o5_HXcf zLv7~~t%h3+3~2D+@}fp%S5ICu(@r=Z@HmQ+ws<|`?`vXHXVI}w`;972!2(eo&W2+LW8OCLw#y`6vrieN$7cBC0I3L zit>C5`*Fc~`eh&NUwRf9yq&B!WlHo9RiD5H1Fwz?DC?;ctlL1{Xv~X=9|kqBpW1U# zq0-dpQ8TaQ$DJH;p?~ARU^|QPi>k_BRozi zJ`EmQyGZ)DiPDD#!?t$}wwM&Zbq~g=TVxO)GRtG0Lal4t#!c0h z)_VLtYi0SULgD)b#i!3y@+0*w`HS++S0;>U6sbE9+ zuMOsxisKsl%sc6l>3DtQtLT$85TI5zXto$r@>neXg4 z_{}-x4JT$_HFfg}yXX|E7iD<&+KesplBZpW*=~Gv>J)l4bmp1@rGJ(!jmy8T7rDuM zLoeIhCa*r_PYTaV-oB%e=#>?)>_CJ^p~vBIpR=Pbe>tChc^wF9%ef$oi3q3TA zw<<>JZOVT*@LKTn>lUF)Qxp3PHg6PT823DIxw7-`j~VIrn?Ar5z|Gs+OzmAXNjf5AVpVw(`I(YVH??cDjE%q)vc?~gV5 zLSeYIiDwy|5BF$hb+4@|JgKY@3t`uTu&}oKXAM>PJCqa%he+KOrnc-tmcA} z7O#HfeQfH2IJd;?BVB_I4!`*N-0wDL-!9*Gt8xE#_xp_7JjnluWz5>otzUGC z{g!PxPxJ1s{NPsuUY#zg3TPI3!+N3qa}&Qdhqq-We!JQ;X!gaeiq;*xwm$m0bYMtY zhhxK>9hX`RJGe4!V!`L59pi$X7Byc#)i<^C!n8nt>xH|DPG+syv8-8%%bi!nclws< zjVd0S+3fCHqcKA~nkhA#QQfN6=!2Q*kJa9zhBs}0Y}4eyZsQ%jzga20jW72&(!XP0 zz0T5W+ijHE8m@FbrX8C-^--5N&1L;={WE69hI2WW@|Nzli?k|BdNSOs(L>XpH{;^2 zjEjveO}9wYzU}#@Xhr`H(Z!QzZ}^gaIx)bsrrYFuPY(?>^Q#S%Ji9w!T;$}i(LvAG zEuK49ZG6GBk>-)JiZ?wRd^BQ1d8Ait%fy|%FDKQ+=!BgqyYOzGVV6syxtDsA&#!m3 zQExt^>9QwZCVLmZ>1uFCl2>ck!k|g(zSb}8Tq@(%o^ktd??9@P(pR^KllEVV{b|~2 zV$rClr9H+upTE(_+yBhEaVCALk4cx6PVG}V&U^R#;N0TvHidU*Z+zfs=eTLsp@x>V z4R3sSa%A1)U!gM(G*(mYmLGm*g8in;!P=XH18tW^Cfz#i|33cY!zA6l&ATnDSQ+JW zwtCOSz2|11PhFuE*ZSMaNu$f3OR~?udDq>*PUqkbpC|h^K20@MwiuGPG5B8ofc$e2 zdG|*y4Q%4R>*m}JFBUf3qZ9SyL*#>hW{KQN*4)|>o3>glPges+9x@kPz*h_UlN z9viprMzgbJl_~=huMM7Jv&l{C%)rq8S8}rw8cs@Tb^6jm^uklZKvd3fSeuG(YTJ77Gym{3xGhyknT@B6t9{^N9tG|TS z+8FQ!Ea2A-252c{^k0dzsW<)UnZ#R_{t#_Gai*)Q)v}u`nICceV=nbJOM%y88v%Be z(L~xz`I}5`Tbr!aR4wPv_Q^rd|L}TkV@vj^8ca7I4WIR% zB1mesez_rchqHZ+YSWi~z`qld7}UTEU_t54EM z=6VO7Xfm&b+27A_`?Ue|o`&Un$gYBJH~FQSqJ4l-Xx{kj?oXMnVOH3Kcha@W=7IVR zTVjt+%l6*Cg2w=X65QN@3o#_C8jvyn_-geU%XW|5ZeZQh;C0G?a!a(jTc7N(}t`Gt_6*!l8q_?n?^E zmZkx*If$pQPb`%rTwAU^mGyS1lWB1S;Lk08d(wHRu(iX~cn_MocM-Jy77*r>w?@!s ztAV^{kQx7wqkT4K7QriF!)|V>KJO_NWm{6irsmuL#}7oqJtF1@l-Y{?=xFUFh00jL zt;KL!+df=(S^-RmXqPFP8lnX{=3nClwZT~Az#9_TY!0Do@S4J&@!1#)y-Q28n5X1_ z9DLbZORav2sbtw&>{N8gFF?cUA&@zzE1^V2bl7Ug%oS3TTyPhA`Z@Z0Vjs+pL!Z** zXVUe2O^V7#Hor~eC0qK>Q#=MMr^F;4j6VcA^kjXV4MoCruY@BnOo&}kh+*v#^&saq zt8qHkdS`)O`DV-m` zeI>tgUqNaK=^y)}Qb^x0y3rHE)Mu=-CsBlMMbvGgSkw!scnpH zqdmi?OTPPwegrpO#J?9l^%YIX>RvROkxaJ+Ez`BXVWr>tvYO+A6AokD_<=cp3XMb< zA=ybEpYx1YWGV;dQNjw==qerGs@pz4ouEJ3zLz^{jbi^%33|J{XAa?bKqkg_nfIq2 zeizLVUB)-xeh*C+Y|EnITZ=%OV#XnD`UUC7`}N@k=>`Ww$7-y4jFRW(hdhnOzfX&8 zjwHba1gu_h&+Yt{MZi@5^4*SqEj?Y7{Y*dyb>-OPm)Nuhrfy8wRt+6{Hy9tN!mVge z)ST*dJd54v?$W5HOR~ieudySm5wyn)47oH`+H^sf95`>Y?VL;1exF~sh?5tv8{jqf zOx`u#*u-9e$1(1&4cvVQCT}lH_N5DCna_lO${i_8nI-aIF8F5e%-8#Ws>i)&E$G`DsQ|SFvA3Xa}!Ex?Ne|N|S9b2O5k#{<5p#EgDM&>M=T3VsM?_*%R^5 z+!d8NYW|gEQ<)oT5@4PaNraTKj`BYb$I`EdgVH*CoOmhVAvwJa;7N z-^LHs`$6_Xsgy20;`OGQJA=#_(hEm(8k%2mTXYZg6SJPro6)E$d%$|P7_1rIV17I~ zbc77;_@*9|(S{0+f~e{~ww+vrblXzczFS1Uw~UzaD;n)x%v-~u$5V)xXg8Sz#QiKY z7Ct;Erv1!o-28QaQbREl2XhLieKhB!XS$VEm*4T@l6e}tuWby@FWsjp!y&tSNLNNl zk_|6&c?=%MDj%fdG?Jv=4e?-Kx#!!jevkr9W#kRg+E+EYM2n29g z;q;%2Vn1^ULax1djc$YR0CW$06ft2GSgG0$*mAEYod9Eh%T98RD)mzYN@NPw@mZ|< z?$l$*X$O8R9}7k!6FN!vFsvAW-JwHprSV%FZ(VztLI*Ghw<_Ztf*-&lm5d|df^TLL zK80(?Y}w#f-PUut;iGSx?Bb*$h?~ROi-?eT#k7gT#}nmv3I=dyhphSey-PExt3Vcu zfd-@w^pmcCjnZH5ceHQMXN1FI&)EB8?((LGjfps5A8X`PEkMnB+@y}8q(Jhd>5dLV zb>mWsc+tiQAA>CX!O2^Yw&hzPh+D)5!hWH&nw?pF-`k`ql+V3!Z6!9U6qy_TwQ6zW z!p3i?npx0?L~jh@{n=bUoPwj@a7=XCA?M;pq!D+2EPu|jt?~5-(c#+;~d26YZp35XosLa%(fCeIZzaN1On;#xbJqULurupl^yE z^!C>$KI%7G+z;Ik7Vv}jkPp{gZmI(yGgYY4NK{?i+(3zIy2}HA*`lQURY)Kw- z4ucGTlz*O_J@HLx!ZHux)E+!BqcuO0a!@5qZU6*kz!tTE0Ln1Rnhr;c@F9m8hkY z^(!+Wlo3tRG-v8hXhLo8n6)ymlbmYuc}1KoNh7GV5gP_8e?gGB`GMFR3?#$76M?E5hpveit}HZv zQnk%)yaP(N7?*b*Ja`)KvHgxa@U}7_dKuLH++kEd4$I0R>tp}TBF*UD5Zq?Ome~qx%Zp**Yl>EaAdiP<% zZWDONd2}h7yX_F%C+%;gD0zr~D15)M8TOUXfFT8Opx&y~t^U$1=T;)P8 z5csHzXz6pbuY0{u2G1tR4Z8XYzD6a}GSofZZZVmFQ@89FL9o!vf=a@Frf zhG@(?zt)`euhC3mP0GH!7_61 zmwOw|3`-KB_lQXY;7@IT{w9dlQY;Fm@cx!Opr`(AZ-xk3!B^de4i~sKQt?^{OH12}>!lWOdhJ zd6`6hQEk#5eiQqQ$gRg$(%KtG9XLz4Yud_XV9BDbUJ30ae3iR@via4W_&Hs&mK@g- z(H7j2?&9!T1abVuu7!?@Yh$TwX-bUhOFb{s6jkG4>rTu{5|QfP^1!?w?H>t!LZQl5Q zfl5Wb3LQ#~Rnv%nN+Zghe~5{zo08D9shM+LQ3&kr;V|fKGmbsunTjqvx2$3eUCl}X zn<(gYguBjDd*}|XWis_y^#fvu#kns_4LUy)N};M(hZX;(2-zHJK5K4^(SZhGh{1tR zVlD`_n~A@(FZ9F9q(|mecPjXTJO%>enei2{*gaR=ztg;bWlnxOC`m<@;gImUf<9%| zYHBORQ-O6O7-fRfXFS){jRSKuq}u%#C#j?1n#Y|MK}-dwDGR;>n?{0jhT5p3VBBuN zv7Kt3fXxgPZQp4G-7QpB+(sZb5JW`(jrZ#~`cCk+72PG<4;-p}9+av%Ze5BZA9s6l zB$BsBwb`kE4#_p=&tVp(oXrbf4p9=AJm>{Waykt`$v)b`@|OXkOa7SBFmz1;P9VS< zdrel^_E4SIPgJv@=F5~HLI{%0vXC(=IY^thdN4Ipk5+bA~G`e3$y!)UPN#c)*_$-trV`8}@w6RwcM zkPfOOM*l6KmI2NFYb0m=$c;Cuw^wUhXsrRxTdu`$t%6)QiYW1ibkV-ltlwO?^B$Omyyd6I^gQR?$X#Ez?V~>CR%Yv!_!QHId3npy%NC<)i_NeF*@T( zsqTJ%>yUN2N(K-A`1BCKKmO<4fY#8M&cS3cBnzq(or+!lHgFVsDJE*E!ocf?t|Y51 ziY40U=F{V>)5j=nwNCED3L1{yM7`JebP01so%RP?%I!82a54z(PgsXup~(Co_QGmW zX}%xcKEpAH&pWj8X<2>;6rS{->p=h?c6rLadMWO`K{|c zJw^P0hF^%hkG^dx4Aend6xnOCNrWp1)gcZiUg9C`7Fg7a#|sUTW-TrW6*ec6%-dnD z?4J`=i2Hy@?;K}7N;*{9?)#&_k?d)4xd{Hl_Xw2$vi`YQ<$-WZdOWpa;MPa?Z{-bt zV<)0XYPYx(L|;S6Qjc!v3gL$7**q(+8E)~L1crR(ysQuSdAhwh8k;M)*1E!p9mo!< zs8gN4urwW#U$JSPsB#zs!w)-qh~Y%jN`^!ECBBw3_1P6zW^z3lQI)2+Ngh zpkb71c*kXYl`tx%cBJ@y7}?E=2_m>&g?twksY~aIscUOX%^PuKYN|}I)!3KzmD0{< zKw2U2Hes~vW|Gr02+Q0F_}$p(xT$)t3;G%fKHQ#HJ&kzc;1DkPf{5$GsYj20Yk;u2 zy9kX>4pA^ zu>_8E01bw_>N;IMd=Y}fojl?Yg_nQRVO@#2H*DN-QaFZklLK)hKVMsa)(OB(LvKoV zy$y@{6}HdO8Htg)#f?wuSq%Ik(de||G$R@KIQZoep=h7GY)Gq#L_vR=tyLQU$~U2M z#99T=_~IE5ZXWaf#*x$sZ4EK`W_RnEe%fcan43Obh?voVAcra&$}LO4*RCSk8uxu4 zKKjWaRk@H}^NVW_@CTBAXR$o#6*rjBRiAe5GqR5v3lRnvrx8tBZnjAiZ>S?ftRjKH zX^YFXZXBbXP8G=p#9Mx!t<|3!cT$Ugr>Bn&%{;)ksXpM< z0y*)K(_)p6cFWIJH@7~@|tGl|mgR2x!NiLDVRAy-Rh663hfop4KT{_+}l zCwfdBKPF>j)HYhGI8?(LuuYHETDm57u`scF=thGLOrCRpbAIJoWc}WkCB1vy|9J*c zWhlh#jYOhVdUl>>49i;!k6lyz)l5zMM0h&VA~NK&9me`_-4;A&1BMlWIX?=v`47kV z^<*rcj0RMV%Z~L$9{GAPdTNwXke1ufLR9sb9y#6P`~|;S)1A#JGC;YmIe%7_1&LLv zy7`yqd8pQZVv)5jzlrP((u?2);LBS4!5V0y5=L2dZ+$>UG7Nrwd(kC1iqEt0I=lJv z&B#n&lxExx9u8P+ZN!s@cY#YKFv=Fv^PQNe$zpo z#9L2TuhlQrXt!G`xXc9^8QDz;x-&n6z&my`fwPyoMs6!5`6k}$74F_|G1s5U)z++T2qU!2RTyRZX>Sgoqxmi#Hq-gk z2T|9R3Dg-qSCrnuOrnVbzdhNwq#tz{qrIL~^!vmhC~uQ6_~<|kBELNnr1T|H!k)f7 zbl+OoN~!T9K-F6)Jh(_Ku~5G_P0A6{`a&Xq8f_9Z6lpE0)$E9e4lzg{Rdq;Z=2!<1 z5F#=?_e*!M)JU!K&NicWX!JaLr|bHl_$Rkv1R`#Bi2ms1RDRBT^9Wc@U5@_3Kv=mQcc@` z^6BCc_+ZSB%v2@18IwYh4pQTkGnm7fbtYUQP>qNn#UWL0|t+EPO{1L#$TY z@KEbj=-uhUEX8KRa8A2k_ED~jJ@q?vi|5eC`c?CCm#BQQldohy83ZM&QG7ms-11eq zmG~};S=c=ieO0P54ZkZGPbtX)N$i9MS7G!KRvOv$iW=&`dp;)3+$}6;)dnUWoR6&u z8X$c*ypaN*`y}i~OTAAoJsDh23naS`&bCWzSY_+gapCeC)LC#=_Sx4#LE(Ledukvu zcODtYeh2pxTzA@R=<}O4)yS!TG7*?qR*CbO7^@D~gJIu+^&t3H=f^j3sNgVOaYF-$ zT$`2F+u>j7uo)gbc@L&U0y}?BXD>|Dbw8@YSNG!pmkM>;aO$Vrq<2?ML9uRXrpIl# zRlnx%>rogG@z~HaG0#d0=H(3Q4k}qhYl)dKMG4E~ehRh}OogVXat11YV~`2oEnsc= zL?Pr(lr8?uBQN`h)3Dm}HNs~X#~Vx%n=T6W?|iy0_Uds!)#I}I9Zgk~Wh=CP-Uid5 z!+dpQJMNnMI;1(~IB+fR)hQp)45xkI&8U7vGG|JGWeVe$`)B<~cb?u+jeM4>F5u^=kJ+rVtz%_Jw`# zB=uc@e?tSY2syhpG%xAM`giT*s2zl( zo9-0LH#PUCJSK$sw;8#;EnO@L^ZcN9QS~?qb|68{cNm9%!g?l-vPjSqroGqPWI+RR zU;#Dpnw~m&qLs>wGrz~KDBH^?$1i+2h1GFvr$UIRH9>o+@6wiS`UL3WVEEf4Z0o!I zCur0`@3L)u7b#jWNvjsnke_jIWe`5jn`iON(!|-6fd`mpz#CG|8Q6o)GV_s&mBrUY z7j#7Miix;?E3fjftwhgTO-E(|f#5spD^$Iw@zsL%=Fl4hOV@hc%n$W^qjnvn8{+M{ zh;FJfm5L(?CR19ys}Sa2-O$r+wJY+oj7sF!3=Z@a4V+obs%5>p@Am2;W}u(!rdR|> z&ZxoXcd;*v>EpKM;e=Z&b9|sIfv1A_+~jWBIFj$_I7r-A!jfoRo~M4C zw^7*~jmegFwj7V_W|$14P+R5zzrk=39&*ip;+9uS{CW*h>(of8D@SjA?4RpCFvQMC znR6bHbw*B}79B~+8yvjWk4RY-aS*zAqy8#J@$;{^cf6nF8NO`^bUx}klrjIlrA&>> zkegAIp?&i~SMQfyC1FDv1DE{pGf@Ur^pb(2a0qfj_@Gu2^i*p}i!?928c2#iW|&cb ze&tH33bZ3k2fYgwLy+$EHq4n{DMM6dOJ(z1k~Itei~?ID*TZFhs?Xqw?j(6T_1h9y z6VFRyk@9g2`;cf?)IP@hbrY#2%;X|S^s{UIUpl> zsbT84mFSuUXf(c-&%z(?qLFw}Dtv^0o2wO%zL!FwJDN0K938Vfri;P-!GABy3sjf2 zCKy_KMn4M4OQH^QhTh%w^XAK3gRetJTbVIv2UflKNZxltlZBA<OhWIt?N03L7d$XUf$tW}DQg8w_@G*IHv#;k)n0YZjvkvcb$D5s{ zj>-%XVs5!#(u^U6mK<2^^4 z;Y=Ng5gV<0bo$pg4x15w%`6Ll?CDRJ-%*4x6-b<@KA=Dtk_m8?znwoQvm8Ui?M?^T z2gOX4&O4&;n7nKf68YPlw_JNs%|>s#cIcE?&- zMK#oT(DLj^k8|E;pm3Pj-*GMR^|;$yWvocvnFUdZ9~b_iu9Dr4x^@|VE2W{jEcU+@ zovO~8@vEq*dpwef2qJVt?Cn87L@mJ0ML{V&i&6QpQLZ-)ZWKprCeBzHf+M+HU4)xG ze0x_{(TvVo~%Cat%{w)Kj7ZtOz49>-CN?4AA)3b3^r&Z?pQO3YP4vN;WiL7%P zF@M{rJO{!#9P%4C!G|S=3kFq+jW{8u4~g961v3Q=M`3Df=Mmn27>GX)H6X2!{$?b4 z{KD=&?2_a+=e^VK+sOo6SPjL@LICD(9h@El^d$;c^;hrmquV6DSeW5(CP0OL>watO zdbYkX1&h_BlYX~L)(`TV?>>_dQXeiL@l?C^kAC<}x6s~SM$OGeYVZEr=mX(vYWP?ti6IsaEyDj9dSZ5j3;iAIjt^T4Rcz+EF( zYX9=2AKUkN3> z9r(sO7biwUb^W;et#k(E=u=6$2o;)6^&~zqnaXt&HVKRZ!`gQ(^8V-d9?` zR%Bc+93Di$>9#j@A&v;|^=5;a;%{a$Nj3;6ZZx4LUin&vnR~x=+Qk#e&=2q-<1UtHYQWsQZn2~PKUT!eq-Y0lNh4O48S+8vAk@phOg}y@~RUQsRp(hOvG(1&D3m3sEJD+#l-LrUY-M13{7kytcQLc)=?Ty}^O_ zSc^X{Rbg21LuxDR;utq1=mCXn2$ixGVdL_DX4n>=^Xuhe=MeK77>9o2TS=nE+Rmjo zB0y3@`?aJ3U;pshRgQ%IuvW2XP5VJj9hxV$bud-O?}xX^;?d7ZpNB(=*?vhmZ zSG`WpSdXAc?Q=FIr=k_YGVSL%2k0s*+wez zJ^DD14=mQKJ=@cPZDO6Yn|qL4`c)D#=tY+s5-q*&s6F{6D?r7!Y3|lNW~sa+;Y4Yr zN#A?VP;%{o9WE}GYwOnM{{RPV8+VssB^wl%=K~rImwrqM2AALr85#ygR6$BkSeIGc z8LpQJ-5GckH6Sn`QVKpk3T19&Z(?c+IW;ynm%*U4u*Da?aeDx!=rp*ZZTY_I~QI+O<}_)Z}WK%;J`g<{%kIh$}NY3!4B? z#n!>x%|+7;qQtBLvUUT$g4|{()YMwGu3*rA(XWIg6lCUV>j;rDbA5m1wQSsg%4Sd? z2OE%`O@NJ0fSdhw&c^vqLr16pPz`G9;OGrh1G$2rU|Yy5CFN-0<^Y1YYPvZ&fo(yS z8Xy-(H>d^3MF42^n%RFx1xh+Pc|mQhZCrtL+8Vm_jEqeGM%elJ`GMwM|73ttAQxL} z2$1G=;tm2kI{g*t)rEg72m*q>CT;nbt(ujYw56@kP) z3k2lKO8=UuG{jQU(cv!#E+~KXOv)AtvUn}Zi}gSIW)E@nfcX3uY-J0v{JSJeHz!tY zh^@05NM7py8oxp)|HiCAu0U=!4mN%^4j{-G2=cVBVf`zlmY07M=x>t!FW3xX>FeX< z=mfMf1G|8HZLL7B1B#D}nL7yR3Uved`uxAd|6HN4vjZ({EnI=-AnVs-__z007-aR2 zwX&Hj)YcPd$i~9P#tvlr>-*Qt`1L?79U)+^f19hAIe>tyYHEtw;&P1tS^K}NBqSVP zT{5%tasin+__%*xmGbJ5pPTbPJ*t`6{@;w){=HcqV&w?r|3~7l9s6hU?jWeks|ta1 ze+!l#_&+_VIJ(+efPi%W7KsrXH`}W|UI+I7RXqQL|6e8apD|?Iz~H~@r~60Yz}L!M zfJ(r>Y6qJ^|I6IW!4~ZGzijwVLtW56bpHSGBkyVkwzYo{hggIE)h1gP8Cy?~rJAj) zh0Q;N@ef=ZV)^%t1F1Q>*#32Afz0gO?Ek@O+1OgxLqIMruj>1o0zoYQBcwFM!qL(e zVhz-Eebt{C)bd}zU$liA6#CkpzYpkj??2a8wyz0-K%O8Al%;t`3&9|}+MxEkYH?x@ z=A8-7?>v9nH!p7Qxlp3Ouv;u}y()zErNmsh*L;bYQfi|%B2|X)%J8ruvBc6*ydL)a z5*;i^*f_!1aB^XQW0pE06#=w!WV)#fw(o$ik%YQqM))-8U5gCj+ ziJ#-Q_|NXbj*W*O6+aV_LcF_{9r^&gwtl*!p!=#b(AKzdy_(-J8@rGx=>o zgTSO#e$(f(0N8q}mSBFb96{#Q0yZDZa%11q zyg%#UqYbFN3GPLK+!&HjxqUC8IXy9gmI)aS-)ocv(O5E4%#D+*Axj98y|l9hYhb2< z$!K*g!=eh{mviJ~59T%_?jFN5*S<9PX%)|{S_A!aBEP_+<=@}VIa#Cfo^60&h;;?=d(tigME*L!&LpT^m#pMhJN zg!dv?vO*h@_k#AHRaUCG+r8cd{IHXQ3ZQSdkiWE@Fj`N>;8w1edffpn5sQChw#0;z zNo<|O%`Y!+O33gM!OIK_I#VNjNu`%h# zk=l?V2x@#aC?Y+8RDGYsuOIrPx^ z<0xX*)Oq!C85cE4ctZIMVp|mw2m47;q4)L*Wn&p>FX@t5%%(35&Vm7P5riE}*r-=W zu^GV{b7L`+n6&=wqjmn{Af+&P)>WvrG)q5%xys8ipq-}(Z#~~L5&b~~YBtG(givPD z72LIL&Tq;2M?TeW%1D3jLD^|GDxgaR8hrb z_r(3YtKo~`855CoPe?YAn4Tw6iyQ2+TJ2{-TY%aLlcZcn%^nH&@GI~Q>MbBGohQ{D z!Gv)UPWrd}u`Yj~d%AT(Glwr27(F~wGaC#Slx7=sBi86D+qU7o7dg;q0$TYA(AAhx z4jMhAHmzJBlsUP&pei$yaza^ynsgC#UT#nc;I8*CcU5go?bJ?(KH4?5q(gQ`;MDt; z&`{x+CIwiO*tGIwu|&CHWqXT|6lsJX9d|;gbd<|0!cTuVq7F|`VoA@(T=rK4j53ew z^oXP;jYvlOex)o)uwBu&DD+?{?QJ(BEz3Ov1HC)234e1)0Te$?LAv_yQFjKCHa`!m zF4bqKh?EZh%w-r+ort?6B4RjoMwdRN+UXp1lc^RIQoc8hpzFk^B^Wiw`$fS3e1@$< zN_tycL+^iO_iOM#Z<|Yu*@ydyqetZ$X3(_V=?1U4jx7OtmRI0yuY8$QizGi!X(fs# zv|pHf8wy_%%cec|lTe5&n$BBTXQPkr=}AY`GC?QN-c!RBeq{mNf}g)JAPew_A+H)h zGoDKj^wc$N^j=1h9-N*I3cN$sU_90i!_x?gd7poD`sSLJJ$OzWJAEVj$XND_2*Q46 zpFC>(yB#^{2CeQ5yKTRxF-zH@Dfv5^tE6EJ%0&alm`#suY@MP$xRX7#fe_k4ZcC?* zQ}M5K;&kh8cP=C_S!?CqDWY|7x14T;Y=4pTl57|Sm>CMK>dS^|dlHIBr7w5TGb58;@{VtI(H%h>34FNc zEH!WI)RY2Dc{7VI(ai1pxTz9wj5Df?cbzLU*6ovcv3r>;4~>Q_?Q4`6khgvAH&v)9^d+zmERszSb&Z)W6c& znx#!*<^oeSi9$ylx4H9bg4~!ZHu8V>>4Sj%mvGua(wh=OE{}ICsbPz(N3W=`0i-YU< z-^Xj;D$Q^M?(KLGI=>tTH97FeF+TwWMm!G7)zapp#Bw;#f8|}J1&lSL?k#_gwqLp~ zxlnTq56<OX1{K0cVg~9HPTxmNWKp{6^$MJ@Nu^Pz!(=&Kn)Vt>-FP7GnX=MAsQ?boj z3U}SaS1LEMY3a<^eNudNA9a6&5LJ66-<$xyABZSx_gNXwXH}73i!yw?ZaoX-dJvL9 zrs3Lg9w2hKpFHf4JjPiL_QUjvE_6s~{iRyiP-T=NbC zlRwFGT9QN`S0U9Jniio}gwtxNPdpReriRY1V4v zMi~@#Xm*>rr(6R2E*^hn2H5SLxdx!?8(LB!BGuhJyWe}K$U!`Meaht7TX=H=q4ikw zOd@SVF}ElMqZIhV8jp!;%Ihr5;RJ(RA1n==wn9r{z8UtQ#71!30lrstZk1o^G~QvV z@{V@YPKW0i)zsef9OnKw0&+0|&_TJ$wK73N*mv&g^(+wKL@s}3va~-1;xDFGtE?Ug z%(Gd~4DE^Qi*&1WXsrJ7999@MOG%ubYZp)i(H52pN<;sUG=H@kHt16nor%1q^WfRe zJ&e0ZozkyH!2UpZC)#%FHLoP4sShSn~& zkH`36Aw<#YBavLO`LO(J4c}Q32_1^!u!9e=@LpVT0uu;3SYm`Ac@oEKS+?MClT??g zCrO~ovGjjb=j{(f++?DFM^3?Ahmyv9dIl9zH7%0;jt9batq&OWqHjxmPsuzXt&*3H zwz;}~S_bbVHWcl0b(ymYs>^vuhpplIm{Ev+)bE*;@h~CLQl&GNaEVi3@f~x#P~OIQ zFwhA%-G%FX`)*aKpHYy~5!x_)B%=bLRegVRs$qY5xkoQn0DBr0qX;O~WA75KBHDl+ z@M?gvR$q&OWwxwvIp^r z+5IFK*yQi(`~At zVu^p~x7F-|)Mf=~iWiHZH`X@0@t1VhsX=B>0*MSnpAG*+I`b66CrBdaLf2iS*z=vV z$ln5d*JrsTxX=ipV}z4q2MoCE1)to#JOYJ>s#YFQ6yq#38t7SlKCfBpQHs4(vg?{f zlo%>$;)xuN9MA^CUdbVqeo5n^hh7u9!FYc!U$5;IhI&4H(6YI4hB|wE7qRq2;9f;? z;WwgCl#&^dRs+O!e$&*Zgt6(kOTxQjKYJn0)WUB4NMHHOnZFR_7jw?J;gRKHSGs8f zie@#zPTjtM#9d!KGj#*N34-+HU8{YjroMl*hriwt`q_23S-;?K$O*hS*){|o@k)Oo z)FaAyK99vJPC~z2cXz7JDLdlw#3r*Rc3U&WNP1YpnZ|W)TnTtqFHgd4G2q{aXD7@i z6h~d;FiQ7UXEbtByZ6n77`#rtO^>&R_daK{Z`t??6ftBRbv1HYPTE~%YIT@~llBG0 z_n|IJbQdE1kfirzaJ$Ka=tJUWcvOEPw)^)bEXE62G+f$vM$XR23Bi0UK;HSmsC25@ zQqlL&x7CPboGE(nOQK6-{?l>64aZQXW|v}zdi=sn`vg2XI( zuupb3msNl|vGV)VCtny{3e7??QmBUd#*KV6f}Jb!U9b%z(XMXoGy$uvWF>z`Z}AN< zr6mJ1ct?52JktGxgKyD+@kkb?KK&W(2pWX+z1zJ*`i!=Syi<94uDiiR-iyN>yZ(y^ zMSNb4=`UE9ZBs8oUR=wHEJY?_nhs**txNKS?Z&o?S+p|Drf3wr0Z=sjx*$Yb4epld zH+Y>@2mwYKniMt1?aZ6Ae9C_g&hh!F8#w&ww_9A-xZl)-`;EIPHJ{J)X`R#)6;Xu; z8)a7v$2(G&&QdAA&xZSLP~ufCI%=EsboVaIH7-wK zR<|ILO$sn#%<1qV_4cesb2dm0G%z{CR^IXaFqf7(=;yZdRNUS71A~7Xo{b(70OcoDcU!IGZ@7EJLis!*$~>OF3Y#XEa3pO-uu!qv}s7 zR{fQn_xd`#Bj}joV=Z9jJZAgWJ(cqP1>lY?qC z1*5xx8kv6*AYmqEcD3?IDDWCSMs(e~y>a3OfZM8KU08qYee@xUEY=AI4S}2@6RW;t z1R=1l{<~$Px{|CnHjT$U7H;?&-~tc-mx0KO1UwwVB;8#B%*-d|m^mZ5JY=cQgr(*= zPy@^4zVsXq{ISi^_TM?9nWLvptdP8qGbr{0S0*{!h5G3~rb7tc>bPmr4z1lOE)2fs zt>GZ)Vy%C-Du|zrxYSKgS!IJpa#I;|btRbQ?U2?^7I?wNngeLxZWYA({e)}06y7A5!grQ_o9;X(ZiibG|!5xEq|7<(|;VxI3UYr)Z%MS zKiiu`Q0Q7Kst+a?YwJr3nThVM{?U=D;!7VElly<%>K-kVWpy60DS^vN^2TQHLMOw` zv-*DblCdx(o`Z{C&F%TS2?cVbJx>7xDzI^^aCo06s(z`luI5lnr3geR<)#|jc;5z; zAi@7~{t)_$L*=vj(~}Fa2R#Zr2`m^5$RU3nbm?Bt+~Z6A&ZAuF&P1p_x4>f*d1-uhc<}jr~{Up}OCHDI)#n5)@;{jNKfy_`*`6S7m1O1Kff!1)ZDm_z?S z&)G_v>P_&qyCex!PZ&YS`Yjc+yZ`=rNp*j|fDio|QWNq_wg=$tY(I@|I-w|xA*LsX zS+Ny+gvWdPd-)Jlli^Qs2J3^*XC+)qHN6;z%k%gr?yNS(ypHDv*97)nvWshMxmrJN znh}WW(`kkpGlfpw@9C7nbzzmgUh4PRI7ueU>t0jS`K07~anB*pIL{ zW+oJjPUa1Ds@&XjYPfL@oqb~T{6b@yh(*R?uIn>eY2ACy49>)CXTC94wo9Ab0O=77 z2tNWl@N99c+VXCb=m=B*3_kz_J)D97V_GCs||F(tpNS@mCI2D9Fc)V8OkYP>OS zNuA>v5Na4n<3|m}pPxtN>PyR7E@P6tW>v!5hp~^!zqfCVuOIJ^{=?^KI)TkLg?sB7 zG-s|&;F=cHbo#s_#u6j_tvY`jVNES(1{V5tT0Xwbd@>7mIaSG2K6g_nXnZ)*Zj#Sy zj}l$to34B6T2Jx1ol_vrHQmMhuOW|3#y5@sSXmaps#<`CbpA0DN7`wSjf7M5Pya9MvuO>vTvSp!}S zu@u_(HNIvPPZ2sbjK!)7bhvnK(puUvRcOvs5FmmtA``0e&V;Mm1&0>_O!O2|#*3Qr z73V^Y4s!>F`k*tI%q$2z z2Def%KrzV5pAE57+IWBRZy(od(CHv)GGOp%%>|{uqVXac1x!l3v{_Ur*2u_())Ip# z;ZA~BKY_<{!~WBEc-F zWVJuII8pOi7k;XC4xMvEi+d<$%(&MWw7U!d1+lQ{VCF1u>!p8xP^y_w<^e9K@B;b;YE`{T?%_Y)d!n{5m`g|*_geiN|N+}qlMkQ(9S$Mg^Wn>^M zS_v7|E~nOD2(e37@Mr=hFtXw`gd}zu z{w5br#Yz+?JheTOELhItS?wu7L{JP{kVFpMucfcfOLlDCQ+J?Uv@Pm5l?p4iZ5tKa zwrx9aY^P$g!isI%wrxAv-#%@fb}r7kn?GUBG5dJ>eyFX`rCAMF7o7ga2b@80j3VNF zOto?uc2f+2T}F*Ro<=LVr(W;p$;65U=d~-yL|1s*vj%k3;$z!wD z--N4h*tI<$H!MsGW!I>XDl7h8jB)zk*YX&a`Syz&_m~)^Z-))vGlr(xW|KpI!oTT; zi@V_ruN~BD=r0suNcg`big?QB-l?bD{An=*ULqQN)u~Ez-4%^a5Uv*H8ld6UKeNl7$6m#9lz7pEVIsP%8R<68G`y;F1jF95vW7YnoYR~c~PkCujQi!(}ux3 z)P|$%7L@5=!(f&-Lx)p!+Y|;@Npc;BT~D3~OsOwgsz72qHv718D7E2lXW@HEVF+3d z0Kbs`C}9-z-ObWb5lNc3)NuL6+Qy6sn2^@hhR#0{l1cmX1mT-~^yIY&e9u`aY0AHZ z9w%M3Oudg{po8667RjO?wyWlbLiDD5{VO}VkO>%&Jq_1GMeL+R?P+=)c1-Lj42_Fg zOrdf6gUbCgNm{Aw7j<(|L?~|>HM_(E2hbYmKB;Ff4Y|C^BbTZdj-^XJOC7%)ZQ%9u zu&qmA=$m)T%q4V^MX)8NrTo**6c$C9eU7U@X;HIyn=o5v%=>kT(gr#qwr2#A5h6# zZ)5cQ@Gn$>ZpCmJ0f&c+$o~h&TZ>{|_){27(lT~cSffa@B0{c>F7&DTsq3s}!z^DyJwuGVdY%)&40 zGfv-HYASzhCQ#PL@Ns|8i`=q^QcB_=e*9IDO-73kRYF{VH9ttQ$Z)=HX8_P&Inx-$ zC*}fQ)F#C^!n3UHbF2Nt=ke;v6t;Hu>U!qi3oKQK^Vf(tsietkuKQ}zuId;N;KUoleWZ-CS4pE$U1vEsrBk32`CPZ|h$CK+PK{zf51H%V}!CWc$|;R zM_Mimyx)g{XjDQE7R~ym(#qZ-Y$21b3oF#QJnTP>Bo`6S1E?UVLx&B5ljBpcZkDu$ zNtr5?^k!(?`pqu31Q|&&t#GWi^wFSCC0+t>2Fsw$EbvSZQ2^!h)RyIuQw%K|eB15p zG(N#qAF6=^T(zBhM|h!;WO3QuT=601Uz}KX95vL($g`0rIP8}E^9^#u@IMKgN_S}c zkN0*kK&T7pUsU7m;dth1c`QM?q}hemO(+ z#e9C$((Ze5(EOt`E|ODo+ZFxN!&S9%_vvt(QlicTJB0m=+%5Ru@UBPm)u~piMko%p zAZ-bq;h4S?yIfkmf&PKl%hCo1^IyCM^=qQN(TKMd{wP_Y$LIL~R%@b4-5SRW*_dDm zIU@8cFHm4tthu4C4BtaW0vkcCWrxh?Cjay9&%8IwHD+19ZHmv*OJU*QYg%G>ABBy# zD|ZYP7J=eJ&DAvADnT~C%Vy;bewgA@{qvEu1@6jo{f!I=^@|6$DET;&7>TQFYW64xDZumBkwP zsA2a3aryG4t<~}}92=D3(P@agJF~pQFzP5{aRdUnSI6i~R8s;HS-vqPZU0SL)X3TY zGV%c`pvs_se=$wHlwndd%S}6JI;KSuK`VbA`<|z}Taf>z`-H86cL|E7dnx<|Z~goE zKe4E}p#M=5p%fIPRRtwdwZX9WQV}qVYeh^1oOVdh<6p_NHJe=Zr0lKNK) z=l}5;ahu!Mv1P%K|6iZ+|4V44-jc$iq|$9+BZD!q{8u=FjSj)V^?&<4NI@xp%2s2K z1N~-l+;ur|v~|4orb`#!FY zTEm0V6HsQR)D}iYqjB;K&3*z%Y%HKPH6UrNEiF4Epu}!ZR|q`>=yD*`Y^Ly5T3T)2 z4IlN81{YrhBl_~}E}J0M%DDp6bK|h#a~Zh;v>e)^8C(MQJHL zu-{}v)ll(_^=!^B+Q9|&wQMsGgs`kk^{%XiP-*L2AeG;pAZaVB8DPLS)nvjhLux!p z&yO7odo!?)bF(8eBeQQr5$GBY=NeFM?ypZc5Nj}gVN|wxGV*$%Ar*CKOZ%v9FpkixeYisROf6MKA?XlYlgqtfvGn1u*woq%+Q96sd2?a)OMnrBB@DnrS`^?D{^Wom0hD>Pt=;|0(z$R8JF@4u z<3Y;5d6Kcd-bKFb<6pW(cfQd*zqbcItFb@rQ(wLx`M=ez9L=q*JxMaV;cu?Fz+ZSQ zqHRC{bNJurH`6t>>`ovbEDrwU-vsI;IC+il-=nYHS4)iV?n~cE_uYNp2t+3D`_)1Z z6E<)*-|XOcz+ix#SxMw?3KUrX)`Z9H{`XYf$)$yf|Mmr~pVSY4>}!1r@{;esGA$6< zN}F%Rpv&#}^r};!YPZ_%yWC$9BB6lJ!}%Q+5fBl9b@Tiw zp!eN6_s+m-)I{!9^8JtynUqjs`kvq^t zxsme!5{Rv-QHo04)MQJ44nQ za3KxM<3>LiXezt?P18Nv_fArJM$fZTXmFmvhhRKQB`(Re6!FxX9Jdp+sAnl7xR9Zw zI#2Bo{`FyL?&{y_Rq#aON_Epu=-nWG$0S)5H+b>e5<;+oj+d`cg4fTh2p1C%AN!&! z;9_&Qa55rJ$I@V-V4Adgta2qna#+38uAEZ1sBI^S4=_{8mx2 z+W3jf?1Q(3hMeF7vU!owBxkBqJKNYi=29)0F zJ|~QrQOJ2tQNO!5pz*Aj8X+*m>}UgZbDzC1!D2EGuCAj9v}0huIkuE5-QM(`)VYCC z9`L6!37T$4QE+y*{spC-N~<5y7Yshi*sUrXoKX&BA_V;j>>i`r_XecSDS6wGVvNL5 zzO^n|4vgLQy_TMG$Q=Xpk$yMM{pdT3doGzTm?V;;xsbP3Czan2Y(p!fVg~?tSlmb% zJuFG9jIQ1LnTblt@l|_Lfeg{Ws7TMA>9Rcr7!1$sQaU78k*)pR-Q%5&d!G$RoT>&YAB;YF`h*R|QoAdGet<-Q);_Q+;Hh z-t*gq01!EPm;%8`0!Y83ZUP!4hl*mkM<}!SD=KVDkuL4O*bM!WNTROk(~biT!@b7l zFMsUq(VLU{R}n#Mfq;{G-N#d#2_+20z5sr1e5zyk2BQ@hvzX`f93V z%TMYGMp012>GjblWr6^m*Kv;;s$L~RV|npHCv8;U7{Js-I9`wEwFOWY%HBVBd6HwB zM&|tAK-A!~u7{Q#@KTe~DH47~o-kOBPkJmP+M?f+oF56i#9r(4evJOA*Y0A~f!5!W zH(1hc;Ra!R}Itzn!Ov~&zQ6GvP+$`D?#MSj^$x`$&M_P#q3e8AFP6i z2fchCn7iX!4O__8>~D=)d#7+Z5@ysusUCK=}D#>Oc{c}Ntsjg>BgzF|Sx zZXMt!qxmrZc9t>EAuHNdGIjAQlzh<6kpkCM)VCo&fO#UlSOmsqVp}uVk0&3SLzJzc zmv|qFC)w7Og;j1j?FHabHqKVapvuFSbWY_c+tne2D2z86I>IO4$P3V_N%gm|Eiaf3 zMwDU2e?q42p@I%9Tm~>+S7g|~MQZfFiH{si|)3ZvgsC(U32%#-`_s;Q|0D` zO;kuCu#QyyljfnOF6KTk4|!oD16me{+v-TW^gn=*ZGkPLYzv7?tfQ zXl}|zci~f|TMy4W8F3!>tVx0CVghUAoGv$<0bM3m9KglSk$EH~|9yb!F4LgrQwDc; z9UMXA5W+9kMS&kHq4&C-mSp0OHZ#k$Mf-y zw7c5j`QN0a;cW#a(nIUDH6Mw`Uajdbwet!o5@8^S%-PqW9AQ#Vou(2T!R5Y`kgW?d zysLRa-}HZFI&nMceqM!fLeJ>mJ^t`lBdjomAnvw_mK8!o=EvBcTQZ6- ztKKH=o`lPRi@&Nf%3>SfCWaK97s&l6C%J^fl&IQ54yoA%o8W?nM*CS=jJpV(0$WGv za+1{QZ+DT+&5+261l#qo4fWZIE8Sy)d4Ph~x1b}ft?Y}A%*WBEr?D&gAG->x$Q*th zro70(xvlf;{tpJHY<0i$pwPYiK>0NH&FdT=C7K*LD)7-0+gV_RhC>zs!G+2E5FmB(KuYQE=Fu>_Pk z$4sI$%y$M`g?rH`QD!{|X*i1grj{HceD5Gdj^hsZzjUf&Mx0=FcvCN7Im$V=h*p|` zcC5h{7=Kbkdr=?I(woD#IH@q^s`GOOb{=rQF0oPh?|C_vgejA|2>9^CJCRYCN|#{G zS*5rO^$I??GcL}#QVl{z?M~o0NB|rjEJYsv?K%2X#U)cc%riR#+;Jo}aA7S`Pa95H z$M+9+Y7v6vHAWffDvrgR?eK{fATQEnN=sf zlQ6FmWEm{vMT_&q;YT7xSbRkJLUcO^+0%N=yFya=HiFuxHj>jS7)e&hGZ z4&_yxHA!#z=^kJGh&(rBY2;&^s8;UNXdQ|F{nv*STbuFw6(7b*0?{IdZ3wIpJnJTQ z&Pja2JtIX{2)`elI+0Tl8!F0y35Or7?SaHdT9};XD@-;se-%IvGjU~cZ!?gYJI~IfW0gHe@9>s9)lLDes>)?ki*f}&M1CXI_seT6j`8XOwf1-LMcUA8 zzJzrLg+?^JbsAJ$$tU(tw#$P}kquQ$rkJorr7WcM#Dl82NiwM=V?ag6|BJJwY5NQQ zkOSG6i{FV2&Zkj{>&dA9ZG}N?tEmDlT5O=1XYJV=#`*TDqd8hQ)diEnZqqa*?aDrd zML4tETP764Z4C}Gf#K2!bMDelbv!h-X3doxOo?~@HT_09e#I>b{-5h*l``@qlU{aW z@w9-DpxXf>rO$iq7LbcBBqHeJWMxI}39cq-YyF$47e5SDh{7j7M8O2Hbl}(DGF|Al zjIQT+F}|~#NwnQ&<3sJ7_GK_rMkduRuzATugVssM<(6VRYx>#sQvqMscWv>J2HH;J z!m<3{?Kn^TzeJP@B2;~jV=wL@(af$f)kuxX5eX1?gkbD=WPwP5$4u*T;Ru|9`}jWT z7yk8rG21b2nu{gZAK@#RlvPXet$z7KmE?uEmyYR7`c(0e!&a2!V=NcVs8)D{e-@A# zE%Aj$mM65q4A}@;dB_r$jzunDXOF46nM$^b4ThI@G1G=?X4(x5)35G8a8l(j;^Dj-PFYI5`xMC+7DKqMX1zstxGa1n@#o9WBl#spnsb&%e0XHIhe?KoZ z>{E0Fx?#0*yzZ75pAuj9#|g*d^Jo7<(hfpjr9;km z#mVUbw%epr!zYrgaS!Mp8p=9Kylt|La6%BXES+VxKz$prqF8MmIfhr=E~(dXJB;4M ziw{cjJOCVg?XS6CuZOIfm00w78z!Z2O#IK!!^&ae%RhZT8L@WUWH{kkZ3;4fNBkZr zM_U$+bQw!hp?NW3s`HyY7aD~#&K9?;A9z5U=(Z>Cv|{d+9SfbWT8*=Wty2BI4>4l? z&;=>l0!kjqnA)yMN?=?BAj8m|k!WhIO9WtMbODcqJ-Icp1lUQi1!- zEre~AtW6WuD4wCof91cU@KY9CPSuD;O1ip&+W!Nqw=`OZsG1u_L#}6$SJmG)Q+C%Z zR)HY}Jd8OW{l^6Olk4nz53`9M_Ad*JkX3=+Lt4nW$CnPad&jp>1a2=xg1;!aFIsR% z%u?@m+!4E3%0h@y_V6`oUX!`E0u6fobI!7{ZE2JyOhsOXogJ3U%_n=`*Mco_5ZRUS zlHFC4^dlU&_138EJsW#JXNFG}8w}QdIRkLV;|soyZ&Gco4G)&|3`ukGOlyTq*g^!R z>-4B=E;pe<^e)9>;KTStnsO@_S=%#&I!g;wD7qV0-9k&+oXy2Hnl98~lLRi{Z>~C# zZN3BDd#oMB$E;2tp-OYYb$(w?L5FhVzgX;QUCsY=3RqO@O25u&1x{$A|M-u0@B;8Y z7vqh5IOoBZ%wl?et;$ameW8|N-ueVs`HC` z7KMiLiND$nm)wy)ku-aZ3q0`*reR5Cq$p*BeZ=WpW zhuXn72;73%tyXp>@ymMAfj{Hd&wnVp8pIPFE_=}?xQUWixQR+?Gi?}HB7?vRq?4)2 zNel@0#y{_*=;z;}fkGMl2Y>PlLmM!~ic&{?q*xE!323gY=AEcm`cS>}l+&FlLo{Ai zAp7X_RtakfoE`Kao3H+)kbinANcHWRJtrvCKEr-Y1_$-sIQcp76Q@H(rE{SEsV*l` z?4c&noZr+Ur?1O&^OfguA_@bThkdcLql~&k3#jqDaiaO!5QH2O5g6tT`uhZ1vI*1h zSV5N^aigWuJI^rTU2bQdta)AqP0&bW2f@w~inM$eLD{&x}%ZS?eVolGW>fHEOAyEUZd0(;%x{N^Nw7p4(GcnB1I zqE?<_16^GVV5>j+)jqMgcPI=rG(EBcqWTX|YAyxNic0vnNVt`wvh-L?D1A24W*>Rd z4Hh5e+c}o=>?vD+-&_EHdX?Ip2t8HRVtZYsu6*wP*>~*Jx*m9j5mdzGYRb%}7KqcA zBQQD~Hv(*Y^jtnMwh^Ar$pfcp!A4OUJjBt)v>Iagybl2m^NR2Y!B)92K!e<)MF@}F zg>Zq(uC{Xn?Bom$k;43yHk`y{^~3O-w#xFN3<@qp0>%ytMq|$K;efr9w z@BT&R7`EssLhCCGC+98ToW{24k^C$QvR2wB+_8;ZWdJI&&H~Zg6}>rZH^D!}?it!& zP!4Lh25GUf6nH6HFKAG4)Fp9;$mfLB?i|m#siJfS*@h~$D1`7P;1#ns1V{40f-_u_ zaU1>vC#_(<=K1EG+T zIcBO{wr5T8b@Z&snierigbAPavqhRS--D2=4W@ptCWoo#CI*w4`5V9x8J}q3cT)Ddd#fwymHa|Xtj+y_Yr4Y!dU}{`$byg{I=m>l+tz$VuE};|jyJ-*FmEQ;0 zr#QUr+MUC1i@JO~?(i4>()&kYctMqr09ozjX|wfa9u%b%Ddr@!Nj7Yvk9q5N4SB*T z8d6HOkxdr^A@f<|V$SZ;n_2~Ss=Lnh@Rzu-KS$C5F*AM8wN>a|uh}1s47(u7*u&oQ5 zPV?6WLtliouI42tJJFqb#y1UnG3Vn3{fwryQ3ZpV2j+7$!xw3kn>>llsiS6pGi1-28^@+qT9(SyByo zWV6ov*<8b1Ft?r4D=+7)EJ`T=KnXYgWDw5ZT+V$Dx|K;f&Q=VBC)zM0m~AU=@Jr16 zx-fik#ys_|tz6IF!rZe%slr(qyR=d9DU5)uU9d1{NqALe z`1)7WnRKh{EE3--Fu%CJUF6?4qTuj}lp`yKZZhwz;Nx5Xet%IsXs_P<^SJ7&)Y`E| zD2kKH%-$~XW;Rv#QNZc}1nLiD0m-R!f-4}oI61mYkX)-!=uH$H3|#@P_8f^sdd+MY zjaTq}hgS3UlmCGFXn9QKH0H69Be@^g!bqt|l@+uAAthsa)7&hS1n;(m5T#GmmWq=n zJG5kZ$3cmq{6fuCR$#!^^P1V^pcTo+%ItuEQs)YYAMm4V%!~kyU*}?OHsgnip90kX zB|i5iw>>8tFH*%$-FbPc^Btu*$H?!BLQp{e%-p=U{BLwr2CN^(*@D_PX9ehiY9-qp{yb^&LOOZZ5D~|v&r`rJX)|EVAUP^q zDohY*_*Wn6FLWe62AFE%*w}w&+lF+vgbLX)K0GKHv^;blZBpILtFbg4_F<)~)e`e%_W;mNb^@1I z6sU%geB6{0pFn;!a|4tD-&MgbZwrm1(O_E+a*KzwQ{T)2jgP~D{@V;l&Y7? zz6n-Xbppa$@>)N4n*6tarv|vJZiUWBPO%Q--4G~5@5+7cPk^B6lh$@}k-KZm`jO9E z83roNospWuWU>I%B`u`6R(m8Xw_-1B#8^m&@L1j1rRk^xZfc!j(DUwc1Vk*Y@{*8G z6!dkR*DO!)v(kPe=a$c#quKkx1B_*NS(O+>nc2=qY`hZSt^}1HSwO_0lBB{gS-;a? zBfVBLV>jeOsz|>m7>ZzKtlMCk`JL;eF$cL0EI+SPX;Gt?Po-5-#B2| zAeEQ!1fswLr!G2K2EY*-Hh{@8IY8_V3#>tvvbQ-<&rKsTofzU{YT>xP2{Rg?X-f(_ z#;?#gu8?1QoG`@!=tQzB0y=uGtt$CRmAejRRP<1;{$eQO8X0*hW0-7Ze67o z_>=42X*JYY*&sS<_24V=(_xRZR_4j zcCr$Ayv0>eurRA4LAnBpHD2d*ROfvty`G$!>xJ6w+~{{*A3OIOrdzxdUlIU^!;-R5 z8IvU5p!@U9GpfJdo?svXF)hx8C&O3ePjY|L?;|Z3Q^8cs2!R8olC&Uz|;SlU-#Fs8LA2vb%J8R1P1b@pAlFjC5Y!42n;PeKvAn!7h*xzYAIl^~}7{0bfC5OV*uV-&*` zio@&XQ;^W{(pDlmzI1M04|Bl9#ONq)GA%Ywi@_bSq?a~2aEbN42?Ae1e|-zHZx=uI z>)F=Qh)QqZNQo^5V<2%+rxzsxgQ`Mod@Y62yg!H!KL2?EVY&!PgS@1O+Xvn1a%Ms$S*Y zxeq9nD1tIj*xn9(tPYeZ2sfwre}yJzH`yyEaC>K8@!+v7S@s?io@ejUn4P7zNYT zEh`AB?P$A{+-QhD&p4)qxdCa#2*f`Fb7s&ytq3ACepB#NDvWITcjZq__uwv`d5s*C51w-L>>A(46J3!&@+&vjfHeABoNs!iYXa_CGRB)(i zCqoXQqj!aW2GrJh`wk`8P*z!B0Qrm?eQU5Phr~}u)|Agp`8CJ#Ck?LXzIUr&Thg_} zYdS_T(+zXgsLOS{O(6~qq3iYBrhXF02JxeEdftS0epdfH(wOTY(PGt3@Y*1c+=dq_ zUZ}mhnNlD96L!georM$z@b|q9{zT5vFKp*sONMwb#*=X0AMPrEY;AT<05bR{32WJ{ zXi-DI5h>+ESH5f(TjxR!KWjC~`gQ3~l6RT69ZFRH^O#hyuIhe%yO9L(QZJ(m4{9A3 zW;utv1i1WDjdP>%i4)%=c5B|KWLiva%lNnX}MF12ZiL(%6EbXJ_yCE8_)hU_=K zMadYuxIb+$6*V!bK5%-s&0Krb85xRjCD462>PUtoi@T`#BUl9Cj2Egbqi}XGXQB9& z7$Q&`MtO&d-hSnktW)V~#5RO0*V%AvD6+>Yi0bFBx4(N^T|JEF-$3mTp@JQM{=LyG z1*LkKcPSZ|bFx0-!ir7zs0mK1nmJroxgPDREu%|%#pEHsTzucNDk8h}kq&q_y{=zK zmw7tGvvhZ94FL!HHeU0!fC|6a$B3RAPsV`WArgqoKMFGa$61NUWKGABqBPeafV+ydwt5;Q8!i%Vdy5dv|BPlU? z40umB7DXd7IXq5D<6_U5tfij9Y=8JXe@2byd2eN(%vmnWpUwJIPf4o_kEDYheJcFmawA_GRuoqrilHmj)i-$+Ms zlP!6Umgq%=xGysV+Q0@TJ0B%89761(1QB2h$&h|<_&8io=d>Tg?P!EL6(?~d&(PCz zTWb@PW3?pJ@GCc(aV^i}qe=+X^_CCz1*nY}X)bBvcb{2?MGQRjj%syNsG5j+eia2a zuy*>_$g~9ku7Hn0!obk;^p&*_xxUwmcj9nb#I!k(eO1`|rP=?HA%kx@O!Qu_rGw9Z zVc>9Y$jxwS{1r44K?d56**48&stXbH1CEqKl|vp!daL~cOqoQ$kX%mda>0usM_YSF zDVklU^N#ei%-BM+(iO7|w5cIWJwstKO*r4hD9PDl3Xp_8FrhWzUshI5g_AedX{O`T ze$^Be?sjj3bEAu;qQk7vADp{COra+8I^ubCM2vD57*n6t$;BRn&2if=#a7pe=%pNm zxZs~kv~ni-j6)ZFn6h`PKVtuhz+=R{5pf2Fmj@7}?)!ZQUb$*#0C8&i&AP4xUxow(YqdO6(Sf)k<~AG4DDOKVpn?#d{i} z7*J%MxwQXF&pCZF=(rS|FrE?CdZ)XMhP3SWjiziCC}LOOAgWC~oV9jD>iebJ zLG+i;0x=eZ7oW$S>=gvAwQE$08GCj?A#{W{2jDZxrzKYEm;ZLRM5di60EIGECXp)5 zMVSIF5@=3*uo>kihdw*iiL#@)|%hC6wievZ6>Y%$%z|OLXmk9pa^oGkE z47n16OG43?+(QN1s=szQSKFnVo~aBJ>9cyHQfCpVYiY9x1SxX2urK~d9zIup`*96? z0A%3$&N~-dAl9euvqTr0etil4qK8rVW3)Iy7lghnr)#CS@?%h*fxiEx{dP& z?gD{-&@*3a@MIIWfl~53?V& z75%48u-&ppHGw8K$9Y!aaj)_BMbu@dVh3dW-m>O1rXnZsMI80JPejY@K>0SKxsRAe za^#y_w|xptog!nX8bl+fhw8tZIO8`ay`Ydw3{*(tn!DIS55aetHG?+P$-2pfrX$MP zIhK6i4XFwtQudrM|Gnjci>!Fl`7=f$uID9oGa7$+=YL%+Z8RrmB)oo#stQwEHH@uh zYvcl|0-))i-JR}My^X0>z@Ap^mggntGcw-O0VKWzzK;2iJef4l_1(cj`47cFoa%(P z88f!`Vf3;+@s=aI;K`TBLGw;A6Z3G1Ss&jS14gcHE#1{DE98}j{T6i-87=Q%)e7A? zk;Al=QGOhSJb$-9=gtBIu<{+FkMtGxS#*LSid=e{-nXyqd>!K-fHwuBZMTKsn47|k z;z%;0<#k@Z*iOhgS51)N)Ppsa;YU)WmD4W>-vy$*TO}xAMEDXSV?y2Eg;_RWp>5%s zf+kwzXRwbhEQdyEg|!mrv?Prvjknqe=jU@%7r)1Fpob&aFOeA3VfDHbPg>j4@L|NF zS&nzZ(bk5f6`n~Tfd6>Ik-&A-d@7ZjjQR!^?c0jeDotsZK494trkJ{x%W*SPm0h9=1Lw4EOd=-RE@7+QTbk=1 zDRneIH%J;TwnCT7WPVxANVtCJo||af|754VXvi5m6{5S6lunFbjSoG&5J|M{(7g1# z8#rQ<5$^|EfNXnfsS=Eh>?sM(Es+sMdOAk#JHdMa+WUKcKJC_bA?jL82o5# zAx=Mc1F9eCHShfv7QjITCzkJWy5M|T-BOdaAOcS`y^VBTo*+R?I6X3v+muA-tR z6BLqW3vG0g&#}6Ddm7FevQ3c4_@`#%$SdwH*|CAHjaq}Ih* zTyVWQ`f8Mv02w4ic%02(-7p@Jr40Rc z-P2f?$1_HMKLV(ShnQWhAS$(v-D;uUi4~ZWI_P2Bf5ix_cFi$+u=kRM)J`fKEGf!* zUzs$yr-_Bm)_kj4SWfL2s(JWA zp*Rf?fT{iBEF*W_SDH9VMF|o@*`Dtcsn_mj36r)Sb-|@*A`ILS}M*7L1e@4qYV;<6}TWse? z#Iv@@vU^V)o)km;1xG~8HXvg_YJw8V-;^-zefE*^X4>l*dNkm467Dj+5IAE$4|Y{F z9M5yM+;ZD95UCME4ArM!J`4uJVwOIoC~|JARk1sKOHyt#7m1Zw%!EO%KK=s$t1i~G zpx4B;4L)trLTIFp02bT_8UPU2$g4IrEb^-)?rD88iX3y;hR=Aws`h5^E*F$x>)(Cc zm>iYd^r93}K=^~_An0o(&&yQ*^vUOVv1)D+{_tz5hiqJqXy4DtSyYm?ryP_@&$R@t zKelITk$c9A6ur8&*Q=|>EUf@JWmGtos*PEKvlN75x5vIZ0*B{4;*m@5?a(qDczU2z zG~TFN2D_=u+*E6(K+tTcR@ln%fD2zz>UxEb)lO;WdizB-mMp;9xQgqU#LHAFJwUD_ z$9Cz6`n69Xc*vGaNUf-15KpQiXby`9h0jY+kE3Kdjr@#yOh->yh051wAT~`7 z*eS8R=9v+g%qHeMp)9x(=~Qj<=bj8KtMxTW=^^QFT--iYSBOUY_;@u~I!AyO!wR8E z*`}tZ>|e!C5TO}b3P8g&mb^?Kj_Mnq)JdGa6>4Y#6mlA+Himi`2uV#T2$!prUL?u2 z5>;h`d(E0CF(Arx;($}Iyd=uDxzD=gKtedz!QU*dBiNU6%G*my?AL^ma|4vUlGM!AW`9FXUJ~%8vshH)~t{NjS$b|oe-M_9P&1m zi;)-z>!rd*`pfD)J^L{>2#rlxMLGkkf-4iqmb3JZsh;W88p+BeLCNM8PyBNElnJ=4 zE#uwBd=aONMh>qlIf$|u{HK4n`pACw4~|*&=d=2%N?=U|zv^$Q&SX8x;I<#5do`u0 z#f*1pYyqNQ+EmMc2+-*R_V?Smz;3ae6HU?~`h zWQc?(!=S0M#_Hx8IIBO6cZ zuRx$`%(D1jPY(0s7dHKXSJDpzp-eQ9H4(`DPUh3D^vI1fa00xtCvoN6L(x<|77{Pr z7B-H=^$Wi!E}mhZpucC_G()J)QAp>u*4eM%ivhl@^0kxc^}D(81T|$ z-nbM(WFd9Ezl_A?Vk%)|iXZY}=E~F~?Q@Z=*sIDj{}wD*f5sP{QHNv+*MTv}P#op2FBu!F{C@3u3RH8b8O9 zsKgLGmMF+h_tQ%LAMAg{KPE#XhpxGkcK`JZ4iQ$#omI;xR?}5wHXEiH)ThQH#0|Vz zn&`d5SHMO6@{;p{CRpCWBM=r6Fb4#ZiMTYBJx2LR?-f_kv{!?q4FV?kk-vQd?v~^l zB(xmuzM+J!oyjP``99iAX?BrY@5J%eh^0rpaj_+jXihzBpQds3k%tomawZ;80=DguJqFQUS3aCM{ z*idB)d0?CVx2-9E0&ZV+cgHv;_<2d(tTx82Qw@t0$C@G&KPC7t)65&ba+R9O6sv}r zAhiFKHf%r5C zvc9ALzaL5m=C^01hZ|AC^uS>`l{x=XvQ76ciHZN(Flr#>7sPgMXg?mv#APTM>X7>*t(UWScVWr!u4QFb zXKwoZJ7jG^z@Wgds-akh#oMlMJOe+CsL|`46)3V@V+8zP&rQ>hVLjT4X~6o@lq*h3 z2o1dIe94f|J8<;%c7RJ4upU_6wvL9(SRriB#QnnG7`C03=kX<*UG&#&iCgDu#+U)f zz5M31?mk3e!KD8!3ttsKH;&%HEriTlLWgY^(T$76hd&^kWD;Mj{)_r%3C_;IYfA+! z{LK}u?|Gn~IfqOw*m{Z~K>C%Fz@8sv_Fpz~X));AI6Klq+8fZxF0N{?a}wP}o(L&| zSSQ%EIfm95_j~Qe@K2GB#r*(;@6x zn}g2SYtU9N;KztYEgyQm-7gTBD$Z_hzg0N*-^!9RM;A8XpXkG9$J}i?IQ?lqWiPaJ zkkM5)|NErRi6pOTX$a zju29hR#%QA>0Rd&Aka>m+B%~G>bGX<^BQO@%36{$w6TEb#U)d-^Vh{xDwQ(@ic*lh zC=MO}JiaEHgoKyGJ8B5503W%&CY*YW7|T!%UXeUotjYXvYdD8}58RM7>p)K~*9ivX zb=baqMO~y38RGf<4SMQbNvvFq8{Ycp6W-rZVon7NF31FY{#r>`i6>TfubAq83fAtQ zqmaJqTBZQ7L+h+UD&BWh7F^t1zw(n^3Aw+o@~_#cn#*NBDN-U6Th*dl#q6`kTC#QZ z1QpK?>Y<+v2PbmS4ZT-f1~=6$8}G0_?&*n#?V9u4qPMKBxZq4*JhgXMQ8l3wx3l)R z<)zYh?N15~wy9|<$BJrrVJE$*<>YSTsVXyV3^V~V%#3Z=>2Z**i9aVL<;l(BggqB5 z4Q_jJHBRUZZW`*j7*XEBtQ^umtAxSiR@C0FlhdDN@}ukwj=5qtl{Vqeq}}+U4IS0z z`RxNWmRY{0LKp8N{+wq$Up36O_F$lv|9KmDuLyTB_hQkMj>&#=G;CFEgh6mrGZDrj zPO<{F6?S!ugD>ApZ4Pafeo=8jgd8g*DLVGT7FksP&~XS7h-4~IbWKHfC%RX)kWo1F zlEU{#-pf2u$3yhSP zRf8R8Rwupq!-}!4;%A|mPJeOGe?I@|$Ik$KWS34uBy1VICo4!$DAh|Kz4GW6`gxF4 zWw<-dX?c@-myFEah1g+frNC6)3R%kGJtVPnyo=#yClRTmghp1Fw)mB<;}z$Cl2M4l zA_o8X$Bk->S@M6_d-HHAzvyqc$e1y+`)iLb_u6Z(z1G^Fwf4S` zqkkMUOCR{I|CDoc!t&B`Z=>LO4&LqJ6-yS}(xTk>GvQ^^+-=LVlKG{4#qzUuiDjD- zw`Mf0`gHX}=QQRM8OM;Teh(^FY217q)+ON>uj^SH^6u*6)qWdaue}zOzvukP*FJlQ zk;ye;v*{~y72n04JJlRsd%bzlh3ft7J>&m;I=6ntYSpkyvdz6~cWgJhKh5+&w_Bv4 z#`DlHn)xOBJC(bo69NxU92FiZo4{XYF*t8Qxe;mcy$i=H&MJadk(POuQGxt6`jN@mJCHNHrD&6-pHM7iyOjH(N6QGw4X=KU*u|u9i;{u??13ea&FuknMG0i%9|#9F8RIa#-ZQmU#wqj*? zkFYUu3S6KiEg89??BR)~zB!w1;%_`jZKkA3ulHbGd+cs5Ugw?0Q1!R2?9>!!>0Uu9HEDThY)7U#WqAYNJFn3S>e4t!~V zZDX-CI%jXdwy$5phyNHB@2FO`Fz};H*sne98pCwvI2?(-H|tcU^YCT6cm23k!n|L? zj}4rs>-v!I_@Q(r--EeH|6Nek-SaL_Cga&H|Zlgd{6-u)Hi437rouf8vFZ~FK6 zI@cpx}KNCbG!Mh?J0Gf^sMy(W&91Q;-6!71Q11s zKk~-xI`NCKc1GLeuSPz~=CXa|VV>?EUtc~nUwv!C))tlI&|-~f+MZY5J?x8Nr$g!{ zG+wxUbM!&VLZ`PQyCxN=bQI61jE@h`ycb|?SM=cOn7usZ;VCLFj*eK_vUdMw|69{; z7wLDK&4{@ay`jx>;?6x6otq>0%lbbUCg;z$n^kGGk1#M5DjW9m#2j`gFUN=XHs1m910%F>d-Nv1Rd_K8)%* z=rWm7d0B6)#j{E0K1&?gXS@4;`fg=6=zdD94yk>Wp?V1}qdBrR1(mAe~TIVCf6_4$4Frs4J!t#tt=}+{&8PLZE|T7GFY06b=(%U+DJ6Gp+WBqe{S#*%x9h)3_+)2w zEx~wMQdP9XyT20s;;+mDpU>t@s0h+{>9EG4+i$D4o|6$@S8S8w$j8^Vw?}VRz3%%m zF{7y6rY2<5;;ZqaFB)pr?KY+wt$w6d&UpDEv?lPctk{tdPlIEjM~`{xR{F)}pRc>W z1*b85HFDp!$7ci}%%%a5WV+}k>Q+)kPW8mY6ifyh%kG4KF zSDSDt#cTd5zq>Z)4my71_NR`yw4TX5B$Fnde_+InEhnyZ=mt*+c=CyFT&}zH554Ma z$MUV`wjQ+g-uwQo(UoiTAG+h`uI)HKVOsV0H9Btp{oy z3(=4BJYV?khFOq*PuzcU{jpO<6>FRw-?Q=R!k}Q4RW2V!m6@~Mkh<5PCY12W&FFgQkMGf{DK=Te!23-h=#T-Bl0(DA~sz+SmDO|Ow251zZkv@DQJjtj7% zRL?gkRWAqzqe>TAy1hGpk?f7N=D#`@>JP5HN`N|w3AwR-nzhV5A2Hhkl6qq<`q z8EzZ-OI+Kg%$l58J;ieCoCm+}7Q6bai80t<7VMJlp7M3J+4KpM5*}}9UoO>jO08MH z`D^Z`jcsEqbF)^il1Ml)a#ust_lm2jGm3)Gw@%a9XTMJ|ZIPN$ON{d;@tfD5>>Hh) zzop&!QEEZs&xy42wN54UZy&=yc37=19e?U!mwtL_{W<=NM^;X;m!$$7rX+fQy&Se% zcY?1$R!;^?GWfl|;&Hnhg^HN zO?JMhyZG0NZ&wwwN3R$`Rk;p$UBd}{eL#rNc+ zh=dD$*T0{ZI=9`*+J4Lt>I5LE!ggJ4w6<-goZrb`r;=)TPwS>kt-bGdUs)dfXt-5y z$|uS9o|f#r9T9C+->PI&={5WxOC!%(_nug@UDe{~y3!;U;~JUQYkaA9*9S`LUAYhL z)tg=dKh~7qowj^SUyReGlgIoe;}w!xS4+$?{N=WOtZoNaY@2F)=mF_pA+pO$7M6C{ z+5CW;#9zzK9(cVj?7_WnWM*Z&JeTptCb#)~*=fq?2Tp%7EY6sp zNHmlT9!@{pIGvioy{&m`?VQl}wd&>%_f@W+VYx6m_-PPJMy@yH=IZa_bK~fpQ);%A zS1t%>JGRutS60eo{aj5-^kucwkc@!qe47443+>P#ZI9(g>u=l-sY;0OQhqma;odA2 z)6Mf6wv|8ky}hd?ul3CrnPm*6ER#tum)|___>9Jll?CrLS0)`-R3EqC=-JQ~*VXF{ zZakR&?wp+Dj$QAiUnaa*>|^+QB!A*?mnZoh$DU5kU$@))-Iphd!{kFX)Q|H<5r91m4|45oX>|;X8b7J}p-vuqfv-VwUTlP}jnlIs=rTWHq z$pzkxpQC;yMX+BVer+~4;B3g9_P5_2FIB5OUgR>uRpLXzqWrJ9_7j)n298+f$<(ws z`!xQQMdXvy$8W3}UtVH&KFYf4aIKT`QaNiyjkK3{!k_cq-n=r44Y1a21e0FfRDSWD z?IkN&zTJFhZnc!vjHK9;RpL*k9Wq|<#@Fk~Lx101MYn);9wE|WtJk*l9$T1eEV=L5 z#q09Pe>SvNsKy)f?INgm!>Y|qVyZ5DgCzj3_R)LCTxwK0<@-SEhjOQ3xtMGe|Jt7r zAKg0wKSv0Hcvp#_rRPh{do zPo#ZXAjTYC(P&rYyLowy`Rpq*G6P;N<40EReAMT^er3_djtMRm{F&FvHtPJ?{Cn)P zDJdETS3d`guzmW4vh8TdX6uA87kt{@zYzP|k`-Vj+n~fzNUOTsl`@`wVe@|Xdpi}< zN^-4K&igN@wjUw8ZFZz_u4R>Z49!Vv6J0LwCUvpD%7^rY^?L5gPYRrw@7XUK_2V*T zD8vMKUs>|I=F~&A(f9J+mGTWFY&(CIzc=LD?CJ1u=j4i?H+OM9`pfaC(V>Rq&1+iI zIyaBoUbr=V*(-xUxjzItzx-T7 z?X0(`w>I4zr`kiLWia$qcltOd_bvM4XvFmqyVP)Q*MUyQ9VYY!Jl?TP>-(4F zj)eVoTNhpTt28&-_x#(W*|B1Utf@vz%F6X`J06KE_}pY#{bR?)9WfWY#b%^3f{Y|RZMy%UaV)hS=OLd<^VTnQPPSVZZl?cM$Mcj9oOI6MW|eMwN{#Q ztg$q|ePl%U=Sa(E3vzN)x*pc1SVw`v>xpgMUsYefZt+^TJjl{&(W2TFR@SyzHH9WQ zYka$F$2DH>`u^r&O171^vE|j6aaz4jIh(iG@Z0)d_a8kt$9beptWH`pUAYCQV9yLM!$~9?7i~5{@Ks)i8^MB zsk-T|m-$zv+@6*F&0lGn($9Unx3hoU)!EN)eb0NGarJ#=#`V68zRYI{oob8Ji;b@a zcYT>1e*CKKp8Be=-xS3&ZhJ4Y>)Uv*&o*=w_>rC9GE%m6Fc=*SNr;Bj>>l{)|S>be)gA>ao3rA^sZYY{n_9c>Hqzjdzi$o3G?+jp|8ir`5Y2 z?oMj1e=E^^GnrrPS2Aj@RIZRkK;{tH0IMjM<>#bQA z6rOH=dS#7RP5tL0>y^rXp3{GwS@7NA=@y@f3NarIf1Rm(16~wa9JQ3Xy+gEwzasCZp(H}oppFq*Go>X zP6=1NbEF#WcESd&l9;^j%1?dWCYbf4S$Y+I$f+A^o%y@HZ|$yIaqWRVrog}7#7x(* z(lYFiXDNSnbn5o@1@(VtnweSik159GNNd}vUrPG$*5P7u>)*oHQ)z!!l`JZ+>@|>` z)3|G0WUq~`Vx9Tix^|YjgOQtmZtdk=+@JjPec;cteIXgE3i#IY6Yc1vYSW*XcXUQsnH>4t~qu&O)sH^cbz ztVf^qSgct^^}M%r`i1$PX*mat%nzy2jms;}`x(S8$d|Zpy8T*J-Hh*}#NY6M|-8?lEo{1h2dkT*54lX`%B;D@!$atg1h}pl*zDaNjTGwt)emZM&N?PG_-z$E{9u*iZ zIrGSH`wf1=aN6OUvWuVX3b<(Rp=JNu>eL9%J;toZOFP$>hWzTEq4_ZLi&|6Z!p;0g zM>OBLq+apcw(HxK5>qvwTiU5+$AP%xXRajYNwm(VItQJq>-AuEP;RJ0`;iWl$4k>^ zl{T9fib+lPSSQ|@x7!sSgG*;}Zzg;jk^kJ!b zqj^1$fAGfnZ>yHY1%<|WNqyfUf8OTQ{w*x+?_Tipc24czhQPnQ6MJnRw*5VE_3zg5 ze#LRUwg+qf8Z7=BH?H?KZQY@>`DHV5QbSn%xo?f~3W(S1jyzAa`=(Z)x^~QVTEnsH z_xd+^{g@uw)YV=rQ?qYQyKRR1CtX0hp{N3;857RKx!{N~VXVzUzZYjA;>28`6OWt& zomf6t3a({r=6|ncYwR*jVpT`&|7R_mKl7xLLSMIKXj?_djZsrVOBkIEdu&5utiFv~ zSgl)cSO}VnkJdT(yUFrHh_j4l{QQN#?q(WayPsD4s zqTOo;qeodG7xS$rck_-&hR}4XDWA`N+Bz(3Q|gT6PSq_+ru~P;YY$6^(tBTYG`lQo zulh(@bXsv|1wZrZtz|t`ZW&inIAI$WOl^35=5vFNszI#MOfW}UpTgHFeHhhAwb7-jdEwdPN#a7_!Clzqt*JqC1b1!BxfWKnRH@2R}=a;;d z)85m3M7xvv>VdEB_6_sZwP(Maw~WEKUH75#*TU94zYQO0YR@joz5L*EzMi&R=&d7R zb3U9?j`;ej`(Zv&BD4C{oo#E0pL-RPx^mkTJ(Kszd!7#v*C@bJn*5wmY9 zym>5Zn=?9fl1_SicU6q5UFWG#9?ud&|bytIlBe-#^-Wzv)~)H|K{2 zH^)y0_?b(nfnVkla-c9t8n0EdCWMg~n+jMagei|HuxeS0sUdK!S{||#u2xL+9Rn5r@kvs{YSTF!vuPP`m!hWX^2P{F$Rw{s$*m_qyQK%y5h1-PTbWZnZPp zJ1eGhIDu|nbF+1H)6;{k1x|47^Tne&_k**{a)KH4PT#ZB8!T?JXuNGfS zH|$oq+HI*b zicf}IG;sfuK9@Pn@S9EfR_oxp01Y#B{~o*D?~9^^KJaeE=OaJo)O#;D5Eb>YH)^DW z-LxlX&VM^!*caLu}KaBrLr}tbty786%A@3=>%HNf~PYb?a?LC~>7x5b!%*$I8c zl;qzF#AlvB$!Y^0|*oMR3`@9dsyb!JB3O#@h-a!ulkIV^`asOfS zTb+^bivy#Ieq1mKcB>9;{&{j&{;i0* z821TEba(Tq%lEnLSoM#8KL%X+q`Pr2skK?{%w)vG8 z2OW8o2QOp&TPS*Jm&Wp5+#%MKMubn8o+QK%oKRG-q0^x-#B zhfkcJc3slp!~EG(Ufyq;r$yO8HMg7kZidsCvYXR(X@7hbS0^&mtpED?}K3( zjiX9pZl5su0R#VN9T@muHK}S}Z$4tsnr5%Db=|VBGqsjg#Mr0Jd0HQG%JIvR!?e~! z!!gS$jNV_>EeMZUR+0Z@MF}hI__Wg8l{I5JLo^>$EVt5V-rLBraVcrJ+8E(yQxcID z-|oqc7#9^G4*W6}meBeqZZau*T_4UD`+dLZWE1VCXJd1H=

    8Vk1jj9k^-WlOYP=KXnpw8JRwt!B!+%c*bE z{BIcg%jf&~A5%$V`UQSa-ad2olpP%g?`PhfBCz||aig7L-+Rs&H{qgkdfKQwRTX76 zO~qJzv-I21E>ouL(q3M|nqM`eCc}h3?nQn5%c(&n8M|ttr(5g0ZO@%-n|AF$#qpYF zf%1MIXWxf-#}ne6S50b}VO$As9>hB(&Q1m;hKHZF-no2b^h?*vdechz&*x^w+ol)T zvU(pEotjlIz&lY#R=zoywYV?o?ZV36qGtaFntfahY4*CJX1^AieUqr!uN9cRUO~8( z6$WwI{s1TIPh=aWB=;2!qA_q-LSl` zH$i`qXHZevZO*(hnw3Qu0cVsI2aO#$2`gOhSVJ2W2dJg6SHK(44c zH0$=}(M@P-mUwqwT z=X0G4nqB<$d@hgH*H9Js`}e6xyKCwBM@|}fHR{UN=zMb1FgxS9vt`Y#U7lVsi<}Kw zTDT?SR82H~oj>exAV54n@WJTuarD@vGy7gV+C$N9VL=yoH|hSy&pO*FJ4ffgv7=9R z+PX|!{fl$Z?3d*))973!zv$Rqj}Dxib|h@CD(m^)Z@c(9L`X=R|B?q8yK?LWKJcmj zq~4c6LFn(lKH0Qjht|Zzh~shDXWG}w2U*YET%&u+@o>A#!4g5}mw=&P6NdiJ$q??S zyu41CmaND=YZ}hzU|vES@~&U zbM~&&{1O1`W!6R`<;Mk0xPCadG5E*wKcgo~ zyr{Pu7B`r^)%qVXe_5Xp^B)TnVE$PVwmDWL<~Og&;YR5QFhAuN#Qd%|Zdn-zSB@qz ze_Zenjo>MMM^NZbFeB*X@2o#IBMf0`E3|$&b3$R>{~hS({`*(Zxq_CV{wrjytYj@_ z2Zk(Ny-ffAb9wN+kG?%{U1;|HERq9sz0V8Qjm-n|69QhltlO~VYXnoi-hbjn-itZa zQp1~v@eVvcdGQI%0n8k64qzFa5Yq;6ssr!&byK# zHoBZV^v+#8^d-&WVVay@;Kx&uNA}yF%5SxrnM~P{9Grh||Cj}Wb>ZxU6-vQ(PDbzA zD^nM%V;m>0{9^j~WQx{`#k`t_^TsUd&3MVrYHcksA7!~_L4R#`m3a6WbJLz@-qU9B zv)?)r_n+^cQE@o+x7BsCy$?)$KZi-?cW6dGPCa*$Sz=gw{ORVyKE?aflYJhv{k?ni z?%cwclaC+i`@D5pQqRYLaCjcF=8jgtqdz9!md?Ghd(DAWo7abx=lVHl#`SG6*J)Mg zcUixupTFmU7E`mB#cK7t4pRWR?$f5sWS9ahSt3XQ+OL&r!4!bTOx^=ifQ2vx&>Wfq zsC>8%QvkLg1^A3o00O1}k}w5W^b4i{^cvIm!W2L)CS>ZM6ky%Q4SBVH{J#_F#nI_R zRJ2Q8w!Qk_-z@D$OLxbV4;C}V_$>s=yOdNxWw(s7AOV;y#-So_xMfbro3VsZ{{NT* z3vGWssCOi^Wd=;2%mj);gu59g`Ej$pZ1%S_c&TP5D>H+#hTP3S6`zSM8r@M_N0i`F-1ZnP-AW|;#DS111IE!-UvvMW13)syjORYrLAub+SPkM(5N z=T5F~t@E#|x~C%%n$q!QelG3P-^nK39-JD!(uW;&8r>_O%b&9k7MQ-6&nE#S%7>81 z1VW;7pPxq7SxHA)oH6tlntm5F{SN<$+7kt)uh=|nIx_vszZM=_COM;_;J8%OEA|7n z2TTPHd&8}aqXn<0Rz4^UkA9uMtL~E7$taMqAtDp52cEkg>s-+0cl8GF!~J{CT-n!c z@$IO}k($T7Ms<&m-irR%6W#ggou{!***H$@XNF3=QDMa#AN-5ej-R1_<}jl<}?wby3v@xbzA$AmpiMT=9P z#FRen{O-H9u6obPyS96iBWen#zj#fZ`=(OT?0#Kl=*IJxp9b12^Rr&v>FXL{8@*E|Pmo>_K&7f?C>qy^!FQePXj|0?dV!M6hxUE!|uAXG1!*|K9_ zE>PV$X>?0waJ9>&g0pW{AJCo@OZo52c42iP4C5xniIz9s3&QyO!rd7eyRxnHycqSC z(5N?V$Wz%Dz36Mbenw|-R`92$?OSr@{9cn$ui5qYMAC-z6bHWaY9XrsxIMrpCC1Kn z=biWK*PhxG`fY@Avz@d;e@l<^Yj%{~>sdxS1y-%=*QYvXt01#gfL2|!b?xR;sFLsc z$8?`Cvvu5R8IoczL@-k<9PR&>Hwa+8Pi|p|cX3y-`m4c*vFIg@AgQmsT@d~b|_*m zEa%^VsD7uQpmX45)}@Z8h39nln?(9O+xL?_zo&Cz;bjBUf}JB^LFcJ`-Sf5iV%fS& z@0jd^1)X)qvtSYP_eO=%9^>u7#XS?3^e3*_71^;|=g);&HwE1VpU&-jbRoCKQ+(;3 zv7fJ4Jw4K(@nv+ASXXmu#JxjQg3z^Tv2*&ncmiQnqOxw zTub${Zz?PO1M4|&mmX%odQQMvsuoOauXR{iApnCC+i!xzR^`|A$pZl9up&%sp!xB1}K9`PoQI!<5!Le6xyFtKfk_ay@Pk5=%;|Qy( z`ZOxqYtyIE=;#|7or=GrvxU13KuJ@K0R6XQ5kET-el!MM_>ex0!N$!PY|MzkAwMAK z2A|;xB?ml>5d@gcBlMiOL%8uUHzpOgWio{5P@l%+qS4dv28lk6g*H#TWdGR~p}`u% zC@~e`z#T$zu!4jQTVl8*}5KxrTS}6s?;lNr1ifgubX~bSeWi zr9;aR6le75G=$&b2Rz?&Iu$=dN6&bHr)wp(!PgZdunCEb7Cw$fLZ{;ip~FAKn~qFc zpH9c3(-}y$z6n4rGj!bg=Ob!av3?}ww z1`|~{AxAE1$Z99*GLcH1hO*@0$5~X&l0{0(!t=&p(aEonF^Pt~Pj5;Qs|g9dSw5UU6eUIN`$s zW94FNXK-nl3zzJGi$cGO1J~50i?#M4w5;h5#2g zG&OK*XcnO&#-xF?v%}GB%oAnDq>)@`I5se8I07?iOcX!h2Q*+N?cd85GjY{?RiP^X z#TlD5lZISQpGm_SWYTcFVA9FH=qR=iwjpNXbF|aQ(8F&d|GU>>anDCQu zl9)_{UPL&9rZuo!gp5-}yYOi=?GO{;F*9*!XJXIMXR`2m!epVE@7XAmcle z#A8ezLpUC=N?8$E{!ni47$Z^`j}(lDz>_|UihZ9&#bJ>}MQR4T1&Rddi9WFVOC=|d!hD}`{-aGuBycyWUhh71V8LHrf-AL|DhtCdhNb|O#M zAh5<_V#LE@VjpBNF(hD-v6RKaID`eI6m&m$##t<|*#quw38bAjgg1-SCkuNf3wDR( zXJL%aVqxe7KL|A}!W$UG!re1u_rgI9=$6GH8Q~R!#lrZHg#nB{i%lwmjdbJ%bYqms zsS;(!!7c)g9P{On>f~UTXL0Z%2eFe-(;)w}G68}|NRUhN5SHXnIc#iII3LkxaY;kv z(ePKif4dTk2ikQT`Hf;maEyTom#`CHrl>*y^IytnQJx~ol1;<5&8A_Cf(s}T z4x&TkSMbBY9ieM@fz;knXpV-mqhnmnrelS|56CGY#zeNyhS)@CUu-5aJuh&(LWK-< zLfa(=3|DO223ihk!^Y0VCJhIEAiqLWKG-+~+e`zYZm6szFkq9w0DeHh03KT)dE#Xs z-auXjjs~b|gJ55b@oa~vlg!iD9PCywo+9&MbFdS^4_G4{SXZ4lgRpTzz-VC99PH(6 zE(SAfE)zH5l7f*4nvH?8$f&uXsRJ*~Q-<*7lGDsZlIyd1q((_YXY+7^06z$4$_q5w zscD0ldoVi}___TMo}?ACd8C*;3}e|m9uk~G#Rw065ULi)rl}E$A$kAFkwe9{0zZ)L zv4=zWh1O{fj_vyJgYX%^FH<8xgRz{DrMxap)?%JtcwoqCcsx;5U=Eeb0S5D+yG87i z8YLK#JecG_$Xg;|$$7vMGci!%ktGHO7KH{ulF%q=Y`CHd`Hj|;9K_FN2tN*)xNxTEmPc~pk-(isM=YNi z%L&&4#L`|=O6aB-q%!fE4dFe$1EY*^zz`NADIua2#t7hD z4Pi@`2NhBv56!b@!bD_my&Q)(s)2P-C<1cqdFfJMb$ z!L=U8NCr|3xB{Tmwuw^6VuUtegI0gi23TbJ1J^>_n1ohv8A5Xg*Bbm44=Xt4Fhw5N zm?{q9Yd?f954V8<7{?qs8GKk+FEDr^zHAOc{D77Mld4#+ovJF8mC>Ru+gaZ>+|EA3&l*Oq$2FUj% z2oO1sP=p2ftd)cc1XDtrleL&EIBzU8yFnr#qlGuZ1J}UsF))pqM@-Vg!jazyH6m*< zH*l>WGQc$E!8~Hha2}9zBK$$L6EUBLJ)UHS&0p4H+Vb!SK^s&pfoB=~gqM&7EfgO1 zX?G$Ra-=o|y#Xa>LLG$8Cujx(Jg^if!VXA5XM?Nr2|IE480el)_zFLGIO7im5w7`Q! z1Veqmz;G`Zsqs7s8yXueGo*|R1QrJI6*@A>mqq6Rt|Aa|vNdpj7aEDI6#j zI4={DY(%t{3$HdpGICHu@M<{>a%AksQlgv)gsy;64v2;IiICcW6~Y?mV5fwoLEyIn zPD{lKf}w={ifqXQDk};5(If}l8A>k)!xHG%G>Qtix02AEG_-rP`VLG5DLAPlg!u-h zf&t4i&j~Hixf1Tz;abS*Am|c9*`mVZ09#T-k}WE953r?kk?oZP!NYy1DVQ4kA8b(` zFu;}+et<2G2iT-Fw~t$SY^-{;8(CPtXhY_d1Qi~AZQBdAw#1pgNFRmHk7at zhl2#^O9;Y%K@N}X7!?x-#zO`qu{H;RHhxRr|o! zaAJ~;$Xw77_9sXCFELT3N$Qr)6^1`;@HU*Fj~SvVI_hMw?bAWp5h(W72x$95)l331 zG$R8OM2?1(i_{NXqy!l1UIZ}{5UYtI;53q=o%3@wv3-O%WDWT12%X`~oTKZAWNE>T z9PSq4h0-X|ULgRc1N}r|Bi!OXnh0ObWP|oZXn}0ta!^Qe5YbK&UPUAF1`+#1!kcFi zkpaT1AVi)bLQjVA2rnRlc?bKGVLT$IUN};?Zz=Q>Fzzs6Yd|7Jp^BDebEU^ACF-ar>!7r(x_AvA!8qhrgyB!psz{Vr6Ta=yQnT5Pj60DyBk;;&6QQA51 zBq=YN3fK}`jBqMozYM`tXn|EJFbtulp#49%qJq-^S5h33D=JiA%i_YS6!5zSC)h5P zV1S6Dgeo}cPZQU(J=9))NW zE@yd1A=-pg=NO`!Ae9^ZIA}OVxQ`yZe8Zhaq=J835?T@{dJJv*)Nw)&LMP=!LVFDC zM+7Frc7uZj{F)7%vf&_`j}tTvfiVuk2=Ef+I{qgJYZcxQ!-ds$Fzy7QtAuqx&IM+8 z_k>`~gA*Aptjz$mG{Km8z#~mCRvsw8RR1Kp-im1}rZZ2W`vfpcV`_U5-9L$`#3gjS3{ttl!v!Um zApYc%w~(iCnL=d&&&zP-=b(~5X%Tp9Y{1pHWb%j=3j^|;%kaI9!pj65PH+2VXppbc z2|1vjPB7pNmvrdXGS~u)q6O%g&~XB?V4p>q1kF}-xEyl-l9T{j9(E;M zdm)_>ULsdg$%0A4punQf<-$yTcVKQgP`zO{pp+rk z;7`Wfy++U_gq{K>T_+}qz{jHNgvyX8!$DgUq-x-$Ks5wNxK8lo2gL&ptnj77DI9Zy z&{ZboLE47$0KXfAju;0{m7s0P4T2%E40CbGmkgEz(}m}UgZ9|}5rQR57NJJiz@Q!W zDxOW~s*y@2dqv>MCtHx*4^AVvxE}S7f=G;mj32s@AWTt!-9BOzsLUqxlu@CHbRG_i z3r6L@(8A;aw;bq-gTDqhatL=-9Ez|gsB#4s-h}XDh$MLG@q^?L$Z@0Hf`M_vtU$Q* z+`LK9HQ+EXFWeYO0eHEDHGOFOgd0ktu~yUp;29}kbrTLbV*|`bl5+``h+U-T5gMRB z7lr~Vpyffcr-FlsbR*(%OL(&Y5&eAO)mB7W5t&&aym=WB#X{j#I3m@EaBmB5rXbRf zh~FLI&HIRqFA`quLgWh~^X>|7UPDCvp73fNBK3&a-XD@F9lZ|&CL8Tnl4i$7dyRlm z3Wcpk-lL=ajg}IzbiBPn7MA{K? zc_6%*gNXLSA%d=bC=itF9ZfadG!o1vpge;3eW;*l-}s*~VPQzQ(Uu7*H=D^3l!COt zhDQWbj0)rMBN*wcAYZ}7vxm?m3?36x)Y(J0qS7w7_84X+Pyi5J4xcQ0L`V(9X?(6~ zpd%Uw6?nv-5DY22y$K?p2%;Cp8c5Ltdl96-R2H}-;BI>zZbOv7){Pvdtjl4cLj-WK;08 zhOpjPTpBPcg?ck-fqI+plwhcl7L6rD@`J-qiAm6*^(qK8S+XsM1^x==UfA~2Q-X<4 zw63TSKKu11L5v=-JF*d3t;8dQ6;Gk$k1GUVZ%6=Qp>iOY_3Xcb71|jlM>Y`W20CJZ zITcVkVX(UJ3>HsB)@Zmv557Jl?A1gnknrdan!C{Fa6uq@z^X(@>7U6$1xM0$VM{^f zfdEC%VTk~vs!5g5`i8I(#b5Bq0gAb#++-05D+m@|g$g=Q32hoR1*o+A)xK70mU#FNHH=m2r8UoQXSa9P>*283&MKx&;^N3jsrhSu1{n~ z=vd-FM=To396r1l8eZXU5U{KfhSyCs!btiSk!df5R~HbGs}){_BJvy&)+^!7WJG!q z@valzEJDPven>ptQZL9YMOITLsu=>!*U++1&4yGnJgy{S19-b^U|cjVka-PFdEIMR zB@%IOCM>=^c@4)!2TBc4B07kGM5O5atO1Hbc7XJV&n|+jLxr&k6vC|bUQhpec;2C@goW#A@aev_cF&|!d8Ghu5z zq%m2f!`i{+Nivtfr;G+f0>kMrChuwfr@kov7M8~00d(&-a6m&P5h*0X3<|>Yw@_m~ zZwVf#fDM&Iz#Tj#2h86=7z`_e@Hq-t{*Itqh(HB+3=qu$7MD~osX-bK=rzNZHlZ*R z%X=r-DIw<=w?M-OrY(fc=m8f)K@RSGi<%-VL%KH-#$ay?ba)mhYJn^N#a3b@_|`%& z;SIx9Xm%_RhsY;H=DZi)%tS=xgYaq%BDIKEeH7k2j)>SN;Z-0a4-ql>EW8xO2LEDf-!;P?f>Qvk8uw+r2)xE{^+b0 zY55F@&JMIg%j#$+s8aZlH1O*nY^Vc_upZHgjDe*Rp4vwJAU!f*hEfTA;A#ilUt*wV z0lkx$WGxaxV16PZ9f>YU>CndPfOIhHxDJ_a?Sx>ds}mNNMaMQoB)TZdgO_MY-17r2 zi4a(Qe@CcIz%xY70`7P~E<75DfrY!0jXwyc8fj3dDb@?{?ILWI$;AwN8vIq43g}HE z$v8vjQ9;&ss9qtS%IboZiu*qa75MW3McHsN?SB%w2IT%IW#QZR@EwSGYkFo%~1 zTYeHuk(D5=8!p^6KMA@V*-juN2>uCU^5SlSZiCfL$|sVZ((&)p3`k1?OQZyNN*D#| z>~4r5Nine!PxKj3|A0H_;Mb51CV0${3O@dV zzD5J)zhNYxfzN`ckNt*)mLZ%muA}xqY{~-GFCcEAlVXy+i2^!!_zoq~`+5Y4^gy7* zq9Xo4srNr{3qDs(`t1PZAv+SqcrZK*R#9!Rs&{B53BJ$*?)3@>DE&t`z?F!UBSPzg z5oQn)1pE46gn{Ru20IQNLoCC7n6VCshG&^9l#!x|LMl2$icj{#9rxA!Fd>64{0R7h zF34!oP)6h)GFA^M0?8Mb^U!e*E_~kyx!bhAFa+S&fI!J#m?%QNNwX)7~27wPQq zQrJF8gCb}y^zs&jjo(olm~$FghC_1>FOeYzOH&xCcyD=7#gfJZlZ#>W zRgj_R4(*6UdUyhcicjJ)vLl!U!5m!-0xDrO(piQw7Np5gY{cMl_*aG!Gz68>(CJNb z&fqyoWDKNsME1R58ZShpyJaaXI6X}=6je|yOR-TK!UTa);5(8s3G5K3z-o*vMS7qb zLnDDO78znyA^5&Ck^+5>!NIxQe3c{QB5gD%t?QW1Mfo+P=?#K-~G&@whp{T6{ z?T!=5z37QBzJSPW? z)G4CHW*ZHP2H2-g5fq#6szWiTV629a>_Fr*BJh7z2)@fgL{&?86@|zvM69)iH%}lU zP6)4-Ao2(iQ;P8BK14bYS)e1lc@q&rS9leJNE0L?#c^9bitAW7T;#(-!{hKs{2|l~ z+zJxLPEf5!v4wAXi#|CR%ff~{Fjk*p52Exb&Xc(0DuTI^D>zald>FUIQ%LT%k~s_q zeAB1ciosllY5;9eYc#Cw`xsE@f;))@6h8s|iRr@8=sF)$y)o!|3#4*nzXCD9n=yj% z4!)(&!EbKhhz2i(J`1)PQYJww;u%sXVz5+HVo0%5A83hHgAYi%j-}ZAYrG_~z>kue zBZp5`w1mUwz;~$xXXdoQ*|E@@QB$Bfj$$JY)d;#IA7~D;q zOoM00>?cs@Aa(-96<8Zll;GjDxkj+_xipFbXoFut$UN9E@cT9YaN`0SBZ>}48Bb9Z zR6gZFlo5rYOacjHA@B#}z%3)nWDU6IH@Kk8!7mE~2V)rBhITX<)W9c2$^`IwaDpdb zBv?7Lz(r#U6MQkI%pY3XgKx!SJ>#%I#x|@({6Yo}m(YlXCy3yA3KI%bnXDP%;Xq(; z--Kc>#-almQ)rNo24VUXQ@R;6(80~Xelv=j;ov*4w2xkoA(aXDWCW@PW6fdc9r`2- zY_fpfvfdopzyouNiv>9xER*PJ4OwILA)A2trO!fW6P6Yf=D5U-O; z(Un9jfFlhqnM1gfr^`q=M6d~b^M(Uo=0awx2u{){a3_=w4gWQrq6Q-AFr9$uzcx5e zhsN=lPFbLbt&kK>1cnGUlZ6g6k3nG$9i>QN3-&AOyId0eV67uOqXp_25G7F$8N&%2 z$D~+6KN!LX7s#@hf^air=wuBD51rHmDLYx5!(GCvpwJPNSQG}B&!Ra0%MmzaK*kJ7 zzatrv{b7dCxZzPcE%1T`QTpIy2X3HqfiW9`CLRc7!^MaPoBbzcn7(d1D%b07B__!qQ#}y%MHE_7IGorBJZGa;McFfH?9CF4N6h;W6XYwlssIa2g+YC`D z89vEj;8lWDDY=D>#f9&n2+j%GSwjchX8j-08UMfvIYk3LK=LEIBd3T5<|aa;{B2EP zsI$ox7+VY~T7va96dQSw??AQFLvPah|FfGHBItATN#Plbo3#X#{ z`IzcWL)Tj{ZNYTLbaej`q=1+K(c6Hgk+$G_og&TvU(7_;Skq)dnnZ7GkT?Sx3E2uW zfqR=BGoU6QoZ`%cJwkc}Qn|s8fnPHrL>uT2wcZqzaJnZ)HFgrHKYJZAgAS= zW1&bBkX}SZLn=i&Rs<2dS3&Sz7!jnXctw!rRqTL@U5Z^0fopFRdjsFk%})GDD~766Wf2-T3GK1fdFVa1%~%$U(xmfX>OOOxYee^CVHrzH zqcsRkF1G0hciuQ&O6ooc+CM_W20;DaHpq2$tFk0E%5_CKgJBhN2~G}12@EX5+gP2t z1VPzqOS1;MB6RBzR|d526N6pn(9J_!S!Qm^D5-ofbkwLJu5+o+P}peq4sqS(Gh4Y- z9Yl<6rAvjS%OOKyA;MA=9dpZ2NXaD8aWIW~W2md=X@iTtTqMy&jMmVlNMxl;p{=a? zSlRd2hZ!(pn9GaXuvH7x=&(=hu3_BEFh~r=?DCUguD<-EXt=9=8V-DmYQ17OrW6Rw z=#CgK40rV|z`aT~A9I94l^~*qJsLg2)x(<<(VF{2;Fo)F1V%addPiWA<%moDN1{b1 z=VNwD>3r0JCVf1Tb-zxmNm$J6GRk!h?$mHN6L7~?lI;{R9F@>;X$l>Vp_q4#as~4e zk+rn6-B;&P0y~;<4rCh|?aDXxf`i#KVzjFl_5oS4HZCOtFhGxwc6qQOAU4I((NN!k z^Ig4rBq0u)@m!}%E`a3%i{cstHT8J#d{;0#kyaM~3#q@I@8UysLFzWf#fR#!Vcqut8WKu!V|WR%tUc4 zRodJ27sFZ(QqvKrhdmd&dgo#Ho=&G^VA44%B24w7Pyshw0?(c;cihdPszJ-oRD6)Jp#{$^CF-!t)TX3Pn$UfNrU4ik0;`J(z(7SlBKwJTIxg&`n0 zre(F@5)OL23aYwMHO93>kySgwO*KjarpUHMqOas=(p8UZ&~?{ULxsToy0aRU^l%Nl z6346Il|V>d4a$S>E&BVl{tl{D;hXVoX7ZDArjK`x4kjRIMAkAaZn{ui&uSp^dm!(3 z#=E>;jouW-vdM5)4*fVDUW-Hyy3MH^=*9`IZrRE5z@p(^l+5^EF$>TUgPZPIuE%RY^l;K~9&*UV>#ds#Y~<9C#JY^x~ziV56u`OnoN1dYtXh(>_qN zJQdrJS%%hS37I>;6kDu;VH;dKS~3~4WrI(rRQM=uit#4SpYCd(o6v`bp~=q(7oJg` zM4dkc?i_t!_Jb*y=9(2iU3#-w&9E_>`7|!9d5X^_Hq~_o&7bP(=1Wd*b&wE-)k9OE zzk@1Sn`!WS>lh}(5RIM2o*8|oqUnXWD}V(}-%i79Dk&3lMpjEC8MAFbf|07CfzvT~ zES&BduAH#X%y!{)xDl=?FPvq^ra}+TI}4tq>=~!Q#pbk{85oO8>ERjT{%k%|KGW6b zZS^UeC4tM-=TG%1zf1z3SD*aL<=3t1^9??h_q6{N&{McXPBk_yE34kr0ma1jnYvj|NR&dqdsZ;mUNWn$=@U`lnIivbc#OjG9afPigQ`Ww1d z{a&iSzv=JTc`AIn{^nk%e&2*|Gbelcy2i&&c}f1Ozgu&OIB3} z;g9-YJ__FXdd!tja5{LS%jGwcGY!BbQu4-Clyvocmkai9#X?B?h3j4J?0~U~G$Jfn z)w=;k8^WpY4KS_vkF$`99=`$3hosoX!KLvlUl^TxBk(83w9J@bX_W515%|Bp(KWI@ z;P+Tq=P^kL$rPhhr`5%PV~kaBefVx>OovaY!rmW>g8j z6ZQ8E{q1^-3cpK#f70JEx2o``_1ANo`aNHNKh@uU|4`u%>u>Yh)$i&0`?mg;-J!yl z>F>!K&<7hWz|;qzhAzO=C!kNJtyqAWMN&bqCG6V;uHlJbC28ECOG6gAdSRonQ>_Hd zt}Y$R)PWWxj%TabN|1Ovh!!kB&AzY@))6XU;UXZ*zSGq=%M8|9n%KQH@lHq+md8e{ zWTk5BXuzb@2$ZQoA1=Zm3u2JkEk-*vFbyu-0KsL#YP#BQ zF%~p#UF;f_bhs6_saRHa(5OYj&)VJvUk`S=E^@WQShHr5E2mGuj0Qb%caag1xvI!M z7YMpmjk-Kq-nhAQ$NMeYP{xn#8xBJxZGZ_w?J)fRGPLHTrX&vAk(YCz3`3>H)EBO% zp7o7N3786(hDldG;L4?zcey-+WVrEl?0;F!lrDkNPAI9Gz?-0e1PK-s6qFz_uS`%# zg0OeHUhNjWaY zU^8*b#LH&+F5(hV-+!XjHh9R@sz#6iIJ%HD37thyN~~N*CP+%G?@dy22}+5Tm1AN_ ziIvG@g2GhtPc-mf|B2Oy7uT8M2c@j~Ixx*o%Bq&qO=2mlvJ*{^lvUYFCP>Pv24o!s z9Ju*f%$B6mPoW3@1(Wus^{!U6Qhd|{qKb~xi2)5E(qwdqAXBb09U{Q=ZG8&9;8Lnc z#}QbV#-FvsRYH9qakcNPM6L6xz}>v1lDo2{eH|qPe6YilHZF1H*T=TPO-s;hzFy*L zUOyI5Khl@F8dJqAcPlDc>MEqPO_1)irLMF*R1s3@R-ky5;{&}R1ZfMj;KIhm4RC`L zy}DF3Qk~=v99)D?nD#y6Y1yPSrji9Z(d_ERMSRaxbDFsY*}PA#j`eY*v)4+A5!?u0&Kl z_VlW%po6PjZTOUU&zG@vf*Ep1Yg+e=t1}HLj2!fuQx0lYll2f@ml5yP6bp1*cPH15f!v< zQb2#TZPJ+rJ`YAmG@}SzaX%OY=;8Zafd;$*^J64RQ~nJf9a`%u!eXNGn;BV_kFO{V z#i~n7YjBJ{608nZ#>z@-YJ;K5XuPVrvL+a+M(MEhx#ftbC4G#HJFBh~|39Rp_Pbog zwCvl)C}#*8cm8|;O%2P$fwgGj%HpPJ^_!p}!pT6(5sHo7YzO#g)xTYkV~FNYLSJ0{ zC|WqP;ou_r?q&S9vZ_dB4X&KW zX;kdMsKuRZ(aJ!qwmMMDm0HQog(t_Zb#S0fe-V0-Ga*0cxosWn{Nrx`$GAsa4XJ3o z3;hb$6jS4eSwe71;)}JxnGd7e!^C-rgR#5xpbAE}znpsQHp#!;Ajx5yytW?6%IMU3 z6hird>6z3fC3O8}T&Qs623HbY(clmetXe-o6# zcki(l!9VqL+-CH9L>1cV4PlS1NtA1?pqlE{PVP54M0Y zPYfOd;{j`#aS$C!em=1k3MoYUwn4dMJPK9fdk*D(Wkus$&Td90)vz9*=(~z{A${;~ z#Q;k9F(mrvKduh-!b=}x>@gMekH=gcL`idghaW=*SX6ipac^6vl495VPB^FTe%v*e zetpj{e*F=l;w}n7wqctj1hUcf;a`-n3!ebOz1x8B=<>$7bn-u#v^IJYVdp%kfTogb zePcf{E4uwj$mHY)hW++Og*_HJgw@=qSfcO*Gsv|Y5kLC{xcqu-h2-XKV#z`64}ID- z8q&chMEjpcK_fhxw54|IA#-L(W1m4LyAP;L2G)n~ZrIZ(>5yk30*t)E{p|IsVEX5u z&jN7D<6zl*t0IWpu#tVWWQ&_KCSZ6$f7YdGx*c*_^|2|)sRtz$mfeK==7%H|RKpHr zJpD=eg>92NAUC*t2JA%n-hWy`qtt&V3REVetjgfqEhxla4?5dm& zy+ji{_dGKS)Ar}VsQ7Wse)0W1?bW}aL!P9bpBj!Azswx5 z<@L^8NQ)k{XcyA9c?s1VptE0MegW$C62d0F4p5b^qB;h@gz9j=j3{_Q>ro>Wa9T;( zUl_(+UK7U1`4wh@HIi4rPqWt%39BxzBM+!MN%)isJA|+tW1sV&#}+$Y)re95H(cKO&^a^iNBg|) z4cBz~&$qCBo4x5uSF5N>RR&6x!2>f78`mAfsv*GI0|Dn+-^3t@iSvAXQ`NNu)MU4- zCUK$(~{qoWYv z@*BMe<0p>#u%uV+aa}?m9s{E>`&{`*j13aq_j0yM*$pj{RA^%VMreH;VL2e*FlFpR z+ZJJ-zYjc?o&b+UZ)0?7|2A|mtP(6NAqrP_m$xv;>2fS@lUmXUwu557cz?qZ#DzKc zCgPxW7Egx=TfYsP>---S!;ZW#`qbOV?2~t0S#;`cS6-@G2&R=?Q#m#KOBcKYF(C_!8>5F`X__w-uD<&0Qp?=E-;~?Z^qZ$A6C*@VG={0{4JR)9?hX4@5val zr2#Nq;_(&JE(Fk~pAFYm?_=PN(^>CxF{3ntzhM0!f1z{^NI0G}|G+hh<;_K#^MPwV zefTd?p7-Tw2}s1RZL+b0dV&T%GG z*n07^0dpF6m{r9WLX4n~a-L@%2A;zoL6Uv`3yj;}L08#(7zN2askjfI28TfHd{B~M zypd!>KSJb9hfts^4m8YdghnHCQySMewS<~|f>xmnQWdcNs?=O=dM6H{D4E|v$)YH| z=<`odicd}Zg8RcstUwpSwmadBEL{ioZZL!~T>c>%I_iGvVJ$gbaViFIAW+_Gc&~mnmoY)D`)P$Ig#J?}3I!XGxy@eqO~Vd! z?ok|27?kR6mmyJxUp8$PC&p%sEl_G6$4mvxkt;k_LYG{r-p@Q0z+LIo_862B%%?&4 z(v0h1$1ORAjbfh0C{XMK6dGb-&Usw4;ZS_^Qk(mUCMAskR_#4nw1*9ETwY8^kBc6e ze+(0+Gfu!5Jk}JPHlJ{H(g|mLqoT$s<9jF&wN$fopW-GuZRyu4MwB*wk0ltL@mrY#8lj0!9Pdh zLbrelf8$^i7;Z4%dA#xb^yxvFBy^vN}x92Fq3#{BHcf(6lYALKCqXIB@h%yGNu^`Bii^)cl= z^0O;!i;v`d0y72e3Vi3dh3IbWDo~F5Z`l#g3e{%)c=h60eSEvCloTnkbyMhg(7-nunh%xj1UwVt74lx6P{%=DK z|3GUP(-v%cq_|UP-XEAW-B{0^O%MN=1~mXgAN~P$Wmulb_!B5_-n93hV2d-1bT$5{ zk8O@J;?}HBE2INodK=R%f4Xv)ws&__9DAH}b!dPs%C!6>lHqL~+KWH9s41tIF*L*( z_#;j2RP-(B?uMLjCB-a^b~pW!RzMG*ay4Bt!0n?8U501bU(6ESTFFr`CjP`~;W09yA6 zr-}i|E%n^NG@Sfn#X$AmNh@iLU}fdd&IWF-p$I)x-z~Gc3-0O!I@gHa}KBuMnz{x#FNg7^S%l+*p8COQAkGBgI|9f|{7(4xzX>9bM1emd4ze zmP^x8z`f9Ku#fvmSJvAqDz>qwxuGDoa4`0nk4tk0$&>2#H}v5rJVH!ekm~M$c7g*v z{OiKUbX*xF$NmJ#-?ufbn8W>aI2SpMPjwg2nQ4*;17ezcBvNA(My?@!!K^fXnAev+D7gU%r2K+Xyh&?(EPM45BbCzBcEnXBw-mH zZUQ!*M}$3$Jo&kIqxuO~QWMS%dPc&#Hgk7K!v$7SRpXYVVf5p7o7q5F^p!V_x;J)v znR6~xEJ+(g=NBNiZOz>M>Aq}t3!1zHB@H*{e4&xHG)KO-H+SdpiAww!RUgadb2Hq5 zr5O?6vEkUUYp1$^@dH+H^!N`_oY-F9~ee4@H?^e&%vi zWQV_Cz{E4%eIX@mM&t07D|qZz*}gw;^$V%i(&{ zrXD2Okmfvrl7YR>7ZLn)Pm#N08vEApVg&_Sx;;=;LtDDB7^l7MGR%h9L)W`!!b7Lu z*3kMkBq}w)V{sWS$c(l^yM^PgRf9mN6W!jsX%Ssig3?{l%H5GKfnD9o9Y&Xaw-tIH z6nK-??!*O33rd<|@WTTabO524q)9VC!U8(n8lC6MuHZ8|(6oRfg{e;)ut2MwEnhf} z=^#o`SzGfzMbP7w1H~MXG zL1LJpzwK((%*m8CyU?mng(11}8=4k0LS&^D56qLK*yJ_P&595cSbWp;Xh~;#++FI! zPM+d%>v;?(rxc2h0d3`}ygk=l)F2F2hu^xT($YKI)x^Br|;&V!L;6=j*!Vx2Oxs% z-t2%niBi2hG;!6Rs9u4)s8P67xqE4Q&t}Cy8KD#T(5{n4H_N3RJ;86|+2GfsBL?@9 ze0RRS9r4y?%~02T&FroDTw(A`<7;e$`7?!z%~VIClHE^S1PG_AW~*xua? z^%O_cz|+!V%cTu-^zv~O0z*PyPsioKr9`urlW zzOQ|=A{yV@uzt0;SuRATHm#96Qz6CXkT50LM7$pVvoq{bEMe>TnK;;YeaSodZ_ z_?NOA@vDR`=pnU(>BQJlzLO zi6))ZyohF$H@`igmUMO^aUnZKB;*wHIKSG(@#Udv0oHG(f_A&yb#?Vr76poE1CIbQd>4Md?`(Wt;_t4?kn~ zv(RtF;C}WDcOjkn6@7+AgVp`tH7h`FVS4B+FpJYcd{WhLL8sE2mox%RHSBshj0=v? zzs^R7!dJ#|PET)M1!S+D4|YAexv?0k66}0a3KF4}-58*%;i+4jmox?!8LoV^xVyUx za^2A#x@dC)%rwBDfcmC`-}M)OU%ejqFVqeC=8PV0Uh^#P;U0}L;}fL#F;-7_7mddC zKnMA?7i=Z`jH;h!o`VF?nOqBjCd~_I$MI&xgit@7c@emd>xaJm3jZAXYqMO)0CT=` z!1XImheg0%?$LbpYDO=xre3f+p!?-Vv!5he?CVoM`?&LzPw<6vfSsAq;oj)ZKaN$o z6wr4En=>*j#~tniZciZv6w{$T$N^@Ngspl>qCmyU&*P~o1zgLVqWsh5j1U)RvT=LM zxu`KHa9Z zh>oYN6+}{dKj>6{x66f}()ki`WxQ3Hi5o8qf1CUijcUe0XG}>+FKC3+s%y0St9eNZ zeqnyr-yIrY_DhILg0cc|ag=CIvGPH~NA{XfF?DLqWG1SOK~5qUN|GsoOioEJ%upyK zEt9E3C14C4=x*3p8GXov?i}Fu@Yu9zfV)7S*>tiUaaqM>mqSu0wA0iPo+Bq#UCI=U z#pMIJ7nh34Xc`czWINnRU-}&0(#hr?t>u%Et~1m2rQqH@j{?(S0`R@409m{y|ibsd4EjYn{2 z#~n2z5D0gU1pcFQRxU$PqU&CeHAJdH2Dk2`F@%CuFX~Z{HHaRbtk@S&@hEp*s`6wK zl9bR55}>M60`?=Ih2_$O6AMQsC~LI4MH>H&19tT0Xv{E8R)x$qR}a*2HBG~=m8tHc zX56lL(-RUwO^>$DoB9{!zimTYkr4 zM8+eGG{8EIXhtwmxrT91;JONb-79HrnyTS3gIpBET9x1-QRAT!+B~4XPC2xx`Fi_u9 zF8Kv%cR3?f^FHs(!naiMWk%$C8GMJ$1K$_NF;W;p?_cB<_H>m!J@Gug8C%_et-Nf)|vdw7!zNTZj(hYZl+;-IWeK z&kn%>RhV&T#Z5zM;GIKLP;&gFPkI3d7172UFpyvZDY=Q$hpOT1Al*{E*0xRkSjGfoVL2DRXMS1EYq)AUeB117plFy^xhg@zu3KLU#w(<^U{+zZ-x2TgXtyp!(}qrzG)&5AAb;t@A`-0n@R&Oh1&@IX!@nx z0AjS3zi0sa`3uKf#$>KS)#jT&AUQw)sQ$Jz;8M&s(;oo4!FL##O{c(q!H)ka?lA8S zsGWk;>Im%8K}x1&v=@O8_@)`KsNS6JhV_DSNjFNH!XljpWsGn?Wh_)|Q|OvmXla?# zAOehDn`b~1FPg!U#&Li3bI26=3Fo6wTTW*^9aldl^ACU)%F0>pTt3()1}~&t$2(W$ zj0k?(d#9E2!D%Y9QpKawRFzqbE}4mO0HY4R0b1UVgV`n4Y!;6h7&|UQ@U$y9$1>%U zx@s0m$n2YZT@_!>&Z&tyi(*F;I#yY$?17Rg8lxvq3m{wHU;ruqD3)M+(hBexPI3cZtbw z|J5kps0m7Xm{-jPyY;iVs<2o!8-BZnGvRW%^BTmu=o)t}Ol`?!^EDg=&soh!{M~bq zWt^2+Tk3k;tN77fgCR|j{PfOJliQ%{!ROR)$qm|FQqP%-u#s0mmyNp?k@GcG^X`1D z@Ln?)MJt`ho_Ht$yw1h>uA0aGRqU`~eZOEns*zc%j6s7-_iiiWc4N}HWn)V@a~pJ> zO6{AE$=0A@B4aqKz&S_@Mya1={1cvXc#$Q?>A=r9N>LZh2XN-8aspl#7rUu3UK4_Fs^R5y(GE5Nu1gStFC4vi2|X^22L6IN+hZ3`uD|IFeP9AOR8@*6SF#v<3A8{v6V zIc(~eSuAV5ymGqtW=yVci)H50=6;!->AW@IJmJdB4k>t|9p@Wvg2D)q?`C`=H1cNV z1*aIk^x|!B{oL-!%xw_CRCdj3=z2~^*L%Rm{Q%gsjWQc{I;_0~31ORmcnkQ%sQIn@ z6L;|8o2J%$e026dF!u*5xbBa8+fchGAR(+X9eoi0MQ?K#Kn$1MCVmMuy#A8Mg$zZ5 z^wMo8oC;hM5%H;eD}D6q?d~Ej>xluFa7tNYw?TIzxHD~EhyVP!QdTIb*0%!{W^)7i z3+*=Vc4$z2smP3nGBE|vm+s;{?mI9+4$|@CSlQ0a1yBSO(V`7JdfwsAPgU0nkpE}~ ztZMzv812c;gjJ2bHq?Cqb5zp(C>NPE6F_xdZia;A932V(bnU-^|IDnV6gKlJSc!#qjFZ0DG!s zW)XGU0(-dDpIN|=LUjHj9(2NV$09ZBz|T~*j7Qz_GPx8=qV&{l8Q_T>*;x;0o|F9G z`SfiW@b_&6&!da6_<&k$a~C*b`aX!i@M~R z7!2y58}`uN4Vx)~A%*)R^;nF)bJ-H0MR*atu?;K(gyO?Rei4CZj200KQV-&*xj6*X zW-{{b%osv5R$z1~+L;0G$8s2Ws0s}3Rv;4(yqZBLVD zXZo3AE-7v~5ybX%kT$=P;pdzp zOdQ1?D2a6CDx~Etrl`>rTJb$b3$V+j`&PM2=&Ds%uRik`lTYcxtVFR z%wLUpOkA;=!+%F<#~Ku5`~Wg* zx7OVOjzke)@%=~zp1Jh>S`4~v*Wzcr9muTnI%GC|EyP~&Z=|{K6Jd*v=dYY^~eF6OOOuxQa8AL4KaYB0)*|y8<-eXKjy6G)`DrnmPZivjz^fJ zv(G{HLXyt(#zsi&$=^_A$h4S1^wZ7H>mo{~XKX}bO#LO39)Q7_!Wk&PH*I2~&NOv1 z|Ipc7j2}6Ac}b1aSU)Xe>tg;7-ZKeYbJaQ+ddrP3xI1P91Lfxr9I3onh({YYyWQ=b zJ2zDX<-o!NN_EC2@P0ho7c)1InuCl21m8`lnr$pk+{ZHL|?b4fbO3)dO zw85m5nb72$bBgF6uYl)>yM!n9Sv&@w+Sx`^wz&s`3l{kwXICz7J4Q>q?Yf*?6amA8 z{A{|7n@Nauc1s$0S55&19!Hx%u%8CL<}RGa?vgUxAtnM)Vhom_e|~RMYf$Qf)Fcj|P|GJ_grH;gmoK(f;7k8{ z*4?%Vmr`-0`A=fhdGk%k`if6;3L0UrfU+qDeUXED!@E1ol@5KDQw*jsf_6UzCXuJ1 z{93%n^2T8piasq(23y}-3Ku%-X)s;5hnYU@?!?>d)*y-*!*;xvQ^E;xJoOob>P3b0 zXLCx>Q1oW56(4dOzPL{6@5-mqvG4s5&0@$ta4mZlTzL!Avlv(Pu{ZKOhZPanUW1>5 zMTmPL1$glX%r&Op2U+-*G{3kQGt8xs?tBYOGvCHvm+a0dSvp+DQ6=oq4qj` zfP5pIOHA#m))eLUcXWk_cqrAS&?-(D&phxLSsjux@QL77Hb)3jv0IbRzcuA-Bwwh% z-}k#a%@dXBEN&Dmp@JO1qez2VS4v3|N5K*kAx9?Bc=?D6MqmM?*W_tcm-d}mGlOF| z51ZLBM(|n#B47@(?;Ur`wt%5IJNY0M-pc_>i~ES0%X&?|wD-g-q^=!G)XoB$wrZ9{ zacpi)MCm)ZV%CH!D|jy}8jM58bunbs0~iB7+-@=4;G^$ea2KYlJBAWrxi6xv_WZ@$ z+WY@Qs!KZ6fdl4pXdDd#kFkcOcijqfDKM^E-Ln--L7;>$&<+P=DN^Uj;1jD$lJt65C zuekFw5#6x>hR{xBDH3XLpI8_Jk&i{m07? zkJS?Ck5>hZx+NN2YhQ4&?x&*@BDM-n05Ws3Hd=)Jl zpjArljT8NZBjnMMZ$wn*zJ6M(shH0K&=&?Kkj{ORg;l0**i3{@dV}l8>dv%^!_@tP ziFofIjP`9%^@cp_!~{wnZ9OVr=G-GWC&{QAhO>0%@+QjIZI7Fqopa|H7j)5aw=}yl z=Vl5-AGaGU)EJV0)OkZneoBCiKGq{Nk?`09& z?PCXrsT<1@+3t8tbvL`+Ke7)kDWWY5qXJxumF(>@UY9F?mSP77_qg?WjvfbE9(_B^PCOuAUu|c}o(2;uxCK&1rfqhp7@~_w)NX%(>|g{7#&kl20e!MsvZQ zaDjt)W}SQt*-sb$3VY>;b5lCdk{%2!qSm4kASC9L;X=||hm913^mKQX%F_NS`%~|_ z^OLlQBysIm-0V^u8Jto;kGu!OJZ)nEN@PZrXk{jjk37Ev_9uf=`T%iKH-8qH)!S4mTW{3+(A_^>Es`e0g0KR*^ZgWm18^e$L3b-OLBQ*mz-nUP z;Pf9x#LJ!){z*DW0M9v3Wo06R|yBmf_9=cQ}!ilbWkp?qqiasn_o!6oq# z=E1yxkPt5;NV;ldflBMk>P&xeJ!gPVqx6 zEB9govLycNzbs?@I;>54?sCRerk9ZoKS{Oh2wJ#35F@-FG$o92jTRZ#H40Gs(%tnv zCDdnb3Qj%!7ZOzWYTJ3P{I9!1hJJ(9rtJMpfR@@#RM4E9AV8f{XtWa{P5KlWsl_*& z-KUqO4ZU# zFS%;|rT~|Gb(&U_1kE5xZYF<&zS-9z4ZF=tqA@d5a%B-yTWJ!=sBG+r=BM8qTiBoa znyb{-p^|*ZH*SBHFQD(yNmO^mx6oLn-@0?ruI!EpO8@kvF<4@M{H?n~x^o*es#gfz zrm*7EyMU=^pKh4VabZmhIxj@W0d&Q3NZ+?O?qi%0hND+34-v=`>rk3Lq zp}+sk=8;35h}rcQ+e}1I^)K))!VwYnv}%G3MSmkWZ$!g93g>t_!t=NcQPRytPHbp_ zzOPS1&eOTq2%)=wV;eE%Y!L{3`d6fP&Jq!JoWq=MQ-tOJ1}Jc=Ug2q_a@7VINDW5= z&QC1`&V`6(jS8UO$zjeDr3gDbMj$%983-M8p$c`Fu?XGrJJQD-y$)d?jc5p?K8Cfs zHL0Gqbn$Pd`zl%Fa^<} zyb#(WCUCHtCU87|IN*SmM@I?Zat z{Bx+pjMze(a7vnvt}o74wPYj!DUb3z0%-nU=wlzA)ewCQyM*fIp@;@jU+ChP(|Az9 z1+=hRLs%o`w4Z60%xuYIk3CweB>6PHd&AspB(dtTd^~x2;LP&xJsNgsz^~S^>#M#= z!nhi1=8l-T^pj7(@2v01rwP3jc!w#2^g?>2p2srcRd|0(U#T$I!{;>g=K_JVw&8LL z=z{~g25?0~15Y7bQd+JF1X{R4&!d+*c#7z)l@0yu8i_kc1#B8wyq@bTZuPIg(nnOF zl|A)b(GUh?LrBy)0S1p@4LvaHo%$odk^AxGm~x6>T&AZXy}h!b&$t7S2od@c6|e!f z0y57}^>j#A+tm`KlMJs(MTSm`U8T*Z+Z%h(PU6n#gdy!tW01}UB>Cl2ej_G01{ITH z4hYyO#uZMb9oR0@p!B%ri07vXofK*ugnW>zJMNr@n3y}(3d!l_F{H801i5o24br|Q zo&s9k1Y&S{uM}Z`bM|N03~CC@I3n-FtRN-oUnGcleu@_x%(J%%FsBdEaBTj7!u&V& zzI&A-*|og$frhXt;?9|_;k96S!`zl=ZcbiUpa^1*1~*q4=R=!-l>NkjG-5ol)Ya1| z8Z5_USh&tKP+C(JtSt>zR|IhLRytmI zgMQot)=0Y3X^gOT83?n~6mHbvFsB~~@y6Vnilg6r?GXIYU1I+?Xi7~;;ygMK@N~SC z(*-NzID{Ojh}Trsmeo{L*VI&Ftuk5}3x(pr_T!)wolObLAz8R>MRh|GQ-Wch)J2rhU7s)0yrP*Ll^>8gQUl_))DdTreM`%8})Q7lbpt7Q}x-1y2iB#Z1i$E=|cSC*-^AXH8fpfZZ zoe*KGR;b!_s<$Am$mW<#hMic0JMqv8 zt8kVe6se8HYpde%s*18mS#4#hG)J2i62&9Y+G^aX7mihw)l{M#S5<@|>(c6~>Z&U2 za$|Yhot+a^(B&;Ww)GESnK_<3T*m-4fIU&IOc4^pnM4Ru$=RNEQq}S5Ky@wF;Ht{v zWmQ-n4p;Lrh%#ui7+zJY#gY{SZg!R526^zv2+0vR|bu0|K z08JhGup(3|jFM}(B3@gGy;Sge#H(Ya(aP#*RVW;g7hbs&*>_ZT&v=hrL|F%r>YVY{z`Pm zcvWR260VL#QD40J2SGWlV{VdFRTZIFO&9{Hu8h^-%D-SKx@)kutg0ql1x+f6tge7W z)#2JG#aeoNz3e{CB%J7pS5#D^GsI%?cr+TRt-{+MWfhQlyt+!MSPKO0YpL3UT*uf- z70=kTxZ9?s2OAyF@wA)AaI0&g+-5_e%6M(06azrG21+Irsf6aQtcu~N68gQe^^^OerTz1t3vvMQLqKS!H!Rg02#YRK@-Aikg}@ z>b?>KPbDAz2z!dfvyd#~3T#_XOBX}KU-wxJ=lLd~*6Ts4XRT}0C) z6ysK~Nbc(6>A18UMnJ>Xo<8Z8og|qQ(mV|3_H0rJ1@yQl&CkHB@+-`H+pxK4RlXuj z@TtPs3SHn_+ZKi4dqP=%BSd={jTk`oQ`Nb3X#Ke2RWY->@O&36xRQ2k$lAFG;q^~b z0Xm$7O-PTsJzO5=1{-89r1RdBRF*NTNkd&8?Awpgnp#gg7NUZcu%sk8;`k^$P-DNz z1xY*Ixh9uWV3lrnPL+Mvmn3_q!xL#Le4c(Niqmy!IKAcpC$$+e(ZnkDr{pa!KjYnl+%hC{psF)gO*9|9H&jD^H`kL(_r4=ib8bpN zdWpR{mz#&Bv6;}{TDmlm#~o0WZw-fv&*VH$E>_b{V^lyd(5EO{x zqnn3&I@4RZ=;-cx+@HeEl|lpI=5GZ;l0h}Bz=PR1F8H*yB7zI(_m13{!+PVZ>Gwj~ zyj#h|Qg$Sy4JT6l4fu2}yO+>J1SaE305{QaCG7|RA6@|9-xNZc>aB@HYg^n2qZPfH zif0@#YFaf8Qb$@2eCf{JAt)*c=Vs_V>j_4ZVR~ngv#n{QCA9y8FJR-nhwO#Irco|D z0M#Kj(fl}*hbef~{?rb1?^bSaxYEG_CNbB2qne_PSx6I$(6TK*jc$&Aq_H9jCl$w^ zjcb7p6+<@8Tar;u5c)L;Vmp_XvM^^z2`M8+U;1t|;C9GP%cBJ)VB*}tqnH%X9Vx2G z*kC2&JzuLPWA`>8O$kWbFxQTYhfq)z(B`fXG!Eojayin~b1qKl>&Bd)jSF;us7}J5 z_ocE6Ftz>Z%hX)FWDB(RjFV1$nOZ)ii< z&_J1|AW&Kr4+LO5#i~LT@yc+ls-m(oR901ui4hD>nPDWUe@;feG*y{403mHUDg6@H zw_#fcYeB&ez;z`u@qF~bB`8s(3>t7<1X8t}y9(jbGK4#KRVd`=LY3KLHkcODCa_Ak zEmbKeEy@%FKp(C!I!1u>PEBJ)BFBRSu!I6n5@5vh(Ygu)cy8GAgHMBRG8 znWmgPiLoBI>J+1i<)`)&oo31zP(0il?J-Sae+!=J_FPthzx7v1qt1rf!rSnRD)Qfy zYwypBWcO0ZDWez0eB40cRO<{Zq1H_-KkjkY===17F_`24Lo^%@{X}WE)1*{D#|{c9 z$yi4!R!B*{CE<0(M=F8cxrOxePs+TK{aL7>p1o|Nuz(N)R?6f$Y2#+2XNBMCbqk+) zU+%&7po5I^>9fA52Fqow+t6A4cvSrxNq7HU)sJn(GqWW<()jYy?dje&_((Yzk|k%v z@zeR!>R|h+QGc|%h;vu3X_4jqfx)?%3xvh}*`IFr2ZR+;#sKI;Yn4hO7tnieiddXW zpWriNkcUV6Qe&ZrGytWpSs+GVS~){Qy?l_T105KMJS>N<&STtmC3@TB1g-*_^P(cz zq7>4h^JHkV+mcXF#OET5%8x4p4gSQ;Da55XDDpXKLh2P$*hI z7!AN$Ak)Z4?~{QM;svJ&vE2?a3MmlF5 z2}K`gK;ebu#Z9PD*prb2)KMgfPyMchcNwPwN5bua*YGU4QP!)CIMkZB;Top>2n7PW z#M?)C3NqrB(!)cYD$ptgrT?oDmJo0lT-i`6bFDH$OwFUlS9|cfOF8X(u@O5)Ls=;*7Bav8C+>j|kJLT#B*&*vNE)2(B$_yqpWQ6!{D553fg zpOKL_A6hnuX*dZdXI(5@V>260Jsq%nmx5pyS~BbWoRF+(m`-)ug$l>tq&logw!C*< z#B)x-vx6m{k574VB{5dcak`d|#7iLKN=Vs6^V98f>Y#5JDuv=yq#EvVM5QhYvxfdRiKBGNuGPICi~IXs1$eWzRsW9t(|DjFvwYw=vC8t{lPv?gAf^r1@S+y)2PN?xZnN>h=O z>9Df3#2H)yx`~Ik73K?m3F!8yWM{c=G|#nTmyJWr7nrl2BIb%nBc(;hNWtA2R9li596|-Bc7kO-&_a%_;^q5KqPdw z9Gv&ZtE^7>gvz^aJNWD&-*Q@k(=UkVlyR6Yp5SR`*^iQT#N>)AYEDaP zl|M{9CSd>yVesTdRc<~~2u7$2AyHb=qT4(jy9irzU24o4;Nj}W9fwZYMu;XtRa*YM z2;KWyQ|NVU&~YeLtY1#zH8ZC?aKZPhP4j^a+nj(bC+5ss4J8sKk1Fnf!j6>Fu)R%1 zVaKegRfKXbWfV>&9-*gRRw$fejL@|b)7hZ#mfo99^XZ;FP01G zY%*>amUOyD38ofpRFgeDDxLQ)Pp83-@Zl!CQyP2+UDWdY#>$8GA3Rdum>0EXA~EVV z%hNjDYA`X{gs?2;;;gC|9fKWARkJ;9S|x;{5GcSBA&=6Rve)$4tPYvj;o$71F}mO~ zwufw{3or9nN72yaUf>|BNVMZGZypd~GlfGJ;OeD6v+^6@AflQp#c(P#%dF=KF^>-2 z&1jZ08ry=WBq)*}-CT@ip9FY$5dgNJ?zEaS`)3 z1;&p1r=)i(mKgmx*Ml~LTbg*R5Io4Z4iCtA)Zqc(`3A5vtie21PKy`FW+gOjyV;ET zT-Ae}*R69Izg?zFjw_^g8{0Pz(}J^~%fgigxP(kRLNWRZ2oi!aG5qx7gYd!a+KgKd zr(d7!hrq(df3ouF?wgXGB${wc2zD2g6wPnp6n3FN=!b@HZ35POo|la5Dbl<@zKv7ZH7+Tp zKB024MZ9Gd1XF$=ix{sB@VG{TJOL~rY7^5>Xdfl?*eW2uWexMhwds})k(e7-E0Qf$ zA@#V!gTn|&W|s>z2o_heTg;B!0Y8Oh*~Dn|E`Yvxf$VeSi6{W2)&WW&Hqrbvev_vQ zt=*M%4y#{VD;$1Rs?+iV>KsY{L*P2&rE?pL( z@9_QtLHWS0tX?)i(EYgP2LNjpd3w*Y+}GIH6Ny_II$l2XyivWTO{ttXRr?`urL0q8 z54GL@2(f)0MZR9_pVysq)GxsN$XVBXC9jE6crn5%lORjB^p9M(HF$G6s z7r&Ct<}o&8I{PW^-~X4V06X`2(;SU^9i9H=E3-|17iu1@e@ajtucsJW>C16xO?GYz zFfO&mQsg+}B~h!WU<)6eIGCMJ)9=Q-3dONdGO2+6vozU#jPr3!XiD&{V~&30W4OY; zuh+sT_&TzW<~$2~rpNmz$4>{ddm#sFIxR8tX~DPIlDSn9g)8H3bP>x-qSKTtgX0*l z{5g8JrkwYsaI>aEnzU(uc5VY;ka39)??pdnScys(Q6Q3^2JLX*_S0WqDV~3m-IVv3 zgvb3(M{@WcfVakOjpNjECj3n`yn0CTv6lRFnhh&F*n5xT(GYh#hOJQ9*b&K3o);|a z+dos-or+5HyzpKTqTRJb2;)|=QZ2(~xzmfaXC>n!H3^5oTr=d2Dj zE-u=)4)Wm_0?^Re`Bi4HQ+3P;S z20od!=<3$krTH&>l_iV4=2mM6tix_6G<~~eA+&(*`8RX2340$xp^k$$txg&52ZY#9k;pe4zmYt(X%b#SGfose#XFM|498O(HIzu*Go}P+iC6PjwvXDp570GRA?`bn z%wE&ikOw}kN@EWTBD&QN2`NeE3hB~q+^PeXt4}lB{HT(_-_*s_T_SQUyl^`)xXiko zPLD{q^!-C4?UP#+JA1Sc5RX2Ns!dX1LTIv$>pTg-6A<3?O{!(tO=!y#nA<<|Lbi`f zlq82IJpK87E75QwX~vU~G*b4Zr#>=ROP)l&)}|F*rW=`HO~HgvKp#EH)ogbu6oK_y zlG3iX9cpao)8Lb25(?qpAi(ie*Z%;e4yK6$MSKWY8*azgaY|U(IZM1>7O}ufomW6X zmkDXZGsr&4$`!($3nY!@Rnlp$UM3`KleZ?_a|<^I+-6_bm<_Zf?1<^7vHvyL_dEv! z0*vG z4NJQa(5votvOd{SC)gz|lIGZCZ+V7ZdEh zBpA+qiA!V;E<#v$mlC(#HHB2NT-pij3_hqgw;s#uN(qIO-KJ=)`|o$P@|}TsyPE#Z8rpfMaPkVawtcGMfuI zRSPokyvB|`yBIxRYLQPDzR?0b4i`f5%-BFtB1AG1#ZNPiE2I*#=QT7axHO!3Q@z(= z@L0!nz#!}67SLSQJ$5RzkgjSaT0r4NoPEiV2JDfdJKC|KOnhGw zIn6pl`W1=L&G;>o1aKg;falXg2U_4B_MaW-hwSCdobzXJoWGal>{N9s5DVh(DZ$zG zg7~-%=PgCDo2*pk%(o;HM=vw5Uj+rQ@q%m}Y(PqajaYvA^j8OL-`m`y9l96{>%Akk zn)Mu!3NEDe?|CrL;`FFh;Yfj(m)^l>==2n+G-xF6@~$Q40ZN1Vo_A&7GwoT*2t-M! z5z9}H{oz1;;2l+emV%(3?*oPBLy?}-nCINy=i$W$EzzHQpRqYNkR$f|*IE?Nc{_!X zQ|BAf$|EiO{2Bwl*_>!3j49C>g#)5t$K5G~8zF`C;s+i-Bw=YV&W-z>bG<`nwDbi9 z;cx1D;eJ-pw*C_FWgHUGIJd2G`B{Iw`Ks0*awUz*Lon&xV2*g$a#znQ>P}LQJ*b!_ z$(xyeeSm@3C6Y9|_p3B^GhTcUbu{iET8gbe355RXPOBVfBy#%4XxZ|Ji|D)ro`kGT zEFX1DQJ5=^0$87~Ie*OEtd=UI@?%1B?m|XxLOT45ket&LLn=Ltk~$4mLzwcrN@MqB zVfQi8@C~R&4)GGj{MHnDv4T4RM9A#mr|eGKUsu+t-?xIqJc z!N!|)wUr^g^gXwG-2QZWS^oG_$>nd#a^2_b<;Gp%3>aYaHfe(%WIru$=8*CGNMOyX zOw=x4Fu`uqB7t#Vi;!)@LYp$z@P)*un+EnRUAo zIFsCOp|)|Vh%dx5(SfM0aR_R}^3&Pb4%F8?AuOHqPLq5uSX$dn4dLi_Tp(NV2>U)q znP87xLRcY$q}pTH-c2HI_5Nf6ID<;GYGHtQe(IUy#RB!#79D87G0w=A^@&s;yE8)0 z*&Gm`IF7Ef<2baNb3t4YF#g!0l*m(0VEn;mAWO(QCjo84`7Pkd!((le)F30!fTa$s ziRPy-T6+1yAPLxe1q(TpWGe|NI$KCd!-kL+{eVI`y@UWQguzoKjq}*5A$9u^)(FnI zpN@UWk7yuab@iEv&A1YB7S@R6r}EZy(7#18Ypb?NJ8!&za4vfp(l^(rGTE{f{!jc2 zcFtW&CQZgKTv)q>^!&xcH(T*!mYaq!0G>L?h|rgOZ3Qj4b$0sgZNER1|I@h9A?s(DeI$@J!x&v4N#eRo&mgg$en5f}sUyNaZSUx(^ zu1>z2$0;$|%2SH^+3yfZk_oc-BuaV7)q*0)b`sJ>e{w^&nF(R_T!q}WTZGj3BwV@t zCP+fa3@XtsBu?9E*iA()!Hs}IIyk)rj80s!ZHW#f^eKDL-FG>pvuLJ*YW<$=Z=M}Z# z+@!#KuNP=ZQy+vD(8+pUG_oW?GNJh-DWvm~AH}JF*4Ov)T)+$}j1gcQLgw_#Cqu|z zJ3&i+^&22Rr^_BpPb@+F3z(49Ooyd-an#l>V&EP`-krjc?UoJ#ZdfWQ@hCtp~r(Q;8Vg|WeH19g6~@3Xxk>DpB8x?MeyGVfz4gU zwHZztH9%sKIi2#bpXxt`_ zkE(nQz*3IGw~LURs~<*wlNT!a*@{6@olM6qn`L3An^vVNpm!Hbft<@fio_Cdt^k;9 zjy6Z5$y~3^J#I#fDFI&cLmWSq`IEu-Nk=t0H?wKQYoX@RM+Rhrc%YnCjmZ($ zmUZ_GwstLQ;l-v1D?7TkC2mRwbEg`?>u@<7(^<{{-8&|yUrWSv_CH*L#m`Fe796^> zowp&a8IzN^7%d*8jUCWRlv)nb*)6?otwmr&c(tY1153`jbqv9ot-P&Pu|Ud|03kRvV*s;UV_gM1rJRj@J$^QbIR9jeB$*-|{+Q(03ZC3JQxFlr~I zah`xfmVs*_a3I&VD3)Y_EFo}E@nTbf1NiRw0FL<1jufOX+cI!x3&la)F{+5pHX5WJ zGbGgNKtXEK-dmXMJRKFs(KNivqZ~+hUKY7(P$6num}s(iE2q6TXP$GVHy$b#-BYf%3sPx}LZ?O-F&{Xk+ts0pe z5g?r~Hsx<|d2_rG;{$QfaZ&*f;7KxyyS*i}#N%z5DeUU<3DA=ryxy#|!f; zGhWdI(oh$i2|;U0DqyG!o+1b5F!szR9;t97!hUzu!)b}IgFMQQn0dTyvn9j2LWH`+ zC{W}rjsl6)wQj2kreUt1s4>YL!K^H>q~P;;Tb7z3(LrYXX5Q)uMg`#3I0FSa)OMRkmQL z0~Z0fmy5aWMFgBVX5e4|;m6>y+vNAQ>Z?bZ1htGCY|NN1j$mL+>^yb^LwYfO8y7W} zDH+xwDWgRt-YzwPvMxZJ*gv008)YHk$*8185ETH%>T|>ffVfe8j$i{GK?_}{e-upl4Fbmw_}_Zn{gO#Ujj#5m>4IMBbb?p z3x}db?&h>3*V{N-fYjlOqmQ|}vPSfH8#jt`Ka1l5r>s10%eKZoFdT!T0pfUutb3lf zRo5hRpt3lU+YEwH196HG z%o2Na9O0aK09S{8hfIva(m{qeaPLVnHmE}m$CFhGlw?~6inV3GfCiNtgld%7Nm>ly zk~toy*jRwflLZ6K$jQuLmH;K{D}arl)V+`og?qd?*(#4Z1mP}pD&WO508*ivLhop3 z{e~UAT_tvlVAxXoK`0PhI)j-B#NTi6WMDf#v}@Lc=S?U#BiE9si{T(BdxMcJjG;?h#s zE|7r5i1l1z8-(H$U=hH1>%_%&cc{#m%Q_y5pv<^&bQqVDw{0j4Y%Cc9-G2rI5#T~& zxyxeCg)TF;la9}YPFz8&!?@6}3azwU=%`s5(eb#PQCzAaAUH<@B2E{b2|=*B#=5?f z58rT!G3p;?2)?;%!5%QFIs=I%-ak$BZ9dg#i~H3{#cSlrz*I=;gD#oyS8lauRb1 zo=(DLj+?PchjEz`hg)jE>b|kTq`GwOTn(fDndF?c6;%M}wk_ zjsXR_1{XVVP(pL!Vh7ExssBgUdBEveRr&vuFqsKyq|9WJkje8-NGL(#yze{n78L~x z>MBYouDT+LQUs|2iY)ko1v^rN>sl!4?&=B>#O_M5t_6ivL`4wRwl<2c9I~Mj5%2@RfokO z$n5ulCkPdDn)YldUKcHC+OwsnwSZ~bRbtEnrfHKtwvPNcO}k2RRg}|2XR!%HRH(;t z6y31>AfaMT)1EE$rlOXnZP+l4H@hiY>S)??rMR9~Rc`9pxzev$z%3 z#w(*ZnGH;dZhA`5P_vtoVOP`crR&z!v`HIX@35qgla@5?>SkNflBQkh3!nP%i$t6RY z;6CjCGK7^9GhmS*;l%0c_R!Z%H-+Rv#1S6f7YmR4~EFb~W!1NmLaUq?>)8 zL7X%rBvj8e7K0EH_?L7jBvdhmML8j1uJkqMFvic6lW|EJn?sm8F(3}aE zKUES{oOBs+ShN!-T?S|tFipCXP)$p+5yPreL#wGtWA&_$zo~h4o7`6yBGh9!h)#IM z)3PmNO-;JXqcD%pR&6T-%8Gt9&u&8D5~7-wohvV<<)&DDGjiKfFkXgLw@cJV(QPaI zm0nNgp^sRg&PG}-&9htjB8tW}Pb^*aIY}NOm^UGemgdaQBY z4NuRuENr#3v>4y#9h9wlT-pNzVU`JUB>^F#*V#v_tyxO1p@o~O zNcN z;Z{a*3P~bWEji1`Whz)AqERBk>dcYk(1N8TG0U`pCG@7p&53p|=uJIVgE-@v(i`ZH z6QItl>0Naap_eqtz^WA2IVvRObmkH{zNLrK3Fl5oa8C0?K;r;{3h1yH!dz$^8i$}c zn=LCDtJ5jd6BPYv&eenI1x#~JwK%f+3zp7_=6GN&Qhap|KhiQH1OTa%|!8uoy!(%sg8pDew6JaL;yLgEz#(#DxKu%vN7OglNBB~f)}(lbgDRd*)c z7`eN-8&_lXQgv92fdzWP;Y2~~d}20oeD3fpo%|7r;DQT#b^PcgK$5FtUk3q680y@z z%`_3U>KsQL8W7=oIw#auJ_hvLlaI*uJ_%EoPK9Qb$RZyASSA}led9?HMiffg2FJXe zm6T$V$OLt)#s_ekyf}w!II%>X6(bqvl`)@P;@0Z`JJCR>H} z$Hk1V+Yo3Twmmajy_mj={^!E8{yxXQP6Qq^t0Q1evn7K?Z2_cZ14@Re*>*}NeC&;; znyEoR{J2G!P2NA>^Zq%t{zU&9SpWDKR42isj)PVgJHtKC%r@l>F9{viOmD54@yiH{W0I@ zi*>BzL+FLyJ|E|kkZ^+7e7m~gnzzJ^+tsb$9K?)yi>l6ad(61a%k8zHi^aEj)3^?z zQ$SqAes;Ec5q*^-_`*LFBREbfbQ{g6RtrdTHZjPG!?#n8AO_F7boJiE-`nlPmL~?= z#xx>%Y_Yn^2hb01e?f@?>Cf%iM0M$FzO%_pq%iY5T+*H9J6i^oM@02C-`V8s=xctM zadLK}&dMPiceI9ZM7B6Axh`A0gkrc2b2Nm5ugg|15M(xdbz9cpEsTEnHGhm1r*vp6 zZ>$|*jLk4OJZs>8MZ;Vbu0AT;J+`(R-GmEoAVJmK3q@_}6nT_b4(S1kK?$uXJF5C@MLb&(H zY)v@q$ZYrBh*ZnJefX%1Zv>$6jq3%(MX95Ej-OmMZ(s6;Qv0rB%BijU*5tAgj37ky z9L0yn9+fTDi^cl;*|)?|UHe2Ks(fpV3o8F2c z%!SDp7h_n*Y;jsw@`5TdT|CF#aO`ulO?Xwm{9NKx=#@k>1dD}KOF0CKjr2E&*<@RQeMt5z~IhEW?F^80bw z{sZ9RF%F)zvL-Hunh>uz_4+ai{eMY!^=k6~G0F4I80P_?87TWQ1Pz7=HYkYGK^}Nb zHpv1;*V?cS*ZWV*_MhIeHM-X-^=DW>_`oF=et2{?afqWbN%_20WDy{F8?as9=w4$N z&U6BaI$p54KK_lo>Ja=sOr;Yi{Lg>Nm4$QY+KRC z275o_Y$7HY2|ZSXLp0NmP9k1#%hu>;>y`S@uqP2iujGV7uyD~ULx+c8;i6X>z=mM0 ze6KX~4Z)gwj93dJuZ*Mt%D=!SLr;e^v7PNoS`-@#1=@x)3 z3S~tiW;kxJxj~AS z{6pjiG{glx^I zh`r7GYk(GOSf#4+!k1)CNigGTHP#%qO4up$y5~$H-rxC>?0E=$2cJmk)o)d4!r#?T zy)>b9;pVd_eg28r^TJUlWqX9yNhln7^UbXtTcJZh-L+>ET)+LK*!a z&!O~^Q?loWyH021pFaf!@a2dBG(T702pS7B;ZDdasc_3FTo(G{DcN)LS|2_YEvk8H zHZiJ=j)tZM3(q<&8(qZ;43QO^=!J=MizY^)cWl<-&eKs8|9oneg*#4%F+G}!s6T>^ zN!-^RCH)wYpdY6HV`|lvuOUiR?XRq{uY8ED@W*qrM=w%WQUdUL&pVBQv3ao`6>YL* zb&W`Ej!L?t5ThTz^7QOL0=*x9dbT0_;vW(6&pSQ4Naz2$u>K5I^c&}8jqt)VvPIkN z25N7O@ugfOFm%T4DRkK;ZpnWFsW+;MiXm{-yzZqp~f z4BcnZ3rkyhRVwD7>abBlh*54*`mP}$W*u~PHo3-#$y>Sd5E)pw^Z#Wz`Mv4vY@cw%h1pd2#@UdB z#WFV*Vuwb?RYUppgwlslO;#VE_UaLl02 zP4OE@xAJCQ2@>yN+`a0YY;w<1x35q_n6|Lno0|=HoWq3ehSc}ia>0w%y^>DHU&ms- z@Rix3<5FQl{l(~Ws~}_P$jPQxhWSNH~%qPQ@W3-8Y- z!12by_5Cu=rPg>niux#lThH6Y<|1Z0Hi(3<{k&{)os$k!0G0)Vj+FiQ@y7D-ne#9e z-tq=`@k8ffLR@ivHoi_cD4if>?_BU;>xL(spRLI>YNLu_=ixh>qu=d^qtC~VcI2jP zB?rjv;ce%$fj;=gYy;v$Jl6X>19UI#a0ndys(hRDInDGp62;?Ql}i+TYJ`Am{Qo#YXaV<*M#&ucDvdsLVsJ;w+1R@i9h^F$)9KAX~>KrqFmeXu#3A;;n4w z?E@M*|IOKkCESyNO~k^y_@aSm-gpG#-;i}5M8&@~UkHUyTumrgkSHLPAY zy!kZ@^VHX{dS7@AeDd_0=8cRrXCNS2U90{k!Y%sB;k34Bn#D<*mhbtJyy*oH$&T03 zax0w2f5P_HLN_ESK}OBTi^HQST5&u{+?zlV8OvG(7*EZeX^ zWqG*p_1WZJk!f)?%bdhq@rs+9FR-WAL$=#rpH1u;J19f(hfPCg?QqHKv%OZb&xSLk zZQ%cWIb!~sUY{-AGgaKS(g!y;HDr#)zrG#_&lhtz>Ka+`r5o12FY=FP-qJi?emcAg7^HAof@Ka%%k{goGDfoUL6Dhb)bK&oJ+8 z9BS`;XEwDY*42vOi4KP$emmk(<6nLXz{rp z3zToYBwOryD#RuHHnt~y(eQbgFr0cxwq~Y`sHo=2t+>bzs#LGZmv*@3QdG6OFF~_- z{=0>3mDjY}#{7dLhc6<;b{h_LX|{%7eKT*B5RPJ@~S0{HQp*GCry{@VU5B+!k}g$jP!wpjE4r z-`;Fv{e0BrQHqVLOxMfNm?3BKKVOv{pvkf!gccl&+b_@d#9Z=~%d>w7$DfjouP(n< zOpS#>Ah`Kz*yz@`XM0oly>HKs$!#m~TmAHnfVuGQYbd?=idg!BD=4j|C*#NZfhqv! z=|5ge>7QRg`m09Rer0xaY&-nz%51@k`q8M;bK!aKrSO-pj9$HdpzvL*8*5git-^-F zf$yW-HGvgAK4i;6;~m)%;h*1u(X!5zqN+O+*1n&z=f5Kk;J5F{cEka!jvvzj2#3E@ z;TQf>oZEl?6LUM^yV=q!Pt3+9qUKvW4)hz7$Ujs5vG0uKKm1P0Gm6zK^81?Q;^}bt z(7$kVck*2fc=Nlk>b&D!*-`n5@G;&59TsLm+To4=O1pn}SGI3n{=9cHL7_Q3{GM!N zr5wlA5=swlhnIeU3g3Ho9LS;XVIZ=0#nMZY!r{(Kfgk-r==9_7iKX|uiqaUc#wWyu z>nP!_EyU6MH(I{zs#tcx)!FtqjtPC^#I8o6ebOc^31v^aI+p#ft7-R&t1+C`2cUBO zu=YAIvHc|ode68f+Xu?H^%@rDCzC98IsmQ7ORp~r?|*G<`RZ#m;#5vQC_a%@=B`Ghgi?3wt}-|2z!v~G*NV0n}`;5 z%}0x24OE=Pw0=HJYEc@g5@cMxBcNs?ar;lZ2noE&sLveWYG5ZHEpC|fYU zMM|sdVbUdd(MXJM+jcYBJFq==Bc3okvNQj+Bn+-Y=9_l|GT8mwvazwqGclRYQ}LZ2 zrsapP&lbu8fne7R7k*r}iL%4_&HciS*XfX?49fn~Zp~WZh7Z$VtR!~HjaUt*A#omI zZ~7z5t!j2ui~;R3j;(|))e}^z5;9uC))>di*CqKV@Nvgcl;s!BZ|xVheK=ds04~2R zAHW_9Xt&c=Gi$=k^2XT0$eT!PR|m!3JD4BKQe)b`RLlo-$n@S7XZ*naRi7UFF?v!&sd8?psg-pnjxb#>7J1*$%##u1$Jw&JOnW?agoR7n(QGxJraYUm(i{L4+&v3ZnU$*!9k$ktOr# z`hky8{{Ee;WOh?F7P$;=;pxwdL;&Q_SQiV}$FT7Y%Zkpq#a9k&sn$z?6K0f*`9akDY$J;IS6BWGxwfWBk(X@4mn%$j`c+!x)6LK!Q^f#FJvlrD@3 z8ZP)Kdk77Yp4KgGDgrZ}Q-u2nJ9~z&d^B4^yRp{QAJ3LXghCY$tZNiFp_$#o8K1<9 zkOy#bIvx7ud=z9f`Z#pc_!xv;P#JA3C+3qxq4YQ%N2%-9J6Q;ojp!riLi{==hJ-{D znw);~;c0Ay{e^z@7M}5O`aR)4;DaDP_Ox_?ipQ4mwtXU7v>Q>P`O;tTN#+V@ETUFO z)Py5MTa``u@J{v)Lm0`6Y3Ygf8y1uIN-UwAc-~_^6gAAwJ@+fMY3J=o8dR;g<(d0v zAY63`7Q%>J{vMNIc$QsKqF^N4K#BR_KaNmEWX7WiM&dYTK8F{7E#H)J6nR(kI}tHc z4CF0e%?GkLT>t58srU#1L6JR-3&H~QpiuZg{JAvJ6@pUSB#lq8$(Mf`s=(A6i|qgD zY-PD$zkVd0AMa3*Ny zf{(Kyt9HQE8K2EE()fPu_Sz`8$62epP|^!^BM!>G%yO`dRoHxfd5vchFJ?Puj zw?MD)%o-23-hvkexcHA+4L6d-FFgFsd=D-P=N`qFr8X#lfYl>F=~h@qjGK&y97gC{ z;aD)bwc(-LvV|i477`c4AImNoV}1bQAsP!W3E6GXB1xmz{8O=q-RL1)dMkzsE@j@y zEKk2RTP?;xG-9oyxQ7yjiiq>3#*jFG#6z)wFjXLNFXRx#GXT+s9S?VWEupW_gG|K(tN^M6A13}9I}=JSXoZ~L6sY0+n_9w)@j+c*kA#PeuSyR9Ko z*57x2DVtbaSbK>#?}X{EWaGr-#mBX-dEHcXWUcMq36X>uYw z{oC2hm0!$8a#e#1GCYV&Kii)&xnMW_x|CmhV@9N0(YJMYeDo(D){RNNl{KAy)-04f z%`dXd-HuFk7>!0jX4~Xsc=26%FFa?OEu4=$q6%XQOD3;MY$~1;{C%UE6(d#}w!D-W zEMM;YQkE&4KX3hMlL&^0N!unT@bjGWovf)@EJD|1!DmT|QjAFwCrLB%rl3WJUbGv9 zbLfB`al`$?$uhHV$KdI{3iF_O9wEaIg!I;oEC+WMtn@@;7Bt$oH{Ki(}{i0G6 zh#qzdhYx>`h@@M;!4#va%kdT`H6CvHDxIhy^>|&H;649dV98>*b&hIxy|#29#%srDX~?4EQr)cdXga| zikL`qchm2KUqkBBf^&uXdIlBgUUM1|HHZ6kyng=su&RgdL2Bc}Xikri9ZNG2Vh>tT zj~;K7dic`$*~a_Yk?ttjzmHra#;x2E6jbF9t94+{{fPH>{gvf zwvQ8wRpPu6?S~NcGK*wiLBc`bVDS-!hLB@`uovRcZar57z}bT^lf@3_GwGe@POc6s z@5^RF?T{*rXRA#^gJ4F_$GsA%Brz@G0^m@4V#`|@W zegO`uNrzjgG9QUEc8$SSeZe1!#}zzPEF0uv$!mrS zzRfx#&8V4hP5cp2y*Av+4_bm3AZiZFrF_ja~%!YUC|}a0fn~e3XFr(SchAls0cDFB^S~zSSxnSwtFkY4r8vYIoe)xaJID=FF zGuwc5_+tJe8wXgNcTctk?kQ~WOiEm+RjgXV`!M{4YcJQjah!e%az0&W^WeK&JQF=2 z6+Y`eptszOEn+S_`#u)nzPqCy60vm6eUS5Ca=?xsVvV|Ia={3!s2z(UTfkVvnP34^ zvDJNP)eZpTUwKLGuyqYEyE`jSo*w ztms-qD{v3%k?@QSQ1hD0nQ=aRQPNzP5yidj=W%U`FHs*0CA*Mps2EM$b8}Ex>|e5r zJ`MXvbeaA^HUVir^S`0#UmwhhM25)d*s(BF%0~iQ6Sm&V{>iZ)o_Q|?-+nJT-D&s6 zqeUnW7vIZnEvaENe3%OJWrP1=wsAMw%Dd`?eSTgtBF!&+ownxZ3$+%$Ne-t-D;wrB zrPJ=qrqB@~_O%lI_?8c5fk=Vt=3j+KG}PT%eK4tQ@T;G*a?37ax2W;m@pG#rAw@ZgQ1bjaEC9@9BTepGFEe9T zkAN|F&!AQY%+pTcfT_X3Fz~`oT7yGVq}?ct^ffq00ABccYH;uYys*X>@I{&cV^!ev zZTDyEr?tszbsW*5{Vtmte@d+g_@Z{<{;0u08}K6NBZrqcSJ_!jZcK+KJb;qc3zzKE zm=0h0B`fp6|H`J9HTq-@iarDW;OfW&*+JpezhYbN_)#{!l&{UaGyc%41*>=O9kXKw zW{&lBdi|pP!>V5sC-Ar*=PecZq-=bfVKVGDxo9uqan|o2_Wu!-_5NSeaQ`QH!v!+? z92`6jv?l!Gy7dQyuf1#cy~4szt)C9x{nYv;;lKykP~$(%rk6010-G2w&bWZbd#|}^ z{b*SKq1~s$EjR7HF}&d4*DvN#$@NbRH-D9yzk3i)_ux;njf<&S1TOT$1wY;}87}zx z`fcGeKS8GZ)A_J)MM@FWk_0R5)SxQJye5XLMQ|XpeCH!&G?n ze-{;U>QRN6pR(Id+I@7d@YJ7WF9^pyLb0QNo);^^{v;*M`_}r^;m<$A3473QC^7wu zqC`$65}Yo*iKj!3`_R}v;hz8I6@ce|Y-~Dg{VmeyyC^Fp6cOPdVOwWvGF_I^IG1X8VP5gv~*)QWZBaF!^6L)>{ltP-ivfEvP`{>vCb@7x=%Rv8B;vy_~i{t z!rgyhpvONLn%6Pa8P!tW<{*}FQ+x975(D@fiz2Hxj z`u4+-hP%auY%W>nGd%1ePOWFWbZR=Z&zpKw_{%H#>v{i6g||GCS1583slts9Y?ugC z7zvMl7(^WPl4Tpit2Zp)5}xyCDxC0}yh5?pxt*)+Hxcf*2pq2KF5ehF^Ts{)4@dlk zsxSU+#9_CHzCkG7@ujKNS6)7~ApGW1NaMX%PaP1>xf*01_E(B;{GYsd5k^CCJ%L8? zk?`){@O|drmTw%5Xl#Z3{s#I!K?Qb5w{R{>24_9jEd2Xt9C^sur@nZ_76Y) z8+8x;UEX#PBS+hpjx->>ACDKL*Xdtzb7NE3_xE|Z;(iz9^mx)@-Mx!F6I=Z4y+<~M zi{>@<3Y-6sZG=&E3)dlk5Tg0*sR<6Er+sazc&mgO4?JsR?{NKhnCBt$8+%>($9&X< zQ?E~e>h!%+tEoh};=v#)9en=C=J1SjMyA7)cB8L*%31~OB6o^*=a(hFcG^hsED|>x zHXX5GQ#fdZY9If9d1pn+L}?}>l*p+5>v zFaF;gO+^kN;Cg~N)e(5K^bXpet4lra zJud+>V~eTyyM~TGZh+)`fJP%?igFL1uwmnxNU6Q_`y6)K$tUv;PIxU1 zgwM=tXxDHrq-X(kW?8m+O|AUl6fOtQ3x7Tz;`rNQR^=b(H^dZs#YJ9_wDW-tlN{bZ zKW{@p?%3;ZxOBsw;pIyxf6i`s`NF;5?_D`d@qW1H(hZw(j<2l7_paO=&cB-Z{YrJt z9%*P(^@>~>{DB+hz76Zb@9teW9Txw1Wl82Z9zH_NtsesX;-%Dl*@Apxof0(&u+U6c z{tamNV;`Z|<33)iJ?|DM?v6_Ve_ypv8!c*=Xo3AKLvCGg3nRPcwsH|M=*7pCF}66sCqmvmI+Tq4hsG#-<>Mnn~<<_En%tZi7pJgZ?LbR_6 z7EhNwaXHmKOEs;3k#h}0hTdixJ}I^bL{sME=fY((e0q6fb2xBur1gF&&yiqGj{>Y+ z86P4URv=O&bXQQ}Gew0`#Ouq}>0gJzp!u;CjeWx0lDz#=%0oZ`j7YTW))_yXs4DkGgmT-Y{yE9UzEjx_{3G&039M>Q_p= zrM0xKD3AB5^q-2BOZl#kBB_jTOKZ6?5mAnxpzNs-gGNy#dErV)%#t^`NatO|LpwY; z0n_*m1vRr$%#)m_yhf-Wc{v36SFEPRmqRCNu@v$oQ7GHnf|!RY&kOW76?}+-YOxgW zIBAA?gp@C6jE0q!C0sPg08Uy?C z#fwd=-DP8i?{~wm);9JI=dCJh~?wN?C#=n`;`Y!kP<-?ZhP@`)^4x{L)Yl$SC{NHN^l5>5q2vNTV3= zYT`{55xTTNtshjis`ZSi4vKh8?WEE>l85O%s9G1SE&3|NK>Esqxpom!r>?#^O{LB2 z8k^&K6=}YxgkTgSf0Fp43va^X`mK#@o7>77g#f4;*lO1{_QyPl1A4M@hTpXp9UQr5 z#MYgAg00tm9L(eD9Sw4fE3E0#&!cgSUWGyY{hL^ZpHVojS0UKZcE7Tt=MKX0#8#-= zm+}p**i2XFLL92yEFuh}B^HBIwm^jl=SWTP@7>&(Tv41LDw<#Z31?1m033BUrW_g1 zmnYqow$ZTv-qbA)6Y3VpF2c$U)cwg1v-KnLS(HYn_wU`OR zwYk)l-o78~W8uaE-PN8!*_O7nywsL*1*g;={<2?VJ?=3;2oUc_1HJzdT>*t##T(mFsl~SV!Nlth5Zlqcb^Hw)j zjU($6yQa*?h+k&go8b7*{tou7=o!0gpGIRoLZ2#NzRqo-;gkC{ri64dzLhPor?l^+ zy!~H9$(O0d_$+zst4h@XiX@zBvSb4UdsO8D&k;)zt+c!8YHj`xoMK>O$g9g#v@~4k ziux;tvW}=`xR5P9AEu$wTolaJ7?r68iF5wW{Th$m64gfeuO9cfMUQ^+ac7=&rZA8v z-%7k&t;-L-cvX1%md1*`bD@3Fqn~~DStx_Yor!U4Cf*cU^yp{pIECM8q5Fvowlt>q z5o>$jw#G$!cS(`gA%9Kh`TH$;^r5F6`($1?ZS4Ex$8eFa&0`kD$MEdK8{41Wo>_zd z#6R*p&XArQuT|sthG5Ov7V<45p?{52hcKnrwlH*&KOWxL=fL!nO3f+9LajJ|!i!m> z6vm=9!wZgR>@#qmxVOoaYsE>kKRY8?HHER>955-2+*)ZlKC`_%tgmop{Tmch<U(bros5$kAV?TWXq9G*wrN| zKXjSaCF`$;;ei>rf*qYcrgBeL4-BlYyjm%YMyEv z_Lb?RCv_x+VS{o5?2+Z(mE#^@3Y$dO!ug*9frS-EHC7FJJqau%4uadV|2+e&c0_ z_!dP{jt2!~Q!HWXe})$?J4(A;0t*<4s#6$z((NrWztMm#$GaE?Ta=5L$LoENAZ`#7 zz8YZ9qLqSV>M)(aFm)L-aI)vNXf-f>M(X8dz%}QMld- zz+H4g(m;cL%E8B6-JWA(x2e=TBN;q6TwOA2FUv?wQ`YVNMCV$7R^QXG1I~D^hB+4{K!jz z(ko0kmfym1C!r}fh-m^-AiTgX|4c3v*30n_;GEmJWSzCA4duGZnrP}4OFUg;8N8EV zB^te*GyQdx-G{%?m>5J;ancTKM(I)wjI>Y|#&e*q94UYeNwwh#dRjJZ4|O1J_Bwk* zw6QBeWy0ib<++#_J8iJzR*{SZQCBzRc&4G&USw}Vup2ey#YkjFoV$> zX?Bf?K9c_>>3EJurOYPc{W>ymq~*|%4Hks|mi})Fa=N&kO5PGn%T)B64Yk(sD|8pB z>GeTVw?DhmtS5?SxbQ{rP;5*7Q+$ykZG*$xP7+;KDZxy+o;xQ{Qr$U$x*E?3;iWwH z(G}SSwm}}Xa!5l)H>*Y6_}*kXm==x-+a8ZB)avdThmSxc4_{iDD9G&~2r6~`b5YDq zoXy}6#HAkBKT+$xT-yp&RGiga^z@M7K{4epPRGf?YZJn&=F}chaKaMf zb|mMLEN|%u@erGJr!s-&u3UWZ+|=zUM#aG5OFjt<8XU+KVSq86m4U?AZh~Y$p|2B4E!$W|@ z*Aa#VW4ZY3qJbgQ*-l#VMP*YiK8u{fcoAvfX2)n;gNTH7-C;eJ2XHFK{AQ+;_%vw> z!zfYoF|$BT~u7?_ia88I)tCLPZp$@9ro+Y50$`?g1m7&d!Huq`xBIU>Gf1uNu!oWYdvx$XS#i z8(Dq;$#<=0EeXXc6bSk=(bQEx(Ev8x+G19*whUgMi0@A%10+Px@%$dHU^{PEd0ps< z4u)AQT|||9cjfeE43R0xA$fB;SrqlS%<0SZ?7>Z40)A7>b76|KCJxrgjcxT4hW%+J z9V*8+aOzVh4kEt$VqnpEV_-4yk%4i4q&Ap%!nBDyb)&|wVF-YZN&i}_td{9QaYAV) zWTy7zFdMsaoq-h$X%HdA6?BpXD~xx|HH*4j?{VekjB%Y4 zrpHX|en*qE2RCdvz6wz%Bw$XZ0)}JAbgbY?JLo=Ve#e|J+yIQZ!Rnm=<5p4Hpe|lo z@kQ4wE%hT2b}R;g4Q+^}hYQC@OtF=8j2z}V#v)?R7CBXvGSbxTSu9Y_8Qce)`S#T3 zaY!iG(88RKRKt*f#Ro5wj@c#F#xln)vC;-GvH8gVDuJGS6x=g6GvO+=wH8s%9o(pP zY#m#d7b(o3!83`o%5sC~%7zD^>zj^&@dR{PuqqedIo%H=YTm+91H|$(RP9$;Ly+RGz@8GcEFPchG=Lo zUWQ87xEHSn7+8FnE`{;Pmf4Ckvwaj_J3vfE8no~~L_dKCjuzNWZgvE4+Xk`Ql{~#_ z4^NDn99*g{fad#e4t2^>I)a%~l8JOcf|y>N2r)c68`+#3 zT!uRWi#I&d26>L&Y_T~wuszCQZ;5R_5}|mWbu$2S2J<<%f;I2}bNih)xE*&C%nGf* z2KWWcdUiWbyrLjNmo0}XWA-~5S<7x&X=zri0tTt8y&4f>9F39pb+lrQ@g|TtjM!$- zl=FrlVz?~&DVOToIsWk*SxyM`TNMi~S>FjTA*gTQ*;%!T-8*NH%= zrgN2Yqua&tuxITuQFqQAVqBIlCRbBJDCblsH1;~n)Y9-+Hg*R)lMdRVgYm?mgT+@U zhf6H{v!ARz9vE_~t=uKol7qGU(qZLt9TS-0Xr>GO8}>MZW!icWiQOX%#&+z3TR>IM zZew5w>aK3gMl38;N(j^j721NN?=Y3wI&AK=9I&n(G%%+>!j*Y2E2%r+RY2&-pi8XU z>U&TT+CWm7x`^{;(71g%hl6*5tC@}M^gma+!i zqdVk|r4jWCP&2(ntHbv6j}&`EP;7`$jP73mhcbu;fU!7ftB(_MIT@_-W*6ehVxl$ zfoW&g0>;sA`hd0u_DsQ(>%sznIS*Hdw=P}BNaj|!##%*WnCX~Uz(Va93Qsa?Spyg@ zFB@r$`HMV+M&tnI+;ZTL>o>Mz3CMLA_$R}N3mXB3)>1DR%fkdqUTddKv z!(Os64;`F$?SWwy0R0hwLEbW0{d`hNsHG| z>J85DqH03H`ba#oT{>Vsx(N@M5lz59o5?D~z`W^)t!<5_ltXz-mkF4+{6MUBa$NhE znQa>jE3oRp744a-VbAd-=<5#jv(;S!8jxg4EL6`e__gqZ@vmi z<%B!Ly1KA)4mKA956lJY0YhH29h08c4w^7~Yt3iJ#t@Ik)JMavBaw94pkS63v^&X5 zJ9|7HSa^x4fRCZ%F6A_}Gc1t-L!7X6aSNMWpku+R3&0ALLqEe#`WWV1gP39*EWJ)3 zqGk74D-Qag+kU`Uhgy50Uw|%-3z+jmvrY40^cn$Z>)MEAU|7d$oy0y6bIn9>GS2K{ z1&4UAsXjs~0}CtOg0M@#nOQA9d6^UO*NzG8-9>2uLjy|339RjRFs3Re?9zv&H7dKH zHef_X*-p5l<5&Wr2M$3fnzae%%!V%fnL(ZkLyMUD+$!kWjwL5x_O_s|<@@Uiy_tc( zpTH$B zHU_&)oiv0Y;vZ4X5*POoorzK~w+WCW?P*H6S<9t?VZ}=a!?85`Y#VO|(1rT}bJ4-T zobQvU_Aq_MG@FVW9JVhGMnj7fk536{J60Tvuq;0$yzsWJq+mmyoQg!+(XWtNHHh|U zeE}5oymwaD=FFhwf^(fPIkUrAKOUMK){RlwlE1A10a$q8VsRZ8@5QJ12z-K!7hT~Fkytg;UO;fk2W&E?9VB0aMCZwb7xf9lh+U&GU zl=FeA93eS(V*Vw4QV>RKJLUj#4MJmPnP%`JS@R;WIcMMEa8GOi5&s-4mOfDlu)f;C z;HY)j!cgZ1Nc5O5=fbq<0~On?C%zK1`>-St<6{Sd3(#S!Peg+&hwf%zgdG>5BSRIN zPTciW+1t~gCaouS27`WL&|UliFf6KR$G~u8)M4PCRdW6WU_>O=3sO#g%cOFIIZ>K& zPL$?`!SjzBoY_qS2^54Or41rHI39pZ;Iv=(R>JOuAz)lFHSNJf ztpbb2f-T&r*z80|(^SSGW7nN5$T+ala=@T32XmSc<%XJ3sbZ5C&dW>0XW9l8nri6- zm=DwLw;c6T&Rs+s0CZk|hAFF!V7)h=ZjMVD#+j;y^So z;v4OUBylGaqfYxlqX&b9i2ot_aiF-t_)rfzVb9t@V{|g? z7M5Bs{i8Kl-nT%LZ9Y#=!f$8FvK@dJpC&IF*i4#Qak{TC{bl6^8 zHJLCSf+RMigF&Q3Sxh?yhP61QZNad53_GD;VB{rtb^8Mj3s=zIXH#X;v6^zQAcF-0 zW?XbSMpsPkb|To7b1X3b0{uh-v7UB>O7deXqxE|Bz!{oiLAp%G9!J2i!q+B}=eW>f`2pC2^SC_ktDOy=5#^?&-`*P(lVY&h0qIMG@y?}2I zAt4TCD79&k?J=(iD6{Si5G16;C0KlY7$lX;DVV!V4-E5q9Sh(eAm?%&D46z>gV`WH zm2(&8f#vsPlfiJ&J*6$x?e?u>1fX+_V8C}-fq=OL4T_HT^nnG@EeSGKfrUzH%gNu) zc-Gkn2vTukC0xaNYO&eK{gSjN7*65@HW09Dh(<7Ljsd1n^rW(2O~bx13u7orQ7xF& z@C3sYkV>MXN0dv9= zFz3T=;+S$-jwtAaB0SEP`e7g(vnjR&a~DN`VTekWMCBr3M*Noo2tdrxt{`Hrg*o)o zAbNtUi(%T=tuv%Nw4KUWLR=@#Fbx}YVeIl~m}MeeozLU}%=t~YJjp3*Q79ayl&%J? zMY&)^Pq=cqLNTN#%3;f@FCqO4IOkX*hE01ga01)QKGb#Dbp*3_Zgf)H zoCt#T2Zk8{ByP{55Gl~DHKmL+OkEUci)s!>t9U3Vu{g{sVUx<3kX^^grJI=16V769 zj#3xvM;)!gDt;5A;j>BElgR)FK)5?+n1*0PcBbp8ggeGMLpg-aq`|liT-qnhKQ0Iy zSgU96Q37;UR$xwR0p?;PfqA8+*(Dw(nFy#Eh8GNlO+0Wmx`u*YYmsrxF%9;j>qG%c zwqs7mbi0Fp464>30t~l~tL(BKQV!+Wm9rw1h=F6umg96Jgqz)2@c(e%05Qk9%6L^B%w}LygCuY?<=A$X zA#ngB!VY9{UCgX3CGU9kYFl+3j97eB{(gm}z*qrj<-%exzQq9&;K@RJ3gn7>5 z7ILa(%LH(cP{fu)l1?d|_FVLZlHtUgDiQzX2}l@&b){1fQb>tLu$Dam$vT3wKOGFP zG`}3o5m@~mb~F(RXgzGeI86*KaGxk#d*nvaz|L7^h(@jJ0*;Fmm6C)ufs5nUtzo0j zsGPeM4J>z`32T*z2<;e^kjkiQDbfVvt>pwkg1MV5!0^Jja>>P(xN5Acvl@$b+<64; zSU)V~yy64Zd3Hm*aw>Q+=nh;vr7;0Hx$LO@Wi-mwZZYQ;T3{|kD6qsRD&7XH;OxwQ zh<&nq!CjzeZND zyK?Q83)7%r+w5jl<`$~$n3O(l(9lu3#?&RxOiKNNm8SD>;zt{c2N)B5wU}!`ytiVv z(2&`zY6=cwhXx5b827u~0u>@cZ4=TUSvDM^*hg*-NI-7>gs{2=;%c+&81G>XpX#5E zlFoK~J*p9hVZ3n~kI}e++ zSLn3aic6})O(>wfZW3W`_Yl9B)^KuwFYrLjO%CipcYq+95~M2#3`C}+3q7!ic7O=?E+zmm&|V`&((yK=*|~9-*9s(r+eG$E-5#GD#zuH% z@B~Pw$Plb;#Y-lG-aDMQ=B;=aW22xha<|IWRt6x3%5>tuI5`r|B~0R;NHMOu)@Cgj zj-+HT5mw(uab<8pAo`htWFWE;zqmK8bRUzh8CDHUPAJ!&yK%$-2R>ea&?~bs)F8G> z+aOA>!4~EhqzG5ey8xA=B$6WYFS!nt9j^w)d6G6Ln2~&l`e8R43<4v}(A7m5s=Sc0Iq*-%R{j&r zM*9IHvfU6D$%3skgo^|j!VFqUx=~q`7kH*MuruLatTkFw(BJhTx+6Q9 zBa)7>mKWtJhlu>I_RIxl-9oDDZkYg!@1!TRAoo^+YA}rf*Z4ID0NL;k3%ejVg5xZs zVM#!&oiPhw`2q$A%iwfvx6@hSDu?OMaV(HPBON?6$Ne~iU8D+kvjG_AN7^hfmjH>A zUO~gcS+#+HuVEgXmO_B+z#Z21#;{rlq>f zzeGPkr=7=a_>S&aCCk5LYP7Rch61xp?7UQu5&i+XmTm%dzR6EJh~gMSR;$Z{!KF~hm|ePA4Nww&S-9n9U$$BR>Q&gJd_SR@(k z4bdp_%^0Y0&tsf2vjavlEz>dXo|4^{L`}I}tUG4xqP^j2FwL%0kcjLjso&xAM)X@QFdI`a<|$j3Wp{&yomo0UQ-_Y?`7>m}VA>IqB2(P}32b#} z#Vlj_0vz31wRt$V_BLj5l?I?^KBSTG%!m7Q<=Pc_$8e%yC^lHobv0W~SF+3i+q?|s z?(2X9YyoM5+`qQYB=`cDwhaQa0y3t3)d79R>^@v7HuxU|L3e(&T=EIrRG1c0 zgbQXxNE~pv2`?obqM99z5eefq3$l~jEMUW|G@ZmSn)^W+jxlXwgt&vNxx*;_m41qbdMGaeD*ccsPaI=dl=dxW?EK=6QNFQ8qFccOgT@Q0S zhginQb5bM_m~2TF3rL;8}ifSV@FKg%zOnJA*)>!C;2S zTXQQ^<*cI}Tw#DP%Y^fQE5h~mz`y2e144iiO;Rry%Q-h3<=kx{b|NocnY!>*zr;k9 zSvw{S7zNH&=BRhOgd^OSbFphMZgO-@5JR`VlXyw1WU)!WEq$8n%Mzz!Ot%-*=SluVU-Eg^K^KMn~BdC!g1wZ zz|#?CjkIjop^LXvSOL1g8eq;-1I*ryj^SQ z1E<)~9$qM{*m2WLU}C`(vuZooDzBu}22B{Z@5E)i;mCJsmfJi~#zwYl=N+GP>n-No z53t5c4bKUIgvMC4opSMZTEu^K0^;*<`fITD={wPt{N6VlCuQ`{~I zPc|FE1q(V_Y)gv8Edt#4M@_kGJM{Lkb-BJn`a`3M_U5#MtdRDWW&1 zQ}G-)d1Ow@Qee380aR2yqh^+nSX-y7AZI($p?kLp$Z=uKjS5tCrYJ(X;vUeR91e6B zwk;ACI5m^dc_*zACgd@W*kkF~+@e?i+RoMz8=b0#vkC@JPp}nXZl{sh-(i)#?0DMT z91mn$^mC=gaa$!fw96079f~qpSrXG&>=8i@dKYDa8N<1(fgvv>GnTo7kRAu)p#cjc zD$)%adsn@j@Q&59lMl(Z zi{#|=CmafH`PnPh3x&3mXM;`n5Cr}uHSQ9*T}6z)E64fjRuHYjAskWDVU-ZXpXhM#wj&&T?hxZ5tdo-2=7v==9sdd( z;)i>185kG1nD(LIpgJ6gyEPUPJw%9LXYhkD-dV5b@bdS<8-CK*YoNzrTos?+Ty{Oj zyjXK*vnJ%oaa;^gPDn%al8_eHwVD&JbU4c%H}IS&0)h>}aAx(BC-5S@kgvlp1Tio} zdMRWeXv3Kfh>mbJEyCgaVAz_Lh}aA6z>A6U?c(T)lik-`HgJ@Rhuvufc)6U6Oj2ei z^+7$3PvH%Vj>2SL>*)wf3&e6c#-odkc8d^#;b=iGt664*d##RD1#`235G00clIti6 zeRG`<`Q`>lXp1iwF8%MustHU?Ze_aR#-C~{d)ZU5alUmc;V@dn8M|g&)Nqw2a>}Kan`OqqOIZ~!2Bz&Lu8sK zQ^{avvyzF+d3`v0oKl1&@7NuXB;H|bP7K}xrvPs^>TY=CXV5Ix97msoo;mD-9}n9F zIgvouA&qDfJ8uq}2uo;|P&Oxl6R70$3l1bFOewt04iF8-m@e?wqh8j^93ME_3#u5J?=ij^=`JdNmh`Y! zI58Qg0fsuWy)YrU{ZBNgIT)VA>Ts9caxG%UoaCddM6MHp+-JfwA8PEq#ThPmPtBbq z5|p~(-48XMZSR5UzL@p)03&m$nHP$ilP$<>?ldwKf)dFUYhpK+3e*ts3VpEqmQ&wR zG1GB6hB6LYoNyo3n6i{u5_vwE2!0a+?cI#o6Hd{Eg;^@lO*(UQOJu{Uo^*nYiI{8# z$jah^-(d@ih&*g)Ud$C@D!U}Vx=CcQ1uR_qzc5*1QVc8MliR((NL1mvcTykaT$C*^ zUZ8Mw^Q#SYqZ9>0^S6yv2Xb-5Y;iuh=QnU5y3F0c!i6;PIIeD*JtKdkm9T(0JLzmQ zEI+X^j%CbBpp4V)Vb4?z*u*h_KBl+43Lw;VTNqN=JbHS zVCXY@Pr;S$G{wW|L;ymd+yQ_tWC>hpO>>c#`IqDb&}G~OMrd-1YKYh-B*73y1c)U& zsWfIuD3^LKgnxkS4BH8LfGiQxp4GUhTOG>7i9m*?E}Rzvv3-zW&&>`;o)y(-&Kca) zg%!$yxkqCKv(&Gr&WnS8Xz3Hs5*IL@2r#3TMreo&%aK!{fjONBm=TJ>zkt?t2`tx) z>+FWS99A)=oC^Q}hPA~En(G}lB$sl;0oa0U63004^IDOgOW4l#jSB~{$aE~{quEUy zZI!%Q$rAwU{?Vv?19Mkjlt|L_3}6CB_+bpXMD%{r(>BO0qfjQH%V3vU4zZiiWhl9_!*>Qu>9=71X%9ga})IZJG6Co#C&v>69F84U6 zg6NI(k9-LJEjJ@V#mj8?#O#j1Njg;43-2>hqzmXF=G|$=z{&@Dt8)XGyPE@S_|8fZ zn9QJY3z+33!J)azr1Jpv&yE@&iWl9m_1dKaTh{bP$IeJ23`(?*=YM%{0Cb_oz}&Ma z!j?Onu!>l zH$rE;rW0=QxN_l<-^+;T;>gfnNL*u!W45%MSUF`}Is5^Rw$c7wIlLgkkCt$_g1gv# zEh1Vhf(S>n9(K4ePurM56T@mm2`OxeWjZ|T55zNb0@#)I$=sk#bS{eoav3;zpBIGO z_;fGMvO})WMoyI=K|wf{a&%|VVwJE;hgBT))*eFyHYp)2Vh~en$cp<2_C@H8Er{9F zVIR(7%MqULv<_LWE!8QY*OlCmYZqgQB@-6Gu^W{A+tdVm-3}MGfVIy-%ZSEiTC=UNVuaU{eD(o~+x*{% zfRMjyLX>HnW$oOMh#+z^MeEi~6GO`EY`9TlB5KIB>!XH9O=BO#V4MU!xN*Y1TzlyJ zZkzpBxmfJ1Ut|_Di4&)B)H`}t4n?=bJds=*bk3`kyvFho_@>7sx6dpy zMH09E29V|MI018^aKLb&yXERRPY?xNhzJ%qo4$?ZV52$o?_#47>yo>R>%0oi;!sVj zOP#exUd6IoZ!DzXVzz-{{53R-!zeLSL|#Q)iw%I`zO&2s3e2St=eYC+2y#%mt*zwE zcIlwifm5?dIc!dOE_^5q+|Gn^!Na_8iB9bMkYOjb*BJ6Dm~Ndib5zfU6}xMf+LwPA z6AZpa$a!(n5Lza!2QMv2An!QA7+Z`xhY28yyE)mV@&vgC{kxwSmArPw9(C3oX_<~p z5Z!99e3vpnYrG;*{Lkht1h4vkJX*mK^M-?r|se(ytpHj&EA*mIsT=$K3qAP%ZadN znd8Eh6V!-y^fMv+!x9|fO*UePF;;L`n?bV^eaFz2FULO4>kch*xE|cP^B9aX zc3@z4vWc8No!q{(i&Val(E?=TxPEICv!NxsME(X?#9}KaeU~9Wf(4||tD5u8rr(W5;hD|3f zhm2s(%Aw0AmX3&-a8?^&!yQpt=7^zlDl2XVcfJF2GKXCM2CYaCBp9(+fWz72eKpn< zcRKYt;o5Oz6C$Y{apTalJ58yX-4-V&pc@l|cXiQa9Sg!lAVjW6mX%0|cKE@X>AeRY zQKUGv6C*^@Bg(ys2p=QDz)h0V-VIbwEk%UZjtp2rU6>IaN}hMp7B>u>lnKnKHAG;z z!xUJ0+Uv+r_8x~eTx5>^`YsPQb(5rOanK;p3G~3+aYHts_Q0Y>DT3@^2vAKAItGng z<%|!&oFYedA*VDDI$GMChDQcKCxIyqktIA#yUv*d%n8|?rB1uh`I>H|Cut@w87MlN zOCu+kI|}5xZH7D6O>f3(;Lx^)|{4Z&|K%TgvZ8k{uXVPI-d~lm0Ig`1P)rKv;%WTf}V+TgTaXH216W#JrXbi zb0^MlNPtcc;aGHb4{dRWR#bUMcm&Q^=AuZY9IG`(0&sXH6s9%Q3&-iO$~jI4%yBww z3Xc5(OX*0AM2yL;F{->nE7lB$Ry1#iR%B?4Rbt|tf=-G=(kUAB6PfOakcc9uwsE#N zYZB_G7Xd+xJr{^fFXfjZBO!;XJB5(19M9fGWIA$~cfu$_*J4oCsdO;Np5)_m0xkz^ z$?B@@DMi{hXft7}J(nDT8$K@Cf^Pk^Z8|xC-gbby-0gSta>rk=$_bYIA)X~iNV@i5w-||Eoj}rUg-e&q0?XAoLr(Oy3p#f9t=R)uTufaKXQ%2an#u2# zJQiNOtXeG&V$U9shg>IQk#y|A2Y2fFTFsf52Q5Hh^?jlK+0FhcIxv^=6d24Uow4qT zTG;~_OwE=8Ll8Yg2N2faEBS65*L)B z<{7NA!BV{&{L7EwW}$b02YA;1=` z1ao`Ulwf&_Es$VNd08YXH_`Ac>tiIfl{w0saTXtg7ca=7VP{NSr&~_mlrX|GXBh@2 zFHm9pwBi5`3ac){Xp+~L#ms;a&TQ75IACk9r5piV#*U&8F-yU~oOgrDWLHi*p`7zy zA|*K|IjYuPP(Ka2WYU<3h@ElmA@ZfL0sa9O9wj%8U3Xnc zwww?cQ%M^HhBW42?wX+9K;Vp6t|hBjFal(FWG_x-92sT_xhBnaGwpJ@!qOP+x~FG= z*-e02h=0lm#qYw zLassf$1ZH9O5lYxf^fwSQ@Ab1B?5~kWkXkcI&8|*PB+Kx@hNkhRo#KPVE;Hi+X;b7 zj%G;HQWrnfKL~@4?5K6wz)~wr&x&C}mYW@cP;PINal`Ty%vA&2;|5*C(O7E=1qrct z<+w;{Van~`V8jtRSYf}?Lu=(4>#lrV5QvyTthXdd6fBPUm+U*e*MQqA4#Q;Lu_7b9 z9oB2cfS8>gd@KZ^!fvO+)~u|G0VRqKarK7dXDQr7xrpOoE@n|qTZ7;dDcFU#^o+UNDDJ^ z2L#8$JHo_UU+W}t2%Pn9=eJq}rWkP!1Uf|>Sf!{}#7gk6_23iaWN&XVK*dOzr6W6O zP7BPx)}XsWj!|P5+mkU{7C}It+a<*0xr0;*2I_T%e;gsZKtHtL(5g%?b|xgHvV2XV zud9pC)z$6H4m<PfjhK|fwZ$67I&&4lsh^d_Xn)Z@W|N%XTd6mevS08zNPb2HQl5u7Pj6+?i? zQ>1ExRvd!RE;kqqgAQ*5{5ly9#oC=9-14rSh550kyoa^Psei&bVcM2ba6vh~hC<|I zJ(k8=-te+|p;ZqU*p06=^X`m8p7dDY8iSR55aS)HiKum(7q^5HugF@LM-M!`j(!}$ zHPqyo(KV8Uq`;Q$897*ZU_YdFh5ootE7hQ{1|-fO&!@tRt$HDLHZL z&kY8J*fJw>(D=iJm{eO%Pvy8pAfTbf6AE%dFSQ85?CsPpS&%KOB?pQ-NU$n9`GK1U z4l`Yna9TTqp1pVbUm3_8E&43CF#}d@b~#0OyC>xeOCIwd?q&@Ac6Pcnm~tq{2@j9O zmM*0Fy2QL#+Tv17eMy9W#iC9jVbRiBds340hTBNBu-Z zxl!XbvrbpUk>YXi8U~fR!eR!o#jz1!MZdN49?8la24H3vd=BDwS{m^@&K6DVf)h@W zBvXL|{3F5+FQb*38>}=fsB+jJ>uVR64$kRjj1Se)0wSX0k%R(u2bNk1%yvhGZrJ;B z-g<-U*30*x)vh}Z%F=sPJ*tbA6Q*ax5IqAUG~FSCJdH-ZW3@?Zgv`>46fs7-!kPSSJzfm{j<%KiOCk^3UE4Vm2;Qt zur*+0wRQb-H29U=)ivtw>uyNlyTYVu8|;Tmb$sdRVEakAxh#zQ8FuV4Y*^A&)V+nF zV>lxQUg{|1B&$WxrPBZiuyh=Opk^lrkXOkm<8l$%!Eg@LRhfLX2t9Qyh9Et6_7VKy z_$)R^N5`_br3e>&LQe*(oepvQX+gLIL0I-6=8}T7bW_f25AYo8aF?9mSsN=7PbKDG zZ5AA*PIrclxkFdZa7#0|e63p&oUGR_Z-{n}4W5ou<0CU;e&{T*xG8n`V?$lqRft`3mFz~E9 zzEBXI*n$M>21DSfqZtA>%(hd`O|l-^0piXtw&GA-B_F%>y;0fo0BNRm3f3AUNIKn_ zbUw~Zfd*@Qy#p#Efw>V9DrBjj!=qHPt1Syxaah3fV>)4n`CFQpdGID#&lcWoHyHVF zEtA5B>kd}zwVp3n8ayHEhmxtZ`FJOpemf*-u>;{cAIMWEfd))LM1MiVVk#nI=Qtq60M~}|C zrtv|ixP;1BST`(aRCUFKE0V&|XJfGxj_eL*D9^)CogF`=oP|kws&NhV&j@$nP9*J& z4fI2Jb6#KUGVU%AFz>pOgV!FyeO?}PG7H_hgBh69kcn(`q6jcIV+==*x$`(f&NY~S zg?@m}aP>GIK|zzAr+xh5r8?Sr9|B|5{nc@^jee=6M6^e1WXP;XRHNQ z7aWTQITGz6Aof`Cjhi)fD#_^`vCJJLXwEKR0Lj}KYX~cMI~*gnUl>k5%L)1|WnZ?V z#z*B~oTiSou>0HwB0rAZ;RJ{}mW zSm9sNjOFKgs@tAwz+40-Fy{#X=A3-w-*IWKfwcyfaRS8RosJrqiz^4l%G+{qDzkLl z^>a~gy8l;jf}zk!{&ANm44Au27xR?6jun<^2g7M(=z}aXCPEkdgD#iblLMA#KWu}# zRpnq#z+`rWBHD7ehCK}Yrz4DlhMNi3*DR$&s=TsnI$_gUyO@+)M_clQ7sOf#ofPzh zgr&?HTu}bq4GO`mz^c1HR_Fv~FUt%-KOP%LaLCkdJqaT9O=#Zx$=xQJ%y z4nw3vn(kYebB4|0a$~RwkTYFZE+-0h^gJ1-cvLAA2x!eyz+AE`!R#Rl%)U<`VcQ)Q zV79n4ocF8#(GPGXJA&tpVY{qK3*^fY%WG#$h_xjrWzKb&>4t-!$|AtH%SEf!40Xh< z8K=D~hdt1jBQ)6ziO2%CfLs8GZi;jf$iLzm{Qqp-ZRoyRb{}*G(^NDinVjiEG&Xbo zCl8o3=KuUa656qeRH@0N3H6~0EiAZ}i)hl4 zu4xC8P9peL^naRrI|R4Tvu)Lc)Z*JR<}(++nkQ9#gG zD3>$8dzE?2dw+{;-l%RAfZ2@iKkk6~v)T+TC@-H#T`Ggzd-onM$M4CBN`=BW6840J z!T(l*o~V%ml0G`)`aGqDr0!;1*)%f0s*D`$<eR~$?0qtvcEO;S25Sh;+am1elqZb;gLk?x6IN1=E9nvBF06v;(`6757rI-G^M<(DQdsK#|>YwP*_zD*58 zPp&SeNH@GQLoB?(^~Icbr%SyNfz++COy0e?RF0>#4(fJ%3E0`zx&2_}c$!;jJ(DI< zTp4WV%IEtAGZ~RJxiU(?+NA_mM<++QE;iA~*U{@=Y_`vj)7Qda4rgNT?J^Tq+m)j8zJycEO6j9r4M%IHr9MtnLA#lU0$c zl3PMhZm^pNP)(wG9sZ}YR;dykaLw9u228^AA*EjM)0N|b%eE9{xyO}~MR$6Ff2ndW z2BM=!T|3CC4Kk1ttD3mqQyC8Tq7yEO0n-^`7^SVSz&l>(cOu0dJH?9YOub+^U#6BW zzm7Rmw}fI?yh6;U1iJ#EBH*oaXSx@~&5O~PTn4Y`YKEJ6!ckljp$v?=Il{2_t9Y;0 zoaPe6EcjhZp+j#aA}1Xo**BG}Sg=49X3%VUG-6enUSH>_=1E{EhquGS`jmV@NJX&aQOm8APb!s?_V z^ul9m_EXq8AEff7E6eK1Bsn z9GhP!Jdf|3@4?Zy4Pb6WQg-qRBdV_R}oIC!WgwcmiO7D#g%eBLfhC&Xe zL@_D7Q08#y{&F23TFsq#X;)bc=)J&GVn!umWpRuTsQf7s>k11hzso@|&Zi{3Y06Tr`@umqv82ikM^HZ?B}bVx`pl^T6%)a>SN$ zA%0#2?CZ+tkdsrKbh6sYX(OMjWIWBTwAm4OiE0A51Qa1ywgk%Bg`4kxP(Qa^@heWz zVu}L%y+Q0VS%kE!Iub=y3(XAvWzDx0gZPV+Katxksj1$=1*=CjbsVXtEMTwZ`{!sM zSeVu%Nx@)mQwIdg&Ii+CvijeE)q}NQ_cvM&GaPV#`El8dIB8I@ah1?F$jl0EVy(5QfqyFDrL z3n9T87^iA%TD#$tk5VHZd7ck59FQ|8C(F=Ew2CSxyA@eKKlQX#^nw+u2Fn&x7igFy z*jRX42o?IZ5GrEjw&-zB5bH4YTtoWP`!K_WQOb=9R*g`=DxM5hadfb%CFR0D5?k(O zIAA3~f)$?!bMx{`*f52K_QN9>^$6=+S>1TyDxJnbE_k&L1gnq-SY`I}wr=*_!c(w? z6y+SNPCm@=X)B*QoXXoYFl5M*pt(VOKJ;93tCkdug>j$z(_5#}k;g31J8*}M!X7Z) zfSD{wtS>%XzxK-v0d2>U#spVtpYqp=7AH=yE^jI6I18_uX2iVwc_F1vZRX{OlDjN3 zF#L_%RIq(Gi%Iz)*oQ?vjD4SdB-;%N|CvAq9i+w3(|{g zTy=;CyObRUSWXis*n&{;d0i?QwP_P0Po1F>3og)hBqK^6XvLRmApd=xC&y`hv0Go` zG&8T?i`vw|KV`O_e*mkjQxO8!Aity)ZgZ=(jIXF9V&h&_!Z2W!Hi9WE%Y}KE>gG^X z>!S5Tz&_;OqUfYb__}nOOsFIM8Db(W7N#{-qMDwciZnT)T;lv8@K1k9#xPGSvnvy~BqzVM;C=m(zy-hXnMH%+gHuG;U7-U1`x8c{0<^ zE$Nf^50Cz2?8=S|l3-|*A?MC)YZUw`V~`|Kw; zS+&6!*GdLkr|(3j8_iX(J2%M!b&5c_1;;(w*>-x5yQH)w1Me2Db}AZP;1%&rZdaY+ z6EFT@syrW$xj(f#%S;X_i2u8YNdj_KN=beD_WI)2yiQKrOzN0~|0I3vXSvIBmBESu z(c8+^;L;Soz#uodv)n^Dh9&8FPD+1O!IV1C6mOLAM$PII)OPc9*Y|rUj=frC^L3(W z$qgk$l(f{VrWk-E{=zD~qqyt)*Pkz1)bXLJ-_&m4_K)Mgojy@}`jYK#zWs3h`j>H6 z6_EKSe#`Phj~vaD_KD?u8vkKZ$!Oze?miU3F|-BHmQx)EO_DvQV37o)JhT}9xs;cr^*f}HatdLP3}gx;s;f^2i7iPpYg=OT(scL)9UAV{9p$|c#UhW1j)LP z5+uvm0NYJ2-~ZrFw8_$Az=~b7V@q~`mCi3<8#@0c1Q(5Q@K2#`ecZjsn`>O(dIVoA zmF`s+2&@THnr>Ztl+;9nYLe+YlhTXkoKhlBPFxWtZDqerC#Mn({fS*yA`~oC&Y3mZ z#wQ6%B3ok(EyDkxzZ?meTHklfs_wSTo`zMvg}`%FkPX*-oexw2wyRV?zihMV+mj3H zwOd4}{G*sI&)=OnA5JAcs=7{7CQ^&wGkKoUBr-RRuHWXjw1K!eoJvkE6CbBoz1$>{ z97_Sfw#s~vB2ee9$4w~|(}yV^_25b5;tGZ|@2n&z)UdB~MHL~11tF`Vt$B{+j@5@# zi7WSsf$i(ptF{a)u#)Ic1X$5qwTrpP+Nc;4_aZ%d#R8h)tSgwJ+J>d3ZfV7hSm3|6#Pdzw~>&%1c)LGvs0V6NpbSXHEoAq%y8d?vm?ug^!scS!hnZ)3v zx+E6lsr4G;gssUco{}M|oGFei)xVF9PFzPP>HhS^`v)^uwhj9J2l~Bb^57$Pf66>H z+mm(t3v!yrPS8@a+zSr;iHE)wvm}Roc@*KcQ z_W-L)LyFuUUpO!XR*S$Xrgwwg>al{z%Z=e5RE`_ADml)=KUff}9Utrp!Vqk(Br#*znZdNYpTOuD~f z57O(H97$?8OvB_hI3d$EzQiJ>@^K! zKCmhP0ITjxV3*r9I$bxIPMbxvk*Ry^+Q*?1p1|6)Xk~C#SgrKdIg;JkaH97)lXPg! zk)&+{#)Yfw3xdB!#<(MqVGQe6Ms31G1%J&oa3e#e1jHtIZ_l35#L z;NA6)hvi0FuZRLzMbW_=a()RbD6Xe*Qgd>gmupsreX%U%r3Y52%X~?(TdHTe1?KBk z>wJ#Wzjbu%&9{*bV3<7h+_jfsiarF<^9M&I%(=Ax)S5_h4`z90;d01}5N#0|1o$0}~NVSTfj8-uRm=H&9 znidl1f3Ggd^{#=H6rrxI3jD@jk~xZCEdhBh)1hYE);nm!|J@c)m|SViVB&wd!D)$_ zFiLU~D@sUpc8Z@*!I3>3U~XbMzznRwF=u8aOMz9209Zz9u&u6AfB*90F`xVrZNExi zqmZUwYix?5el|t=wPxYqdN$*Bjy$;jum9%9zekl}=9QXMj}BwJmFfysL0mA#d>qd- zR!!nlTeLB(A%X`DC{~RtW4Ds%3QK!w(kK#-x!Nh0lEEk{ePS}ePSS8A!f`d!-Pfh6 ze^3lJS+6p|x>}N%>nP^5lSp69!!vnTB{G!2kYSZk9_C%8kTT`UWh`y{@yy8sTkgTm zDSiJ5NY2IC*-Qlchl^Fh3&W*~fxxOAEH~zA@(=#)8k^3A-K;{jkk0As=KN=olA7~& zO#Pm)dZM5G{%1;tD~2q+Ps&g+B9W=|kc_ET!t3?(>&JhYbzL2haPz926tAxCVSbf| zGte`VE>VHzPV8;B_o)xNNBw-z?9@nZkmf;-=fzcQT;z_ErL&vo*FDV*MjL`ZIfvzW z&-84A)zcSX0&w+%)wx=3%Jnz@LzXnpMeUvEIq8RAAdON#QT`_0Xu-`~eo`|F=0P;D zA>zj^k~mZ}B`vB%GMYa#qK%l{m$D&c#MMQh51l3VtPi>KHcRYKldv+!$ka>-p~+S( z49sIl`Fj{(V&;ub(53s!_$vL8C@Slko3S(}?;tc5rfxnqGaZJv4KB3J4W2ucQBevm zlBuubSgT{g!%F^4rt%pxgMVe1t!{HCWo*;9deMx?6sC=@LmtKKhGg=Hsb`lm!)Y~H z6w0B#_vE$N2eE<`!=g-;-+@+R){xnGE@rjCPL}j254A&tl=~iao*F>xT9VtDKja0buU?=lNPGU=@W};;%5xl;GlRBMPkXBXKLr^_=^yL2+?8BQ&hV!N( zwg+}W!@MinbJ?`D$h<(7n;z3?gZ;4+`X{5M2FhhE7+zGc>!<(f#~*#01c&$6-}?2B zf8ZyqT4So(!bhx%;C31xu3s9?@Ps-zrbcb2ORnj7x>x7&IC5=Ul)f&qnC&ptg)>Z6 zVd7>o2=fOO;$|W&lq@&iC(?Dfsd9sp8*;jfJ7<_W#ynAaTG7AG1i?Wp@`Oy2=UMV= z@;pxq?;D)HA&F`}{IWIJnZ*kk`^5{NNCK4PvZs_-@xcV>P&=j<&Y+;XurMzX#~I6{ zsc?i`;xYrk>W)b!UHl*$XP6ArLJikpVMg25I!`gN+90JkCH5qa=3U{H)-F1~j`rL? z*pZs4<>?>1rH`CZ%}(s!la;frd$LL9<-httEF{^AJjz~JCSTnW!Q+~nR}uczqze8d z7L=;gWOiMB$yic37gs7e!uINj86aho5GH-@1WIjivb<{H>cS>3iL)Zdaed!5bJ!%~ zFD!!VOhYPhWgt%ySbecP&r%2ZS3>r(NhE((=!dmj4v}%~=NGe2OG@yZ7s0VROQtf- zI=Z)+T!Ah%`=zi=M~^&D*|_DHoeYGe8H(5){hvMAB;HKOh1mu*+3bSx`qyUgy&5Ni z7&pw5%-*#~N@u*3GR1g#!o}R-hDoGLA!doj77x(7v`N^?bxq{6mGgt-t?Q{VRk;>M zYR4B(hT#MKfBqibW0@6NeQ;en4XjEZa!RH9@T(Oqs4FUquDzw5m}`M#GLRlZ+jEqN7`Mk15(% zAf93ov{jG#3@=OO16G+oVDcB%8}{M(9}o?VYbSL|u<`o(>mUD+){tNL`o}-0B0J~N zQED0&SdC-&)JdY2xUFMyWdIQD9%DF!q`lRXcPN|y%MDUaYcur5I7!-XwJQRPGA2X< zSjk>OC6(+2R$LmaT-0C{l?1C0J0tU*6tMa_+DyXa0|%n(WLv`LVIlY)j5+tIWkn&En0u%}I^X^iM5I#M*L?Kh>QSPKbFe&oT;eIdC_varVg~;# zhU`e{wrHW0(RkX^_0#{A+wT%2W0-Q$6&bz}(o%d6G3jNrE->W7Z151Mo z?4sr%zF6u?X-r_nT6lK)qRBt#-^(h?ji>Eao$r63pZmJRq6QA>ozZ`#n$LmtTsI&s zxKVW%ZG7-AKwho@=S0~{%-{}*e!U4wy^_qD6;}H0NR-Uq^N`9KEVY@*FiNo?5jI_V z##L&Q7*%DSfmPKk7^7s{%t?HB6`*AF^dU@+{Yo69&bX^VyX9k0etLKIWR`P&nvarA(_1NIu6Js);do5f+TI0&! zFtE%PA~h<>1FUo+Z5JhZ&AEhqh?lam%2tTFPfQP~sb74vq}?>=%B#p6JNvx0^XISN zqs>LAVTBnfsHxSMjXb?6U6q z-~6qQKYP)|EpaIOBHhV+^p#Hw*4md8l+smEUeTk5oPEexPEn1F!gNJ*+_f7*dPs?N z358)-bNab2CvmagHf$|ZC=6_#!=fmjBYIRkhd65tPDWv#8I$)nCM~H2w8mh~S0#Gn zO_OU_)-_m0$WRs)BA34fCzhKgQL(>~C`nc@kD%la>X=@KB{`@Lb%gPW^X!N4M1X-7 zRo!Msvx+OuTQU!;E?+mWluQH51`PwdWEL0TSoHaW1o>&#CeFBQfeOYP>XQyH8P>w8 z+ru%w{~>YSOjK}Lcm}rC$tEd1%E|S)BjjQW0zv1a8~i&a&fr*BmQz_4e7RX<$D_5p z1?J~?&C78)u(m+dG5q*h{_T@-pu_?JP#5U!i|gn9M;C~+ti6x(Grvd4MbfdF(ncg3 zay@qsG3JkM#1hjKHnyhgwoYy4VLjJYp|jg^W5I~me}jH+%6{ zZ?{P#z7!irTb@;6jdFxeW04|$O>~P0`W=&b_fL9p9v7vkC)ewmlN?-@kHo)B3ouSw z-dsc0=DzO9fy4pY6v<6ew4}If*66>>#D)KI= zrD%5Q+iQ8T?m5AK#;tp(@l)EJ2;y!jQ%#x9N_WUkSyCyB@84d({=fLfenZ*t>IBX- zsIp41O5Wv-s5%n+smC7qH64a;9IkYHvO{!>*t3nqaw-{`*{yb6! zZLzR6d&Q$=vXso7=V0?-Cdnf?+bHq5!4EGl?GlsgP|A>~PmvgPs~484xKg-}d!@f$ zew@hCMzX&DK)=gcJLJ1gR14G8kq8`=+eb=M2@$TA<@W~vjOEtgxTIDsY{rQQEIf5! z60GX$rUQR|v2v@tq*Reh$y|18pWR&h{K3wH)#jqo9DQ6hE#h&w)6q#Pxf5l!a$%HC z=027Fg{;rI7NoL==-#V{1k?X#`4ITGo9xdq1G8u8z-YV+76v95R4~!Bgv~>HD-7$^ zJ~)8{kuj76$NwLqcNP}K$+>Ij1D}{pXP4&}&wo;GR^*1xX2{<42R(I> zHK8cs`dh#2*=ML}AqV{e$+L>Bc`GA3OwG77oM!dZfoGi8DAyegY$M|lVTW^x@Tk+L zp*|44DaP}IR*oO!stXOlrqvnbxl9)MY3{6Vpv2%jtZC#>Sl~8JZiCt*xnO=TO;oT+ z@XAfU`m-4(nt@VHYG6wg`wMT$%q7fn?(6H9{-b%pB-<((PC%ZJvEzaZy?#Q^x55Qf z)Le#5ZJmE_es4MHc}qEnlopdpiw(L(Nf9o2C^yDQFRKF_Y#g|_oix8#(xL+l&%-QC z89yfPn87!SoY;4X8R~0z7N^4?{GWES{UDn(+>Hjpe*Pc=D<)=tMcd#_# z|Kp<3eGB~xwM*E5h3zu}B%^5?EOJ@~CQ7<;%@Vz_dLs=bQmM0EOgfo6n+G){@ zNPf7^%yq^|tSp696DO<~@X$MvM8(GfV3=rmRkL zh5BNBUh9h|5iKzzd!f#lZn-XE4Ve_Rh7_52i`~F_qj+GMW;C!&Ga6Wiw88WwObm)M zJ&x|7tfGb9;iTfk7rV!0ma(xT0Sgb{XUs328k5+Jw?;uEyUxl)2An~0)NJP|Tq@!8Vk@7==BG<{ z@&z^R`PP|%g6+wC81f}%Wm-h!WA1xaf%rXnNreS^>KxtR|Dk~3z(pWnk*+d7nEegs zJk) zF{G(>%cJv(Y7wTM_csZ6(*NecrrM$CQGK1DM~!<=Nau&}CnW(-X7QrDjQ%&}NwsU0 zOv}J+oCM}rL_){y!>ZW|D+jpz~u|%uwC5pL+FxK!p%?rn;eQfCpvKH^OKpCD1?}Z z&-y)2;MN93Nox&>8qqP|WN8^eNDee})cJ|fRuCbUnFTlFS{FI|60z5z5pm>q{u zdIhv5D-QEt>1a5`iAnAHA7D1+AxJ8MIGb0_|X|^dMCB;@K1>Wm=zE1>ui3A@Z8N_AKtCfa>1i7N)MPeOeF?3xHptAb zj|-F9`p{2O)xy>1z_@AzJ#+7&@Y9EorODY`mEH`MptMGw>qsTGh)U2xHF_&7sEMpE zRwGy#oQAs_cQ~oU)5EhCsRB2fp`X_{J52T<3#Uyc^=J!^9+jBFb7IoFHR(O{QemxI z+6c5(U<)ObB|7ndK9cv^{3VlQp4OL$NGI=Q*p`?ZsacD7%Cmp(kqURH#PWKYsfaY^ z4@%K2ZDev}>)fXePJA3O9M_#nJh`uVb)>nLg~{BiDD^bWOyc6>tE0mKiup(ceIC#M z+KfgRwQCR0C#4f8btLdtil3%rk3#0VEaPf>e8@v3=F&)kJ=*l4yxq*6DVV9!EETAOylzk_Cu)ylR>zLD+A^M-EN<6jTNl5d- zc_bDo>YsYz_`1o)s7+5B!pCvmRbn@+sC9~wlQ6kWBB5w=o1DE&o20Zb$>M)c@@24} zA2RRPl)p^AVNaGeljqLLuDdNXRJ?yWQr6tPP5#LL)6h0{|mk4ezKG`>l}Om6!D{b!T_uYFlR$dyvu z5yL!v$u2jhJ6UaT4CA1MX(l!|XYl_}K=>BR!koh6ly=jhCb=Fesv9BSuP`___O!uS zf4mY|gbp@MB68PHXh_qIt;Q)atRtB!`TV`NmsYhQuvBfXkMpkgP0J7uwBneV;pjtT zdDjMqf6r!o|ABtmW9?elAr_e*ES*piVBH+P;<}2N;b?t3ocDhdH3VQ$s~d4#osHng z#8cDET}FVFD+P>kv95v1Xf70s7?{o8n7brua5J#VJz*=?F@a^B%{Y|qPJ~1M1I%J4 z1E+&g?wb3ezGPewH7+~6j@=&d9r~Z!$xzD447plZ)mDv@wI4Jyw>_`yESydzU;F&s zB_~T4P6OY-a*3LooxJa;|mBn8_mz+9I*ukb`? z$#Sqh5>|PNUdTF%FYo#vU0I{sSXcx*4HIIcqRYOdS`zx=GP^9C#dR;>pJrTNJOVy8 zFVWm)NTEWRXwd1$X5_fl6&p=E7eOBBQBkIJN=Cow ze^MYTH_MS|sT~W;C#)~w07>0ZQY=Fv=gK+B+jN=S!TdTFeGv^dpKcb>M8UaSL=r{_ zEaS@f1lw|hNB$pFEh{5cr15d3Vj))D$26{?lqKp7(`#q$Ia%Q55+$6{#F~J3ichf0 z!vd>}Ot2PM`fQ{g@Z!zSwR?>)$X28XQybt!tL|y(2Qu#Zx$k_YEGVA@o}b8ajt!O$ zH9WGC8^N--gSq_DNjVOBvk2x`AH0f#w=TiFLzk0L?CKoBbf<4qGH2RF$wt|(XY!o& zXL7d8A6R+gz$&Yf6wC)2M=~Ca^JaE{6;A`3j8wf~##W4=z|%s6+o` zA=Db}R-{LiTM;aal>H#ilox1XUCBo1{>4UAeJ+w>)Jjf^J|`~IG&kjsXNz@ntJ->g z4;982Ph-=>wCsg)E1ImIMNC%pncf>`mycgrcxrodF8d@G=G46@V<)u|pDP_k0H&y# zqqlgUtgUn>k9LtR1+d8E`eo?Hg3^}(MpWmQXxdX>#~`S2I`ih0ef8%0m0>r?vyjWN zkitP7&=c&Y?6N7#=zHPzB_|;LH_ykyZl(^q2UbXj!kImeN8hABBz~qEFkvczPx#r{ zjlM*gOXK*m*3KdhFc;BaI~~Zzv1ejoMEArR9t+4FN@c2xCY>uCMYMB$fN~K5xI9iN zEhS2M3wBG$_dmG3Jd0L?+@Sgr60#SL2J;&km$Lz*TFt0m8Fn){6{j=VB!C>5vfreo zv>cXso_9bbyl(iL7uPR;7kVG(L?CTiYZdGzD$8-Yyd>k8o~%7C3m)nBBQX5lLhn)# zOW1H4lwmEjUz(ZhqxAS}@7~u2RZ^dDyVE<$DZLZR$*7&%!)2dXaDQqM`b=REC`tQ` zj@~6oWpF)#)(T*=JhNfTSfe!U+@J)Vyrger{A`;!)BCj6%F6;Twd;BRD}Hb^y}G!b zAI_*Q-D^z&u>M$p9Qm-Mup&&J{Y>ewaPvbjExBXiX%>|ii1Jmp1bGw@ zjw3!Lg^+n2G2wBu{7>R_0^@;JydlIPY;o!pTY~@c9LeNfQlEi#cME4mU`e5=%VrTxNJV+_mrR%9ihQG&grp~ zUK>%^3=xe_Bx6`$;!AOJX5EH89Apo<%1nP~4G*skny#xOH#wvEjLfD5F|qRaO#hI) z#-er^qPSoT_x9k2b`@Xu*tEFF5@^XMOJJwyAE5)5CUX^-t%@Y^^IKC0-~T{A9j^2jLBAw>1#4mrD`vpT zy9riiwSP*RTDj_!8AOk8m=u>Nk{J)Ho5}x){Vk zFI5G~bNM!fap6l-no-x8`#be4O_2K|xxZtaldgJEH&VUsajAB`q!mv|Ha2Maqg>~- z&)vRkZS11)mlYQ9!@!ogRN@%umR9_KjGI*YOs+~6b0s7lUpGvbheWHag56r@o%oW0 z)zJe?u6#|#o)bnN4w@m|tB;Hgt^tIdFHuTs5z+ekLB>OUiIR}|;}00RmX10)tgQ z2(ZwQ@Fl>iMC1smz9wK5mK%pjDx+YyT71Z48N}6|mrI^!A}e5DC(2n-@W}64WPA8z zG>M0>C26bbZDQtkF8(ly0V|B9K4OiJ%yklyC}N$sN74*uMiDD(F5D<;JKfGyzo!O0 zRXMOq!vw3a7+7UtI?L?gYF#b#Gm!z%9m}(;H;+w5N1^J9d*g*USJ1C8qxr!7M%+ze zlerOVay63>NSE=G<1qT<0mvf-tGR_OwatkCBoH>nfVZZyGwrHUYn!eBsfU1_Yj-vy zbsi5bni+!2+I|D?jNzbQxGuEXbsf`8t`A{SCT6sPKZ1j|M25*b=r6`?lNP4sSE64Q zaa~XAre-dr!mAKR_b2*ANQS4NS}h`6Uz_2K?s3;|{P2Xf%09C3%KV$`wp92XpfGpr zlV3A~nC9*}%))M%QA5UOy~Za}@8btqw8h!MQq^Vo<|9Gm;)FZv_m(iuKo>T*~ zPw@O;X_8{y4zW-7> z3}By~s9zG2B<8viI7T{3B_LJ7Bp5!j(c58f0ai&k7)NjrCQGESMSm~#Q;J9PLo~Qx zK_ne1L$ zaJIL1sR*THy7OLU|K2TlebVt>zxj!>j(G#}QA3M}Mb!_!yMFGS6O zi6Ew8QZq}ouN&WeFTa*elULjr1Ulk2YQZ6z2avW!|E3fa>N6<#n<%x;! zB7LEM&CiO1iQJXmBC=boc$%N(-Qh1v@0AQG%}kC>@AN-CZ<7ur6=x)c4kc~mn7pZ+ zTq)_nH%<^I$BCcS8d_x3jd#>VY#u%;t!%svwFr%+7LnDIgwN>Q`MvMIJM4U0HOiUq zEq*_a_CmERl){VD@XPBt>f6kpw>k0cHvRhxG zWk-F9tcZjOGZ!tWf-XTrHL0tvmFPJYQ7w@lM{jq0% zAqxnoG*!G0Ry`L7R;I4Q&Cun`$y&*8Rbzig=wWwrA=k|W%b1xTJgml>lm;tL>A=#7 z2$tPS+1)r%-6XK|A{y6@0kAp^CU(ZwrVz7e7fes5pdpAZUPO#%I*K1G7d}`u3YawQ zlJ}D(f4>V4yBn20jPW~KWvi8~#gkq(nQ&LR#ij{Zu@mvmxJW-DxqOX*&JC8O-Mr|- zCc8MQ&I-rIGsVw}SY4c+FU8K6Bi|m1Ji@t|lM; z!Np&4Lz{-;qFOT4^^mi0zDE0flL}JS3qf20X0m*eBK6rTZBRev(iH5uG=R4%jO%VG z8!4VXos9Cny%n8KCLxqxdJz9~5eAXC6UOC;fur~N|dGSg}yH8sKW(hZb+7tIK^ zEi|*;w`t^>M92|Wm!COV_fm>O2{yd^Ap!5gPJ&2d_2sKeNgMu-V%+nhXR4BTPD!O{ zpHoLN(U`np^!WXQPYx7*xD-pgu*G$dbmc(Wi-s`kA~FN2qFvZ9Oumt~Xu7VGo0Ex~ zVqW!n1x2r8aQrOE12}pWcg6O;L4DlhRKhPBzN;;V42JbeKeK)JvMvX9OE9> z_)i@_h>~iD&=6XN$rauQt5Qs`>lc51b2y||#h>b3k%2YRu%%wUnp~P&0Ti&k`PrGl zvW{Uh5hMqv4xtkg$FfcqG_Z7FfGsI58-pESvKBCnJ!>;n9bz1rQsb&cpm7*jjk^>p zFi@Y48d#;m#%YU~JqEkU&=Z55pnWpafu$%1D+kWNij7DN$fR}hUJH%W zrgsKQ%Zx8(e7-6Ti9uSDUlv(&aV0YKdzfDw6E@649Vi5>-UY+2OY$o?Ps~XCQxf1D8a<>s~tHl%X%Xp2?FjkU!X2xW=_tU=n0+M0j`r z@Q)%Ijc}fTy)A8E*hes_sVOZt=Oogu+@1#jpD7hm@U~#j|vaR;NB%G&awOh5TNIvvm+k+6T{t^mo{OP>d(a*7e} z?Lm0|%3=n)e)B(*MaGjFw0gdtYSPl$52srZOfn zOs)Xuz)I%Lo`c?v+={bII+#6t)ZdeA%jJs4eDj+v_ zP3AEy*#7kS$*?!KUK0ST*95?BDV%3n2AB>)1FK{NtPF^OrHcZrYT~9eXKAUj$uh~s z#W<-yrQA!YI-lLQCS8nE$|st+Z?cVIFedxTx?_+b)_6IvUJOrG3mF-zo<2- zP|c~AFOaun(P4&}%AfU|Tz-k@d7hob&(dM0@;k1bAJnoWe~;I$*6^0Y?dT3!CN;Iu z>O+zf&vTc-RHS%T6Xz*jDAA*8IIv2V(GVJ~^xnjR)Vv~0T5pRU(j&jv+%%b-2Pq%2 zOq+s=#7yggJGvgG6Crxv+>`HwU7sLn-C@lX&fuldW8*C10)C$V^^;Pn>Yib6&XxZKs+2W@Y!6wL3Ph2y-N5{n3bSH+Q@>g}`T z*=?E;YK`ARipoV#A2PxbkPGAlyup$O8CNaT2bSysjBhA^k9)i>+S})szG?i~iB^et zebZq7{3Y9_Ihzvwx&WnNF#R@fE|Nny0#=g8z*b>4*y<-C!79mPV0k295p4RN?FZ|z z00XbT`E%n3s=SVQSS_ttFpMWL&KX+Bz*_2LDE@Ua;#DL17WN>~x@-ZDnWijteV#aK z-j0x^#yxS;%!m_D{jr_H<6m{u)Pkbf4;xSYNrJnOAzGctV3rhup1;0+^Yg=&pU|>| z58^txo)so0jGl1pOsqH02dnNc*d0$R-j&DpZLoT&8&xdlEP7K4}E{goza0$8TlNwktaU0LznQ?ph z(SlsSB9@UdNi1MxI8Lx34@Ty8)<;q7mkfjJAN=`e->#ci*=iywEusWsP8Z^)@s!|a zY*#xL>sH?*X( z^7{R+K6!STeDb>G($Uie$=_a@wYe3CpH5Y0GT-(fl_!;BbH^qf9G$*Y-b8wYlzSk) zxY$Rc>m%{TxbhB8sYsI^E&6fXxBUC*kyVTeN9;RCSqKhgZdqPD?bUQ^0ym|(jOU=g z*opNb^#YNvs|qv=SGDZGN-{{v7%P0k|3hcukt=(Ew=B8LM77e^x2MOY>Z6VpUe+*$%k=-(Pt4_ss*xj~j9GHtH@{ z#)izo(*35@O0X9;X)CPq`J+kf2v!DXfx(O2k25TK`(SHT%$m@$B{a z-5Zm7_3rwOFN{7Y$tOq=W2v>cLh6H58YVIves$FWs~&Tc2D<5u3o;zNzlG$qP z`or0-b*kQJFN)9r^mKx=J~Q5bj$67_QGrz;39N&zvdHSzBf50-kY9|1!1QYsiRHva z+I4|+K}a_Rw$w`Obcj2B-Tray#+qc^o$P%7gC7Ex+M|G^)Sf9INmMHq$-E?6@wQBU zu{Yz+Sg`(hQ7S7HY?1D_FR7A0UvhN3xy2ZS9V)wYm_vr~SSim*q}(Cetgln;RA1td zleln^k|>f-Qld-;{TnTu%ta{?C2aW+pO{?FL1Ih#{O5=8_7*Kr;Fjw?i5J|fpC{LXPjN7Ct3c-?^S@s0{BzmFpcCobGye^#A$0MSv@(tI*`mZBn;T0*jCA zFfnclm3|6+OSm>33*W|F)E*Rv^Ri5#pPE!!AXsUE;@`y==FP4=CVJN-G;H{PNWf_} zm#CH%SZ6G8tG)y!6tSYqQfDW*<=_D~i-0Hjtfy|$P8YLAE9P3~=`to-W#(o=gWJ?@ zR@l?8k&V}Owacp=%2=qIgnzJ+>!Gj!$is}suL<$^#o*!tT`Fh*N=jG z?BvPWkA#0U(KR87;Ep3V%7Ef(Ep?6ir8p~pf~T$Gi=}a8rW2Fq{uIoCEeUBoS0Ff1 z&8$CLU;EXjmFyq<9p@jse)E@~eP()eOVC#D<$&uTil)Y zXNu68t7x0IrX)HqOzF(pB9^3>B(2;uZ_U|_HylAdR#!5CwLR{^nheozmTDfVtfMkn zz$%(W38_?U3wJKC>yvIe`eQq{Bx#i=#l0;qqUh^}g_A{~Ggp}yGQsMLM>EO$W8rf1 zk_mNJq^zt*JRFI^UV5*7oTW;D^=1SUb2G}&>+dmafJn!DX%uZ63$8%fgIaRLv@d< zyO^6DnK8T=B4BBXOmB@mCNPpZKg2r@Wf8DcOXaYc60CBS%EFU7QoNK;rWl+S91nSU z{l0(k*>_Yv{&Xx%&N{V(g=2{>ML;~EK|u3dPhNhohY9i^0u)f~r3|b>5h3AfwCw?h zs@*ZS#KFKqlw6o*UDY+PWbj~x&w(XB1`E@Fh=s=?t_OdQM2Rp9w-U`BSY@-uRoAF- zAf+Dyta6~mhe?qeMc&QAX~ z)dU-?9BFj#dYN?e@pPVmP5he>^v_*013bM3x?4_QE=_Kb_xFy%n_0+nSUm>7NLd1q1Kd^e4
    bMZ81e^s zEK`&sw<t2kCY~B6%i%F@Hw))Nq}l=|m*X*T zGiuyprG5$HUMQGe8;LDR&8tgr_`*egSf0C*@l<6WexiKpVCDV-Tm9^m>Va)iHjrDv9s?_Y&9JFt*r|&yDxtU+$xd&i z6E)5Hs7{nNnJFc#$OP=68{BysZ9WY~8?3qxu*&`gyHsEYu&VCBWI@F-fu%WYT$#;a zmA?*l3nKXb2W#OHhCOy_lczpKxcP{$D z%MaIY{ozkO;>;+~fF3f3OOH7PD(jx?vngB7MBU}dTn!b&T$%TNZ(%G3rd!g&6%#SJ zG7YC8V;zA4lk_5*yQBf^jD@wB;d4JIUn9Sm2QpbM#+*J^3TQR-o8Wbte8!QQd3|Iv zrJ-taH6Js%(sIEn_1fHeIeo_Ml>MhqjD?Rsd9%q?S84Kx#umn2^zrWc@xM-XVY5lP z8cgL$TdEp0c#}?3x%Cjh%3*14Mcs_s^C!=Z%K-0J`f;O8mSS6r+@jrQ{GiWZFXmo9 z{cp^p43*A^k5!nNW0WnMOUY`fZ+vxq@j17XjutfNXEn5}pWuyNVV1Jk3NyEw?toQt zmbrWDiuHCf%2F>9_|Bk#$=dby^xQCl7%hcW9;oezeg8x5$c;#@tQS#x3xpv{ zRh$q|kk~v|n*5DYvKsf+pGMDxLX%sZ8<%{`+{zL)_icF^G?PwGkhyQ`Q3jK%bBlo` z2f@hrJY!+*fEh8^@~2?FA5+ zI|T%HgAVeappQ0TS<~r=vv5Vt$2V24xEokejY-eU7?8<0V}7xkfre3g*Ky|Vd0y0& z!>1RLD}<^K@s$3^2j!Kw<>s)m=;)(j=7coZk)U8yH}3g%ALv8!r5e3GLfbgb}>l?K)}phVp=zj-En9? z*B&m}dwnBCn&Ot4>l)++RcWM_{K`X7dDBd;Bp5D;>eUBUy;{uen2m9b1?KODnG?r0 zxoXrcQkkFf4KuI4PJ}sml^*1& zN?15txj43kD?<~k3TF}Li z1#_uhwZ^0G*TJ!w@=q*W`KK0X(T~BkNYSS@nU8TD1!3=|O=Z{2$lNv4GMUQQHbMQ2 z@x31rx$#iO#xSYP@XY6P6(-k%dz0uL0X#HAvc?aZTaWq~M{?AMC~UjI?okkv+lx6Y zE(E5$*XrtFZo8sTW*L3XE(P24S*}c4i&Tr3Nw`g>Vs4eo%(!yuNPoe!BzY8dGJ|7`4r7 zD=TT4TxqHXN*Q0j9!&gYg_&C#;f(9kVU|9S^c{N?Z~7y=es}##|L%qnuCSzK`f7Q< zU4tiJRZ`@)I~70=_cD>l59tzS3pvpZ`N^P-mO>gGZy3GjVQ~0p4LlT zvOqWdF5Wr=(?w+A5>Ae~3eAkuuPP3aC;p3nnUSU>HTaC-9l#=>((JHQIi3gwj3k%R zBsWgO++DkPc4mYUQcB@|^Wyr=zc~A>b~n~4?=Tp~xUMgap-n5fVVPHGZar6F?jG}< z`~8qW1|}&Ha@5*dm~&`_8CR7TVD%!Lh4nf(=Fa-GiK%%#fHzo~Rwh@@ow@Z|7+5`f zVs3O7-PXNyx`eut{5q4DmyPxf3JVnV@kj^RnJarBKTa*>_Q4;Y(LZjub)d=DPyPGP zelK!f|DYFfRj?SWl)c8?a(b7AstR;oJ}WLR+;ZdgC!0$!D`kbrPbQU4Ox!l`ZHJlRwF;7%)p0nfMt-L(Q%3qUKf$7IU|j&YQ44ZnKU$fg6*n5Y*&q zZ%KGpLl7ww6)l@_Cr9rsKZPEfyw_w|q4{;KNczDlV>7q&)|kdgg<3%I1?xihouKesNz;>+XN*YmuU}%}YKd==POMWySoSFY@{(F){jrQcly;^1s0;)x z%Ua{|5?yUt{ZeGRjjulY!Aq*o%!T%OPz=+dHa2f+&*oO6 zbmPS5^CNZs*iYdQg(jEkZF2Rg2diSSv2pSS&A4(qPvhd{rcJ;|kK#O5!m-*yfYtLx z=JFBc*G*Pa!Ky2^xv$>&ekXUjX{2)btETgM5v`1Xo{RG8_b`_gW??$<4m;eBBW8N? zhs=L9`Q*L1(-_ZS&6ORopn5PZd1Kv-B<#1oBnFOCB)`PuiclKI4q3krth~wQ3P;vB zvOkls5n*P%nOrx>BBd~b)f@KawwZ3+Lx|qpkJxLqDl)n3e&Z@K4d!{6_3O+<)ho<6 z=d{Ay|MAwWK$BbB#9>R!W7&D_%k5!rp1DaaFeB z_v)o5bL+7e<8&a5MF2k}7dN?cSebRroo_1V39Hk*dkGY7AFK>yV>EHlEwJT<3ZVODwN#`}J5B}UCnrWh`E(HIO8hPtsSDL z7HZe3C03Zp;vEHh>Wi!T%-r>hw-5HN&VD1#YD4$CGr0nQN(8IHg)eTWgK-tza>Bg680}H3@U7Blu-O5HcnsM8oz?L+H+%(>QKlX>(AmsS~ z-h9*4I2qS9x%Cyk=d_Q^tyfjWXterWf6wd49$pTh$?Yz+y*~GT>d0I=+PcUV96EEo z;Q>}4s6T?ag9V#REMEWCUz-RI`p#gnzqjO*0#RI1KE@N+TqNIqWRnh@o7Jc-!>JZ- zQ(!u`*4dgHFmvlUqlw}sk%EzP_s!fRJiJw8Y+DpKGAd!WE^SmuJfXKvzo9Y%Y~Ni^>el>;ykpk6xI3w?`k+aK<%P zl+Y44%iOaCcyroK1L&gWC!*QhRhehXgVIL46wn-J;mVoUYk|TUgyaX0bcY9zz;*7- zpa(SV7I0^C&kocscAj1l#C}HOEOm{_nIq^o-j=6yy;O)XL8z^A_nK$%RK`Z zt0?o45!JLn+plB7A5(MYVE)-sLWL@t(n+~Mp?qG=D(dU5pZm|X3#pz0Mt3c=%(hJ6 z!hcFVGPe~y8J2i9ZHYW{d;&tv>%1ba3_&jj#-b9gB*o;e892mFO zI?i0|bcM~hcmH^tC!n$GKmhKq6=qy#zrVkJ*I#j^+GRBF2W8ADir_%Q4bfG#8oN*?T z^~dDf+?QFa=l3cB$Xp(ontT18|Ki!FRqMD$fl3qP?9~K>pD-EHB`Bv?XpbvJO7eH7 zL+J*dkXgz> zNAk%k0oL4e?($7p9RdLNr@>vvwCjgWgj%j zs9jgI&}8nnb=>5cRy@tPQUK-&-8d3+@2b&BuQIUoUy0T&3AqPz)5et~q<1vOlnA+8 z&(W(4ES5QXe4Vj)a1y3*b;h_y(tCt6MJ{5Rw3mP|HAZkml!SJAi-Y`nNs>6Ui}oo=c(OT6=i^?p|DzdAZijY?;md zg96^`ICE9FtT3SNU|`t7eDE1jv|$nS5vGRmoy7j5hrs?)i6$9#KEBNzh45tXnZ?7V)A)bRL{7) zeN>k=rY6NIXmQ3Zm&*FkC3F@8EnGE4GcLsj7#_WD(A;`YcgCf*0H)h`Tnv+|YyAw& zG#RZS>&0-cj*4W=z~|yL}FmI`&Dehz~M( zPc%D?Gr5eu8J7$ZjNnWJO<41Q&H8TX2(<%vgBA0$a2fIDmbE!}NiUSS=qcBD^O^fQ z>nAL{xqkD%efF83s5%2bOP#KtcnUw)ul>fH?Gq>wB@W`Zv&wt5lujwR6iutdhH!jg z?pDfj{f)o*?Dt$`Q^c8CupW7nM#*tn3sRg{80+vuZem)Crp^mSkuvv8U?!KV(m*Y5 z)5aflenL=jrPq~qI&Zf*xogAf^1Y~s{489FSR>P)*z_&x zcII=FgISw-;!pK&U#PrDhGF)rkQnOt?C)8H^YuV5Xro1RypNf>vJ*q!*C;QfM{jkxq9I8o~+ z^NdS_-VauGuuWGA@{C*M<&Vx6v)=oR%MEYb^>hCdmca)Yd$LxsO2aEbbeh?uj_$>W zdoQg+5NM)6->!z z&8^N;#$8r*Wjh~X%bvWwV25r`XFF}`)IW&1LBJNShkIP><=l4;@ZOtvMIam^ zv6)GtZK=(P7UL{j-RCC!or;8x9QPdmgKwE!Ev2W&M{;j4Deo(0%vH&{!e(4qwezIF zLg+t*rAvy={7jQ83jmD!c3s5x;_}xo{2dwZ{@yweeYJ=W&(51QO}8qQ8ZOH@L2*bv zWG)*if;cwj@~@knfu+A`ah*qNZrkd{6*hI~JMv5KNj&VCJRS>I3t;&el~!-zatY74 z#jiLlVp{&?NnGS+<(JIB^}M&q^#rhmEAz-OQ^7>c!Fav8nR~mE$ zKa4B=ngPA%XPzXfg{uK1jitIRgLN_b5Bp$SiC9Piv($*WA&fGb3 zJD<+4VHuWaOu_-obMw(3LOIqYFuJ6|GG+^c~4TWZX zmiyl5&;LJ85KOdeUBu*iQ)0$d<_M*^bsgW965!R_Cz5oS3Hc=!7Moc&BNi=iT(GV9 z`TQZ~YX8vVc17Cv-)Ytl7GL7yF1ON*YhHD$WH@2a+)Db{>X**6Wqs&hDyKJ%+vGBm zXIvUpV4dGRjj0M-sf-?hakDtb7cIT=QG}Z zPtY~7MJIamGj%1Nh`Mqarz_IJ99!ov89MrK{qp~Tr^yzLpEbOVIxg@^M8cvEkJ3$E zQ~W9dJ*+&whre$30iJo9g(W1%K1VT41kKCM0W-IyY+GBBG$chmYadS8DYAhZCS(UBsa}XR~Vd7&pOUrmt|n5m-(j7 zIW-Ws$v%UaRHYXGnWCvEyx|q8ZkZdGoU_EE3__y1^cv!g<3I)qaI_4I= ztG;ceNmfl21DITiwkCHv>DaPy`sUV2PuXvp{>I(f+xz}I!E@TwTev2tbqv*#>*_*s zoX2L&tsHH0?*e0A*ZT8=s@n66)py0Y8&^GLz{*CoaHqE!*LOfO`2YR?diLEHmSBC5 zcxM{eC zRF4hbE`g-py7n421{OwJNa?9QuOf|Ll@@JoJ&9=CkJRx478^5JNcj5I-<}8&&AxnL zoOPvR7?=E2GlKFW`|avSJLCGci>uT-AY6=)0SM)>Q zc^uh2Ld1bu#uOHsw8Cp!l1x)9LHAskzdkl-ZhhSQ3mfiNtL5E$6! zF4;F0F}VUT7O76CU=?jPx88=}p``@Y+3_?5%;f4wVv$ZmRIZRb$J~0)cDmbd`s>25 zCg;7**ebCZlf@JQ{nCT80A9blDk?E|d6<4@Sd+XOY}@1(c0Qz{fKr+In3SI+1Dw>q zhFwa@v2b5p zpt*$^0*R)1+O+i%mL$f!cA`*a%8SYLRg|%I{=w~w)k+q&T(H8HCm#Fj1UN#4ui4X?1P zrSzdXox+B+$-rA-?_Xa3t`6?$|IE47FXn2Ec#(l6nao|jI(f+%Z=J}w(B-8A|R!4{W@~As%?$Ce(C>X4v}lc zQrL2Z41&CnCf*c#w^Umt^504f$(Y=%wlmU9?&MnoOKQ`K(kp6iq!kWJ={z(r)$<2_ zW_>ps7Y&Thz|xT!>rX7)$+w)BDVm7lDrB(kg&ub7W;SDBbvpyA#`-3=Wj;?+1ihQv ztq>TkJ8$&;$ITY7uE|Pzi;ksM6@B22C$#P#q8y>fcd^=W(Nje@(?uac_ zJuMc$CrG`np^e||MFC3LgBH2rlYdT2!j_HCuV4GSJ@ZJ7k5e$b;-}W~0PVW|j7xVg zSZU7Y;*~XbPiH!lj>$`Y|9&z8vO%B5l}U%&b;N~ts+^A8;hS&T_aAqV!4?E>a>qn?Ardowumq90)nj4C zErCAkPab^r%rbc)`2CBg;3}~o^Cw{DR+~F(6yFAWqZnrWLGrJ}=L}qfG25o!r4BK& zvvg$@gr!M&h3SUD&pyy^a;H?jeS7`Jr?5M9@Nv|JKXD2}$m{pei8{23VU;I?x!qC=Q*86=yaOL6XmVMb z=5}?5ZVDyd=K;0Ypt+ZNe`4G;d0D`Ubl%h18m+pS1ZEV_SH-8fH*e>1OJSkVB`xj5 z)OMDkaa>^rb8eW!Td`{4)kDAEQuG<9vFj%oo%SekBk9(i?MJE0D2#&(Loies*KQqXa@995$4dVK>yo;u77Bu! zaiykvC*kf5cqB|(nD1fTjLD@LgLQP6d62hc#`VH9)b)ce&WYj|C}bU0_gk=jHB8^$ z(v0!?@^H>W31JFYm#X{4{9<0C!U9iMpw;A#@9Wo-a*Kete$03CsW;azeg|nIpDHgf zHk*!f0>9(T#+8q2DmCI>n%fb5zQ2vXpQVkhkp=7L&*URiaPx;NI4z|_;`S2@cbSLw zM`yvZNt@~Z(1#(?Tz6(_m)8xND^F>K*>tscFs?j@V5I#@eyDG1qt+iZu#*_4gHELdcx7Wj!SNm^5ptW8w`fnh|vjn1%0d z%Coeg_{DjSyC|=i$?d0{7+Y_qTg*>o@N&a8X){k>)fa2cwZbgi)t0KiDkSOYg>nQC znq}wGsb5?IHS@+uqL4bLFmT&842!BGqxg?=1ye+buL%>0eHkkeb@T zB-97Y+)A0GJ4!jI-z)pn+{@w%*hvCoj9cgj`&~8B{Je29uEHMd=e(^JzBP_G(Kdxa z1$FLP#(%)P8`2>-_Ey=k4Du#LPu-m*hxJiAS|~b}_7X4)S1FshPY|o5fk3~x6^zDT z$m5`Xz)psn&Ok+65?kk}!3|H)`QH(p8 z(2Q$-cW-rZh#gFSZ-9GdLMF2ya`!Z-fSFvng}K;o&h@fTVn`o=v%LG=`@MgE{qkpU zWSND5DQk7d0;%!mfZOdSm>cW*=2in-A&_e00=9GRGcruB4!8zl-s>V@ou?@3mD;I@ zrDj|?S9I(IoAo(8rkQ;Wl*Cc6a3}3^6XO={zE}EoCyB{5`uys|-}sYQa$SEPoT32P z7cG8xe*MZbr-}&9d~f^4C;HJ%la^q6*g_W{X?mBTqZwCv9+t9E$$F|!)N>e^Jkt-h zYfWBR`G)DqrFjW)oTK-*Q=RPZI6(tb1DV+LVm0sBYKN3G{U;U{6j;}As^08Mnq}~% zesAG=rDRN>U18Rc(6qr$a#n=C38Y`#Hu(wq;N*@)EL>r3a|2r=XEpFn-_q3AC#4&= zCu%NO5SJ>>E)hJiV6KYR!~)MvzU^V*)}>^%7N1jFQH~M)9Lnx6?uiePuDWmL;diib zch&jOLDG4kIW1#zyQ$7RwKq-bcPDgW%6%i`2*mHr+y{4jc~5ynZY^LmQ18jtuYZ=@5@HR4r+$_K zV6AGhJmcaN+yNoTI4yI#VSvXDHhFoLTP7>mU6E$8;P(ofaV=8twEo8F7!d4+@ACxP znB6Buu|IGHM6#1@8g_?)xmwPdC%jE8@r#QV#H!nk_z%^6T)M zVw~FDhKMrv_4T*E_ml6|ov%_KT+1?ijDO;HZ^c@NNTYifgSS@YEYG-&X3v)Zu!!4a zNLoMAxY88B+9IEXte(q2J$`Sy1Sae7bpR2EMMWLsI?uQaI||j=cFnEMc{8qmkCP<7 zZhLSwj@9I9!4I~4$!*g&;yxb<-L0@dLENygTms&KpdE{7g}8nlSU=n+Q$3aT8J9v_ z@P%vV^n3dypa;cGn}NMBBPn>$_*@&UlKtyL)D^`V=1QilGoEs-(+<&s&G1aDFq194 z!i=j_L6w1(R?VS&_tYKAn}PKlHdw{orcyqyta0_g9~kvv-Qak#S6hwpHUkr_VC7RX zxpX7rDqRJv{8r|Q!LFMzPWSLLF>I*jdJ34yWeLk}t;`^>GU?5&R!zn|u=P;hCSCR{ z9rx=bEIPXW9{QUA!3xBD_^#szXIx@ta(6RDflOwu<-*E# zf)>oh)31N^dq4Ru8f0o%^VgJMU{t&Hmq!Cg1^*g6hOm~Vy6+|8S@3IYDeAOKy~ zx^)%y_fP?E8lZ7iE)Uin=-BjUZR;ikCRujfp~RBk95tUhu=Ug=aCb-hI=Kj*%ugKL>A z%)5T&99HHjf(7Rp5lid_P0YBn|M0eHJ)F;L;94fjN<9PT>u}|Ub-o$}BC%_3^;`ry zS6A+RQ$|TilhZ)OTD6&a2hOI;ac!>5yPC@>vBJ!KScBIe{s%w#do(1^bVTX+m1=8V z`K%{xKiwN(Rl=h+K)J7t+rymym><47egLa1-sJLM8z&28{V=fWx8AVFZZ7NfXY09L z!|TxjOO?mkxC%NbO{m(0X@9U$+`@&p{fd%AERtMJF88%Xs%(>TpNIvn2P2}A*ywaU4rRHSaldQEWYMZ&q$c%frDIp6Hh!>`&DP&)c z)=7;kh2zDG>(_tS_l&Q;_M|$dV7yB!%u;2_TB>Ss!RjSAbN7~9D-p;tOcl>}r%GUQ z>6Qdh=_2FXNW;!to~h$Qc2Q(tdfQDd8^z=&5Gm|>`oWEXQkC)q&DFQ_Sok*X`r@5K zaKVF{8D0&L2=6N10ILgOZn>z9t9TCBeyHa|n5>VC`x^MfsY>7s$}zLddUGqyNgI5h za^PY+1e5)90CxnjMuY53w(WJCxqOf-%s5SG3dW{fVdh?{>43>HXxD*Y)hE^D3dI{o zb*Q=AP%F$_IZ^}r<0r;06F9X~1u>Mqnq19YjnnA*`fG1L`Th%Yv%b9@lxCEzYFm|w zH{(j{py8&DE30yECUK@Hlgoa6OLxd1g~`=S!njHn0jow(=8CHxD7szisdhSmJ4JOj z5|g)X68?S&SQ*r1PDsg4HO5>X`dZ^s*&=pbUHeVeYM>^Qj|p=mMLoLerS8ScuoI8( zf2a!hNLu?AZoSC3zC%3mbzcvC8|rB zh-!tYz~t1$W~5#xx1BV7E6%dB?%s<8LFrfZ0B$NYSoIU(i?5W#>B*B0PVaKGZ)Xw# zf*jv|xQIli928DWmxzLoN=G~<{q3`4q!b3AQz(wDr7cS z-0I8@9k+@hs=$iA5Hy zV*}V<^1A6{batC>3)AZIYU@spOKoeMd<2uYnVUgel~N%2F->~0W)@LwTIbBfs&Sfq zpT~`lz(btr%LS`WEBg8r*PFL*k}%~KRT~*F8EWT+XI&Th5`;95YZv+@4W)L)X0C*7 z!@782UgloD*us)G?oP~yXH#LUa)j9727MQ(;f2pM5q_~LN5s9$$?An`5kcxe zHKrg1Am>g1reM=1Zqq*=3JAZHPmY0@a$Ye?08y0VFu2?x`#R%3VlSs4!>tz`VC4vN zcb*3Bm1tn)NkK;32e}z#^ofNrl7a_((0IB+@HlmK`PS#AbU3i`mQGGrdf&mSO)5vv zmWQz6|E_cc3b*8!aOBn3i3bO()-PBFdd#J?7WyfzCl!_r0R@X(O0dOiQ8uVvhU57L#)r+~EI#exB9z zh)?(6%t`0;EeS~NtVkDFWf6{gdghL^zBUjX;(2xxkW3z+@p}3v>C_lQoVyy+qJ@dT zCLO&}{GCCCX~B~+AFPaVIf?b2Iv6cKH#6PP5=JxIWN&gYqgv8Wm57gB>HLTnVTyu?w-weY+8;(-FLQ6$qn6vi%DtpdnqoZM!#6_ z_@t6^(V2?+WuIhK;-u6SR=tC88+Yh`XtmyEp#oPJl{#PV*;3~#y<$}SVqDT*RzgRA zqm-m#0am_4ra*P@2dm;2unN6187epp_V!&yw&%IQy6@8_IJ6<-ZjF{s1ibaw3rc6$ z)otP^zZ2_2|C7d2)(=?an}KN$kc-?sIOokwQErZ7AgrAA0{y~gNnFy^Ge*F_R322Y zvKhumDiuz^Fx^gkI)Y50|1t8*-UBOBOcG&pJyj&>kH-PrsA`W_*z3uu|`6u4(r1 z9M=<1tQZ*2-;>-t-@OP&@rq*O%72R%r{P}88GOAEDbm~vbD5kzZWoTzx;T%JXiVR> z2*eZP9M|t1n?W)6>J3nM>hx3PQ!jXEN0T!u`q+VcVG@umyI7Y@e9Y|Hb zf;G422Dy}Og6-DXVK^C!6==rka)a_6@-_>vWt?#GD$6+{!k!P3O_Q~J-ezF=#t@jm z?7EqOY38wP5De>EU_Z*Dos_JaT#0VRy}Ew=PfSn{+t1(SK|UX=-<)lR-xZAiZ6%X| zWsW(RJoeg>8iZgO29CEgH^T^6>CQObl!8%;>qBsx{19RM^9>)CF_utPL=22uG&aK& ztuGcGj~j08{lSBWdi=kCB){J%sXL8DMH@C$jqI7Re@EBLz%d2V0EDySo##f zL^n@gvQeV~`>^V#31zwz2X=EQ`u+#{g*ZYvHjrJP)I;d5%)>QcbA*TmlQocZ#N=2c zto04lWINAO`dnRT3VmH(`f_m`iJRe4v8TSunPSa3oKIuI$kD|o}apJqz=WZ0uz>KjE ztj~QUSKfCGbBp;%Mhy+z@5wY+30+W({aKW*<4uX=JzTOqFEH;_>3fFQ&Z;~uW;?>o+&PG39xaQ()gqCZZw zHa}99Ly-i{IZw+W)bVo$gy)JbN(kleN$lAoSc~d0nZ31$Kx1xD)?LZI$-7GA%BERK z0!5&bvnsQz9+TOTyv;Q#8q*}U)DdY${l%1{MEJON3Jb63@3pWCSzkQQDaJ7|wIX@M z*HHlqgS>>b1_NxPZKCKwCS+u92E$8MAm{1z`|D495sN7eP&>+qOxhIZO`iu3hVwo`f{rWlH7b*(@310n(GoyG65o zu@Fg0OrheEqHs{cY?6WsTMYgBJ&uSZV1`q6Z?_pM_vHV-u(QWa7zmaHLd`DDzXK2vQU&M1&$8$KWivK@OE7-x!S7??p=K(RzPoX2-KLZ^v4|jCPUt zR-HjTOpn0ntC$Gp)<@iq_XK>!4rom^o>WYLKFpdqY6*{s1?$HNXhXUq?&z0>5e$-* zko`AZV8P~J;3rvhi0E}u9)4cp2&21z-E4{s8Y-RCaZZ#VQLz$rHd}@JYiB$(_GE(& zU$6b%o&%$rIOLS5VF0yY168+;Us0=3S;H<-A(Qj6ZPLKLyF$isQfm?UDAgoVN@n&V zKWjYUM`)_89Q55q#x?w75R%BcI_#b)1Nm9wApVuN15|7MQ8&~E0aIZtM7^v-4pPP~ z@(2E|<|7a%9#Y*O{BK-jGPe3BF`x+%EbPu28nvv9rlk_&U1p>0_#mVUVSE|_*bx~+ zQ-oNw(^44Fh*^l-p-lv`8trUTz2qA7WG1IT4B7!J$L)_r@*IV4_?cEp2N<6SxCTew;xyfCbHrH8c)U$}JE9J}{pN zZHHcpqLbDb7kgtX#zM53hWb|`Ce2(-bmT()UHx@xyvxC*nlpy`evzSLUBhZ>5?iVe{fG`-*tjaav8I(x*F5X|JbJbb_RFZPpBHe78syBv{( zk;+%u@aAp3sh<%?{k+WYud1S4EGEUWm{!yIXj*}RSeDfiy%^77U^UDCZ}OPWX4%K? YX}kN}KCd(WrqKh&H_irw>h?PO1wAV)KL7v# delta 975178 zcma&NWmweFxA)DEL)Q=jA{`P=2O%OLNJvQth?FP|BBkPh0+JFxbfil`1*A(F2_+?^ zBqc-z1sj29kN(d&&v~Bv#r?tyui1+oYp*@~^IdDxlyDdJc_{&wWCkNrG&O~fl9Nr1 zJCKOT`5y|K%iqgKW@c)NeU7@fop2b8==>R0z{Okls{7C0{QxfZrfNc6(b|nqhgYU( z9p5mD1hy@{cbaEf59ENy&@0iK>z^=XEI1v5e0F=~TnL4!Kv$oJG#X=?W`06KhObkv zU+(}isUumN z?Cb=;McI}nhkb@h(OZTTKO;`wstMRIBL@a2hC+)W#mvNxoxIwjvpTSz2$l!`DkYHN>Vj_oo6q1S8uFtf|gHb z$>75;TkZ$sj_$>ZRDS7~;J0H1DKRMo6LGH-=_T>GU?j0AkoSK#hA0a`Gp52|3 zsDDBZnkbteeYaYn#bq1SHo!I8clwXg{8(CHpQzCq=T@q|c4ZmOkv@W{(c@fZcOP0yIv-R7$byH`YgaYt>2#ZuR_?@F6>$kUXQ{bOr5>I=9E ztJx%RNYP{6zto;fkstIgxn29#*J)Fj^10uU+@zuid)%y=sPq!qo+QC}YW4GEcgE@j zJ$Fmsvdux7Rzd1Z*Uu>5>qR6@VehXu((R6=_{~b>{~Gl~R}Tb^=sdYyeq1)!WB$F( z(;v07kIz0=@_6vM@5&?FcS2<=LQ<4hQ3~tIH(ff6Hj|G!P8a(oZCu!d*D{}buW0^M zmJ3auQF`Y%oqzHY{|lGrwoRSgFAIkRyTU&1#}-#GFrM<#&ayDf7iGR}{i3a|EmyZa ze@bV6Ri>}1;A(bj#z4U@b$=C8dX!#6d#_}{HV;f&;{EG8JSH65TfH7>uRJI#NJ_&Fgfn zZw`~IRSzG?!2^pi86z?5$$L8;)1tkf7zp} z5~;3>@~-3gS4RRH_uSXz9!}_paIxm@^ptusM2UA;Y052rghV(=IkAX0yAjaG!2PX(|5nv}I8I(Rw2aB|P*~-b6+0h4?^v`g8Mmr|82OAlnwMK24+C2HRM5@C4E$&+K0EfNv=G@;0-Op4uj z>vk>Y7vb{q3tw!r9!~1~nfTGRL*um&BKitC>hAsNCOn9dhUNOTODD2k5*g5WZ`8LH zG$n~<^iSb514nNDj7JHZ);?pNtfPFz9wc^n0dqD94Ru z%wINpP*9Swaz@f%p?~!eU#eAH^V`r`o07tT!Rs1(ua8Y+2tE4Zl3BW}@=9PsUgN6) zQOl4{yYj_OLF48wr&S-*5H!`Vc3t%9+-gGLe4p^mE7|W<6P&9yvz}XB_21v8j*P2*iKr)@-p`&>o~qlX8pw#c^Hu77 z-~MDQseQ|D9OvM@$0eq(8Xy-x9$praMk)l+RE~DxWk)@nRf1`tE*4c#Sh1opK z;sy-i6rzpI{=*8gn&X7#Sa4l6t~#{!)t&`!#Ti0XAJYK64qa@w*e5}^# z=hEDrX35L_c|pQ6RgY9Xyb?WV*OF>nc{6-jidK;0Y9H8}zQ5PmeR#p0mt&^cBZgU1 zDpPd8@Q;B!9hpwQbT&nJ(<XL$56z zZa#Fi>Gt5_myk<1eSMyc_iytexWwphDdg8r3*G8kc;Y~&WvlvH70;YTn`d3cJ7;L9 zrr1%Z*;kR#TDH@+A-27^i+mMmt;2fhJ=gkD+s@I_{!}|l(V(`Npe!c25ES~tT%!Rk zQwQnl=00(|HKe^pfJ3(1U?M_L*{|kQ!xGmQml8#GMS92Dr~R@i47euk0hyP+XRgAq zvGmCyOP2S^jEB-+cNjT(TWCf}<LL_8(>`u^5=;1RXGRj(+Tt(+?&N1Hcg$vZQ<>~txMrp49a`s(z zA|Dos<>~gVnA@ki2bM z1)4$KxbR5`%Qd{#);FUojC6X}|CAotEw1oIP6)*|7AM)nfK$?`InyM zIX}`lbH~EsYu@U)!IML7&v%w&rnFyYUXh4%Li`cP&$a5E9Pd=t|0t@stopXHN^beb z3u5~uul%*K$*Pm#jeYckCJS<(1tfe!HJ0pTJxeA}sgbkKcLm<-N2;{ODcxDEzK;6# z23j^*@(q6{Myi>I4;IHJL^ z!1sM4U+VKR@@3;UvCgLk5%1E@p0{L<2rcVLl>EA#94-5CWhXuMZdTL!Pc4I;RU}8$ zg`U+{0-}=qWb5E2>)?J5o868y0nx;!(PvCABI-0n`2^l*iDiFUx@LEF#e=lNvYO0< zy2GT&G;;f^n7Z&vVP;6^SNP)?-;bKtlClTr4!6hUJ>HxDtb+36pL)o;W2&F=mcM8~ z?)=K1wNF+|eo6cLlA+;4wYHx{0*~pOt6CX#HJ#`xU92W2wEeL=UFjMjFI?3Zy`WGp zWFVoIL2K%r%u`o)#HtUwo!MQLccduMIQq(Wb`fUI+g_W^t7GENIxEjtOB^)W6nXGk z2D{pgr&Q2{3}N{1?3tHc|57o!!*Q+W$O8M#-#r3r#gF=NdHQ*Dzl3DZ*DqWxTnqtUH7;kK)E|8KwDqlMwvUzc6(<=x%@}VXQGPf4_b4Lk)t-!2FfESS z)~Ot`j`ilbeLQD+>#^e=DgOD7^^V|v{bH?AUR8s{kKpC7JlNK)vwXhJ?}qXtO?YKw?x`Oc;`MpaCk>ekRFN$Y?!HO$YaD75$*y${PEOhr{pRh z$th2H^LRcFvTt#1>bx-|=$Ik=7t$jeP{ZWltCjx4$h-CBJYRIjPF)*)5*%LN0+)^n zInH`t`5E8$OOnT;XWxx&r(An8vHdJ&3>{s1a3B6h*g0QXzu~){=g*d>8oS>gijdph zzFxO|#8SX>`siA20XlNM+mm?QD)`(Scb$BP9dz5bv%xNj?tOxZ{==!3y{i-Nvluot zw94Mv48gr6!^el5>BX2gyN_Oo{pp%1(O#1Et1?Qg`b5%wjkgivwY|>k zpuEHe61IUFnQ??zb~Lq)tHax3m|h+T$<96HShsA@C)0ob;JZ-Dpmq_T5_|iAyi=HK zLwo9|oYPZ&2ce>w{-WAjy`wTSBChkRC)Q= z>G9u+El=8_Z4hH0itm|4sh>$o`R0&_D5tFE_;lprL`&Wj=t~&#rS60uci4X#x@&mAGU39VYfTQv*BHnTOmy8#Vi5kwqC}%mzGyz$QMl_fduBCM zBqu6-j=P!8mL_-Zh^>kA?-1Bt9QK=Qn{i4IZ5U@;+0hUy)@~ix@?C*|rkCGt-xqEe zk_(JSTnzJR3wmVM``VbmY$`$-q`U1*=pO7PQDi%$p2B6cBuXTDq~L-0}I z%{Q}*ChyxePstx<@fWrobqwH;y2Mn_;74o@>PaS?mQ8b#3`gNr=f>hH7Yk#K+-aYO ztb;2*oZ!RX{W_i$Ecqp$C)qP)BT$)W|Kf+}#K>!z>AQYNowgjhdSPkEPQ>HXxwy~K z$#2F}r^;Bg)G*ht+5@v<$yI3&nCeQb`g&3Xxu5ji_HTR(Z1zTQE zOwm~-i;LbJuP&dd4H^34S$Vpjaary}s`??_sc_j07yOt+LsqrBjEGry`-~f(Tm&qmTGK3`^DoU8M(Nl5=RHKe{Z8`>#*1@s@-aT$+|i0Wr!)EiWxHQLs%T&!(`o}sXxQbA?d+W1;`BM$k@C^>~Tb^ z%H#T``_ee!v(JAItOfF~UX#3V{SV!z@d(K6&|CPWXl|#@f-ix;-+vfQ%;|A+QOk0* znRnYu7R{_aHhTN+j{$>u#MdhL?`d{dZxzP-_64h#uf1-tb{cWNZTsh}k?klaWYPD}!2h~R{{Q-edX;F?5=oFLw>&xPod2{Q5Fo!0*CLn_ z*F*;-89z#(bdxe&6f(@*G~1ujzUoU}p4o~vxaFYT)N!fRf$ycdraOhL$qg4ke7TudVkak*Oz*K+Qi{UE)r)ya$_S+6h(f3p`9uWif^svhSUFg z7v!8X=C^%Ax+qCyKIL}~JkwtrMJK>|BGgG?H*Aq*D$_emybC62*`^qrX4J8Wd=R`G z%oSYqq6vQYW2&PG{PQ;4@_R))BLB&y?bazh-ZOfxQ*g;v4Kl03+0<66XgQ|7@;$k; z)g)K^&od4y?GinL+$CpFG>=PO_JnI>8Q+o$-(bI$e4t=F&BY&9ZOq4ykh`L)uzecI zem9js&k*+BfSKj8U;VnGa?qE{h*Mf{mN1HdFaq(CvHg|ySE0M@lNu~+f_gOZZ&Z># z4i*%BG>OsMo=YJgZg{#-pQ)R+ox045cd+4jcK{!~GUGItb-$pCKhm?(=!!YQtT^y| z*`Y|1B#R|n0hUa#4yjpA8A!J5+V#C+E?jw{R5YTY+%)#8%^}7P8pG^{d!fYB3BER4 zHd4lleWMo0Vy1cimA0MX5`Nu6t*gNgj!APDvZ$$w70@VT4sI^vD`g_ z^!Jf%|B4r77c*y^ZE7++7w!^$82$Xq<*`^MSQyNqNgat!Zt1@@Y&}*$c%#!mvWR7o z)Hy`d&;4++^kb>6Z1gZzp+8PoaLn@O;WGztnrH+~m~K3RCAGPkSK3EvOR;zCm~IGe z17R*zACY;CY)x|2;jY0g+2ow;RZ&=(misJAR(9=3^82pYpY-#m>)=yQ_O8c&@c{7o)+%;JJUEh9#^6>UXYiAsIOu zx+owo-;a?xKXn&k(9qymZWH7Y@}&{6*LT&G5bEc`#i{z9ez$VnR;L z4d(88ySScZqqp-?hO5!7vd31Nm^S$%>*CnFv@La_vT7C|CLoFN zE~jHry!R)A_Hk=2``qa5;WqaADU)o zd6u6_e{cTs)N1c);k3UdUMxdt>apFFUC>UXbBO}2+l*{LWb{Nd%Itw2I{8*;gkGi% zuc-28vhUQj`t?7kR}GKHJxH7a!jAc3;y->W9E)Csk3!m38QW#MHGUy)e`eC#Cp`<5 zc|U!!m7KD>M`(A9M6CoZzP4Mu(d4(SZYg-yelfS>O3ko)ZH9fzalzqeG~;hMZ<*V2 zyn0;MVt+<_p51!;YmD^ggvkh2+fgp0yZCbSiBxjcd045zxFtD=Y>;dwg)+FQ|E15$ zlf8dtE57hSZRwFXsQZ4~=~X8e(j(3pjZgJ&n<}Iel*cQ-eP7$GwC`r_cGS4bxU;XA zZTMsS1lJ&!WT*Z_)%z84ojW0Ci$8$ae)aj$F29W}3e&i^f5>ar7KT13e}6-l&-Nzv zhi;rC>jnFQyo({P8+$Kb58_A`b5nR>G<|z~|JRi2<;_`g*aH?-N<|VJQAKipE%bkJ zu_@7F@KF0Z1Raiq|98Q?4NBKTw9W4+1Y9tF~D5MxIg`%_-rk4U%vb6BiyhiQvvV)+bdt<=z@hes5>j`ssYDn`-Izt*p4( z(SzX8z`1YAD)-1cuPIJS`bC9fbmn_gh955o@+zI}t+93L+v5oRxC3qXRs3$Wv82(b z&(Gw$klZ#U@Vw@v8+#>CP##>1(f$p&n&>yVJKjJ36pViOGi6__6tPj@x$QemtHKnt zj6|SbB|TaD87gm63zI&3b|dWpzez=^-0A8^sF0n&e8vs3hby;D9wpv_s}b{}`aU{_ zTby>)WuYKY9%J@2ec-{I>kpcXQ{;1Ap@Ji8nosb@lU*fZGJ};6_~D6IbzC2v5HlF& zdz`b;109dL3u<*2KAo^FeqwvdG*WYBJl!-ul7;m~cY%v{WN4m@RtI`5-OwG4yy5MT zIorWjhM1dFZ+UmnnWrGeE$0xnwhOYVyk|)A+1lq2VEZo&CC$%RLNnUjF zLrW@_d9Hpg839S%{CXbp^Udms^KyjPdEJG{@NA9B%=YHPjg9%Dr}_{bU4$T>VmJPc z$=~tF?t!?QYfs7QEh~y&pYLba zZmjPNmyzdnH<-q<9HPP+{dCvs?1Ff9g?o=CT)imaLNrqSTB|o|?n1%Qn~2kFFyn3X zgcmE(&oMSL7cD+k4;>3St=cXp+OWSqANl+lzG|S;mu9#7H`UOT7urJ_a8>PuvlAWIe(3 zIwZo(@?&@rOF=e@L_ykE&_EsT} zX=3)$$u@8(cyrY&VM6QJBHcw5@13d$-2mpCl2FS57By412g&fH&1Oh8bhspJVbx(L`oMw$zJ0gC^2e3RK)RMIWqjXfy!)o`n^f{ zT>i%@dQ**72oC|ejOz+}S;{62vzH?8`xMVF9FS>>61xtP>tzmf+icvzwtUU-W%usa z7pj>xd@g*jR&_0EIn@95iC-Co*UcpijUKzukZ^pRF_uWDPXp~jT}8!w7uciR3!t60 z!@Idkx`70I(8nVw^p?`%te2hT#KTf0<-})&rA%*i7K>ffxovGk_h$a?QQx8y(2J4zNZa?6HgV)$^r#Du>QUd;pDcympdfVS zt*gb(&YjiwypEf`qs!-F7G6ql!D-YFen_5u5F@u7@Yzx6QtXX`xDR`uI_r4#_+X-m z$1Suyj^2J%^j%LiY*}#8dRMPeePQz1CA~ofk0~;papqO_@BVf)FcVFt?krZZ!0r!c z2!?~Kfh6P7DQ8xP_eaY=+fknJe?}y>^&Ti6T+6}>^HhE9`EWe6yZc1zp8ig!XWviM zjGHGsvsB$zYdocH?jroQkKr|C zOIWw?c=%`}=BIr_$nU~ECU@IwZzvUanRzK4y28Aaa&A^`-oLpymSbiY{e1$iIA8P^ z1Eq45KU@Y~5R^)DVIIl?53ME-QW=lO zh@r43WfDdVk3}n^AQb-*s3nOXQb{tSFg${xA?suWG?rve$$bQ4Vk8ihQD{8nL=Q{~ z`jX5Kc_!O15=qKL5{}YNODhe%ehL>S zEd%AXEk7ePkj4tJ+%xCF5|xQaych~YT>x4Piz89UCd`MRq;qh{J{K|chP z7>a;ZCZaH6SPVuP)XzLP1pZq609GgNoADrt%19!Z8y=;M1zH!2B2vWTVPa5H1|Kwi z-;57KLMdZVL@^Zb6&8sV1OGrb;`~ek6r?>r8+0rad{0w=9RaUQBmn0S@yY}YQ49m@ zfoBnX|LiED4q*DPGg%?_2WGq&JX#rx#*3lRC}kXpB!(g2Ak&}XU{HF>9M#YxixqnO zz?>U{SH@z%I)QJn1ThSjL`k{}6Nh%Qcp>dHa|HNxA{xw%KvG77iXjGr1!Kb2Sp=ZA zd=}__HZL@pX3m2o0Ec3L`;ovAcrgqbNpW}&oCRzs$DR4%&{HiI$T!^_dfiOVi6?+* zQQeCsh@nYXifjS!2zzsdgHs=L#jH50WjRheO4igcjOsPP?j!;Kp zfzt1>LfSdzd^il~n<$0`aZN;#K=k94@mR`Y08I4njG&TIE@(FAEC*Ny4!nK&J7od} z8eaI%Xu8k1prG8d(1k@fBiITg3Ilm9{-@2E!VU4{S#sjh)Ds7e1vS#p7z$DrehBg{ z6M>%One&2(BB8LL{qKnsqpq1U%s~6kUA*NykXF7qHvy%LB7w&@1dK8YixVTFDR}|( zhoJIWc4#2qi5E@=Zhh}!jpn{W%y?IXh|BI0S&s78^S}c|# z&dZFT#iJ>XHmvfL6W3u(s? zb19-zOU(HryayFpAcks) zCPy+CCRawT4BGfGVw}F^Pu!UvhU?TK#>MX(zBMyAW}BGCS)q0*zI;F_zMgBfta~|5+1aaTb$z~@Jg2%0n8Gn#b5U$@ds;DQM++@!%Q{O4&t&$DMfX7#=bxIb8RH7_D# z>~t0V4T7~>M^N1A4MIEF^>WQhQ{$l8z|vkGqp6^LZ(Hc?k3uzOAF7$1uHFkdJtemP zFt+sz*fL%(@@m&xIo8oum58KTUKdXKIcssHTs+)zv*&^P-RS09+D{o#g%|dLyffGuPJpw&^}`$UTGzqI)#QQaMo`ku(Rb<^ zu-@=(%83T=XZj~Q&$OKn-gy4+z{Vwt0h zU!m$63BVjSVGy&iv`{SsV}J7FEDk2uIlYjCYvJ+EeH*Skm#eFQ+ zPQBBtlz5qAgC-!eoUDT9v5l=W_#8vov|@19n*^1er$3D*93$~ph}~l+U!4)?Kz`?W z6OuchtQQZ5F^5Oo^?4Mi^2*96Q3C$l-1TV26%J3muuS2v0VSEX$&8w1=cmup8^?9O z+AQUbvM(o}4)g0o7}Ay@MxN8Qn&=(%Lx_bOP6~N;otQXw%9^ZCvyGT>c>M6C<#*H9 zh=9x9PNeNyFGCja_`!i+Zect3ltZsvyfNgCK3m<+a;GHg>0T#i(cY^u)rTG;g&XEM zPladu!k?;$9owF;l7)xq=WbI>o&>p{Bh(E_ZI}$V-2QmDet&#w*ZIfU$+;))3C9aO zz8cZ17&q5XK5_T6A|L9mS0^6XH*Lbn5e8L;0+2aOEgwpPMuob6iP{Wf16?u8b#a92 z6*)d?-;@rjYTACn^PE1!uX^37CK}x`z1i?s>eGiuD>u028)u63GQ=bf!FftDdlN=2 zhW$OI9$EcZc#<=D+`-^(b?UMXzxT&7f|A-vJUO=}KHbw+Yn{v(`@8gh^3!RjkyF;| zvK}5mK|RkA$0H*kge9S?q}V%>5+i5+Zr)B4Ofy;ek@ ztjF5^^LLh!;rIB+QGU8#zW-?0QqjySQj`yx2+HcbtwN`_QN6*oWF5d*`xL`Acqg(0 z&%*JBY0&BQ413CTRP);bhCok?`GNr&_!&XSf5WX`W@w zMo|u(;9Jyf&)({1E-pDzNS`!VoWsL$W;Gb`)8w?bltZD%t_-X>+K7~s z=f5>|u-oQj7v4+9rX7vyd_GZdw#sdL`ooSz`o{>ykw36wRdNO_Vd^gkXG1i}Wu?8v zPZKg%4n7qXn#D@F{?annAh%C+Hth|<lc@C>#bjgrdm|z=BF1ck8(!g(`Cn6mSO>8^PdM zJPOJ^`)>sK1|F!p$_!GnU|}Ev9YccDEdI50ffmPVbEwyXjR`P3mV{NNnC1eZ0eXcI zppVtg2mo(LfMaNYR3souumJLKl)OyFzcv&NGQh;R8haK%Z&)Oi3<(g6l|0oW6pk={ zCMdU&6++jVBf#oVRD>o1ZldBn4!DmJz6pk+k`ca%2O6pcS^_MS2zmhv!2{03Vt|;U zICcRo0jwydpV@dJ&pJ~C0A3^zIB4JnB9JmzG}wMh@`=BgB?{5kn;|eH0AgU)fWz=4 zs+yqs>o5CKJ2JivX3g2c3gy(BBLIy8nggaFJOOKCNEl@zWP0-7kPZ>RvKUyZHkc#u zATFrU1}sQ_)dZEwhYx@&sB6$@<%Zq>D?sIFBG@XhQmP!lfcPU(^1NXJ6yGR*UTC3} z74m;>jsQy|f>+#VAiszJdoe%{;wUIi*bykVg#q~f;JGt50A@htV4VO(!OVbqb;xEp zL>&zVgkPf*9~!786cR^m0!jk>L}HW)km)snzgiCRYU6=)n}A!v%t0srt>e%@r2e%^ zIu2uo7~2uhGO(h06Zt`0+zbzK+93(1K1}lg{Ab@2Ke-yzE1xfgcwLgY4}XOTd_Q3oJ__yT$lho)SRX8JdfdKVvbsNI|c z#2{72qCv=zNJJ<+T$-|w$j<=H401zN?dH(&7jQ-l(33AeQi>-hWSK%ySwzlsbB@05j}zUGGRyfEVd!U2P(di8Ir zKn$EZN-~D|?{8B|Q-HG!hgqS9PBzLPEx5-2m3#ne8;0NrI^fZN8TRx3(?mqn)-S%6 zh`7hU%RNK3Y_?HXGoole1s2XYR-cm27RsnGQr-KZFvZbr&ja`9EhGt?R->1C(Udq? zTp;8sC6}CQX+)cQ0&d}{nqs+LB;3*(V#i6 zPYEXPNKJDq<+{FBgBIgO@0T{SQ61S{jxPSs$gG~WG5bBOq9W(t585?NO{ER!%*`D7 zmUNR-$49%B#dNRMZs}@KxZRn(;EJSS#nOP{Ejv&ADM2l}RxLYn^|oxccHJV8)BcNk zPEeEO88Xvnw?7e<)mJh$F4_F~Zd3M5ZmgMLm@b+qQDRG!#8-MTBT9 z&P>!ia7wRuMjNdX{h&ubtiFYFBWZKx=yY_= zT1BhPV>#%T7N^-eU$P7D)|x5(Z?bF7sWZCg2MpsT^9ISe1#W|z%*RBM(M@dj97U4S zm!^+wKQpXsClRq>tRmBA?sUJ|$xw~3@A8{SytJW}$Tebd`Z=Z>o}gNlBV;Jn0g;7f zBJL(QihDe|u5>hOJXKU%T{x)qo7`si1tS|x_U;#lO|`@pL%7H|n#ltr1zTqZt+0%`ha>F8$T% z%sG<8i8Mr-K`2g> zLreLBtUiMmw~P;6p;cad%Xgo92LU-J-Z{N9WAtXzBmS0Pl#U+$_O!u4Nv05vS6KOQ z`c+5&^Po=dShd9t5n-DUi7jUj?Jr!bOAh&)Wm=BaEXY0E26F#dv$1S0e*?kg%?wBK z?@F@2`k&IDJ$-snUz+N_`_nv%QP*kL=Xs#mUUwr+npEy;uF-5SsZK%Smp@5nXbm zyOeoEu$-lzcfIbGb3ef!7R}vK_qy9$OQI|jx`YP4-oXC!MWt`#d|6oi^unf4wBs%v z{~Ae%ZNubU!(0WK(Xo>M{oBFsaeAB;7mvyux!TutTh~}a9%U<)cX|KN)|boib;?>z zHu@@a!M0vnWxrOmLrr61Z{U>hhoaIlix01+nMK(#_3!w*U;H4a$i;WZcJWTNzK0F_ zX3DZT->cS+^S`A|8uJ|rch?kqIKFcgeoDC_F+a$1bk{2}h)jNHvvZVv#O-Ov5}WEv zH=0|w!k=WPy-pZs#AQog8GAy19{Qp5eYW;Mkn<+uFF>>itEzHTe>Hn()N) z%%5$}J~20U(>RMJX&0F&(Tvk7J@LmlFXMY&XIRwQUA+E*=V;}LSyh?9f!Go}la(p7 zHEB&#I=5dMFTrgKQn($spu!)PWyH8 zxp7VfZj`fiqViI9dCQuyjkSod_eqc05UYb*P1m-bE9n+2^EbEd5fy{RpXe;~40~SW zSk|voir~%=KNYRh-xp_f;m17Lj$io8NOg(Hk(>kj>>9KPLO{~o`M!qyc3Wt79)~L{pRy7@#DyQy;-Bskt}a&n$xmOCXiWAzpn+k6m;e4n1_c!Xw8w%qCl>u4isQ} z6kvQRhk*Q~$gmuwKZbxLUz;J2C}jdQjZQ*SYXxF>6b8tEFB<>&A#9u;S|8(qnqHf7 z;=l+fkcCDA))L0=6K4pMhFkH~*;j5Tmvx*5g5lk0Lpe%|3CG@vb zKQ5?k^ehJo6e>tyIiLi9CSoZ!#s9U#NRZK(6NOEJ7HBL`764*VSmIw3g}w~N4gDN5 z=feUfrDjqwKo(M~7FdwuCjN!^f9xta!3*6VH{$_w!vOgGpArOyf;tbAfGQ>skivu+ zI}mY15|vu1*heukgUL|-q=Phi!-PFAC~<&!{lz^DKu0WKGfEx_M)fHxr7Z(keR{(N z*}pO6#ZWOEloQZkhw#+00*OfBoc~LPtWe5lUg+l=!2h87L#4O>r0p?aNZh{?1r;(W zNm-!7m`QtHkhKRWM-?$75T9UVAOQXrM5x0iWdp00DNxav0@Vy41%VHMbCrpp7=!_f z#S$UZG!E*W0wDpi*kD`!$vMyw*gNchV>^W_A9Q3heg3Zqz<`iXc_4a#PNb?oFi#vPqM(2efRBOtqwbYYF>vw41$!PKTrku^&EGr&H5hS}#V9ta zZeWG(E%HKai{{kbBL3BV-~dt;0PqA5%0T!1wL&c+peKu_2%uW9RM|(B=s*&okl-*= zW#4~hJh;RI=`5LYgLROonQCG}sj!0Vf7D z9hy=R1j;IZ*G~J62U6Q)g@hmeU&#fi^_>94ghZ}`7_sjjRs7swuaKB+UC6uRUfSP~ziM!p%$HCRh)yVKS z^_^)ucxOs(e4ttp>iFJu_vxaD|KjRu;*(RgqmjRMn0!*x>&wqP-a8?qEVDqn1~HkV|@GvTeM&ZUz=LHT(Ri_*ADY zCH$mhKJan$C&haYe>pmlJUR&)t2X7%A1!;Vd9|ggj;&bkzaUmgtaK|>EbnGF-g~o6 za=->wJ84>fMTyuxv{QkdfNtIIspmH0UUg#DwON`}H*5Ok|L%vZw_|4NVDXl;t7K+` z4b%El$|ARnX9h<@_lU?wf}<>j#p=^Qn52lG@};&FOs+&_h%iI=5st#D@=rpC z1Nt&!ka;~qcq{l5F$wh9}GcVrBM!gLhCV~b9f z5;bMJ{B+w-gEBBvpL!|RTRBk%r?3o!GcN26 zv|-;G$^Hx>kL|)K4IK$@hN^Bq?&mOE{h~##m9D+sa-t%ujNXvWL8ru+-R^F5cC2=> zR_ViSH+SEuUyVW2DqA(vZw~CMuYWJ{-&@>smFCSj2j?)0%Do})YN~t%7ji3%pmG__ zS1xz>tIp-OJ`L4rs_(8~Hi!F2sr9uA%I}{0jP#O^UMLadsVbd%@yiMIt*cp)-nhiN z=k!ouT*z$~f3`vNH1;yTOzI`)ixy+bo=t5lb5dR>?^q6hk+r>`&U@_w-A+^BElp*g zKy2+6eIpKyIyd_&Nza*#MEdz480xc@8*Otj z1U28piiA|8No~rPWYLBPlw?i3e=_dA(rudZbJ0QfeFr1IT#y@`hvo}bVe0_*N${3i zw@XCKG3m1qaINEHo%}-|mUJ_rks6QG!(`Z`02!s3H24NGH*A{5y7cU+f=$bk8J?jptxx=$->Y z@)h~*AyMOl>D_da_8HcQ%98^hUeBYw9B!pbaLt9?BbeB}yP{n)o6})5Im{BMwCux> zIEfsIEHj`*9~i7mp`71%j*(Y%3iyITq*B!lYejJy~Pyh)#4n*l~P)y#DsdAc8z!BTSZRc=0Q$yfzX32rHV zAoYyL)$f(*XSB1{kMAWjsaL!gMGY$+tqJ;mkm^2jH^OsyTp{q@naGphAH7&V>&2!& z*zK1xryb-PrvfU5w^ZiqHSQr$iW~*zL80$H7ik37D;58_;H)mQ{`Ip#+*#9yufm;R z&E!`-*_umC>*{@-iR002)k&A8$T^y;OeXuQ{fXoC-KbL7H13<~?J-WNM&}KyV&g)- zJmntgq}_0}A47ZYd`E4MsGZnmI$5gzi#H&aV%2#a*LuZ|Py0f%Lty!X%jv`~1?5>} zbC;@2K25vEB*B*GqFoyc_!CYkWQC=}B{4FRYjTu9Mu7hNLS){Qxkb{z2|UK)Nv4K! z)t|=THn|#!PDRxVUv~sP&Q8s2mH4K8Gt$^5upbVus#o?^Nl4sT%Nnfn@j92_VSzo^ zAN2}B#~=DVMF{BL!^T1uEAtVUPPm`m_pL7SeCEN9+gTAANNR<*K((~Bmsi`TEDjS_ z+d$~b=(zbSbwv1>$aT&A_PC+T$%@L;R(ziPLmCTueol*--xeCTcKw$x^?V{XHNK+c z*)W3_4Idm}|4I|AIP|}g1P+a@a`~tQgRU)`QItAiOevdcP|+td%7P*cTh+0d!pROU zSt=V-pLu}9yyO0TMt}w2K?tQmw z%SHfsYijBg-0paa-&1-43X%cFe$O7}$p~&~fDZRw!|pSJ{=u683MU0TviRG_eHbtR z*EfMcq?G*UQ$`Ag7UoGE6-mvPgI3~Sc%=5oL3s_@*a1?LsP8C1f8en}F;<`|wD`pzoa%-5WZ>-W2BSyggtm?vNFol&WESWe+T)|C!v9NhAt@Z`!P>P77D9}f1bdjnkSmyg|{267P-(@(LUGPAmBh z?QrZ(EPis zA!B;WzR_{rSfiTw|1kF5@mT(E``z~5d){P3lzAh{NOlTQWVTRdlHILRk?oF)s1#Be zS&?WMNp`mEw1p%q(eHfU^!a?B@9X!xUe7-b*Ll77upb+p}bgYWI>~_iHSDW^2>GVBN?JB=YLFaG)-q; zOqTy*P%5bA$Z0WSPGxYw%12sSFsCU>;run8Q(wO9E`L@n@sv@UU&1S?tvz@87{*!? z$%fmzoz3s(mSkd_e!pk1gp__%)O2^i#EKPeTIk-=^Wo)Zavt&G1#g_s#2PHVeOfFc zA-YFA?Pv|3TGi_G_T|Fq0yXC9(5jjEE}w6gM?8JMyuQ48@+h&2prJ~!aTDtNUd zx8lCOMBdE1>-=^AS3M zYnw22UKKVc9?Q`#FL=)Co51CV5B7T3yQ2PpU6tzBn~v;T_M~lGmL?)u#-9d_9F~k< zkG6K%?mU2SkPeZ0|ISNSJP!B!Tqx7J%E@8sac7`~l@#RS_e|eici*S4N~1=f^4x=U z$1ne?tGF2aX?Lu=HHCG1r}jX@h=^TYijdi(lKoS)TjjIWjox*ecB-e}f1+ZUh@H4T z;@J^p5qX-y!81~Pf%Lf7sB8W+?d=fl;kP%-?U%=}<6V6o)p?A(>L?R-rlOLDh<4rzz%&rje<(86C` zn$f?la!0>_x-BkwOSh~=2CHMNhj(E2q|@5DUeSSDH6I4m<}KSAWU0*Xm!y78>$C1j z6#F*LP)L%KmpGh6oLs4~z3V7$I6VEqM}5akbxFvMixxBAs^`{vifmq)aY%faOWR~Q z`$xQclo?FCfQX&gDHa@@-f+OMmczo7<(EIm-8%Zd!^( zwQfGP?`hLb+rF<-*GDeDk@Lx|+HtUDfif2N@&Xpt>D}i$$&#~+XlH&Xpwujpv#qNm z#OM~o#|Joucx#B0vN7)cwT&L7v8Y3?i&k{3m;%Ua^>xsGsv9UB?+~ne;b}lf8t(5-QY{1H(?3O(orQe!mLDbvU zKYh-9;Fy8tD6e#@P%+&Of122Ubf=re1LXsU%4L*fx39In%6qp*pU4wvp>F((yfg=4p}H%Wt{r4)Puc=;^M?^k5O}CnZBHC-0)`l zFLsciu88?6GD}fxh!QFam~p;1W_Yb4$^*iADoUzA07|XDB_9s(&|>zixD(XmDGdIfw(aTGvB%{xAi@Yn}r9*gnj11S#klDg+!)*X)yphz#}Bu;CQj{?>vmy9UdG9 zc8MEj!Jz`dS43#R7(synA$~PXM+e8hZl=YHW5@V;a8}#|U@JgXsR%5BGW@Lqw2Qhr z=}jEZx?vA8ECoY$U?dRX0wG&aYT{J@AQZ{6Y&7T12fdo};y~`J3eiv$-3AT}>gpi5 zFTR3d!;Fp}%s%nrEFk2Jg6^;D$qoDspVQX(e91pf%0B6Msv=b5xAf+n`r~^(?M`Bex#wY|PUj(2XSW!h~z>6EY zS`7l`;|JtSju4IuyCewXL4sGf83l9IkU$qyqHG1!>pf`;!_4qPIFLl3Kr>Kf8+ubD zd{rb_gm3KNurRFnV`K+xn*z{siV%<2K(ram7DCHNc7R6yeIB5n&KwU7MihaGS_{J- z0UCwKydqjU1mu)}I2&PK@1AVVEeabjBaE}7201o}&-aPoWU+*w>usE%0WMTcRUMl| zKQ+OFskA80iUWaHSUsS%)Ky?VfN>*pjA#H1*f%`%O)<}AlJWyb&x}MDh)#z76_qeN z9@@De2JP76aaK%#Ny&k3;EvU?XKWNw7@H{I>G(zH9-47t2kJ`=mIe4U0#e$lC}8J< zV0pLdW4js0h;0`~TLmy0q{kpo2VxbFu=4t8 zB`e*hmf?v4G|Y%KBU8kX19SQJi30rq`NSry!Q;duk7L7P*T&n7Y$Renf=Uv zV0AA@c2x}P&cz>^*h6{vDC6Nzu>o}rZ_?O!#2Uvl?CMTME1PmedAu$dPo96I(c$?1 zif-Os4X<-d7I}NsKU8aYu=o7Tit-8*eCD0O0)(1iePcb3@t%GL<12?p%6z+TaO80k zc3H<6Y&lKkBwErZ{`gDW{K=MMk?D}tCVaxBUR^DoLHU%IdLBF*k^Fr!&G26M(w3$khM^Rv6{MSmxr-b~pO4+uI{mOolt*7pHXe&w?++!1b zxRf%JN8Hym?z$;pLdP-&iWQb#iY_dgrx6fwp?o<=3C|tw}%Fo9r7E`cD zDktizgp411M>`QyLQ+t$%9;qAz8l?QFh*q%@qHCXd#~E_K(F=S-68v~SEVKNEZ6Ja zFpKZJ^{Vu+5fqziZXVO=W$wI6WS3<#zS+!Q>=$1!VcO zDS0xsdPpwjPV0`g821{&xBcNgl&1GfgktcL{Z{I4J5Lu4owk4Mcyep)kj>|a+b^7` z)ygYGxJ-%J>U^vTwKD`ROEHsUo|lhsw;VCuJ$pMq>8nus^K*-H?!;qjG{epD>DFm{ znsxkq^oQ;=GZ;$lVJTiL(w_^B+Qr;Lkul@nC8-hLzN`Ofu72A6EgfIg+}$7892HA^ z(Izr<_L+Sw=fSYsSzG7>;>3v$X6E~Z>lKf2z1X=U<{D9U`!G*r^v*+@5)X%$s(%-m zNZkFCv+Nw}n|8~Ee6H2}_Ir^z7REy>g#(Yg?&3HlB+oO;8U-B>$Z9f>xqNSqYP0`h zo1Gk|-y0c0wTQdIkL6lEP{0cfiov3lx`ri+Kj=*vF&ru4qxn+HsFw+Y@G z3Nr30uwU3Vf1USdaAsEW4x$&k-t}pT-u=_G_D`>!);0-fynM)YLL!Fr2DJWc0!*Grzp3I+l*NYf0)5rpY|uPQ*fetMl1sCTEHr^<6mw z=GMaBG7Tiygqp_flr~cTF)I)hFw%B6@8!yS?|_v2PWQq%2^Q^!$3Y{Lj%z790}3g3 zKG(48Gc|eS>&G)9R6Hr-uxr6tbekkwX?94GPWA6ZraN7?&n^A>WS}H;A+pG2g2{5k zjiSq?vF6eXo{(zd2iaMpt(?Cm@+s7A%8z--Z0bEc?pVmW&H8bv@Jp(|aea^W_W8iCG?2*aVZm@>Fj6zK z%2~_NBsejBXL5GcL?o|z3FgWB@y$hDOCt@Ia4P)H$zR*0T8Y~ndyZZ{M7=ATINjQ~ zo1ZUX?rQUkLpxt>S}JL#{P}@m0e^PCp?#dLD0fHar+&jd-P|Halfw=)C~FI~{&t=` zA-ms;>7MYtp+nz#hs8}*)XNNT{Wo<_U3=TR*5mTKwC0M1W!m?mku4p2-o*waZaJ>i z3p#vDcCr^^zcwAbV^qTh6A`~Y8kKZ*C<(4jV2(GnI;=(+?Jnl^N7pXjIq8mX zF(~&PH~KOAt&3Nh7@Br``SMHgcn#(q&s}+6J@q>Krmt^Dh}GDK!5fTfk;BHnUY5?d zrI5beAv$J0w|A^dP~mjrJ@Nh2)W@{+1?|eJ6-vxh7I*qD=R{CfAj@IZ6xAy}%Hlk5 zn4K$54l9tyabknKjLevMH$-vb>?AL5fER2MfKC!uS-R#U;4F zjk2l`0wF6xAs+=rnIRf}kOw2b*UA;(b(*vtXGvzT5Trq}NphkZW~K;lux$!BOKd+S z1ue}w+9BtuVV@MC<3_l|1z|IFRYZIN(oxX(k5o16yBfS$wWxUMuoxx421gZf5V{88 zCK*JmLmsT~H85d9%Fx(vMVw{QPY5-Gjuc|BB$l62SOvO(DFO6=(69#R0#Qnk3IGd; z2d+bc>omL!n7s;gq@awmVnI|Jl1l<+Q-owDHa{w1Gz@0a`(ie%Ss8bL1)_TzC@Kk1 z3Uq{+XbbfJf&l0vP8AlyO$7(*3>!es%LCMU3|qVlR^}Nq zFAb)k0b9VTj^;>C2hcp0(f9e{s(G!SM7y(fsr6~O>v?sz4LH>)XQ z=l236Pu2t@%P5=$x6($qSrI0vt^}*}H{8758pT;yMn+BC0Zwpj2ynJGlJk(>6mqJ> zDF7#P@Umk!GyyUqohd@bs3q_w6d?hT5;EbA?1Z83(ZX3WgP(zf(Xep@B{j%UlfqVa z!ZR`g*Ff(LhZ11&`ZI#<>VZR%x(n_M*a=rrNFMO&`teu8p0k1frl1Xv2InpuNLOJn zh&o<(1wi2pq5kvUEWGPW+oBCin-6w8itykOz!6dc$A|!;07axdS6N?@V>+;t2HF5Y z!MD*sTfX5DzzJo)Nkfi{!wKhyeLQIlJ35R^(PEKP5&^4&bD*Y7V)f(4oJDwg9QycxxKB{xd~8h2upRH6&;?3K_X@dmi3Rkv;oZPk-0ZA2P1=eOnZFOFBtSzjbR;Ofq-Q9N!?Y3hf@dKNRQnw!z4D~Lo5vDkVePl6>^n6m6ML+0hn-}BH z?DK^ywf;%KNT{mq;)%B{4SCitotJ+X(RDp)5Ne8wdu|>ey;H_7@qmz9^%kPQ)*~iO zl1H}X9k=Z7+_KX?>qeEDtyo;O&$Q>)N54!t)#MKF>JC`*0$N88|}ve7heiD{zv z*m3Z(FJ7G5 zbZ5*<$W=4Yb5_7BK}6RT<1M<9{n#x!Z445tB^r6I%?G*OGiq$zKUv8#@nB@L*0CNU zyNIlIZC2lOSR?D~<1fcA*{%t0CJIQcIK7H?OHXwb>b+g~rHCaQ%0arbOeU1vt=rN4 zwJ5Ij%jpb}VP%Jwj|o*Y3J+xi!c-2^ek`;ePmMdbtJR!GyQDUB)c1ab_}#JnJMdE( zr|w@p&%L|T_hj1J^1!SYg5PPXdzuE%uSgKPzgFYaX;`*IES+Y2td&+0y6sN|$>LeP z-14d1b|_%2wEejnlTu&tvs}^Zhs0GQ;(Ie^ediwDC7f6D=ilX!tomo%idcCvPx`zz zvmvAQO@p}y=QvTZ9r4$FrQV~D{`^)kEx3KN9n-!ha%QcO!TZ;6zRyF^GYhvn`j+Dt z6>BfmXjgv!CeZphiF?|c@h7(Lid1CU?FZJMYvP?JrqikxMCIO`u0#?=zcZ(gyLe*p zj_{t^SV$RPE*5HB^RW(x0Q4insAN_gQSkSdGq5`x!KzbU|r z0u!7a4O085DPh2rO075MWd^qxo5CevgFwxONYjl=413|ydsD!@&fYZCNZ_SNI^`{m zk-N%97sJhfOat+uf)d~#K+I}DJgI6ZVd0NJEk;H`YUc3n6xs_&6tQQB^xA+yAm)Pf z5Q`VQnDah(XLg!HbLC*it^}A2Wq*_Pf&f?**0pC~C5)MvLw_K=R7C0WFdhX+N&zAd z)BQ}xkNH?bJ1t@WXqorp7_fKdxP$Bj0#JkyqE!Jjs0w;N<#hogG6mHj2NtpqXHNrk zp$1U7!~0;lv@Kv9{QGfsOu*u)L4;ZbtuYdut+zj50r2S2et`60y>!$7<8h2J#|cR2OWU1t-Q<_n-z34Wr4F{1HgX2c+&_og`|C6We4fhSmB_00qi7Toxejv$W=LcmO>OOvH_?aW(^~= zJ%F=jM;-$?%jkcoB+)`JWBfL72!9;FK@!or7!i0!L<{~Skrc(`Q~~{0*}xRytZ@gJ zU`z;Wlc#{qSi@rPKL|Z-wZU0IfFA|{@DEY}fsl;0fs2#q;wu|CIRI-FkrWSRM?iHH zAO@g{C1z7^9Gy#t!0^aHfPxTPR|5ihV_u}&8IT#|43CAVEm*7`#Mv+aBHNe{N$bYO z)hcuqI|ObF>@-RZ+?WX}?m!OSi#ozQ9PD6`6>R~kg340^sX5mtl4y&QC0$Df|HT$( z4KWQ3&_e#5hrAukL&24ohICSy0duv3#ezo}vf^M4;Ao+Z0{2VetHOMYBN0(fb$ zB|F$75N|3I{`DFZf&Ek?=i^~Mp>Q&)?P2+E9Y%aOKw4#(G(6cTEeZs%kUFL(idj0q zZyptUc%neUsD{>$%q0V|1)=b|Hj*CO8_Y}22E$tH;gG>GfK#zy1|rpe>r=fCY*r%;Ow}|ky z$9#O^ZL4XlQPoe?X!D>%2D3@=v_4<|wZwSsoS_<(k`y1K)4OvDG-AOg4tzSZct-S# z+YS--$sJ!@Cg0Zfu8hodjl9tmlhaH1m|@qol}y44y)O8YGyn4DlB0mW3sFa-yj{Fo zl+9eDA~)>LHzNMV^6JAb)~6L+BhPmp@i-FAB_(tz`(ujQjPQ-ND1VOmU0y=5`?IN? zL{E21_n&>ZdZHyXGPsS;rByD5@6$(XmlH2PMjxhqH51Ys?rWVYx<9rh+jQ>4mJ>F* z)W!RTy5$YivVA}1*k(L@Ah0Cyqoh*wu2=C|k4&ody&h{ec_RDq?$CowsCXt5zP>jg z^wk__6P=C=(>@oV(e+%i%1O9WO~b-oQa{kfrX4Ij`=AC#rebj}k&18dA|I?0_4|#_ z|Kxb5`+m>(OMju`(a~o#>os;?O?Y)t{RKmzyK$O-geHq(p}kgw(xi~bmfh6i%f(lf zsu?(T_ie2u>K(X5J!%$vS(lSLfR&5OG+Vu%zNmI4jvk26_nYgfp2g4;SmB|a5)N`3zN%ksckh4gF&#@WseCiQQ%%vReHm%p+P72O;9UM2T)VYc!_Y$Q8# z8e_+ljtxQG_sS88)L<&y;PQ02g?&|pct7-o05qF{Y)`Ne3@E-MVzaZ~*ocMswD`+9W z@o*b0{crEXLBu?I@!IpAghN3&1Gy)R1Wn`}BHwDKlrI@=-DSR#G@#9M{@}_*x%*n@ zKS`}E+%u8sWH`MK-)mZ25_P0j_s~>(k?>-`WuNBN8&R#2bsxP-VuswmU4Cu7Dr5TN zT6y54&7~xKe3x(ey&b#!N);s*_MWMHS|&|@#7_6!idK4L0nsu;mx=e6*r6f2tD8;b zb|lX^iTj%N25gn)jHIX6;6Jo{{9(ggh1)82_uW75-b3%oFZm;uL+zMKdiJgl3k$Iv zHB`pN;mOV)c&h}`8Ma;(dm#Fv!SiR{)|d+J<7=yXD7bv3!ml|W$AZPIS>Ba>sW-{p zeMpl#-;ddh_ZROG3L>{_(LC`3*D%#l<3uTQ%A+GkT{TTOLUn;ey3hL}gE!l(D~3&< z-4D5+H~k^^b^2`CSEq9f2TiSvhwlcGe$zcow`>F)9e6lz5?>lQ_Gpt#wbs?>7iN_X zHjlgmG@6OgZiK@k=__BnR`-53cuJ>h|7P^sN}Z43^yg=ft4gcZtUk+JJj=8gzVkT+ z*VzP~GR=%x?hK6zU&0!ZzP>a4>md)8Rnk_4(!Ae4bNVNm0-_gcZOZ6LZ{7N(v{o7i z&jty|yk?o0>lfbT?W%K=X>@3FCxf!|X9ewvB<7bi+^u05EAN9ehnsS-U$?p65AW91 z|8ZF38fA!VW_@#Lf^JAaPC}MVsFp_e!dL&Oi{airstcbFm(}Yoo*+&Tew|mRb6(Pa zL`qRNnqzTceuocV=!(2p_AQ?Pf1Q-?$RScwFydb@vLABmxo!9)?*caZ5?HmJ{v@d{y_IR+fL?Z<}lD_T+jlW@ylwgZF$Q!fhX$tC@%{yqPFsq538W#@T``(LR@ByHK9USV68 z``ZNvmyKOk&2HZoJTaYrD6rCC!oNvvVsY!utPh9Ml)Mtszh$P?EQ;a}RfT>mS!M0^ zTFL$wH~&rYQ78F2a+0AlmpUY}{5N#Q*c_Rpp#(FQXowTxRNoL3ssr=^OT0P|wF}2_ z^UMwm|E3Lr1`g;{Y@0C-;2WZ&Feg`>3?}XdAYcl|!bB22y+Hs27ExCwW9v#cpp)+C zQJMUYY@@%F?cZ!8@_X6w9DpnbcfbnQT@n4do^+%H1S!hC|0n4Pn|6mLRouV?2q$tW zsSQqv0F0I5dR8~NL8owNu-*;lz^bZ&vg#2<1$jlv%H#@W*o#wu*mj)NRpJOlWohP8O$wqUWI&{Q8>A}bV=ihmKAcj!A1B7S_4*6Aw#veD*)9>=xm|rEbRA2*uZr~f04E=^V8pKZ!R$uKWUp-S5iP+FKnB}M*WHn+ZJTY><6~+*q{@O z6e~c|gYyDQyk7k5Z@X^(V0#yAIglC!;v2xO!`TH67fuMd2AV=0FC$cUW59II04j#C z0|9mcG0lo-m0`H+mC)8FbOTY%BWK_^fgb?v0C3*edL;!A@o0khpd$dLqY(h0ILIFe zZdAgITuW?Y`w`QS9uj+ugBfTjWHWWu_kB5CXA1Y|55HPWE!TQ?lxf*?3iACVzE z59EBdjkBT%3#EvO8By_)MI+=^^@5?*3xTlR@Pr{USqVk~>3EQoq=>Pbf<~z07cUdW z5rlJK11Sko{cJq3YO3opip*#@4z3ZPjWD|S|ItSLFG67~n4O&kb6}^YqkxiisI(a= z_9zQ+%M;U)3Wv^Poj1<7>$&deno`)drMuL*v|;4zV%Nh^oRdjqwp8}(ATgHIqvOke z&cwxKPt1)^g{yZRr`5Eg)jW7(^zw~4QyF2sgsoKmmlxy4;=~ygB{RnBmph&+PxP~1 z9O37FydtIlR2d5Y4!gvEE);Dyo0<6T|EZ^FE`WIDP

    z=5(FN$Az*mVo~piltfYR(!IU{sl0RwWa5+q+9y4XwWX zJfiWmbC6zV;k8uF?E8Ud*F8sB@S~U~zD>VcHxkF|i+QuZhfqnOAI`+weT~(8;rppd zBnB=o4-`}gstqTy!_FKf3 zKw+qp5620KQ0L)~Sx2V`Kv_?;_JX+2!+0T6QW!m?Zb=~peU_xeg)lF`FoE=6IYhH2O&6Gns% zdjsFfx;-8n6)5qAic9%RzX}LJ5*J~TnBc$9MWCAfxlU9wpi~@lHUNhbU6kS9ZFzW( z+B)#SI4DqG?!N_cd0^}yrONtcTvf5ZmcD;1kpI%>dMA&@PW=YA{qaG2Q~=tlrf;ZP;EP3<6-I}jfX?{W{p52%HrqHZ`#c5<{$Jq$)zb?|V$wfLpYd%2WYUk0myh&;`Q zq7->rqx%8u`iFaMcEk7=K5Lro^vIt9v79EN(;sT__D4^x8^|)2#Kq3-z*sWWIckn|V&>3GmnE3Jn z>w0E)_W0uN#}vKfg>Ct0NzULW$jAKtrjF*1JHn>7)~`LG!cJ>nb@uXrW2JSKT#gE{ ziVUH}F&3yN=yg4}TnMLWdGq3m;Cx%!?5md{?%JNx6YkdL<^HA}I?rDz^Njxz=B7ik zvB10veWvopSgu8lK6z3r@@yxw+wr-vRe@1^|Lg>5DaB0Ia|&5LMUns-o?18si++*a zn^qE7m)Q=!`ltuvcMrJ1IlE70Mw#a-Y;&N?$-1nt`uvCoWmcjey@Gb5qKl~961qLt zMLH4q$egCEPjA^mDPFpcOc1^58>j0KZS0HfV$+Tsy(D%MtN!WTcFXe-{rYEm%#v2_ zn9Isx!tsX_s00~jk15Al;ZRi^jwvS~d|coWBsMz%VUPd~ zC1VWHv%$=u1pzc7g^vTMkrD)m)Y$}3Q_2AA7~#?d(NGkMf}(8{|M?eynx6##pc)9F zoAS;BR+$iBtyg0lvnYhotec+z>!vVZu{XnrVgsE46yH394|NHnf$JO?6a}i0%`iGB zlIR3f?~0&@{DkUvOawFzN`f8Z=OqN1<$?f+SKSA?RCh&pi3e>X&?9P~=BXwMAd{yb z22P9PVWQC=7b+D+^FNCM@KhHB;Aty{?urZr-SuK%6l@`Yyv*Wgsv?T&B@Vh-)`Srr z;VJ^cK!Qy{kfqHn2uoGue}!*ag&yn=f;c&VZ(Puz1dIgf zDaZ6f=CnsqMGk3&x>M*2(%Sp}y(|^aau2q}&RP>$#uu98UNRS(`!o~l$Cx(e$!7U* zMIba?LcaIGty0`KZc34OFUo>oN^G`?_(89fZ<1VK+#;XV67!7v(FiBa872=tXZxV~ zrdiWfD*=k+w{qQ>#?K;cZf)IU^mfj#Ohht&W!rofG~dxzym^zp_EIlvYNS||e9*_~ zNAY)fhMMlFb2kPSX+9v|DM-dqc`D58i5xo}`zl+R=e@oXCi&HZ{8KJ86hx#Ru$^a}(FjX%B310^*EgWGw*}Q8b+~(i<7WcE4 zzR$ltH1nQlI2U}i;p+T&)Vs~;$IDk0zbrg??5`T6uNH%Q?+ljptRn0lRYT;yKtzUna7zY$&3t;MWM`F%L4-K{$6f%FANVYcyDmUri?FEekZk^$`2!s78&jr)w6__>CM4GL)ptElkMSeyd!N? zkdaD)G`c#zIx}g0Da9TYx>GP7i@yH8TUXVLU-UoER~hLKw11TU41d16%i*1|cV97{ z>Gdq@7^(7$p8Zkdr5Ozhb{`+mSw-A@H8YmXR@WkGb6qP_OLDV}O~Ny$^N^Z&OkK;A z&P2j!Szaq+CC8ccEO9_ITiE3lEQPVDDH$Zj?&N0kq5z$#6%)#x3X7Je6iHNU*UbO|QikOvLl zpD8@oYxpFHn5Z_d*|d+_Xcsv5=t^$k)4CD3{^tGb^`l1vA!!({F z1R0MMVHZzkP^JhIfV#zCsu1%v(3h|hjQ51~Knbu&WC4qG2L~4-QbuF&`YIzE)VRuc z4w`%oyiBdK0C(q<(YVY14W^vqUIx@8RWucS56B5{58Cp8 z>T%^nmJND;1yFev0KltX{VlsX2BMl05W>O@HI+6?Ali> zLTFAM#(HuqUG1QUSY^Pfxc2)7{b~T!Nd-{DHBRnL2{IW4x22>Cs8*W4N8@`AWY1jz zR4FYq72GTvw7LM}gZ5BV8f|nBWLIZ{|&X&~e^0=(yoIkrY%$Ly8Bj z{K0p@V>*vg>i(-hsS|%CP=H!*pl=M_bp&c?DFe`YZx#Gm!33)_W;)PCh5`Di0wEo! zuLyH##(DOhre+>$JJcy(S4;wNt0_zV^2tCpw0T`*> z2;3yEF8GGIjgRi#%?A9a?ij&c{hrZQNr>~PPK6^g_znxFEi#`$T-0HCnS!^j9U;I(; zQIgp5Vq#c)@w;@p;V@pv|o$mzk71$3eu;VLHe=F^XC~p$k z_a(*Lb`toiqyO>61Xsn&YdVS!STB-Nv%+?`@+vVI^{#hm8F2RwW>>EKED9bf+h(oH z{h1@@w&!R~xlL?p%(ro{IrV`XS+o3jyBPV=5~tupDoD5%e09q2mJU~WVBz6qk8g_a zFyfv@_aE9K-#P6CjNUfFd{p~B)KQwqypf-*BRgT(`AcQOV;9%l$*c?ShHw_$ZS;4) z_$B_t*55TI?YyFp8DTE&YlfRUN!R%O(X*y4o!wVhml1VUUrgQqEa|unjivT`dKM~!vO=~)S7Wp6 zzI-3={~+ej*LVK?p!umrzU(o2Sbr96iMt(k6jw!^DT9%I*z>5+pw`bJqcS14C zrTjHNIoPPVERw!uC9-u|=*H9OYU=y4jLYBLxKrD+Okcw+o!d0}>s<0QM;DU*V7ai? z*s+=A(NM#qi+cI0`*{MJ>rp1J)}`ZSW$VRLf8@&s-p3vxOM2k*!pAe%)2FKqGkM+Z zvRajF{XC-he(0%37u)6ccE7SpTaKNT-o*?Pa4?+bqrUK@QKbz3!0xs30n6xH+JUJ> z2He=BQ!vNfTOtTMH9n>dD26#wv??^Yq9>=1VJn-T*csDjU^mAoWJf%OZ}V}dtc6q# zzm#8#pa3w0#}MAHMEU#KJ?f|IU_9k-;+hckXtaxzgCM-jSMhR zVl82#Dkmwwg-=p`3nPw~ji@r`XoEx;alC94K03Graw(#ZApv^^q}e`}0d@UQNt9-F zfm(@bBFBTAtkKY_d725Naz4#;4*FyblyajUOe_8~5e$;GLE8$lfk1i1+W-xbqz^zh z9io6iYmZ^r&^cRl^XK+Jy*S$fwd-*M@Ylg7fY)fMvK^qR831Z0_=Hls2SB;??gKM{ z+7M!BlHeM7N`oX`!>ci4^z?l9B|++m9i7y*o0~(ax0u8!- zet%aizF?RK?tq#HK7j`=OUSGUo%I8=weSGcAU`w}^))5nfQP#42YQjb4LFAWN0a>l z-Wy)ju;?$4$`i1J15O$#K_vm8tBrJWO^77$Xn;VNF4X1)#MU-(VbF3Q`g=ytQw9kp zlj#ju&B)(Vq`d>$A)t&MEG;Mn^;s0^xdS{BZ{Fhr|3?`3wde(*AC6ftc-@}`fj*pn zp?uLpOb&EnBk|vdX+RqXxRk)8Y>LC;LJr;-QJ+z!4Cn#ATxzA@OkF;b&Bka2kFcEB zUb*0eSx>?j)5D)b?}Z(1*UY|%6%`f23o`#;pYuv*TzvbJcHYB~^|hyVRW}J7s_2Gx zi}w;58E*8pCPfgcxpsY_Bs(YMG9)gV%3n-TRbP2XTSe@9FM}-D@m#)0eP8uWW?7_K zS2ml#^Wq5h(ReB6WFurPfh59lRXb=)a!aS#J!8vq-&#sYad?hSk)icX+Z&@RpPR~) zo24E(PR~Bxe$quMod5C~j}<)gUMdq&cVxXdyE7?c=z!+*R!p@>x~C3oqw3+j-KkY3 zrZjPdnd$yT55ISL;|>?$^~2h$p9!jwEPjQMUKl~hGDV~)wFBmoluhq2ugHt6;YY&H ziMo5VT}9>P@=dHKMK;jO||>~($?Zd5)lebKwGR*OVHkanX`;>Y=*8Zu)9EE=a%8pi z7;GscO~kX3(zRIregsD-6Q|zlRAM<6Br_EA-c@tZQ z%{is1=Jdr|z7LSU^70+v+L0UuSq!ZW`|=omRd%ff)OXFP*du7GRYu=`IN19X^(yZr zsqZDCo-f&S35_1_qgwIbHev*>!Y-O36}J<2RIazL{-UML-%jaUC~kASUYo+=&5>wt zQ0qvVk;ja^s@sT+kC|}^^KcPzjU1Kd`|?sy@^FGtd=2U054Nx>v{VsH%F<%{qs9Xc zeHVBtpXfAbWfD9IjF3WDz0$v=s!+0lv3&ll{%lsYELjNBkY$YP-HmCoFMC5>$UBGd zH>tI$?Y29XKC_AxgNZPbCYT&Xgek%^g``?Nv}Kw?NnpFmXWC{{Eaygy-;LA{L?0EO zstPXjXonW5N+h;z>l&xaHa$@JRefrFQE{cG7izpZ$j<$Njf$MDpnfFs+6(_Yb=P_M zmG`AWx$4*Xo=ROHb$uJ`oOmFv>4zl1Phk$A#a0f9F5itZOK;2kc3@7kld)>8c1i|z zuabf;yM?A}Ak0>6V4h**)8YNaE2Sj$XINz8{V$w>35bnhQBurC&nkC$D`&>hNtmX` z3v`f-!?)>2EY4kRn{(yyyQ5QmF&Ot7y@TtPDGn5x=oCO>M07{P@j_6S)6dID$Im1e ztLQaxpYlHUKz@(o{e1K8z2q_@aJt7N&037p{X$*dS2OocO*KNZHY)m8<26L_pJ=~- zyR$ELbGn!;?5$t;yF2eWuFon*yws}cpf^2GH1VN{Y4vf#DQQ<^`{b*a^Oa~X`a!Iu zV>Xp#nQKzS2d&Hcl{4o~4?#I}Ek(!z?I1_@!~Sasr-a-aO!d*ZXJWA_F3a<-b@CRQ zTH!zXsFo>NUzDEb^z@_T{OhTa^RojACa*j0X`l0plb)Tr7vZQoig@CQz;FuUQ3Q{`u;r%4S+Tcecvv_KSqOZKDcL%3Tczm^Zl==!VvtSa1<-Y{def{IHuS4x*h2m5-} zbW#J1nDBICUez^6j$`PR1g7Cu$L<*XC0%f|KkmRxQI;w$BpZ$PjxoicJQ>kIjeZI`Hd3h`qcuC4lZp*2knqRAc6b>Kl-`M@ zin8zkUl)oF0fyvf2t$e@1kECjN3&$&f$=~#MGU-g@gO`( z6f#5YVKXHFS)SfwaGI%Vv!=YOfz{ zCZUS3gHnc=0%8!x1yWAnIwgZ4r$s3P=k@eQA?7n5BbP--5D8aW2(c1+`;?b)twY9S zL$is@^U9wctokuX#Azf>DAkcTeR?Yet(N!fe4T0cmhT%ZRO~%-ej(e_km9c8*;W|V z@a5nO?Z#I_W!7JKelisJpzhG{F);Z@B$r9R6aV46FZLu?I!E}g>W z9h$kfWErJ7<65+F+s4UjNlx@eii*;Bi4HjuQ95vC(~+mYdWC%6x4PS{MY#I>Sb?xn zq_Hckv^u)AFLdc)$9WG>v(^ncw8>(-B7~S^mWjkIB3~P0XRwCvGs5YQO?n+;@~#k^eQHwK`n2Mo>l9N>;;iim>r2nzw$vmIA|}EI z_C4i`Jais5Ns^dcduX05O;<9V_R_RL!N(}?&5r%y)12F?Pq{bW!5v@ksR!bmlJMG* zNc9E>h5BEv+=^%Iv#?FRg!jr1BJ^c#eu|TM>Tz@8PJWZoMafC;WgAV9OLIzfSAEL1 zlkt_R%XM9kj=_xgTt;MO;Yc%8CxfYNostB$HO&SWZ1?eqtd@N~>&x#-dgs2Mb{%9h zdXHIGspu=AK+ACJR1TfIE#}uCs*WK0@y38&3{sqhdz*Z)uFnTJ@J`IL0o*n`kC~a~ zgzl_khNg49{Ah_URWonNITGdA=$JTTND#`~_kAKnrs)C9rshi`MV%#bMyOBqOJQ3& zxfsuv?kkt{KIeUU_dZ^y$4`Z$`G%qAdUN$%oVe19eY8R{rKr>}iNQgXO)ouzQzyA#cSB)U#Y7`v)?jy)Qu2E)W9mH?-P+yyYkq+Q z!K12(*h3=ar`vIe)~;B}?lJQ%s-JgNS0|klq%tu~xw`nuJ@DTJ6owBW10NjTn{~8% zHsa~y^X@A)qsOX+@*^$N#Bgl2$DB7MsEKyFvZ#sJh}SsN3vX=6KUYFvnEjlLBA0t= zYSa2kAh*sOX@9M;db>a{_06?mdgAvVV>I1O-?%pBT>QLsn;nN~Sxsfr(zd)T(In#D z*PM!{#Syhitr*;CNh2}FGLMnf!<$%*xC8;7X`yw}1hE*T5=0WQDlV=9PLaL?>uVmP zj#ckc;IFQQeR|A$u42%}mLB;fq6XX-2t6@dA^^;`z(qBFICtE zu(&bf@o;YPuU{(vxoVrra^HF^>3dmv(OrMr0m)`N*0sS6fM!!9 zIN5W2)c4pbxymmBs)HTb7AKA~REgI^m&zlhksL(fD+5zvDdFa##}|KP!eFna zPN9Xfc4{=I^C;ODS;>ZirXy*b8#R=W{hVS1?`{MRSc(++lIaOCoJr%D4Ii=B^>$f^FCWyRg=$u+5$xoO$lP#xriw>p8+q^Cz>mSqL*)!(;90$+n`MWu`5rID70k#zo5WhGUB_#F&xD3%JO;#HKqOsJVfd>6c zNA077JZ4jv7+TOF>hp1Q!x23`2<-Cq)uKVLKSa$X{ zo^+@Swbvg59r$9|r{}Rq5T4tvXze8rC=loJmXt zR((h9X&DOE2ag#NwOEp|m#w&z^KhlGSNqcgvW3kFpK3kLM#$^qJe07g#<W{A@rN2)POd0r$!4*`9`wdFJ*UWm0)*8;b z*oy>?@h8x@-g{v$Fq*Er(>{5?kgkp-$0zSpXwp)d6ZOe+FVh`Jw%0u^jID6xxi90P zVucNV4E9C#$EORplTADAjo1}l>Nxsu*B18W-W~d!{cLB{B)h`-fU7@hZ|a@XlqE5Z z$OTrJY9il9A~O;z?5}@)r(0m0yoSHq(`)Isz&idNjw_C3@B(7XC&cCcbr=4G2bqT1 zXQy%XTd02Rd{0nY^10TKpYWf;l#5tD@d%6-*#g%k{qXC)p895~Cx*n9=zG7i|H_^D zY?^gritqZ8_Ng;3@g{bff2x?Kwtfmx81oD8U6T0jiT_io#jd48zhx*x6;~svRB&+i z46EfgsitCF+xE+1cB=5Osm(}2ey2+=g>eO)y=sy9Erc49xwBu#!|Qu=n*GI_()PXM zdBS%x7h&+CXtPJ~eJsh`%AW%*0Z%?|v}8VXZ0;^_d9}=5&)?>9OWH1BwtmS`$S`81 zBV|wRJnJOQ2V%{x+GwgrjeBm*7}}K^<|BcaYWgNWj?izU;~4#@L2&}q`}voT3L(HB zi*b<+^B4&)0B}1-LInKS&_nQ_pxn{P1!(FW>SgU%=4qh-+q^f82@WfWE(;TQ<6VuQYQgMJsQP|wBq zj10ukfbQWp%disIp%bGZ)CX95u)lB&giGl``b_{CmJJk6unbL%e1GhWL4XR1y7+7m zULTAcM3tRkhYZVM6sHja0w^S7g97^iRDp}f0MRH=VR3(<4`2XrWBbop15|T>bQ}~Y z-Sh7jGX21o6A(Zn-hXmpqFMsPV}(ZgfguMj(6}f1Z+j0VhQ__a_WxhpgZ4gw2WUJ< zjDsqy@fbblJOs$w-UovU?uJf6TN=0 zn&M6LpeaJ8S5^6vb>)))!Ogki?XCW)JLR1|cfQ4(+auk_72^UWQ1(4og*u3eto%)I%l#bW1=EwknvdRDdI87#xqjAa{-lH+qB{Vn-# zuV{VtgUgDSSloP>koyd0*|d zDx~+z)yrrth>&)?oG%`71jwIei6g!%a`BPO6S_q|oi(2rK2HO9CD!5x-S^^7p#}b* z_|AGosWV~ZS?9C1*~O}jciWi0{T0)73gd$P8Ooa^O&Jz~;`?Uq)p8EeZ{xEk6hd-t zV_lPRBJb1;kr@{$u5Ww(IP`GT$AaRUkdXW?-Q%kB6RSEL$mm;j9nL%6_gJphu|&p> zoA0X1%U;TW^YP-BG$!S%QN9~-Tz^xjFDs=^r4=0h$@9kbN5k`NZw{yGBo-QoHARWf z_wyCw)jD$+FXogEvzSLrxI&m6vGS8~r z+xp$ljz@j3hrS~@+4($u?>Wkk;e2?Q{VY%$OO0j+vHRKrucpE7Up-3XLd zvN{eItv(;Do+pDyRhY@@0|zloj!M*BUF6h zNqig?i$Gfd|3XDU$ZeGD56?YK8;k`Gic6nB+=&k#apJ>AocQoT74~Bo6olXl!1}c9 z0_#K0;omS=rU=l-oF796;^_qVMKSU_vK7su?*Y&@F@kOY%rbOrB?+|M1D1=`qrbrs z#CP&T>H{F+KV=ETMVO&hPZ?vs`vQCc=&~EJD&+9#_tF!@f5M?DcS?;TR8t@SjjJHI zlppHt1;5V(y3m3PW2csd40v=!MCoLiNaL`&O@@?bt$>wO}8XF-`wX$ zQn6$_Zi0Nirv0$Fyr0Sw5Qb=PN@{a9WERiEb!PNssqUV-;9NlUd5SfjavF}=s=sCz zS8wykmUwJ9{fS3yu}Oo^_e>w}uVqzuf;g%DJ zEuMc5Y1rl8Ay8!P2(^H#P>KEwgD!>TB0KG#XBuDz&zb4424Ypn@oU|~=T@QAE+9z# zSi^8Ick9v1vJT0gxAUS49$5(KFmru)Ip03wE*-UTP`?vs$Ru|aBl$7r!)ON_v1eXo zV^^5&1qjm}VC~(_F7*Y-#mY7rrbx71G=g#VH8{*{t>=Qq?26=f5Pa+d4cKwPy=c~7pIOojA zf7RXX43*hiemHaf^{Cq&y5OoAYeupzj>O6j_Xz^!5SMr4Shm;s_dYqN&5qxK6Tn~* zIsC+gaP!0nw`-RhpV?@Y*uDQa?ubXSh2))bi+fvC`?_>cQy~3nM)aM;TdyBJ738hy z@DaY&H* zWv=0k;#8cq;)w$m^=kYsae~!4L8aOB>Nd?whu#xC*aH;}p9pL>A} z#$5Sw-_quKCf$Ke_$aGm0;WM+6I=?jRjG=(e7A=B%}r7&`vF#j+1|z;NKyk zhL>ym>RKD8&6hK(sqxRglM)cFC@(KEnwmWI=E__2kbG*LHFmDUQ`_q>m~w%3C{{x0 zV8MCwn?*ft6ZT?PSJA0aiSH4%?2MBOIjdTeob*(SbrNmPII;e-fsefvcNJZJsauCu ze89aL&x&ovwUOaCiLsv0aRY_l7g*0`V^Z8#87EKqfF%QIL5O{|+MPM^Wy-Y~cQL zUqr8;VQ?#n%G(RsV`+oty|Dg~BHR(6TN4iz>eWM){F4n*WaSlc0^^$d!}jIV*%>Q6kVg+kYXZ5F|T8$p)#f z0w5PU0`gFC82M?0kSLV03QSS(e`poJ=zlZ-V34lCL{S2&YhXctW&qg2;3D*x*sunW z_~U07C3sf5$26h!qXy3FpvV8N=Y^nB1u&WJb>Iv*{#+LTBf&z2xr3DSG!&E&d;>-U zo&5k*bRQK(N6CM`Z%ceb`l4YyLRF5}=~jkJZpxwuO_W|Aa z-PtSiD3pYWhQ6$R*RHZ|wDJ;T6o)~P1dNAN7Lk(1D9dnhDwX+cow8_~J58=!I(%`s z3QtMz#=QG{bA=^|F}3EyfO9lSQs;Q_68aUGHp`Td{z55PF5^ocvGP6|Z*SOdB{Gi} z%!-XqK2t{4b;$jc=J|elGViPx^VqXX*}O;zwJ3_9sXV4w*9_mJ`KIya&jXGdey`ar zNG@%77R{T_Y5jc0Af#_IRX(S$pGi-7<~kiq-=uDoc0ZP^f4cX5?VCUHLl~95O+6~l zCR4igjRHA>i33v;jn8d$+ja}SE42BuEGUzRgo7@q!j7@mm9_|(c%R0>GDB+v#*$+Y z=Fuq^5%G8wGQc_h-s)t&UfKRC46kTgDqEnBs+yO^C1yUMd{?Ujh;4+~F+;v2RZ zd_4++#6|MrJgzdm_p^kTTvs-27)YL8t9Xti#-($Q9x7^nQe;tnxl>n+jPjbBor*&c zw$HHRS-i_*cO`M@mQhWZLSDgb6cop)WOBZ6is4>gn!XZ|2PtJ1M-y9ovv$T6C@Zro zcTnxa%~o*O^q^v*B(xpNkCSXxJb>RYPEh7d#elJsy#9GZu}8FXce6K+E?_kG@D#F% zLMyAkD7;*j?6T7+!+xAhZl06+N7cc%m1j!I2Xsj3t_ej|nqf9+s|)o?>IQ$x?OI>m zOH{40Cd15Z6_8&VVq$43takJ%rtHZtRq~2rV_K|wPKKKx`Xl;s9+n$xy8U4Hg>=@C zadOz+QwQ1nXn1*?%9=K6#I6=xtg>_%8*E+q zwJ94=p&6UrHlw#ErTRiLatNsq%Fp~Vrc&61G*6LbAq#8dT*0}doQi6(OACFseUJ`z zL8_@w3OV$?Y3;f0%j9%1B*#*yvI>{IG#=PAX=zB(4r-LLbjcm+Onpa1F>cD^sDU?_ zVbnmyw%2T@=oyAbcz;7k|LYU0*d zE%b_w_ykOu0|e{E?Cn;Rzq!pC5+QN(KM9^qT$Y9}2%)tiB_y$O>>r{+9QiN*_74dk zC%ixiL(f_9m?#0d{m0IR*6i`vq4>amQ1>}K9`FI1VAD|Y3!`=BU+M!wv(o?@>M#cO zKe|i@;)ptN+~_~vi6b_n=~163cl-aO&)zT`^pO8qDjc;YxsO8w#jb;s=`ZN<$RTE0 z3K|G^i-E8A($T}^cfF}1|r`94+mUwLmE3L4A(svE!ltkHb;%l`jb*a z-T#O#15t=%7x;nDU0&P=m+Hrt6p;NsI4&0P&t*D{5VN`b!U7`7dnY2ssLOl*T;2%>x7YH|WdrIGwtpggh`PM|&!q+txV?~l7zL)V5EOL? zR4n-4eh6IY&HE?Ao%sbOhMGiFR|MdCCq8i-!r$ImJvf<=1o(#lj zAO3Ttfkh8V9fCt?=(i!=0S6Wzi$w+b0}_}@{3|TfjN*S_fvwFb7}2phN6X6KQ&NK$ z>py#<$FI;G3@}deV;JF|ZTL38RQe;yRXP9E{wL}hZQlJ|=k>U<>uG+lOaqBID4`da zSUgB$_hfO>d#gKVYVQ(s8nCRpJ+XZEM5z72QuLGV3dU;>-6GjVSYE1(A(1e0XZ~|< zWJ*YBUQ3P!=zV6yF6T^tmm=GH;rs$9`YdWbOko-9|Bbmjy3>*HX_l)cAs>P=f?}q8 z{hApedt}I|vYwiVVAf2grqp@nkDkx3_)}i&Me1!zsw4V-m^Y|eIGDLxU?+6GpX_-o zNzb0~^U_2oeLYSZF>{NXC`Q=fK_Uh#L->``V-z^iQ9g7jY9Tvz2SRE3a-PYKa~}uB zjP+v})QvW($l;A|H(Ok4TGe*1$el6RaO8aZg+uSHQp`J#(0m%R*6KGB^idri_G?mS ze-0yY^4{dVja+9NlPAcdWPPfQ<8|n87XQF&grlWoGEt4oem%~PcJ+5<)+--l`Umh3q!Bh5@r2V{+>>3!=U~k8!Pn*!IF87XN}QU zZK<=RCR=6SD=G*yJLl$}&AOJ8!2>!mdrOrvkX})84NRdV>m1)#H5Uf6cH_J@IQ$cp z_?F2QS6Q#;-wq^LH+ZFpZOu?8*T89_jpQ1!A#*gl#`ds({n~vCcQ3BRd+kHXf&()v zoOsgh$*T3i1h0K5d=KObr*D5}^S_J8@N$tW1=)(Myw=(L6A`Pq(502+Py?5VqUqb~ zHb_Pjc9$WAve$^EtYNpwA~jD3m-^VXm5HbCc}Kkm=7u+KU{z{3%&4*6DEZE1QXZw= z$Q#n?9nm0`<0uxKwe)OkFI~YY)_y-*cdUc$YRFJ^$>dMsK>Fpl!nxYE2fuzAh$jW8 zAnwNc?lwr&eA%=6*z=%-S{~!HH&NfM*H0ahq8X9->=&&hX9eiB^|E8Muj)`dGLL*B zd`j*IoTk=y)Ob!NC9RelPY7QNUr)eEnki+HhY!EEuYLIKNX;#^x*)-y*#$-UJuk#%N^%bq z?$66+MSNAVL@VwjH_YaIbSxlQy1w<0x(^|T{N}AQ#wA7ai2C%#kg!#RgDSt*w<4E= zEeTlyx1HF0s;_;4p`SrRjwvaG9)kREGA;~6p?+Jo)R>#s+%y11@RE6_b; zT|SgA-`AAEAQi1%xIg)5r9rZjdBu|p?lr?bD3xN;(R9&3aU)ms(Zeor^|M1e9kb`F zqUe-~ZKx~nWxj9b?V7lV#BJmYy>@pQxh2!_>Bz09FAOr?paX&tBIq#Re=F0YfZ$_g zdIo^Ye-w%EQ7ES{I-BobI!;&&x@LmSNd=(qKk5^KbLG%8j82<-r zZylG_*X#{b7v0?g(%qe+NGjcplyoW~7u}7x2?=P&o3S+n-qo6DKCX1+56c!*>$BIueKDk&5laUQ1tq$F}8Hi!xlRO!XCXi#W`o2rE{ACl5tBg9$G|}QVqCJfHBpJ6(qj}d zsPPYlKmha_*!v@}9gW5Y31ePLTiBuzL1r*wQpocUCD#^>1j>aG6GPR1C>_`^4(Jyq zj1W->;==`-ma`Z%GKdcgMtnt(jzuGdT>n8xz=2T^T~zG)`;T)jPYey5o7F9>|C$g7 z7e)c`VE-3E^_<}R4?+wsuvZn>Fktq?z}E!t{|)={4fb%)ji4CBhX<@62hN`lX%AB2 z;CSFM_J1g)c;F?T|GAmhKqrDu&exQ5CZ^+|X%o+Ew$dT&v1LQV%1ADT^FIm|H8` zwMPc8WkRL=Et$*hWs30SQfyEXsKQeh-dQ1G*&D}ZlFJUOWfx2*j;xG?2HPUzJk*?9 zaF z-Ql*#%+iQb7Aqr_v|+|!hkP>3GuhY7TPbAjod5gexPqD5H_;-HKRz|I;y2sR&`xb$ zG`7mO_rGe~c*h%i`!RORJD*A1<#94YBbRc%;xcB!^^`d`8Yk~<@o|}oYR{W}y!tEs zMB=Xv;y#QlVq<9uow;oGr8rX9eEH1hj0m5$)>iPa0p(rs#r>!Pm_xgoA)?_7V#O)* z5B3v%s%vLX{G9XKr*7$}BNThon~)6SOl2SB#HLXPV3qp#-iJHI8ksS~w;$Xr*@ZXE zNbTLr=N&r@qgilH&FWihtnSK^cAZ;OxlN0zV=%Hn?7`&lNIh$2wLky!yJfDTJ`U$9 zEgc44WfZJD+y^3j#$6V}?2eo3|)NrXnJhPWVh<@4^Fx({Y^a+c%Y^T&G25xT@62*(A_=1BjJUA#;3}#$aXAu;5)f7S zF2xlnFGs>DVa&uAP>a9J2@tCi!eqQSe#q%yDeL|7*z(_b9RD0){^`&B+fDgzKFq6B z)eA>u77~mYSyWKqvN9I|HH-qz7>&=b5{l#ah)VPe>TONggFjkd`tT-O*f4J@QJ8-`Y{w@$4UT8`>Y-|KcDxsZ6iz#>yoB8iVku zxqOlhr2}E!4EgWZ-n6$T1fxy@l@@4NG^Fd@gxTv*UswOG?Gr{sgG#7j^zb-={&0hX zQZKg=@oU-RWvQI&zqP((OxKg`K0DrFNqUP{pgog65Cy6B1eiCt9(_ivt&12i|X{ksY)#3-%r4pjAqz8Gd$UjHYr76{WUb`K`tP_pg z!8EFk#6wS7_G`G-pfz&1DRT)EyIK|VQ_`g9&lkV4KYV0N`ALOn`_Ra> z<3rNdw1`aq=ho7uy&arU{t7KerIM2z1V0!<{V9oX8|6=gDM-A&O*>A-l2*#M#IC>Z zGNN`x#M#}^W5zlyD@rv92Kl9vW>>|}+}B*cAoLeTEWidWgb3L&o;)Vy!DwgiY!v&ps~FT8 zo)OMXbNtD{0c8mPbfwmag(s|PnXv8Vb&{{BHB}-RzLf2TM33w!G5v5~O}%L~&*ye_ z{Yq;_@-42SPr^&>jK{>|-E(I6FZrhBKt+LFJEs=r`gk8)-aA-ksk_!)+8n zmBmgM`xX9i9qO}|B>GwbDr@f+I5nN|@ewxu z;}&>c^Qv*-;O%fRXWqe|vp60vTUGSg>x}v=%fF^VTrk|$+`9KAb#1fWA2^LC4+NDL z+-R-eGfcm$`za{f8&PsYdw!%WV4|tdw48%hCD&P)_Jbxyue24+#Lpmp#h*H zGaZZ&`h*U!nZ|s$R8SiqKz?4_!s%e#msgE+Fj}bpC5Q(Y;{)y<9nf4CL%8W-)JVc$ zhmH=Tf$Zt8#^=+6+evZ|E4~;%Pk%L@oB>7+iiCpys`xNENRI(*$1moIV*mot_%Icv ze`<<^#VpkD=d#hVSa;GM%l(uTZ+sX&=OuRN_p4`P^{eWOKt9WYuN@nl z_z0m`M0OQXMa&IZs1N3Q-fg+^!v~mwLnE%SVE#M5efDr(_Gbfwn|t*?NSoN^hfFuz z;unNWNMul*3=gcF6+fn@z_UjCT3nOcZX1WEv?!HkkBii=k~m0Nj$R|-$n*R@FfD~s zw0|O@jmeA~P@_E%R1l3`v7L=3vbw=8W6_PGY@ZkVg$enERh|{kvp7h&6pqXHB8rb+ zof19JW2L9y4g>QWo^mv)-t_sK%H$?5PHQSNPlQD)7@z#jGGkX1jnFE)kF13j z)+!<$fvcj9ukuh&)tm1Y!%gAYt#Hx1-$i7;4c*Gm99(~SEx-cmur!(mhXn$ z88G0;c(*?-84=ksbaWH}K~2`E&G6)bL6Yq)-ye2Ib?jlrMfv`<8q~?Fu=iOWb2CBr zM3zwk=JbD9;ivJE$BIRzhAYq_i$xXRI~IM1QO3>dRL)PIC1L;8e!uz-e5H$V7PmR* zn@C4RvDEwCuirHSCB|O`*d$VUd;CD5?hYqsjz@c1i1a}Zp+{ zg>ED}goubX7ESBSDEHAzy^>%ylr49>lT@2Vc@LMB8w0mjTED_km}Td^M>I(t6(bT6 zruGI7lfa|~1IqRG-)^iHlyJ1x8wTSd#NTg_1mT)AjN%V%qR9*GAu=rJD%|yuO25sC zn=|yqBG2&~Jkyux-eYVtE-lLHY`esp7NA``+(Xden^=e8H^o<$&ulbRr@Nj^eAr`A z;doN+ulDlwkFgR7!p)nyW*?aMl&_sEYg;bEKmMa`qQ z*lXRPL@BwZ#6~S!;&?wgo?Q2l>A{Dcx22J;X^tX3XI3Kww=9#=9Gk3MY1R#Sil0!S zx@^CT_fYw{xI&81&sD`=2X9X(Un;4mHX*yU48186b#!Gr_OO09#zfd${nd;ox|)kf zb)IB_o(LQ3-YUYPzB%1n4dhsdbu(pJSoJu52-`emaU|b#wsk&<62&IfJ!GzN(RaSg z1;WYGc@vh_)(5@OTtrTOr84860$wF%zUY`l{b^OIcZh%5hp<5XWe*<46#Nz!Wn#(2 zxwNgNEV=gNWmnLn$NHx`^9T)F9bh9hhgV(alZt1Zy6<=Bgte)El=duwE0$;5nw+2^ zfZ@~Dfc5%wr@QYebxwcw)txTz*p5Lw9}2jq4<1jT9!={i89JUEndf&}&JH(j9Su)q z$pWm#29E>p#3#B>&-mcCweU7kcAY{#EQ%w(X;QCjZrVs8GHiN~YK`>7Mbl4jA}B+| zJ1~2>R5EKk9h11zM_grP^M1Blo8R{ za858aFerEw#HkQin*bB;X)vAtutBCC)K;eVVWD)-1@7dch}KgMHxqcrW$r5TYMppr zuGDsKYjxlj{DypJQmahYIN~qM_V1@Dg)$+{d{$N1G78a6D|eb@Ywu={KlPUMaTXif z4%JAxpWw0(W|j%r+dNxKIT5M2a%UeoQazm2zP{XmrM!CM1da;dqVt*5Wmzrztzd?IslvpS}o9=(HtF_xli1 z|JdZGvmW>BkcJ=Sc{3;aEaK~AK>c5!)Bf6$i-4`{1;y-3dRKW{NYcr!$R zWE@~u4F1*NxES&u5e2}C{WGNd^4}+%;9;+FQ3#2^IxcOMzJVbf$YT~2;D)x3fS)LW z4@N-v-!qAkMMU^g2nv)m|7jrqxt0Fg3jPnK^U6T}v6mq2jXnZL6Z&=yhId{R4-Gu& zPXbe_j=|kUS?quxFVlK%2Cq?zU3(Ce$E{cIsi%mp!$k8XQZR@b>-ea45yOc+an7FF zZ+T~XV%t?XOR=s5HMl&@yf|bfh)0q(h*SR~^0FW2FJh>5Ai2+6bjHrx7&`zjpda;( z20kyF&-zhxA8lH(ke=zs8zeu~-#XUatM501i|F>}sgmubyWyqsJY8h47iNA*=4JSG zb9#NO`NzB>a_&MP8U5Td+(puD^;?pklJTWz-PdT9=|gu0MmpK}1Md&Md1pV=GJ4;1 zcp|1R?Mg6$}(l-`ybANw&fFw&=sdH?{itHnnv}LCQ<3g*@pBGN1?jdIF#eQ$tS8u}h_C&u8hetYvAVRkM?Hn{nY z939amdC9BwrP7bTa>NewxJFx^AUWs9~tD z2Io1(8>+5yc;=|)i1(&3Zt<`@IN&+-Go`;e})%sHI?&tx~l&8z*}>!CS|B(RF_=sr6Ox0 zF>w>_uRRIgEt;95lm{#Cu}PyHp{TbyNL27nJYwhMNr{dpx#6Ae0Etofy2hgN(cH&z zq4hM}!fBzCGDMYdaZxFX4e_txsnow#)iV&kbn@hbwo%C`S8OCfz#qI%_77n$Snw20CzEDe+`Cno)1L%PyO*vEpeIkqXD2x zLCUBk5Z?qQ$9Z2Kmj%$5r~hT8r&+Le@J36bqTe;cT%CHAUc`-!7bdqJHzYbJzVZn& zDc@|H74>F)7W9Nx>NoP+(5js6j{=L^g11AY<%SEw2Z=2_b&pI1Dk}_myWi=m-CKQL zNWso$WXJzfXkdl%TWGC}?$55Bd)f}!_n8^EMn<1UDd55VOYAe)YdrQXZ-dF~8w6@2Uad38X4G}& z%0lJ>sinD-sPs>s8M~#Sc6{ixYD7&P>Ki6i2z_2>p&lhy70tyUf~ySfS;r^JCAQ(iU)iMrfjF z;#z$EfQuFW;e|{bCZijncL|$qi|$o=F>b8$PpB{r(n=gm>w& zkU<&=9A?38ZON0mebbbT4~M2ZLnl7dSv>+JrH?*MiwAp#$5%hGZ$_X=F(-`l4bIQDY|~^ z0|G0tFgS8hXlY;onQrIU?IQ+D3>)3xBpUOtpUgec$fX3+>X20>1k+lPRiWZ_nU~@v zh@mw#?zqv*>H3x#wrPP;lE#lK45-5}jAX)n!eo9Y*Pu)D1SW=P{_KgstUUVUWtk0{ z`-n^XeYkNN6s)G|CyDqJ@iFrnF3@@P&lwSIwz(ohwn~Aeq8Ch?wZ1tqpl(T@676jg&%cfs3|1pZXguIRj)om*Z9zS zi_ydPDOn{`H1oYA#j%N=ig@1=V zoJ2kO0&n-5o>th^yO+=G@`dv)U!ttgsRk8F@f)5yXP9}11Dow@*!?bZh&l6OQzG@A z=)0(zzCC2qT&2q#nrnOvIV~7+rjNV=Outr;)rLuk$~8z-x<)*=)6QEsoBKX*Chx|B zW~TRR{Y$BeK>)p<2qq(2nGB-p^m3I02mRgQO)9vAg5Fb%X>u=pkiV=Ach(FDy%>zLxqFjEUx zDPn_?kNn;)l>&FmDYnfgM=UOnXJY*AjN}J)4Wr-sj9RQ&It&X6E#;RtdS5 z8<(l3ImCPCUd(&WMzYlcg5XGuip0LRyT8ZK(eKOICn~C7OOTb$JSWEO1FSQzKFAmUMA!I zWk^JMA&m}9U`W74&^R{jZD4M$GB>V@6^H=u=q0H4B4O%0W%nW_>f+)moA)B;;DT~t z6`&9?m=h$t3UYc06bL}q8+#HAC_@~^1AP(z1wZA9KyAh?aTq@24uMJm`1Zt@khTQy z=mavNz@QKuP<`oz1mMO4Ckki)fdPso*%Mn6wEt8?Q1+4u&#?C@-m>LBY!NeCbS|0IpbpCU&+A=C~kqs{!H|c3D1fP)Wr2kH?SC-nA!7<4@%}d8D$0SS@eYF$+nkD5 ze?BusFqq*6U`#5)M6aq+eYpWsyDSNjt^`J2{tQUKx;B--mpN5{T{b8JzeBlYG-hb@ zHVp5gvj!m07ojpkZpz?yd~sC@uE4AWh`68{gXn+s2^8h!gN~G8tl)_p#KWB}1^vDQYYXs6zmc9gEGQLq+wFpyBdvPYz*!m>)JR7ulG8Vv3Zg1;WaA>h$5NVF} zm}ML`QpD@JX!SBJ%57-(rOSZt8)r$ZO``b+V`1;PXVF>gfQc{9b@8cEzb$lNS> z6Js~>cA?3~&e%L$A-;M+Y4~BzXF(r$QFc;th3T>LkL7Q_j&HmUQXh%bl3Lc-`+k-Y zW)MyFm^X--$mmIrP{TOC$!ESG-JX&Azql=!dz>OBw4Xn5(MKqh^YKIcMUrzzpfa^` z&>u6>c1_n!?Wrc70IKHv8t-=}3Mmz)0uy@X6O@3Ahg`Q_hu@u%Emnja6*RpEGvG`* z)#=(@7=m}IUs#Ac(KkN#Xdw_SR3QH3I>Y3M8~t-9&*n_DjrGZKFBJ(l-j3j7H$q0Y z)9=4KIv?yb(AW-U)4+2APfF_t76nBN8g)_T@K6_X zk@Ok;52#dkMaHX+p0+^GKtefE_NwnABa@Z+MFr2V*HwSGLY9C}RNPk(*jJWCH zwN(0aMTX9g{wD*PBK|;%`L8EOF&b5``$aliWYtudZRq^s;{DECGgR^7E%PP9qtJ_f zU@v4FSMjyZQh&~Hk!JZIlhHS|$qrm;WlztFR0R6IfAPiBY*|1Hc@ z#odc(f8xJeg|GX2Tjz;4iw052p23r{CpCUEIGm;Rf+`8-W|cDfXWK7lX|z7gKeRB! z+00b(>HgI+eJYxEvM*1zPm-4M`*F*xwh_C2FRC>ydg=97c|Q}E+U}s@O;o&cOn(w0 zc=*ZGEcI6Nqp5V2~mr6gR^o<|~=tLA}mp_HWcLzMxQUv6BYdCnvi=yz)w z-+Md{)_D|Oij#CUhWFt5?~(T9W-AeK$KC*4*DvE5R^K=R7U8<*Xd5WMjm+*Z^8F5k!)4>e zJjbX%O?DxaWISu=N{p|rX?LqqlB4N0$*98q`3yxTLqjWvRD|&*iJ8m+awD_H=vS4s z=6agcd~VvsEw@SKz~Tit)mxO`*ur&Oaq^V}jZ>ekzs(GHr+R41BT~~Z7|Yyz`-f$d z?4){_uyrseJBZ2`He9Cx{Rj4lX|@=F`B%G z8|ARnefv-+GnT~2bm#?Z>CXzY*d*$br)*SfvHA1cJsJ@ksRWCj}HaAa;CO9gF}&=87>1EpXghF4Y_ zSja;ca4eK2mxe$+A#~{NznJ^yOflEb}r$cl7V@8z?@zfTj%qQ!HFJN{YCx7#8n_|rhoN-WPPweWG(PXK#?Rgh|~bA z?Lw>^ABZ^_0I?=5m3kb1WQGD8%q;su(;VF@A1L*mSPAVn$b= zY2kdn1S7y*IOpaXgKf>0(Ut6VW3Y@2-Ajhh)3o%i2Ah~%O_gK<7}-WwW)*nZ z09F9l%Zs*P|MU}_2eS!GLA)Ky1a?^$>71f!3jX5KHvgc6gE7Px>0tjZ-C+v+nEnRf z)2r%W$*}(O(EmR<4WVsrbae={vBQJnln5~Ylqb9gN;&>8gQ3D>Yk2C2nLU16&t@>a z7!t;S)futH;df|Ra*cmZySF#{gJNKueDPBOof9ns)yhY)S^pJr4{^$0Y#HU^D1G>H zKO|(tlhLW$CFJOL6N%rEVQaAsdJc-cHg^52B9S9uo8P|{wsIM4&;Oz58jKw6zigEg zYDfIcXvH#16}}^?HpQLtcCB#u_YJ0lf_+bsL-lm7oMJNR7vI<2*Q_XbKU*X2iV7LF z@iEsw$)Bu1kE$NZC^lCK?a%LxOzBQadc#N@X^uFFka#l}n{?Bknm9vn=(NvlC1hKD z2|4xdLV?J87PSz=b*iMVBdAZUzwxh0zHpS(dM~QQo!Sp~d|FQtneu#pPlhGD!|UnS zr$LU}97y^ILDIKD9HoOE<3&+2#z)p~n(>8|Gd^Q&g3&)eOA0_o@gKByrpPCrWHde& zW!Feo5Khlb==G{o)hns!A)%vs>5k9YDlHZ0Vg5ekKrCy#vSHS+@SyI=n8DMJ>&==f z4y=#ZNfg#n;3Osm;Vdn;y6y<3Qmb!8o&D4=(U+lnGSil&FMj`Bn)$oTs-IFGVV*-> z8rPTAP$LUY5xW$I%(Wc*hgMUDPW?ZtkF?n9VqK$T-UF5GUuhmZG!?0(;`cQRn?4sVw_Pv{lrVbTF5MJ-MX^N=X!y7(`o*Dc+ z-acF_uGW|&5x6>tI5>vz(;J~GAK5Yjap5-FTRddOcnrI8#HhMXkGCYNa}D08qUxmc<1qhHM!o3?LP zRMT4ObX1XWs9`u zHHqo_0NBf^80Z=e&&w^;8k`^1DWpsEj3U^ETXEsm%h9hxbT@F1%HsKcjHeW@)|4pv}3NJubf?TADN(yuu%v_-@31~KGAA6Tl%KK zql)1v@7`mJ!o&Xk{gTfCBOY(!6lT*IZ-;P&5Em$Uh zb5KI3U*mhk;p2B(9VJIbR&81KP>a4sHrZ};+0JSFz}TK`ldE0?v*~$4RE-k+q}F8% zdxRmoNU0|K#mBAuDylpFtF|nK`2Q>{?Eq4O$O}}lzm>sE-3)O z$UtW9MPcSY{sARmKrUes;Np*4Kon$hUib$@FHvk@2XcW`1Kt2pU<>}RuC^k7LQW>o znI6m(O0c=IS8r@!{Lou{m@*_|3lqLHZdb>3U@YP1y8|%-8HjF*03ABBy%H0!J2!LZ zT&F>>Gg;+`p2&I$`5O)^=c}py?Vql;Lo-mjB|G*}^XSLW&AsVALTo$8#*37^LP1%xbdp zC*XLdY?zKKCcj^OC(C;-DoJ5S3M1r%2&AY<%wDFbd;p;M(x8Gz^} zf?AybAVUcJmHx8ofGvB@ zZoXij{}KP4z2tnk-fJ#kTX0e0=-)>GfHo22%mcCVBq3Fx&tr5Ck*+bAgZCz^=s3 z?Hp|=27wzEs67&FQJmhxWd5%Z!T%|)d6}+!@pI-;__w&`AHWdw%!uF)^ui2AiYzK3 zdJYlfgx>+Rd_Xgc^?a_8%-3eLMo8%Fb{Qxnpps8AjFZXxo9NP>#I87grn(~QbDoJR z0f}l`Zrh&e!Q8up8+r1dxENL|cS^5EwWHn(=G0ZhEP%byRu;_mYPu~D?`yE)x6=E3 zSV|p0zsnzE$2lj?p-@E&4(k)eC=huPP;(Bu!ISRUlk+JBn+luu8ymhIDeWEH4lxQ& z=j?J9L+Q;ZGm3A}EnJH^%B8quGQPwTf(UsXFj##4o50T1L+q3O2-XKcMI;TrULO zNa1f>rSapg53?^cH#vsJV}^)1G(ByWOrLF(%s#A{y4Ogy`6GEdi!A2jOYXRr#T5Y$ z^sr85=GHxb%zctEVd>IB*OcR)*u~HA37PKvX0oHZ!IIn3b=n7Oq^~UWG1V>Hm7{5} zOfT*eH!epv48EaqGZsm6QKZ1&oASmcVuUcR{xm`=N@&@JKU4nT(@0hB1rzMf21>b+++)dKo#whR7r* z@Lc!#>*`bv0%0TS4^UCU!(JGy3UbgYi*mOJvb{lxXmT3yHt0*`9k{_9a+GXTva-}t z*f^@7Gekv#91%wzqFHTJomxpMKRWYPx3gW${DjPrDfaCyiDzy8K%D2uRwIttLCxkJ zeWMV&B3$p`tTkmjED;tzo+d(B*5F6@M(}ODS0e#U45ir~JM+W=U!MMM=yTncTy(Xm z48?90S!(gNAwVHl)D`HBxMku9zuh9XE?W~ibaq}2c|0Mmxookuu`_79K5~mAD4CM} zgf8+MQ{({Y5Wf;ue|DCb_N{%dZmWI6{f)!Iga$^Rxh-4v_kmfX_&-q|9@#8WtGN6| zYKvx>YD!mQi+!RwveV@=JIg6AqS9?3ZV(^yarWm%0wcRQZiM$U%$Kc6cXs>xS9}!J z=15}>DXDf_edj&S-eDm>SZvW0uW+eN5j7co6M>dK%fN$8YxPN5Jc3HsBPg$yhGK<`3M4`39) zm3Tod`a{Ko<{rQ(kw9gF3o_Ni%fWb%&O>ni>@)!YMj(p^B|ZeI_TuXOIpgGC3>2R$ z327go)e78TDvJNFXChGT_5VS`OeKTon-IXzkj2j7jlM7wxa*Ap>=pOrL~+`LaN@oX zv~mJBKNP>sDu**AtaR#?qmKlIJZ(*X&>{9?4gEFokW#8(&G9oNTQ`SKdxj1{j2imt zSnlE6bZt(4s^zzo?aRu1-=o=4m8mU0t4OSy^dZ+I)3lEl!!ux12gt%$>>%Tkd^|7O z@_l=gQ~3dxktCo(hRYE?z+-ftgr80|RWe(!tsKBP9qOX3^^3a}yt73z@d!dl@0Hf} zo9MIbg`Lee8{N@d*<`U^K08!B*$w$&WEGTC#6c1mxRK-BJa5ibCS5aMD}}fuwceaG zHHh*fyOa{$3t6GUkZVUcaEv3^AdgptFF)}G2C5I@Z?ug?EnHBNeP8JJ5}cr+V*s|j_6;9lQok<9V;$@g~d$!~sPUq_VLZ$c=KYQ+1YN<=?A)hqkxI zeQS#J*64x$LZ~H=u#`8IjENiOzNdxfUfeGfLi1-I{eFHFNGL^J<(WxccrP{aNT5?9 zPLU*d-&YJ<>a}akLCw42ks9_+d6zwj$C&#~&$hHRAD&S7p7zKF(4%@nXT2Y$lyNQtm1NPz>uDk(Tj4Ct~4 zpt}X+ZVI-8fcEN=wth}4|3hO5yclN@2x#~ZKtf~ieRM*o9NYrwk#lMopn_}spo@XZ zL4b4~OZi_`VvpTH4!g`M8Yh$z3=_oA9co+`^K0Fqfj$Mph~fH+?Q|6Aw5)ds2pH11 zC7>TqILQmLWW?KIC7>}))KI$XC^+4Fh_>YRgsdfroy_C|!IPW6h0|@^GJYLu&u!9W zIYS!BVVk4V`;X>Hud}ZYoxXB*y>Ig|x#+eHyl3{CUm#tH^CGumY)arC$g zmUO~MFPM@)+=9FMMTAgH!SPzt20QXo3{jC(-bMxeW@rf7|8N*dPNeuEQSfIPPU5KI z+Ej3FBL9+T?5l01E_^HLNLGwCE|7~p9g|~>o?1=>+Vh&|X(~1-#Fj+wIKGZM?s!Xe zmgCY>o-+NSFVAjCue9d&tvx=&6})3>_j#V-b-$W`SP^*RDd&v7)vCSzjZU%QT??H7 z;+jT{;_Mg0J#yC z$@H~|&f+jmxIRmx8ip_hzcHI04Y5++1Iv21SCJ{J75%x7K}WG!|^yR}@WGR&#B${ZR z+gq=v%^Sw4(N`3?3o_}a#e=_X2MJ45JR%;tA&Wy8APp}lCw^0dV(*P$AASpC2)mbh zD94YQe;@ym;cKOom*m5Z(C>yPp`P__$$aAP?^pG)?l1U8WLY=(G&~Oz`YgspH#*K9 zm`K54jx(Lvuqm|_Q_#;Z2qiCdhYzl3Uv~;jjta~?bDyPqOouA$IGfu%U9(E{^v%2L z{h4@;rma6Ey8bz<@5+*BDbTYX)1na zAj4hYeIlgS7uD)eTC{MyrZ~YXu#CMU1=Z>`Qi* zyN{hl?0Za!pf4Wl_onYYT~QK>UEUp$P8wkdCZ>en8sK2KpUAf^lorj8$W!obN!2Uw z$00wv#2FsH&UgJ%7Mw31wo=kRe5>}}D9U~j8D9UePeqj}+aG^E6_0@v?$;FcO5<^u z8$WvWrx>wlcc+N}$EgR_nB_+5hBgH2bixVA@0-x{W0!F}73eU_zTOeKgK!eq(MBnz zMs`g-9zIkMxMn~qkuiaPADchA!1q1YliYUNW-`v72_6%h@{Y(9auHP>G+el*#NGYM zIOI-2tmH3#&g>sS&my|jYGR-H4Ac#C{(k##{}Dwv>RWVARMFPsou3gRIjv>K_AbH) zW6_x%F9WuBwzAA(9aFq-RA@{ZUF*C@)wIos=PpHwcWhZR&@n$s@rwZVWB6nU_qo{D ztu{oXZ$=mRKuE(IX7$$=_p>)huQ_}GqlX4IL9LU6NTAtye84^t-xCz(y%q&d)2}7izB5a$xd2wj= z2%g}QqbO?&(wF30HL7+916$uuDA~{|YJa^`f_*Ywp`dP*Z$H|)uzu#KdQr0Lr+1%Au7Nnpi=ndA}$AQO9zfD%2{P@cn1i^D_AR zA@SdL3%z^-^tBVM+lJ`EYk%ysnZL*lU3YD_XlyrRJgjl=aAOi;d4eTwAp7Cz-TJTY z%ltdWFXCe)j7z2)q|dwtbFxi-`n1%a`H8#Kc{dRPXq0P~~CoJ}q zIk~~#XLCW6z_3}AGbs%nRXu|@p(`zUHIwUy`OVD_d_qZ&5LV&}7dyEkiSH!AS-+(3 z4$q53^binNs}Uo}8$rs>`B7r+gS;|)sgo~u?|fCE=%V(QMdDN0?^x$(>`;6<9-hef=dSbS$tJ6Zx4LgE9uz2Nft!-tdcJrb(DExX)s)> zn!A{*KENKUvQ1Dpz&xtg z{EKSu6{K1j`rg0Obnn*aD?RJ)&4qi*r*_cMxT>bA-`&FM?{ZIZy5bub(%|+4t~!wj z&nKQN9d5G_*nPsCd$}?^`jAW^Z42clhe+1oBMnZptdN^aEi3mki}!G`+9??vaQ5Pl z=?y}{s7QZ0=!=u)y44OhO@4+W7$M(Bl(X!ok3sVgLK7&zlVbW5Q?$c=sJESscp=uj z!w^wBzA-*HU`l2DHUl~1I>H-x*HN#j-$YYB_QL~BP9x?ZQ%kj&-gmVnD+z^aBEPm9 zue;;Bx8|Z?;%MaR%wt8PYd8JeHDJ>Aqd&vYav;y#Blsy{?W%%p+J? zq0*giWW}##WwM62KVW#Rk}dp79luTOceEsm@@C@|&NCN?w)ZUG8tH~~1>6Z&&ES(z zzrMP!;h5cc`$SYUzCS^9nnhZB?Wh^%=?(JD)Wro{VHNy6`H`rkP2_>=VgsZ)A*{r# zN4^|=)mb<=av**tk4OB3u#7^+a4O_=Xp9kcA;}Tk07gdsMCNc5|E@6(mRbp4l9SiW zqo&=7ma5XOmUq>^slQs)YN*|u9D((rO{NceXY8+u@AY zetF92!-`YtY|(-E@3%{M7#^F~m@`qk9f=wbAi*H#Ro!@y#O$Wks4R?q4H z8a(zs4DZqvEyf4grd%8eo}~aMw1h8ktQdE|Wd3*01xS2iP@*tCF)|-`TSO8ZHt73f z7!iCGd1)arO@*mZsi&Wp_-kl4IzxN=xb#skuDT;yY}#vaJ z^&WZZ9apPjWj2D5DGbv7RFE7yx!&0@k*5rAesw_q&_jl*)li$x;->g#tonIwn(;X3 z0q@%Os#Y5tLbzzXw{w|BAh*CCVN$;C(^67;!v?GGMYk&b>ajC#Hkc*EOMmBB#}AIh z7u=D;Iyx|K{~ZK(#?nv(upqQFm`&F>m&C(b;0e`)a$SzJ04^ zIF?>Qc0N&Mwq`MUHSvh6a6%?=^Y;yz?y7eWCEfsEg^2Uk|kk?!i8j9c^nn+#*f4TpEj{sesdbuc+RpEeJi;&GR%d1P+yPz z_;a1D-2(}s+_A{-L*+TTzJ4UPmC20YEacdJrZ_b3T%Ug&LMdr!A+}5!p~udf-Z| z1?W7PsKzhCMLXy6T$g|LyQyI#rhF*Hh4`}k&DYS97QDJO`Fud(KM6TaWSgPsGF&mQuejS5VO{1$RWSk?dFE}Va@&7 zXLVCfy%;=PxMQ3=3cvOSkB>_5A4I{Ms*Sa^7+SJtv5JTyRZ<#W(4~IUzy0b_94w#? z(r(P)78$my!%R@2!LuZ9peuZJS1gY)=kWI7WR>L0(U=pbyiJ_}8)il3g|8;}0~|`% z&q~S;$h2OfMb(OJ`i7YK&JatVnoE}Ow7uD#oWUrKx^>8IWcSL<(!-yB;C1dcyikr1 zBSW7gT`riZ_HbteZJl#&mujm&*1MHCL^r;@u4794^t!dUM!}8+n$gXUyeGH(e+49W zZoe5JoH^(UXf^7YEQ@+?+?k6{PG4CXjD9cg{>sl2)S;Kp+#JW!pKVV5%9ElfATxbP zR1}iulQu)ypC?6JV4a;D+FnF9vql_kVSK%7oqZ=TaOn-2#Ss--!9D2wSCP@HeoCTO{ggzn5M5$d{glM6`YDNBLbxG{ z3|KxC!4GoYllpK$%T+NHG{`y=#5Hs6L8rEiOc>~ql;UOzz{cmW>1$5`80muZnG)cO zRx1E-cP$A(hPLuR2ix(d0AFM-4}(G0MX>7-cNVzqNjVRX5|My#t2+pBR%U?-E`}^+ z0hp89cPuR^D*K97SOSg^bJ^hO4`V=p?HUOQKzIccVL7Xt(OnD^H+QmMc*yq*HMb3y)JGq=(K26e%?Yds6#svi~n z#T_mH&lSlA4Bf)N7-fZkfu92yJVoH@>Pvzo$8#D+@zvn2>i}R5-pvA9D83qZ@kV0L zLF7B<8Tha*&#wkwF!0Y8)D6(;(1Sbx!cKj0C3f+}Wof{x;+BBrYUYCnxs?2as|j%w z0Gd+i70rtVJp6Y7c=+|QE84{~HfX>zo|b`GrVD|@$-lT4pHrtCEU3N+d`SS=6TAEk z;Z%SL5{m&Xs^W@v^}E7@?mq_%fcD3PR9^y!`^B$L`uvM$zXX5yn=e4reE%ivU%iT7 zfG1zTyu~hC!4UI_UBDRsxhoO7Yz;GB2~M;ZO=1YEKu{O-U^z#CLsTU&+>72*|2L%f z|2MWfy&b$hEh8d2k^pE%hC;#x{~~^D& z%3?F7cpuLCc~*7Y6Nw9oFv0CS>@U8%!qAv?lW1YuiE_Mor*f}syAfgs(=lf_WH|CLdd`r7yy00naOQ9nr;1grYz5Y6Cg?YUY>8@rJBkt?3@NU1AJ*YW? z^KSjl$g7Dwq$4FQ_p?dy>RI1XisRO)FKE(?@YI{}GLy&@z9{+l5jXuDG`jFXV?$Q+ zw@tm7s)rNIlZN}5{-;d6<>ffRCu;9Q8Di0WKim7Yo7+>$5O-6cWd`vmB%we4O+t6L zdhdrx3_jDbhInr#@ezkB3p{nUcg9K;@?Z(0J(%q>qMg%HFZ40j=aQ47=jN3ym|!5c zI)kWUatzkx{DtBU-DJ{t^X5wf1jE`MK9s6!YwbaDi15auz-)X>nNxybq1yg`DErE= zD!ZmpBsSe4C8czCNq0903erf2A|kOV2>~hPrd1FrkrJdEL=dDqq)WO>&I0lIeBSpv z*LBXXJu@rsz3;td)?`!zwR_q@N7%v^%Z>D`cny2a<79Wg2}XaZovf@tZ!9aA z!@_7G<4vJ5^)f;h>+@VkRSk+6C?OA!KZfG07$wE&_5< zchBj-DV}sK+J>o6@kmaVZ;G?vV5RZ=ASqjKXAS?5q4@nNnYBB2a06&nsX?+Qo!xG^ z6Ge_U|eH}tg>Bi@mj8pv_#DBdwtY#n>c;1#Id+4##sqgFy=YN*d{r;C(!TT#3&CaZRd{5Y7_AoIz)BQ-$+(}FV};K+gPSqh~eE_s3&RA ze9ZUG_+DJPCLX?&lonD-dmF7DnOHJb!KyX}5nDc%U7!mKBdV1!@w|wySZ)WJb{u55 z|MU^h4S1lA-qPOAyY=`6;pmcB^|EqDp8}1^p7~SyIt>FGOkFm>42;Z3BH{)+4le;gYC+uFC zp)~Q7K})i|w%_o5_<*t0oLTBeuM^FRrMn(&K(9bEGg z|P8KzJvwbaSRPoS>yTJ8v7&oQ)2j#K~+{kWhu8i)uk~$yyKzMKG$y zxo08;AMtMB^Ss&YlkH9sXM%Z~Nu2V0 z_oQO;<+}*hTZxm2Vo3Tv*r>&4sL&n(WR{2u%wHgZrpi@$A!6anGVA}o9)oN@-bDXg zkEywZFH0-{`APVy#K6C<$Ivx2EH$XI99)9`u;ELAh7$^yL{o{YcSVCzD*gxqf218w zXr%r!=j3HFwY!yoxA5~qE|veAx3e&4$*TlTOus+rq1zv?ZpRlbG*!P_z$XLbR|QOP zfcWwPIrw#7_0q=)hf{xCq0&2$3PgVd`AT3PiX;Qw`*Swi@V{IVM8Uy~EB z+{*q?`!1*-Uj7G_pax7~S$O$SQm6QYkk$p^^*;n6D5eIegR#I4K`M*O9$gnbD*qy2 z*8&1(_5buxy&yRKMF_tjMs0G}gtp>Pn0Ysn8yrS&X0VOpVffe}#i_{ZG)?pCs6j76-CAND;c6!bRfP-l7F$*@MZL~&@+GgyR*b8NKO;D+T7wm%l{SxJ0KD+v)Cg?~SKF6!_?`d0B5 z;N~Uvgor{n<#xIB7A=~?O}d*|bEBuI6^xNRYZgzn7naZl%EL2clH{66G2!2ZFq4Zn zyO-TczCB*8wHe#qwHrI*F17L6s)O@L#@sG6tQ#wC;n#pQOjIE*^2@6rsmlO@}B z&+9ddk!N@X9jw`|wKzEFEvOkkI?A+F`Mx1vH+8EahVU9QCH2nM`j@_CyQ7Kp4C}zS&**&SLAUU0y!M#bSP(y|%GVnGel0A71N`y|yU0FFf`OQHX7%`|@%Z zUkQHVH8Wh|>)^n-jG_6LaUT2)-&z4x7`WRJ|8_J|tU$rJOr|dU$`pqYqN%$`Pb&zS za)ZCRTFb@Ja)~e?3G)kFWLWuEdfC5U$p3IKyh@k=Q5B;QBk^Bo3qd_Bc&cuP(-;?C z^(yN=6SeG)+Vb$AS~L;!J%wwC$in43`DmfH3~+Z3sz8!4x9137!&J&QD(#-ny3GtT`@(Y;<_=u_ZowYS41{UP#%B3bkX=q|mnst}`Y5 z**oF6V%FAsAG6)+@(u{QErigz!bxldrS(OzqPuL&Zfa^b--^*bF@j%D{oM1`DZC`- zx$D}!#1+*Hjt4I>zK+3IBjN97shu~+O`jyQ>>CD7`>5m1-aOFYT-YQtn!HoFv`T|4#qJn@UVV3(p?y?IKx^!|kw5p-SM+tJ!R{Z-ZpHUKH-C^) zSU%yGW>-*Z5F|}$u{tnF7@W$;#jI@csY@lSwJxoh4kIIwlpl_o!Jr^(ZQ>@pD}wwQK9cm^DX$ELb!2$^`)_)j7@w=1%H8@-a(_~N-8RufwJ2O^=_aOW zseiX5(rri{dpxrs@#beN&ZiOj8q@713g;oUBTSdrZ=U9JE@&Txq)ue!RQ9^DdYxhy zhI+T1>ko6?7}o9e$QGq&oP!>b(-zeZIObCYBpfj%w9@|6!!D!7sklij`?fn9KGXj; z-JuHQW#hg;6*?0;bXRI*`+X7RaGW?zPMw>`OPAQx%z~7J35Ho_7x$EMV_Q`I&DP?F zRCsU?iAd>WIvSP^_2(vEY{IL@9)6!sTk&Lc#2do% zrH&iI=FS4?9gR)ZGtb@?2 z6@E46+|TG-CbK?UI2{xm ztEXy5PmNX`PkXH9xE~|_pv6BBnT5D4PlnQ0nL7(@F(Nzcf_R4?$&v*+KhGHoTq0Z; zKebo(bBK2_*lzI9t?_f2YFS3>5lS)&WxVI=#l@i~G1tyFd$4_%oX~j(KK2-^^xMRd zaV}(PP1V5EMApM4^IRH{HWV*oWc|jA$iiUpP=Y#d-3NPC?Om!o6#*4Fv?7dZH>3&< zSOP?s5?%DAaHW^BKBo8eTt{SyJBTV@?&v@ym|x?zDL|_Tg6VnW6)7x3*LGMvuA>lb zkghDYN|0>6pj*I}+vR0KghMl$5^p}%nHL}kJaQwl%JFaxGQy=Y<`S5k@9Jy6owI*H z@TdU^lXvKsgt2jXhsVfZv=s`n(r)iyyU?Vl2cI;)KL$lc(fr8g(Apu&@)l}i?@w`_ z=m+#SEuBn~+*?I`c>C~+)TNo$EPr{E%k#-8$(q4GqotqS3M%wL6+)th#xKv->A5Q< zFNyGP``o|90HJ@x0BUw_;XhF@!hfP*g#Sdr2n$?A!3YDT)P))t@@fGBd`cj)WIP9m zI1T7Vk%A1Gfu5`WCZ;4r(gQ9s*1_m_(CtQ`p}GuLi6#Q^kp(@#*W1TKe&;5q+t^vBj(w}P&h!f)L2ca0?)2$x`BxDf&uWAg!pe?^2T#bHz(nGL0 zz-c-~kR=5EmU#XS75#U1hJQz8TxDcH=HUVkT`?wjL>IN=H!+yuor!lJj>;4HO&t-R zpz&IbZ#>4=|7doM`Ld~-P{f?CsjYWnV zj$g>4xbC!IBkLphPm#Z-pyYn=l^axLMzE>3L^khgXmozW;e*lD*c#Fr*0+>G0jnn+ zO(Fa-j%ZCDO-Pu~3=d)`_AfyeRLim|UN~#LTfVBF?9#7l@fH=+;DxyG2DPq7SI$cX zS_=V#?a_#6Rnz1<-EZz-{zPGC%RHoWBjm)I2?(9ASR9v)!Y{%`FM215%)MarLqYn9 z6k540F9p9`^zl`k^#WG%2-CJq zXvgZov5MiQ0E4Qsg>a>o(wk%bPKl)|2 zYyOOTMMjXNtkRGgUTMf(sYhL@$6aYmU1`iMBEIQrCu6r6`}6S4BC}lgdrRkZ^LsaM z`|_W@m{*G2d}sH>=;rNw^0Wc8@43N?{Ea&~B*z<*A7xA&@&w%}MuhB5xdh&Pb#zCH zE^$7?odq=Eb6F@U&NkA)&N&d{P+fzPU-7_Q& zos1*t3cPz?$mMCE()YxuU;_i2CMoC14Rv1$(&rM_=07nxKdmKwucXAWSUg3co;qYE zW|cR6{go6Qd6twC{KGVL&DNu6tyf>|lmgYBYO33i&7$OW^@i^$ewUc*r%>MeIol#I zFx#xw`{Wls?aIfQbMARYvbLj9bqjO*z-NGrkk;j_KKb# z?Ak-OyvdlO^p)tRzZ=AETM`oyn&igc?#J@U<<*TU;nTaXWB_;0PHQd(DSqYDdk=rj zJL!t2s4p<~eRY4;P+i8E5IQ<}lPpJ6_@QwoA_AZEB56^Oakl-Ww!rOvfq~vPt(xwVms~(1PO8$XBza z;%ZvU!<+5#C;Q}@L{bV2o-)8RCUoGqg@=eBrj!-b1{;({(^RnG?|SzeX# zhK?H590k>DtJgu#a~%B(M(C(r(EaPlRmrKT-dQFW$WbUhFdPmFU5w#Mi2Q`Y*myu< zR;YTtIIa1ZP{DPK1e88$MWAr0vK^C1?GotqjQ8Gq(R8od@R#Ju3ywWgHm7GML~L%T z`x7+oLnEoH$u9*40=N2-i(eXczq3nT6TQZdu@Gl{g6o9Kj0lQCgDA;6$ABgLumdM6 zIEO9{^S@aF4;QpKiNS~j&Zx_@O5}hmT%}bK2It(R1yESWI@5fMrkS{c1P*NMpjrd}iG&C@dVg)rs zgCeKc%7my;+ysh0w2h6e1zAm^ghCwMz*=(|#V9$25&|_{p!FWWbVu<6B^Wa80Vvli zU_#rwK+i7FdRi<@sAn1_5K8O?D2)aoU`#V8ejuR|{yDlfXnd@A)$GcXVCg2uyRFd6QV&R3t%H{Qvh9B03+W10R0*k!3eKu08#3JE*VQGevs}A zK;4%w_Bsn7jTNvFQgAhi^EJ6j3dOpLVt%1WytV?Yk~6C)0T;+L;xgk9MpH&7y>x3|#11BHMK5X~m|(r7!t6y2LBQP9u| zcv910U@I-z0?S9Of{lc4gQ={40?29yK$2?!lGp_h`8w$Qqa7rUqS!;Rgm%|KL(d*q zsA~h*zJ7#&S@QS669;bs^m4V}0~BlM{ubybeh4NI-Ublq5r7DHF23Q54ecC(E^9lW zA@3M8eA&HNgC9r?!6)Ebh3}!L#Fu~-@1LSrK$xe$b3RS3x}NFl#9 ztRVf-{}t%?A)eX*)GJ*NhNYh<}00;K(sZ> zCYeQhMQ?B&b6=tv(YK90mpO$_lKEjap0}`@)bg)U3+($y&A#(+71xub^w{PL`D0m)*Y1dLBcIe=2P#jUPr`w9F zHr#;o5*`(f_qecEoR4_;pb1!ai9GQ_bP#)>C-Qqo`oyz{uVGM)A2rT#t@csd>gDxLhQ{R5nAJ?4gp?wkhJ=4t0oUYDbAw zoGUN(7mJwMa8#bUTP;iLF$qNu=T@=KylNVj$868b?ufgq8`HBKW|y!%5iXGGz0d8j zjvLsX(oiO5!ZRGe{qU{&`_PBRR35!9`W%bp3#!5|mEeQyKCtcR!@Wv)>Ot`4&_->Q5$YJ@x2?&?-#SVJ7b*1F4c>h(McyTD zQlmS6Y%cdo-j}Y0a{Z08OnfQ<_Pz8i1n(->gb-h%Uz&WP#2-o+P>zEe%(CO%UZ#EY zd*Er+)`4zicP!4Hh-92q^@G&|&)upicf1*%kYgKucc$&(iqiczd;NGXVud=Wql=~w zVh3h3AJxpzqx6S;hM<97QNj;$j@LKuE8phFZPp$TV5GK;qZ8RydV*~7NEYpB)R4#Z zs+gt*G|CBxqDmpf<#~eckt^k6B}6xg6rR+2w5>a0FmiX@~FG_uJRE@8x+_7O=xT?oVRy3kSH6z=%iS7Ii@2%+c8r#g-8+Bh53eML*V^)kYE2u{5 zlljqqHRmsEW%^Kc%ne39jCtR}_Z=r>EI?##Gs!_~l2GELGNh7BK4L7KDJN3z>A+YY z{K)Xo^R3+3%cA9=k)rx(E{0tMcB*TX!4BmH0U7sImm|*@T%H#<>QX$_?9@f-3mFLi zNeA*_$~1FD+}H?mXLToYW3$PeG3+wqrx__Z8j6ypcI@Tc^PE#s$-03qA;u!!f3wZi zH#~r{KAe48-^pc}^th(}hMK;DR7Y#*9r!x)faTYhcFuZz>ZH0uJ5sZE17A|lgq>pH zR>Y0z`aY{WiikvTstYdU{?5PNp+p#HVQ2<&i)_`JWS*+mebT}_HV>-srGL7&RmtMR zNXvIqin~3IuP^4_VWS3HFexppNNdmMy!dSTCZBZkGon=br*FF`_=T$0bEP!KG5DF8 zscZvGj$Nk}=DP+M{PFF&$4$hC-Z;1tz#j`?T_(x=cSjJHpq^@qRJX}{dR{;FJxdPy~K*PgzCC~uik4SQr;{i~3 zAv@$(BMb(I)((I)U^;*cxEd!Tc`dpeq)vgAKC=63kXJrWS;W1Lo?f z#np!9abO|P4>TAMk&eKadR$mAlz@JLUU*d{;lZpRHw>6Ih+hCBR51a>N&p}REC8Vp z!b0M)VX9DJ8gO&^K?t*kDzRb85V*hplM*oo2c{MuhJy}i5re55aKX&c%Y?`f3JL7$ zdM}FyQ-=y&h(HD|642!w52g|?Nr(ZNT?dapf`73UQkdj#RX`B|>?*-x2`N}Dg79MT zi=mEWptBqi=uAltbA--_Km*7ug#wL|gMOch!LI5XiBO>!3V`a8fPR-*u9PUjikR2I zq!*pzZvd?MIv85-2{aI;H()kIT$fVmUm67-F5wG}0=SwBUs^Z#{uVj@zTf}*M*p`k zA|M3SpJHet@o@7&w1ntHh{6JpnGq&4$SDoOf$PvBYeOQgzE?_+pDk*DL)`vUd7 zX&B*iT_sJs^RtnbX9Uc`wK;t|=Kg$s#y@>e*dHI+?Qg{jhg-|0K9flm%#e_$#a2YL zSb2BLt+74~DXNg`QSrdiz}Tw|)w~{!jPow}g074`GH|P< zj*P>P=F}_ZX2Y&)A1DtxGK@X_MqsdH(8pqLkpA-Cf}Zd%pM9CE;4>e0sy-hw!l`gY zaxR-0`dizHB-_c0&uZqovXr8=56W^e&eFR1T$s>*kiNXd=VF)eWOF;iC@d+}$4j`% z^JeR}S0%2}6Sd$$TWW#eMYCs*K`UCF|F+}>3an`#h%qNcZi~ywoh;>Vyoj1 zM5Lnz^|a>Z8<~0^$#_dJ=jHnvp;vrZn4>cG{(=1(S&E#+7?Jb@y;T6cL0$P(!lAy<5+_qoSsXUg8!@b4nc%Nj59On?)LeA{isJR|M?rYYLuce_&}an3VoP z_;J@Kmcch_)pXmFhdyPiWwX-tmRI8#COIk@xYQQ~D)$91Pr}EN%BuEZQnX zjr;5|8>S&sQbE;`Nshc8zo=bj^p;897$N_=wnr6FW}5jz@Cl91$@CMX+P)~gc(XDD zL3s9!*a?y0iqe43o>~d`-(w2)WbU?CFXfO_mf%Xf_9+P28;+7Pk(`j5RGd39I=|`s z!Dg%Zt$1Nt=OX#o=b4W@s{;=Mwfb88V}k>=X(mpY9VIv459HycX5B1>%$wc|ay4wH zzUSAfBcgr0+W#;=d`hppfZ#N;NO$7-(2^m1rK^?p@Yek|p9iQ#Us3E>5ew<+ST^oI zDLb|UfN}8({G>7P?8KPCs9U4dr1PTpw z!?l~RIgNTyCm$znEJ|U%@}_6dvnSkq@p;qr%UzhYM@=abLpLWhuFAoh?1wt-x3hT8 zsNQf7*XgM0cEBLN9}&uVNAbsT3@xgPp#E8eSh>4ho#IcB>_^!M)#9Al6g;$9W+PWK zCN%}K8Se;t4!5tQ@81i6v5r+ubYL5YeuEpCA=ul$>_YKw+L5+75VK(B-#tGdd}Dp0 zC z0&?qVBFv|c@~2PGy?Vvl9aio<=J2%S?bum%nH9_6B|Ik$PMbEaf3(?brG~i+mPJZjCSr2-PWJ3 zCHAS$FVmNlEvm`|i?#HzyFDh3%9mpnhncO@pA%82s`hr8#09yq0S|n+n(@l^UvOV! znYP-4_oI5ABS*g?3c}>E1(=w^n)rCsGzeP4+;o%3adSwT#Mp6#xIVo27SJXD1krTjXggx+yWb#W}J9 z*D)(Hj4H^P0Eq~R0J%qNXY%gU!8eNcsPku?rn3#Yg+AWw*{U6F$TrZDxH-iFMml z;hk0ncC4v$@q3+3HA=3`(zV95xA2hJX~A;a0-+qw3OpaE4;?c~@O52s_uIb2x&M!l-$JJm=O3YyoA1)~ z{a->SVDddI1pgy+>H*2&Qkg~rfZv|-Jlt2wO95~tadKZJFXiFBN?rg>W&j^!+9;D%5L8hcYpz7`fQc*G!%mN}M0|JdQGwjkvf)C+0UIP;H8ong7 z&J1LsF)tuNrmYfOCM-pUByWR2+AJ2(P^?1%s@TzEOG5Wq0a_ghM9V24QbKQ}fHZcU z4TwsYX+#UBVfRb>7hUN?CGS~P3H`ss=8<63i@xYuQes%y|s;cC9 zVdl^(JD6&h7mVoOf?X!qzL2Z7?9dsYL_QcHA|D_0kq<@>VGW@Cu3H3}`JiP!n9xNN z_ypD=z6+bx-$LF+7ZZLMBP7BDyG$5*IVcfO{wqD`WectVj0wu&gA0x>RNTXJ2GN&{vrsMsTrx2|*YOv?+t8 zqV<0hL;vrt;eU&y|6z=}@Dz*Vrq_VJ$-!_TY_Dr*Nc?;PkZUUuFZ`H*ESq%lk(r;^ zqp#YJj70_a5Re>gn0VG6#ASj?1PfCeo91`7=^aOjhQrmg=7g!g?`5ceF}OLyo^)-W zglgXeM;urBn--$QPjn(r+5>ZSd~_MkyEy9i*%LjquqUy7Z{VtPX0+Wz4&!<^`DEQS z0zWM1dLL=aohP%22Mu*_MLiL$2cc&NxlX(TgEFy)z3RrghE*>!mGw+sHEGOF3391( zlC`xm;mEzSLXA+6e=_YmT?n^~59G#|XA>4VWEiT2k8;oCYZtPfqD6n(JH7iM=bnU^!OF_7U>Phvf3 zE(-nmob$2yCx2Tin+kz(V{zVJfmYBWyxQq!mA!C*T~?l!Z9bofP>MMAbm&f-u+3VS zHclzsb*{0U2hK}7r=g1vNU0v(m^?82G|NkH!##THR>}UVIcROGeD&h;XB+ zzhM4eRgBq8Ub}j#YUB$}qfzt}NWoOw@l`wfCkEwGmDlzUgM7t5-_4)>WKoI8-`KdE zY+CXR__s4^-?;g7FK7fke=w}3!03cSMdhRzq{iZ9%$ij(+(?+aKn-& z_Tv#5ZqeZgQ{=7Z+Z6D8=58K%AfZ)0@ zTUpP%NSLS7sJV>Bo0amxhkk1bqu!`hug4bSJdOA$UJ);WN>H8_`fpJqDL+IZ*b=r% z478&o*!xSLK0v(qAX~p!OKh4VF^3Q#Cjn^>{eymfZEv$)RJ&a%?JXA(g;yTB zzcjqF!~M=HURg0rm37#obusRkaiTnNNoAdAb1_8^?{m_t3jK_LMqAsFH=s7D=2!i# z4EBgzyEH>7{-d-$2lljiZ%hy4jtoxFAl`A-Zt(Q-)cgwvxX;EhI>(ZU|L39m_L?^7 zof8IPn&r8bQ*Jmrdh%LC8{K++1CD`HC&35`eiJ>{7WyiX6G+6tr+X~9YHUk20ami@C58Wy__g9OK#-40? zN`6ydN|%tj_8E?OGq+CC=P;;-OcjaXO={R@p73}oN}OMq8)rWzfr zB8Se5HxFEuIUbtk^S(*ao1irR62#k#wuN@@%e|-7!sqsGt3qzGPuEYvhaPX5@3!N7 z{PyY&=V*VHpzX-}_?clDX`Zo$fsTyQz%AsK2z$Fase0M(=n&E^q{0KljnW&72S2n`iJlt2FBLMg#`~13I!L6N3PK7Kqyf!F;*aD26lBaO3?w|^& zqzZt9$N+Z!pYi2jAQ$vS2C!%W0l=aGf+!1PgCeE=@OD6vmIcCshX4#z8Rvq0WC5eU zEHn0>i&e|Q7zr=bjsMxeEeB&F{4a?G%mo@4q0Osx9RNb~pB2+Cw|CJ3u?qg)-VON+ z0SG9o{(BwJbm{DJ@k9OR9`4A)gh6f=@WB9`O2dc%+$j&H6_$Y!02oyPz$G#;LIC?* zz#Ovw184t+%P;6RugN z&K-IE)!oeb$QPQ(4tmRIW%p(R8SPfD(ZOG+aJBAp@|M&J*uPfic+dDH!*rDT^|?5y z#Y8eb=bi`hx7+Yqd zk2}lX_vpHk-h@6cHWXKW5a#i4R1XVZL$X+k8*T90@-4BYUxe5*MV}2+VNr;1SlB|( z_RespphIWZ84Q#pniNkWtxh8?@6_>)y}p2WwsA;G(Xan2lG~AT&>d$!kNNs zRsfg}Z2mo9U=>C8~|nyemwyaJ1gsi`T{6lSpjcS{mnTJyuVaa@Cz*wIaPf z?bb9Nt-2U*8}a>@mQ5>hYy@P5^?A>4HrShHY$~~8AM($|2GNzEFyIi3@VB{u`}dvf z--nm*??Mz;9uDBzq=$+L=_rD?3ncUbW@o6{0S3w#g8Y<`n&6%F)P&*x!2~aXFPh*# zcv+$1U;iI4;I~|4|1VeY_X8mVd9u3teP&j@JcK z(P&?NLk(EKV^HFPWU39ryKsNNJ;5h|;JUEu(CaJ8Wo%KEF4*P-77m}ieF+>FXSB~M@Sl9+J%?*tbCER z&x)+0pgxt)TNNU2ZOiEIJ*9{&XK*uCupp87w#x_4@fi?RBHLkOYUG1+Cz0^GPnnke zDqL{uSHHdq*KWhDJ)#zrTqzr9!5Nu&ucZ3iG}pGG+q9zde9?vwKB<9`W;I_)Iu)Tk zwV9n3kBO9gyhi_1b76w%&YENI3eUr#GONlq9>>FiViEF_*}R>!Ao9W1d9DIBptyUl z6hQpc@|b&l^a|D)|dHsfr=8!;;PNxZT`4l~j3_50Y3 zyIc#i#X@AIfoMN7HBZjWY`o{|$R609T6NEgBI%^s^zjIj@df^Z?H<1Fdi0e4DfW^+ zov(yWr_7I~Wl5ptko3NbFs8wZ?KG42qGPx_+fe06rX5zm(5KCegw=cwupq)_3iVw->B6s zDUb+YuN00vz7m%nd^UTBp-7X=&m=D=rB%yRf`y^7tBI=MlaQGgv3PXfErI0o?JC@d zR*v{vG_xHRBYLwU(QxCE>5-qh8)$cmQh<$?I8^8mzZGx84q^BHj;eo6%$jNi0fVPn zfYpjeu-qO^M8IlNa`GpmQ2SW@w}-L|)#(;r^Kx7o4n}6DIwbLp-Zf%w>doEoy2U)b z{`%0xd<-iQbvvn6q#*ATN3l!k%*btZ$lIhk{L}tpr1J_!Ru8zoZl-4X4a8ySAwpY2 znt|7Gg+bOseTRS#jiAUa(X}dtINd~Xm+_RWEs^YMII+Hb!?K!gS1gUPfwCY)Ag8}i zEbVY05$#81{OV5p#UZy_ssVEN&*?p8LcYV%BCE4rx*llIT{Bp5Wr*Hy99V;9UH!b` zP1QWbb=>{T-l)Js;mokynFdR(0pf%Nwd0JAF3GOOEz>PU9}IqtE{@)JZEs!<3P_#| zco)EX?0vP|{YKl|sEH+{T#b`gM`Pcygyg+(VENvYK~Wqb{?JWgCNDKdi$}2qcA!vE zqFUb3nCbdj)I5&e!Gd+0{HAAj1>3R1DMdmsAM@;MZhW=>iSW_d@*O$D-mX70= zh&Eju%b}`k4UaO{i`QsYh7+>-hya;6u{W6_0rC z+7|QHJc+k3uL{NATAH9M+@kW49Mh1Fq-p(qmuORj;ly?I0YUx3f)Bj80c-fT&mlM= z|F+;?v1G9ef$!2R3u)>B;T-hpK?D4P<^<MeyvKnV4M-h`KNbN^;y&}A#WDVWQ{;A$>$ z)62tO5PD(?4*%OGzZseT1#4?D1>D^0Zwj&*7+PU+HI&=zYN)*#*umi+@@4&j3NyeK z1@B+=oHqkp`NJRVmig5<6LWBBxNyt-_clQ?2t+~>sK6XX{NHyC@c1qlt!tnaQegUX zg#qLubRrUn%>qVD#P#3u0%$ftBZ2HeGjxXvhIOR@1;bui0FgWr2-FwZEdCW2_=lZc z{NliO?{CSRSKy+Gk{0Br3?qR~q%c{bdP^8CoYX{anL9F>&OYvGWC+TGaLFRlyV-1> zm7m(`rf8XkV`oMy9O~0#Rp!1#EpFeSqW&)NJ+$Nl!?2;qu&2b47af-ZZA1~_+j{)* zm=rp?pprWpFTM|bOC*VtU~pcHn=PSnL6eHX>rb2#PB9}QPyksgUZi-=c)Na2^47|d zTZbR%ntB+qC6%_X4p$!wocmO*ZIL0dJx5^azat*!58JT~MU-btkVSl!roq+vv0W@+ z!a84z4L_KUBh!QpWH>GVl}|5nUjv4_;ktqJGylf6q;f>)PY-6-RW!2JZbK+iGE= za$Op9r{Ng0RP+WcJZlNuyHV2I_3uh1kH}vnwU)N8`>WoXU<+<9)IKKCTJmi{%<8CS zVmCY#53Kpwb_-LL=CPf_6iLPQ>ZANqI@C7Fa?^*wH$N*6sp)^IU`c8po*!0QYW5 z$Ym?i`DuM>wqhGIWEC?~;D_U1p_*s(it5X;EG5PGc-O}jbDbNBI@7L-{c1-)mMfRO zG_I!83=^Xyc_ay%&NlheAT|#R;92W)vwR}Vxl5v!mVieySbjWj9`0!I?DpLAEpB(` zjWyM~GsPRgQB3FBjGI-R-OaIE0bbCt4U7UV#VPZ`Z%mRi&ixcIKZ1)c<$TCUxJD?^ zOSRIf@?P$?j`#tci)TqU>b)ELk4b3}TkSP471QO48P=Tw_-Q`k#P^UmDA2qxtYu%x zibmqk?2&T&j4+sC8{#?%Q!zQzuypqDLOh9M6IC^l&UrU2wJqOPHXaq6qM%TOI2y4I z4{Z_^M%s>fP=HOzogFG}p@>}F5oo$-w}`3BSw6ihf#niubGabDQ$5<|CXbizcMT0iP<{JaLrVhk2YG0iew$~#+g097H(+8 zr^wQ##`Z%GAx6#idr8unA3wM8ri#Z6ekaqdMV)|Xe7MSCMz_3y|Ud^Viq;reVRN7XvYfsG;O;> z0k`#*!*7wXV9oC)iVV@O-{dAy4B9Sgjb!+QB$^Be%+Abn;#>7_;-C0@$?ic znRd$@WNy|(4ut6_wc>A7r+(iw18I7gv8+9$q!torH$$UoVw@l!#0W-UB?~c*B zh()-#fFo(bM4>QyaC6_(hG9Z%?_m_sS0rGka?l2RkQ|kO6q$#c3%FEi!)`)`0EH;1 zKxY?iV5LpCKm#w>85m0d4WSZ{Kn+(YCN%B<3>kj z5wK=Ii{CpSga$9r=6^Qiq6eJE@SOk`zTDp>s^SE?AOUT`?{&kRF6R3OZM#7I|3Oim z|BMxL2HW6q{5=d4dgAH?N5Gk_`U{r_AiulVoZ z+OYo&)Bl}d0^+uWX+qb$t`Q;f@%*l9=;jLJf?IwONkh}B3U~G>3U@v#hELq=c{179 zBh=VqwK@gVJ+^md+i1wpshBUa-jl1|<(yJA>}NNEm-()JHmQy0p+hF4eb7%Wmtyu# z>0P!oMsl`9#QJE#^`ke+;njpX{$ms7g$bk8%BOiJzs3g2NVTTp*Im)$i6=i%^3ta+ z!xKQ-d-V5SH|R!{5>*|oIy{?3oqaX~pHPSHNZN(H+ol?yn0(xeY|;S(T~XkbG@J4Tx4V`4A)^o55_WRPs}1R$E9s-IHpO|!wodrd7I0>`##t@l)Oe4jvz(y z?%p-09}J|fUkx5ZrqvJnWV&K3?Ho0uX-bXFwCSvn*_dQyP?vw*O7$=gR`dFlYZ2@& z+S$jVR=g{5_uN>`;SNG9j>p@;(NFY5)|z(^@4fum``q?>o*Q>IiB=tWCDZ{2GamzlA&YF$u2mw&%(J2q zuNB5-Sp^KTXJMG=`)k2NZx0)i12Uv(J|M@k=)a}ToISjrEcymcZ|kmybuA$XY4>3b zwmjm8S58o2b*+P(hl%A&OZOvAEx*p!rzWm7WR&vno_Qj}rcg8Pv%%sHS`4nkf7u}V z-%AOyV5JQFKziDzR`;%nA9hYNvyXg``^fJ6j^q~mcCKywWSZY&ean%LYTjOMbmCpT z-o61n>?wMQFTRwG3!8PLy}eF@BZm0FY+a{%$1U|I+?)60P zjc64vlnsET-4-D}0r(WF|CPUYAt!Vy~g~c?X5{ zYN&+&@j9{Xy0h+=uH1BAb-T*4hb@&*9_zj-gruRcWm+NzsJ$R9ip6KXjXrLKM#n zt8Com6Xj!llur`uUmU9>*ptWhhp@*9ySw{W<9B80a9a9Qkw2-hzxYKnvj?w;&N^^3 z_tSH!T_2m-nx=T_{v8{V97HO)r#4xHw|%mf!~$bf6mu@Kjw)SU@WVBHlOV9*C8%1T zaLk1g5%tLFhfeoFo3^8o&WM=Dwd_4odt zdE0w$64~>%g^*PCUS*RN71=j3BSO4{j6xC;k;qC`Mn*>VNXXvVzjNQ^oqB)1pYP-M z`~AgzJs;r&Ugx~7bIx^roqL)Y%j%o(Wl^%it4@TS-ETKzA$d?ohA276`t&uQ zjR`A(&mZqU&Z+eat-W<`d~oZI>U&yCS89@o#Pl;S`Ca5XJ`!~~&w9Y)E9R>4BMqv= zE!><{#BiqXR$P1;=ZogtgC!_|>m|ExK=_{6F_4cT*aJEIMj#K(cw$FGT57mgpwTbjXitk5I6fYmM)K=tmN)oms7C+u z7!Gi-BRA8aLNHIE3kgClWcX*GbRX1a5m5w)7PI&ZSoT3}CUN11*{3}K*n;5Zp2G~kELhlm09twEr~ zs5R{elV9FmW9k`M;C`;%WyY;MnEwFdY7k!NV2<9A-zQI}+VKGAT;t7%SCVfeXWF(I zKef-gUF_mrBo$S}l-=-OR%I&+gey?-uw!)xS#Y08=JgS0PGlG<@UGeLXV`RE`jx2a zslo3=Rb3Pa+3jWVRrZvZlM1!$b7KV@DK->#7Kh($Hr(d74sc|8hI!ikw2K--)_3;L zcT$WZlrV2OcBa4mOs4vzD1)jGe*=?rao);-Pdm}_bT63LoQuRlLVPs_mzE>610!yi ziBjbZeC`*#zc$OG)gz;3Ruy;8z(UZj;``>-i0juLM0s@F&aTPBVEm)hrudid=R_mA zL6lcc%DSl1m_lvJX9enx=`Ziu8eaFa(8J+i$q@Y-p`D&S?cn`{d*of8fz8tB7j(YcY)-kHb zyeGb=l{fT-YkP>qh;%d>6&T^R7rR#z^o&(oX^@v8@U^<%C&ulW;9`m=)m8>v@|?>~ zpAovPv!QKgz(rD$J@TgXf`ZZw0wX%h#80~uHux}=118|WE3-V zOb7=BWpl2Ya!2DnrDhGI2xtg0!}^qc>gHV{iI^G2sy;TAhIze}ckZ`)^KhaG8KB5K z^qG;fZU_s#vs9Qj3CV+ta=iV&zvymv^wZm_a#@wRb1iTUhr}UldYvqLf69@B7K`!5ffqPhrwC}@2N zV8(0+UOQrwTj|?pF(;2oihK}mg2mZzHG~;6(3op9fLY4BK>5kN{ue8X^RwJ~Zi8Qi zy~m9e&(G=22GY|!xbGxS3|dT;J-LY~dxcTyz;VCRyQ%j)1}}Y!)cV~X>bPqi#HqAN z7iXiH5p5Iw7&FojLO8!^9kG5ad$)r5BxS>&RH`~D`|7hF;hKc!*fM%g88&z&W_X76 zoVmb|DJh@laOzveq~|I^Xh9@f;i$Nk9{ZU_=e+5t;G93bxtGeb(xO+(8{C?sQsoY6 z@+n;%2I3hnUu72HR5-;ryy?wqdlaVqYHe8V!l?v=TWQnBYac{&K0FD~8kpP3^uy1b zBW{pYizf14jP{V=5%L-#i?7Odv-n`OJ|Ri?(e+H2>7sc%)BP%k8w>6ll2=B~Uu@Y9 zY;Q@J5^xkeG)8Bh$ZPrW!f9&GfM>*hmk^Ux1!F#fHEDLed`|P$9r1Ny^lu8(Ol(Xu zhIt|zTrSZ>7Y?*CqMIY7gVN=Q{nllbTX(D}HN@kcrn|ORwjRHK^R6->OR$*o{?^=9 z|EGCtc{S#M?Agg@mFfGFBP(~W$$TqV3Z7K$I!J%-iGefiu_XSe?ZGvfrJJraQn28z zVXXmvbXKB>$s4TT2xg`W z^4%{AeYy+ITY|s*X%uIm@n1xx;GabQVDRFX(?q`bMIjI}MI8Be4M{Y<@sr3G^0TB} z2q4zn0cTP76>;SLOW?bc^TWw4|Nrt~b8-%V1l_>KVq7S;I3j{jiC2K_jBJ^j$?M45 zB@y_T7cmrLX-&d;z1**N){N1{74xa6S#j=Vkt(Orb`X3b*z~yR*745EPpRsyO4TQo zBPLb42RPQ>8)GdV*)F&BwmZ+Mn=`j91Wpj?W`*Om6BXXb6~DXsGUM`x*llxLK3P>t zTtkAJOJ?s6%lgTwn$omcwO)DSVc4p$M==DgYSP?TzWXXb6Bl8a$#Qk5i+p-r|eCv{Ar%$XETE*pJeC zS8hGlH4=JrLrq)=!Naom!lU1w{f338G1~dXM7>>g1ERdw z_+wXktb4EY2k0;}o|0Z=b-XKYgwMDCnYG4?O?t~iTTXUdhvJ@MPklb|d-L>7j*IAL z?~{jX1uLMl(5jMg-Em==zD*Oc*|#%jdGYO;S{d$wEOGZ|VD zspS5xDPnFkg~o36lqgEZ17ljEQy1>ba9m^vX3e7}%YDdI)M>BsZ0P#MCKFp>yeRtH zJyI9Gj6`V$=XqjqJi^eqX~LDS*+sV?#j}>*DXtiBsqP7UyoDv18RzR&G$VG4PPgsS z&5`>yi_hN5ok}~!2PsEj(_Fd#fnoMOBCDfV z=;}S}(-?uqFevyQ_8A050ujvS@^c&G2tUK#9QmFK4O4d=m(cOg#-wToe&JhXrPOSu z1@G^^6t$IQ8a{engSWUrQJhmC?*>w)#EqXTkdn zw^%Y|QkabsIk>oTYZsc}BE2&lbwCna5;t?}D4hhg9)Dq>m)dsMI|_zs;o{ zn^H>gDSs^&zPtCz&e|c8Skaclr39N0dPB6t_+|qNx11X@?_<-n56j@d1d6>g?Kl-5 zYZn_&8znpW+hyCNRPtV?ma4jAT{3Z|e>%`qpWnHa&9MU7)T`7m-A-A2l-l1ww35d? zW9Yze&&MU7^YLct`JOXo=>}psTptiH)gDz_p^GCW9f{7P>>>OJ6Y-$5;iEnzy?w?{?SMn#NP}oi8v=H4DVG z2(aerZZ^uE1HKI-d%5fTA8r(9Gg-fD;v&-jg5i(wB{Z{6n6>qtt#&F`jDZQ(9Y(=o- z<$F|gRKssatl``FuCG?>3g^%rT+X7qE;k0rFL^{Cp;1uEK&&g^zzO~xY-EnG-`+uP zA8c8u^9D94wCDqTg7*t>XrLeWfd>uslP(%~+Cty}UGTvsf%Kw*rws+fAc5L{fRFzG zm@xoo@WsCT=VbHm2!p>oXa6sgO|2i;*|KT`nY6QY)ikCANvdWH+Fr?iE}eS$1BZen z-_ZTCeqOLd@Q2s2$yq6{2Wx7kByPvQFLsc$Ss1u6nlkg~`nx0%LpWLprDi#yKUN$b znZ=bl5-jZiDpm{a^TwDxl|l9Sc>b7>n_!g|9?j_sA4w(+NK)g%1Bu{WpXF2QdS-2} zfL+uj>&BA=q9Pq82CJchR6^dCnF@8zsIHzPr1PD}w#7 zTZwj)7CS9EJX$Ka?EK({yC4TnLU^|1#Bi&pDuQk(j`@MDbNeSXsk2Pvq!XD;>{S&> zO`~xI1?!80eJzbIO{AP3S{pg1gudhCq}-)QQ+Jug9i_T}XeWQjVYG2ZW4Kk@kP$ZL zVd~}d=$+HT%@nA;ra)3)yQyy@zG=QAz-H!wRo8_y{cqG>{W_KIqX(n&j~H) zepE!%GZ@{Tx>CPV&A}lvy=x)CV5>tMeT%IZG5$e1m-vc2Z_X>e1c9UN>0xNSi^|J5 z;mf%ZJ^yM3Vi}I2r!>_#%A?;#>U%#;mob&t2N&qL%a`0ZSDrMsV{UP+Ku$O3@fTv% zw@pm9`@CW|@qCMZm;_jTXW;8Ht<7qZ&z;ixfdzBs(lGeB20IACMkaXvJ+cvZf#3Un z2=)iJ6?9S`3dzXUM!o2Vlc0SamZVy zh*q)Jmm9JVTcqrsc{}=2?n^O_ghz^Xj;Qacm)Qv(Af{7k>Gz5)N$fkS_<_;^wM~6* zs1&$GlcEA};qtl}JeZbhu*i&FMl3uUrGm(U>hiSmf+fwaQ+=7wo9SGk9Zj_!M21st z?Pgu+=bCLXuKPobM&j&G{pS4#qiqq4;2vh2HXJYL?APSGo}0B77W2f--oo&7dwrkS zoa0$H<5{F9>F+=S*Xj**SL-+)+E_7X(Hn8>t=25% za>O03%n_cydC`kgDF=^47uVtlTU((GMB^W9Sn&-}oN+o=G-OC;X1q0A>O+pOYYwiv z?Qzq#UCyMcXQ#P3m##x)GDkDz)Mosr}hy z0h4F@-(tOwT)FN%BU!5IycU`9@;x8x%}c@;^x5y|iPT9{KmY7Ta&F3Ku6F_AYaF zes<3cCYACL-kxa5TT$G;wdKx_55(4)!-Ltnm;Sgy;SAcLcim>50i zmx;B$^OpLkZ~bXfW-NUUx;s`)Fny?IH;&e8Zx4#Co5U##kGOTO9O!+s?l#Y_*noPp zx^P8rZ-(6-=3t1G(4REZ625*^u*M|PRx9<$&w3$w`x&|2N3!kO3Wm*sw|mpW$r?H2 zFZctWesL5jKjlgmL11>_f=rMFSpgz)IbcfOwy$Jl&|qlc{&JauEX)4RCYkX!?z)%n zs|%TMjqPUCJX>zqcJrX|m`SiTryk}K2~E3(3lT?uy?$GL_pU#q@%PNmNqz>HX}!pN zo*ZM${HfDn=vO3G@tUK?U|({%0uv5ply*nXnbgkS?wCKJHBEIV# zsnpbiPDa|B9W&P%t-ocmSZ&2%SZq91iYmp#;QL zF7pN^CpnWWt<;B`^v(hmQ9O8!ryP07Y^KZ3#=m*R+WYXM&&+B5f!nHYwaZD|{RGtv<#l#}Ec5L|C&aS*gH3s5_E> zI6_4r(h(96rtFs^R0ViKf89I~7Cv@_qK@by9ihkfFolorVG1AL!xR?z75PILb$(VG za(jfW3JsWp3zrNr;0nZJ7jUH#m88fj8CwWM;~L^+Is;WCgEa^`a`{oDjH>`KreF&I zNG%0h6!HrNK2?G5;Q9jK!iD-$u=xRG;fXJd%=@w`N^k`U;6V3Nu>}E8oeFpu7XjqZBCX9R3s0h3_xf}#@2zxGf*|s@c?G=Ou!tN z0;rENQGEQIfVzaFN~Qv;<`Y!eXry+}o&f3^l8XBjMJ3?_RP(2R`rBpOcd$A}v?M1E`0Fmur)$OP?Z# z>3#L?1iOZmuASM}OpmYljajx4g}4^%MxIISv_(XFjS?=@;uh?dxO?gP(u>UGj(DVx zxeP8(X>3-i6vaQcGhT7(Q0yvoZ3~%Ak?`OwTYjZT-cqr*?%(V3a_NTH{75`U8Y2A) z#?10#%gn_J^7$k+r*9Qu*a$bX)hyEawWP2dX#U{4>zK!R7s;}@=$+IgBIowIN99JF zN4F6nw>~c{yNgPBmN?MAR5GpZIbyXVLeJ!Sf!=0wynlgi%G+{wz zx4#BHK(l(DIc7KE>P&#_Ztg{h&(%Vau*SaFu5BC=|WRL#xWKTig|uU{+E zZ(O$4)!J`)_4@I1B`tfyvUALa-IgMChRUBUsT`A9PSN!V6DB2Vsq?SUhD6=OBXNS@ z4M{;Y%1dmg6Lh~`{xE2#|1mQtlK864N88Lf1n}n-W#)X1#1t@?ynQJ%WLA0OVZONq zZBFiU-u|XSLyE=4bBR~2DYUejn{Hla1E-Y?J&Xu+aeUG4-1NRaxi=ulqgwf4EutGE zG~&#|VE%v~tTIGhIke_n%3Q_5)aLPt!a1oju61Xqg_-e-9>!gA@M3ax9nP#b4dA3m z_=cDZu(8xh@XDGg-ZhMKP&>u^jMnNYyuq#x)5Kx*B8*^+O;j{WJG>}9nYvUpuGw2D zJbR)1zA75e+*E>7FGzu zOz9t8V5c)?emcgU*xB0fP^o^+%Z&HyJ1)dinU;&XPKiGbMW2*To??(Iw$;zU;+hba z`1;@;K9#prvy>itm2gpKj|EY4lllE;iVP%2W==LaiVVYxQ@bl#iOduc;oGs?>9OJQ z;-2xzN*@}(j!Nr3R1q0et)+`n&lCN4^=_oi7v2a}(R{SW{NF2@RpnQ1?mtn_d#4&# zjNrbgv0D3RM5d+L99RFX?vrE#8e+qxkJ@V30?}rf)X8nLwdV~uzfgPWsO&~5O^@HC zRDH?NCbep$bFo6bt(>^YH`inu%ei1c4X-$yT$pp*a4F)pa(&3S!;vW4Thoj?Tv;I< zqw(PcS8m3QQiZoCRQlnydns@*A1QwD6{15>XKmlrYx^Ak4By)mJ^x#eiFMug?2eXM zOe+3Q)GchZ17%IMYc@5vX5fL>%-^hJop~%46msRcyG~kc_3~ZlTS#F`oTMUt@|RN# zVs9nSloD;7wLd4#_we)6m#6!fJuFAGI6swyEPHT1=9iP~v%9tTcrJiiJ%9N?k>_$! zhXkUpAX{Z7reMP^GmS}F*!fkh#|Oo0>yc}n_Q{=Z37> zEUOP@Xt~c&Q{Nd9N?|Ci9Ql@+`D4MAloqxqkhgW&$J4&biTKUuUT=i^P|UvIMUgjQ zk>AHOu6QAxK;rQS2E;$Uwro7QzK8K7z&`@@`Gh6{1=i#Kyxar+@3O@qM;8GRpX=~I zBnI#g_^e`rDjs29eDkaTdlUmi?*dn&j3AO&5R2=4F}47@&^3s32b&E_C~3sU=$;`ca_Kdg&JIvT z?7*Cz|N2+}s)(8jC<10jXP(qy{@M97ysF;P|cI1MoB6Eg2QW6c?f>Kjydj0?tM`B7ZKvI%ZugKW1&11PwnY z1*F@&1*?i@#n@WV@)Qmh)cO|OW%(_A1*w2s1t{|5E(kN``Un{BNyTv;sP=hZ2JNG* z1UeeM1|h?|E3t)s3b3IB?dz@tRSK5^K=<7-fNFUDI{*-r0bpeZ1}?K!9oGvKXM;kk z0QwDy3d{nn2&;h?2c^{+XHeUVPLd_ex>sst9g@ctM;wfymWY+(rF9ROJB zj{#JpY0W@IkLrQE;demZ?msAsty&*BAgZt}pwAzU*-#Z7IRiGe2EZ0m4cH1Bj!{(G z=p_Kk*$7bk8i0m39-}DU@kU^X^R)o5Z2AMJXaWFT9ROsS|FM-M$fG!22Q;8;Ii{gH z#R>Vd<2@+6)N(8ZrSlu4h3r0paqzqzw72==F^V!QODp(!_X7Z)Apb-42TI;^KHc8Zcb~8a(d+=yxQF_vw!w zy!Htcu5SjwB=W!C16ZmPXpq_hfOnn807^9+MPN>N7m(-i5uhyV1R&*T7dGEdUR0Hf zU4TQg6`-!&$7TY64#d+2nyT7!Oha`~Mh_^A)eZo*&&L3&BIEO*qU6t@qS1CBYVY$O zifi@)w(<@D^zJ8w7~Y(1!C;tv3C5&(8Xz%J2~|A?9d4OAEgG>54_)D=dm3mRhyDSbUI zjC$LqeFfz;F6ll6XeOxc9frsWml>0Y;=8gfh|Jy(4B0IIrj zjG~6}14*FY*E!G&XI76ZLcM(bR)M@v-?4QP*N*8xWp>DT4Lm3>=7FT4wPQ&r22&Ft zNpBt06NYTsoAqN9_4tx(0C84}0Epi>2EZhw2oY|AmdY)GmfqMr22d?!JO}JBheYX@ z0eX4s7(Jr~*c!G#MO({29_RKkfa-~WZBSA73IH&Efd76EXJh2gf>i*F{5WnAiUYm_ zfbcZ{l<)i@YUt|E7Fj|McmDtucL9g|2HkG`k1XW!MD3<^5w0RAZj*gK|Fr zKn0f+;g=8-ViAUm@QVqFv+%(sg!qNx(bTD-03EPe`u%+| zwvFgO2gW_1tr|KE2PO;`sYSbaN4!JmJJ@9TJH9=T5KIapc6z7+KSPx+*Ehmi+TKEbBrb8hi47vxzX#=Mw`H`_+`6Psd6TQH(d{p0n zL8=Yi#{`wv;KM*WB_#PJ#D$@D{NvhDkI4!F(q33dY2fjYkPu@52WCM3!GSbU;Dzd> z_*FOR!EGl5x+-BKON)X2Ky?Vv84e640f$5BT(Do(rIZJ~_mK!#iUkHV&?ExQ2aORD z7l*D99V>vE5%=xD=*b}lhB|-&g?WjQh7tk84Gu{YAD2YEIL%2wAp{-| zI2?3@B)E4#nuh($7^nf!Ne;AEA%N*nf?O2*qHvL)-3BZNauI^(cdm_V|W$7-WG zTb>$p_7@_U4zZA+5O_L(x1qjMm}!8rj7Bh0&gG!kHFP$m7|IgJmBEPI(qxMS4BIaKY$X9W%=eh^DOuOMk_O?t^t7 zjq5(@%~*w5ghDRA7!w=YFN^$5&D-W0qH*vfxkVE<{wxY&>n_s~F`=yOC)g z%$H;Sp;r6?hwUYFfoQ22gMbgUdl$Xw`bc{pbwB0khO=e*7S*YyT|MLGzkDSuvNc(J zS`BT6IO5){so3EQMQ%i0W;RI_uM_FS13@JOf!Z7?p__h(sdv17pDN@=x&N_7i)nOM z9$#Naw*(@S!sK!u>`%^P2Y?p6h6T2R2 z0uz^B&!yFJnzd^l@M?U_`_{m7BtLY=U-T>@h3-_DXXYxwU^1?9BwHaAeZlK%L{O4g zvV2|LAYU;uXzrth@B??j@H#Zb`+Y>w?C{wT99n9AULrtn033zP2O2 zDnWjyB%&&3Je)%Hu0VBs0s1O?NrzmyAtmoAZ(n@~Pdx zH2m@|GG5qbswhheL$j&HC()CT%uw)skwxAUw7%}A?S7HkTdkMUxc6`1Pd8V4@Uupj zv{;L|W3R7{)xX7vkxf(6P~LFTD8>^r;p6RgNGYx{I81Byaih@>%h#hMwMTGkFp|Mb4=+DO`kEV(=VdqaiWWkQE+AFzX* zyiyw<*Bc+XpLXQ1e)#2_BjWI4pSrDBmyj?5=Xq=YO&K$i5w!FCO5~zOuBUsiSih}o zIY?*ybVnkkT$DF!)wseS0jIhJQ@N^f`op}pSTi$Y#hhFYW=0kVvGId@m+-0OoUMVKPM>x zV{t8diaBSEf2uBslV(}RAc>0dUH(v{7s4SXLnw(a{VMTegZe{!I~fEQj0zK{GC7o2 zXNWeZJ(M`QFz&h=bwya5p_H)T^W3YDsyl*M__{;B1n$S>@9`p%I4`QHS=h{$9G`oZ zZFy91d&~x;(}oIWIW~*7tP)i%sfRI2y}+*1eR+ooF(OH2&VwcUNT6OByz?l;KPU|o zV?d_QCcS^OOXufj(4W1KY{Q)%sgU{pm1~vOLPu*s!X*06I7JiFUe4MXwoCa;4B197JzeUWnD#RMN z1aP`MtX$LAQYRC0FBX!kT7nzC3~f|W{=#OifB#&@B7#{d>hjOiAg>;ioJI%Jwa^_9 z>HrS0mSch~&0l^@gs=!$9H4G;z){!n!A>Sz@K35LoCqP)hOV6X z15ky7>Ac|#s0e)AY9o^;;6VHwFs^@UV}<-U0EdDVxi-k9@auaE&Iz<2vjKUgYV1Kq zgGtU~y-`{So`ng;3lIPD!@fRy%!L9|L_vkTT%bbrXfVgS7@(bF0Lvt>>=9xS6-O?A zM8Glud?`q>h)RfoPY~pS3AyXb1rvqtBUw7wh|fV8>;$-EqR7u5unvMt@{1tLgMCaP zX>!zp6ch5~h6zJ$NQR}$^dOK12=q%K3YM_KU@0VoT;RZ2z(yyiAT#Ud_XQ>-!~(42_JD$(uw3;rzg19}c5{ zLOEeXl>e!WFtq%Nh?)zC|9cDgZ)w25U_lIZMPQLbQd}@1y8kFnM3`R?JXD@o41}a$A60i>uY{+E-Mj4=z6aXBPaVye-Pmi60F3cf`%wyMAZMOIuP3#5|qcIg2Xjo zWdCCS2~t9(lrUnd|HLjS2_5O+QbPqAFjCULD*uU5LXWAj$f*8hS}_rRaS)AK7LT0c zUkCR8Zso7Cz;5WE%U-EsU;6(%0QqN#;p5v_sJvT{1TzeERt*=K+!?u=P0+u5Yw`j~ z^*T=uH}&&kx^H}Q_IC%^9BU(MR~zS_2sVpxotDHQvvWl3Z?#>#I53-W_tN_8;jZk+ z$d`@eC(qs~c9OHr-kM2|W=Dq=ah&~tryA&6CzwE1Q1*DqwN*=Q-gnWoLuw>YJ6xOL zQcLFoDK7sjJoK+3%zNxgC2&N=E!#qY)T3+h?rXE&SIC~F7Z44xj|gn1@VYPCAsCeJ zz!+9&u*>DAyks)4JFU?4Q;lm+iL{x;keyE*>ub4l-Y~hG>DhZ)Gv5d*@h(v&^~SLX zcDgF*&b)rjM`AI;5A4UwT5C!kzX)G%**u>vF{I{QjBurOBhoN#OKFfs=*8g$K3|4h zSrUzHUZYRj1t;;`%|KU@B*H2}3nCD*$U|q@Ph|*Ey!KG((xt*eZlfAUq7_J>D2sJ1 z7jxt3d3`KrgLu66O+nrI+=52jwfmP2Q1Ap2}R6s7DWSDw(D| z&sDcLKhkK^z0;rFt?IEGg-A>p&W=`Ryj9l1chG$(vCbssUSAi z>B~PJET+p9zpLimmM?BEW!b%kV=#<=0T<3U>=ww=GJ*S?QUKq2yawy(StYKJlsyR9B{eALDACWIHy8gz<4)(ptWFEKc&;T*>Fx zQZ=W&tFHz(C}=LUynTp)7H8FAqqjF{wK)Ho{)0i}sI_Qv6!pOz2~*%2Yp!rfv#;JK zUv-+TFG8F10?l6>`twWABVvR7iqVx;IX6>P(lS2Em@&Z`0}O1N*KIeRw(i&oS~F-A zK2esdpxt`XurTEQnnt5hi`DX~)RAni1HM#EQU@=gGv?+{&{Z>oqI^;@dt&J9;9#j`%(zF)@g8e-Zv0k$47SVuEf#~}i`?_e8 z)~-^z4?h`J?_}CYt8V4KxzX#~e>h6Y5V6$kci<+~V^Qu!AA*xT*BRwXQ1*z55!?T| ztX%8;r3`9JGVF6SY0(&n^sU=dwf&+$0&8d+g!9=ai!W`te^slPae5`UU8tjJq_5tg zu*>=;i}?d|WRKk=0FM{wy(RF$lsl(sw9+T2&z0bLfEP{15kVJKT`s{9HATI=>64_o zAGbfF@qV{ri{vu*^>NzemuR{FIiGld|)`T=*`(_64HPPe=E++-JIL zRo7&A0(P%0Oip^+Q}=uodZjeS{YvGjjkjSn*i}D$N23`8ztm}r_-=|hFoVA9n;qCb zUoqs5=E=Ig^>Zf}4rxW8{oDzrf{Xt9fEZl#k8Ry?7`1CejYfR0wbnDO*emw0Mk4aD~m?~g&4rd z$o~_{>I4JIfHPD7i!xvx0*C5|LBoFhCuRPD&w}+0(zySvWg?QmCkAN|;8H-&hA^_f zt@IB}jueLM^#3Xhc!%InEFOp!Ibry3Gl~3$p=@k&^1pjb1RU5SA;6`A^gy@~vcD|! z3#5d)0V^0*e~ubq3CNrR#L{Xq`uC7I#`e@<6qJAS0oN&5XdvfuNY)re_CMjsb&s0{ zjQn4?Fc__1WN3pk62QUXzNePnBWq&-zi2ydEMBeDDiZ~^!!RFen`VRL#l7(GbJVlFBT6&{~ zua*75`@{$BX(YIZN1qlGKRcVLHJ-jlWfGHt#b!T#uqJ_96fC4*#!Eu1N%JG=X7snq z%MRt%xo@_Xs>V1*drL{ewTGvNRpO~Xu-9qhQS7o3sUoP=(z>i()C}^KwD;`Id-pE= zNPW$*C}@AMmpFH$YLnrx#=BqchtSRzTJh1l3fuAbt|YhL-kcM>_En7Wnq^&XS*(PF z7u~0Cj7I{v)0PL(rAETs$($ZhR4kOGyOly zZyJMvC2!t=Q~fWDI!wktE=yK(~Af`E2}jw!sa(j zm>P}l?kb{#@EC9$P5EZSO$_yv-^ekJwnw!MH$|!Lk?`or7Ajmza`5&KsZu<5CPx_tV_+x24XLbt2Q zCBZkZJaV^1^tVL4f6mZHV|4!2*TbVaV~GR-+M?9P95{xDmPf}fL!|)SU>>(~`=e6{ ziZp^gqf)DU?>+|J=UMZ5t69O44&gq#_-yK`Q>ta0p~frIPxng&^THkEBGAVkU~5+G z_RYIw&NhM;tZcc^-FGhRmv`Dx?PC|J&GQJqvuKf!fv~bJ)ls%S-LoIG)L8AUc{6(b z^4`OEj@68o!7D9hd7_uqa+S>0^Pz#yj6ig<(YNI7`~#i>y$z5a!ztpRU_scflj%E+;BD&hsLwB$%Yl?_?bKk1J8Ghz# zkrV}MssH?-6wSKY-4tKBKfm`XWe;zlyKr=4XmU`!lkC$6dnE3BVXw^^TEnx_Mc%?=S9)Xt`5g#qO{nE7oj=S9-%XL1Mfw!Kj8P-y=_g<>C`-^8sd>*TbSR zr(a*{!uCWQeM$GMkx5Q((srlAPoWDlv#j1=LXd0_APz%u@@dEp;*abjJP&QZa$c(1 zBTm>a-=blBoq=}xo#&OYXUaxtwypg;-ka}IYF>&DeZpv~f0Ay2T|i9fiD<)D>)7Cv zt7|J8+Vo#PG$VfEw-i$XT{*Ic3lIgwKkHqZv-6)}+~p9*&w@=UT>osu;+C|0E*&$K zDTMg_7PEcid%fe;(rWqd)e`D}{2#~Z!8=mu77izJ&*JyhByKVAwZ7Vc&jFzs!$3eN zI8g^9~ZeVGZMfEWryN`6F`|yt3<&aIoo5 zik1%vC4_DRql6-8V1z$|Ny0(NKxFt$IU5)?#8H4v1pB#mfkSTiL{>JBM!&IWcCKuG`ApQ{BpM2bm44oTXA%5toLTEGgc=HOKS9a|U(FJ^s$ zQih}$pQLOeDUVK4SSTo{p?+&H^&HE&hNMv0oS>v3DSE#t6woMgo$wG)KrS9QWMM%7 z*0g7U7oq2vq;-f1!kpQ|Xedz5zrUN1Y7gU~KzRfIMifTC47_9y8u!2!Mv4@lctpkp zwcGxxOAh&q0L0`*)$EV_bs79ZYA0lLR$Dz_I_$lMn?6 zDklg~CpBnr=t&AO4WKlfq^Kh)7}tM_al48`4&6sm&Yz@=JA!uHy$(hmP!Cmx(FsON zF7$VXgJaYbiT>M7^@~@N3y&H)=kQOBRM3bM7@G)(6Y^_438|rXpbW}9LTFfLp}pf@ zu5=V00#$M7_2BxlW-wQlg+@jK8n!g z9m*_(5v=N=Zc`jfC+ za%+1V_R;49ThP<9@|o0=hzB2X-zi=js_LD1HQ2F5Jg+zNek#k%<9yA5qfXtmkxf(I z>cM*I>ICL=`RJFBA6?OyGe6CQ;XC&U<;jqscDaxT5(|Z8^Yyla%v&x!Uw1=>ZRFT% zUT9KTy~kL6RB_b|=OX$KpVE-kg9@e%NH1({3!$xF^-9NIFzw}X9wWlvW;=P;-c!ME zVQ-&!pkBE5bmU$%O^I^i&FI`x(hO`uk<^hZJ?zW($z^YUwTjv4Th9-+Fnu9Cs<=4h zOI)ud#dqNm>m{76=LZk_;|fX9ZN0y$#ztM173ECY8RhC^`5taI1h=}g-bV7}p_KzO z`=w8$WgZS!LzKnm>CK;CM1=JTWp0>S)IImIRW8~jB;|ccsOV^XX7(PwetWt2bn}A= z^o6F+RQey^+DZsITxh?K6?|b}CYI09|H5?c<7A5-_7=h-*0KwF4u!eh&uCvmbRtV! zSuA(XeNSJj&6*`5Flr_57k0tN4~i}-O{f;NzPj7jd*xEK0en6u$B6`C=`fP13h%eh z@D+WVA;^KD@sQGz5szh-4$nN>$XeOb*lO|`xk(SVY`-$L`mTESa0r+&e>`MbOXVkM zOBPW~yQ3DN@Y&g6B!Ek{-~80GZ##_cgb9HkB%bsY^wT~zaL&4JTj6DyPxw%4uY*UU z<1A74b6T;>`1S9+lYIK}5!xN@SL-hJvnQJj#(UF}_`bBgkoZ`pvLnhUP(^}BBhOaF zsQ*3@jhJ1if;K_-DinJi@y*J1Oq{^Bl6igcW&0>C{X&%V#C0tiJYiy2h6c{1(1d&* zDH?}FR*}b#G#T9Hb$O>vAyyh%>DOGn7<){%3b!p|IFuM)Iab;(%p+2?op61uFyIXz z-gJ0qBo}s$_q`*j)C-H-+KyHk3{$SSy4ctHkz|m;XG{81WnSMo?Ynm!AKrb#ad-P> z58AzfPlGe(vuBcP>OiZ$I3*I_a?g-XE1*jF;Z)h*(}b4u$xGR6rO4Rc#e8c4?FMgF zDQ&e__t*FjuQ@G}2H!jZ?T4oa#B!Y7jo2w4c*8HEy%aTNneHe}vfd&_h_QD#l^XAS z{d211h4@2ymrL1$($1G!Q&gprqT}XAHHjI>7To5;M_v-IGYby4>GyV1)_6F7JK9s! zuAXkm?)G_kw^czn_3Hg%dPPzSX_ZgaFE57*YQ8T_AmQEoN8XcYv znkL^{T6x9YnM_3md8KF>ADZEdd43l|SB$i~%(SKIE4(AALO-6HeruiU3H`RDN`%<( zLFRxTt)+54)lKjGfMUn|fM;ob8pD(W82oJB-3hf6LVGSE`pCELGfi14rPB7AAp~T^UuzrHTLQ75q2|E9-_JIc|;p+UGnEs8SfE($34$4tFmr+s5DBA&IPd(nQVo(|^ACXnI*J6VqO5-i zl#pB$m{+I%Ay7aFZ@_Ze^Vld9P&FWs!T;qLLiQX5bbtc?wcz^+%J=|L<0nM8wb69+a?A{p!NPuDD?#_M&7@LZXgLsCkTQupwWHa zzs-{22*ZTj{Xom9e17v4`2jw?69f`2Jj}#BatbKU=XZ7d{-9LPiBishKn8plf304B zj_iCC_%Gk%PjDV7>VfZX4Y(1&KA%ny?2!Z_zuzTt5TGe|F<_(7HxNsX@ubV-U$NX# zXO@t=*QD%dl;|K?>1qTiH^Rv5))Oh3>TLtp2ZqecBSMv;&pBXtLGH%LYyNnByKxC@)@G|Hx|S*nw*&_B&fL3i#5H%67-D~ zJG|bdyq#F1*K)4u_q+0iUYPQCOiH+Paa?f9jU*u^i6L?lfS1BXhP(1 zCX+^B&89-JX61l;uh~<<31MBo{%$Ft5pM+3LVc{Dver4zL=bPJgLXt!aOcm zttwA-l3V44KeC*KZV?VlPQ_+Uh_c_=6t$GYt2kZdC0NT=u})H(E`qCIDjN|y_7aLV zQ(`ci#xhpS4ti!hJMA17OrHI+Qg_IV-SU=zKzZKNo|?=1_QLB2*C`OuluHwAwvO~h zr&?dh-}ufL^ro@l<$hQ0RcS0~SHFIKH2RMTy1h4>4n1=yWB65c92klbd%-d6@XU=LYmd3!UP!31Wq``1&*U=IFcl}dv(1Cx6q+Z+)Y5!lRr@V`3Po$r<$LEV6Sy(MBZELaFan} z{PLV=mT-p!@A7VsxX9dS{*X$FQtKv>vF~?d? z-mOzKx1Lme;#p0WzFDW+v-n}v$$LZxk}#2eftDq@?Y?~4y`xZ?v>b1Wo#t$JN~CGd z$d8L3a^}=rduhD0;`XXH^e6o;cuV`pi!O1CtD4H0P`I|gQF$k3gphABfXirl@tPPo zMi`tf6A3ts)Wn=M-OanpYv@I<_>5m;R5Hlfp8m6yQ-{bvzqZr48*60k)3(W_xMIX9 zJ&Jb*%BkunSC5QL<&y;xqr97Qo;B@d><>@)Woy;x)#abkV?bLakMEeQw?va3G`@S& zknC^}o@G>FmOfW^EfSGZtIJm!Ec>RrL}AG4o9}_)i`Is5?zHMcg_MUG+!kcp`9)_R z3)C;H8jaEiF*d- zC6hSTs``*&+O=@{l*-3jst77;XZjSmnV5N-JC)qa1r+c|)|f%W?rwijhFTqGfD+zV z8Ls%5#}_e=vLa#bJ7iz&s9CO?6uuqJ3aR^UJFbPJQz#c$RjXtdADI5y|Mf+$l8g6z zlyhIUWj2&fzF8hHeBXbKW!;cT{E+YHwGa(|)VBSHf)wh9Ym=+GXUyv^5Q|8*v^uj=b&cx_g4ACWuH38?{=h<~Pd893>68_8zC zs8GUD`GfyVpaKqb`%?UKbm-@Dhyo7(JH;P3XN*d4cF7O<aDVJ#Q$s6ZziD6z06^*N-QQYPcd==pE5En!?SYm8 z&~kVOdK8pYkQ*BGESxlfQfCxU(H_vv6@Dy5CW6lcIh=e0rOwVmdEv)vfUaK#UB&_a zn%NV9EJxtL%EFe->yk;Q_R3;e&e=*Gf$|E`w@7Qgg>j0(z*1&`D>7C<0PBZB|y zayIm7z?ZY;h6hK}OrAyMntXH{6{ie*e{rD~^GaBes&rM?;||S8&1p=W5jI`>5o#Na zr-`_WL+?McwZ+*xx->LLHP^+?^Up6`zwWPi+t)id#5XA9CQSoB_MB=XJxu5xW+T>H z9ySH+#ii-Zrh7OSTUr`u+&O{gQIC@Jw#{iL!DJl3vaiZophVt=YP6#dV6Z^?nmH;n z#}vHIDPnUqmMo>%U1*z~X?5B4_tj#nD)H(JmBV~VYjB56C2i#H`JP_GADNMA?X&rs zn^&mGrTRYK-Fw0}m;1CZ=Ah$hMD@UJs!*Jl6-yI~mmt%nwBq6`)frT|=CgNpOGaVUpkE%!l@pSE^VvwqHF4zp(&Ym}9%eel2ehwd zi>v3;qJRFlmGI%M{7s{%hmuoac`6=li>AG^{S#|`Q#!`Q-p);Us}WqdLhmNA%de3J zMTSy@pAA!*71C8DREK@CY9Jrg;~V&O&&D=EVNBn?*e2Zab~b_s^_r1w3UKgWXi&tx z+x|p_>TNmUoOnQZ57cqdRqiObF39JkmH{KaF+ANfIImx+Ke=1#bZ z(f77t#>L%{0wIZ4C3o>DTS_vz+;)Eq#9BLGhOEO^^xjiWZ>R)Tbk5a8x8|8$R2*T) zX$h5Ou_Uc7Vz9Sur9-Xclx2mVMK#7NGn`))uyayvUbu-Eka>88#og$cC5`>-g(Jb_rIx#q2c^aMxGsK3XnF ziGeHC;Z2Kl2^K~1)NgXT=eM$PCNIV(1TI{pHmqFE2|q>e_wv4li=3J8EoMaQSl{!B z_;NimeM)+X2-2$*&AF({seVnT(jEM0vs_0Rf{H1g#QszYy(SUVQoiO@e#$vNIM2rV zb3|-g`%TY)$?J0GE}rt7UH@!6q_s%Uz8fBL_xsCRo;m7I#&IumeUY`-1=Z+OrTvsnB6T_By4j9ag|%*zWF>Ft|rN|*8cJH>H-uU z=9hP;o!0_)M`ini}Zz za)yvBW9F5HZ?hFuv7cN*?93{#?bo_o;NaE?6kP9>m<_gzizoZVhe{&{BghG%md)7G=@3lH0h?Vm?JPLuS{9lF|W z6lNnbM$$#Mw=k`C`jTK|H*dxnM5au44qaa&WB>P@B&qrL{mp5^pWjbN`epAoN{(zy zF41w(7>$Nl#XB-jzqw0Fm|(BBSlOPpTeQ^MePdFXQ3DV7%g9@=pkC~IPGaiAnNr(n z@!lYC-UgJ8@~Ah(rq4AA+KpPiJU0@c)_5P5Y3HEw!S_nvbU~)``Y*;)%O=P=t6(oL zVPoU8!WY7)LSUd8xpnOnBl^fwK+C)S|pf9ylRL~^QMf2y(MTA5_Ua1Jg zm!`%(x-^v8zs$nC9kX5n8o>`ie0M38%8{qD=hb9ijgz#i&}Qf zna%jFlds(wyi%gnBaqh|81r^bHJb zrH-F+Ug00y zr?d62YC!4MiVWT24wdwjt^2v>I%^gsp+@TCg&UdPKI7AVUlG7`x1c!PRsZqITP*Wk<@YzeC!lX7mZ+JP zOA8eDG`+g$A~R@cOUt0T zqA6K4n?WxE!4YlslnHyLi)HA{MVG?L70ZHTlsk=4zlJ2GevLa$V9?&#sJ>8+|6F84 ze{V}ZEolumvF!4zOz|ZD7#1!H*lya#s}y2P9BovY^E-+Z!8UbOFI{$OBQI{R4S7X4+hPc+vfHELDtTDXR`l(aRL)wPh)xKx*X zs9}x2Z1J!(y64!Qboq15=9&m#F!j|xq!G=fp$0^CHB?=h^pWypLFz z7la00HmXQ7-0Zov)m!?^7kS4JiwT=CNkuLRg@3AoB3H15uz%l}bl|(u9kDDNc;dBP z58F2GsTyM2Ml#7;sH}&gxnsHAI|f2Mi1ymWm{VTUL5(ilTZIgQl&|dr&xk62EG~Z5 zkYWCknM#0-m~v@1jI_YtE#i&qE+)#OENsu4%kI?&;FYa`FX-3?c&D%4VvEwF6pa0|$%2=nI>zqPNT4fUz&pA?8PTpiURo(Hc z_wK7=g$`;3$}h`TbB8e~^jt~t&{tQLc&Y4$vA4G7*Ey38lRfd zNZvbAOf!nQ*%puby7zet`{E85N zdnj(dw_2FW#5mPw5(Aa12M#9g@w4`mQoz8Ran*3oHdH#j*P#J$QWzcNy{lO-%xmZ{@|Lp`U6Gf zH>u%RvD8;*4cy;y(eP<%HeR7$6Ib>{+^DH6S-X^DotZZsn^lQbNvyyoQH>+kaA}GW zt{2(4`Xqm@SW{$^_U| z289gXf0U^bZMb7qeLwzZ!>69$A904nFGCFL!_4sL-(*zf`cC{-VFkEx%*0+|24 zGK||9n~E~!3;iJH98fD@>hfOd6RNgGVcNJDhrdwxtG}Yz*05XbgIEvMv5Z^SJz1E? z#z^lb#n59n2JgOh{dFqyZmsr_;pdS9;WvjhbHxthX8&;cAdV^l9T|S+ivR%}20?>V zu0sYzbWRuY$V`EjljJUt)PI=VC3bkAfR;H7Tt578WX%6lEeI&rD*)w!V9l^A(Ay^f zh&)xmmVsV1!(5>UG{l;Tr%CW2R13@oaw!F!=^@y1kU%T!DzI*i_T*a7!qMkP#>5&> zW*f{E6DSNG!_kDy+F@5Ab6qe-I~zj=&}afC9;&x6N2o*tMttODKpvGDa#Dm5A4dm) zw59S%Y!1jU4efzC`Suok!xRI76XKYh0Cyn&!ZJY6{~e4El1qn?9uBL02jiqdXTSde zP=MgpI~Xr?{|OqPfNvrlFiwb39!3cmo83Bq8v#4-xTgf&bMdHWp#zM`D?RSv>;ye= zM?Kb^U<_l~aZeVyr|YO^v=fY3sXgwY>w~y)$xRs*y6rKEqo<&o`TpR@t{Ggd#kHYjdwr! zJH)67is|+R6$Yk+(4jun1bDWJtje#4#d{1|*=f@5Jl`mIl$86TvAKrL4+!^-E^YTpZA_6saW^9 z;B}?3MfQd(l*tfJNa?%BtZLwG$MI4*g?!RiFu9%hrQoatIkw?oc5EH>d_6Ag()Zb* zd5Acud;sGNF8{dz#m=Ay1~;k51@$LfJX(|biN5B)O%{=FfARMD*PG(zXQ*oe7t6N@ zF=8nVcTgOBVYV_Cd0i+ zJtyD9p%PE#S{{vTa|cnU8lJeBcB;a8RI-(E{2*J0`cNNPN}pOEcjwFlypPT8R39{L zqpV{hzU=t1z4pSX6k-4OEt*UA?OICuu>l{fA;ynFxI$HzRIa4Fo zMB)JlRA<6SPpVlUPl5HTr4XvY3UW6doO6P@xpCu0Tty&pC?I$pVC-)X~?xI3b<^KS7M^E*r`hrS}WGpG!b zr?oV%rhMPFFD2b-9jO@2B+j*~`?!sp`n3-`n?LPWRmtExwo@i+ZI{#@J}%hu2-`gNYP<8yBUCJ1V7xMy^KDaj(VuhI5@^+{$U{_gsTp z&5q789~F7sQ{NlrPH?^}HH3>H#!L4S_+4Oo<+I@ozsS5^=p{e>ETNUE_%ugMB9x}> z4#c&V^=yg8yuhX<21NoE94yEXAl$k09*Maey7TAgOWmLLydLEdab`}~^+u-A zSlh6-u|5iO`c}na`gpUzaZPqms>h8ZFt$oygTf~d-`>s6)9bpuwJZ9QJM_g95CZD6 z5wp<l*|0|y;*ZT83b4%_*(>njB+XgkFi-^8G{EI^WaSP+MbXCX(D{D& z;lvUG@|RH~YV47Yf!P(uB%Bz>wsEJghP@_}~w{Og2~W!FhYH7Zu3rogsun zngcKdgkpn}LyGDEEM(D>N%+-!Lo$3P-o`8&TpyU(|@@ zAia;UJJ6sxhT+uuD3P{9Ov0$sCkED>?w24VqcdaesFVwAY=FpLu` zr<#N>#kDf`?9`?o2o583PH;FPpRAmduEZsywv0s2+w}t;)@y z@g&v;|Lk;jBdx`ASR(oAr%2Rdd!{K9r`q|5O6RBmr+wN0%Vhpv%$Ynidbcg6`@9Z_ zFY?_;O;vA*dlZsRH6CAqtJo!m>W z*-s}VAEXG?AmA3>p#&tCe z^GuU^Ll<_?rHL%h&?uJDu@ChkXv#|-r!n>x>I`XMUL=06^Ap8<<&K?+lNMhk2468J z_24OLOA3Nd6dG#3q)}vC8W!n7%vl@S{3M#mjKn1bcM+I(2kyjEv`M12Ep_|q6M9~X zU_{x-YG+T0ogT|na_2-jil8?AVro@3`EiNDEpf0b$*_wtF$dw8mKYeH7#gWfN9jUt z`fyU38Dn6%!m)~itXslbjn2m7M8 zbjO=YS-3oZ=2-RBw14J1>Xn*1y;T2C?>wx2GqX#L$(Q81gPHvBVp3_Ut+nwRUXc2v z5xZlwxMIUYui2}RW4Nwm5j&cU#K?OmVt=eb_^oc2w<4EpTCF%$1amj->!lx)HZ8uu zou?F_W@a>qSALT6J`OYeX~Y@dww21{R;pU2%wR}I7t4;XfQX&Q3T0yPWVF_kyzU*3 z(#6udtifnAL{(a}fd*k@_PtX)2t$0@o2y z%ZqmE$~|gUGh9rm=CP=#+Wq3;(Z{@XHqy+Za zWG=qTJCpW2=1+>pZ=LUdO=TOHJavEfyxlqW%a&9RSHIvFOlOHLoqHU1)|7AmL9jGq zaYbxpz5r=qg&76>4!K&9TG@0; z_#VdN^NjQQDLNOdlwQDM33F($B2&qG2;x}}&Ql;zi3`$pAx$^VF&dj9Zd}CC<_=>m z&YP~`Yrdbm%DvSs$|C$j^MUPCmw8{Yl~)nNLl=psiiL+d^6kImCQRHV+Oi!9ytq?F zH>deQ&+l#e1!?Yg-?R`YZrh|`D&%(R)H%Z_vE~`P;!9F5Y;CpeOr#v@7acNPJr#VE~Vv=q}Xy%ntb{;#^x`FbkTcaf}#ayF*EM{#zQ-0>2CAyFK?sPXo zLpI2ApVf)*zHG?ZG4Gzz;(kW8IAQ;=pCzS5rWHDmIpCOjcOp6qJZv`h`yLmT&Ku;5 zw!P&hhV<5^N7{_=FEA1Bl0Wy@aNZi*{T_(XH&y9Pd1pt7<*UfvTl*nVzn{jb+Kt>p zk3D5o_e|$%Jjg5T=wGeE&hRr9zc>{q#TI+zTmWg+FAOCgB|Y>;of6s{2j&I6Q3o{$ z8ZQD$YLG&Cm`(E!SV0IK;|9bD@e1OEcm;7nyn;9(UO^n@CjyRrDGoj92m9-{{V;Zd!=Mhd1X2Xj`vBHu^A3z6@fn#a#PbDa z3Hb&AgFB&%rwqM*23!ZzUtlOm|2(lF^n3#tTs3sy;UU9G5F1P$y1xkwu3tJZ!YjoT z7a+&4;OopA7-eDwt~@0F4Zw=PmjI5`&jJpWL)fzO4ZxOwx9B!3m@-tp1#Evc`fCFV zKrCf5a3v`AJ1iJ-cn8{Ih~P3u}J%nmFX zGVK6EnDH=WAl)v2ayEBhp^!CE>=sr|U;Q40U?WnyW@A}3#@+WP`gA1eh<-MwQl4AUl3KB-tbY#TH{OVSSD5v` z?T?Wc4xft7D~a#m`KtYOaCDF*XYg&!x_@YvE_^B4O^SJ2wdXZ~QxFQ1(JyCscbM55 zbK*coqg8KYQ9pPzQsHBy2lmCx3n=L_w}Xr{*rN-A_#UdF`}_n7q4o>j1xhmPiH&kp zg_V>YqxZb(+ttO^Nfk!&Td|y`?UU;sva7%3ADd=&9HX;}T1` zeDdaYn^$ugI?Z^U1Pcd+@k^ugm4wTs8VSLNl>x7MKM#DI^vi#DaEZ!_eqc85V&EIh zx`dpVW-H}qgq{45QP%C#?<(7hztM`+6+bPIv;I=HKrno8bN>FBvvjX+aHdK41|dzC z)2Q-vIZ0b(l44IcrVq(KYbl<3D4cv-gApPo7}56hoaa}kDO7AGc!BC53CUDnTj7^| zm{7-W_%YJqv(3{920OY33{hK~=k{BnXz6FH61Lo*xPQ)^-0>!{{i8ej9svfhxOqN44t zSkNfc+^o6xZo~CGPtPn7YOksV3#;Q<&Sdaz#hWHWf=^1p10SS>KVVQtv5|>dZE(C# zZ+lqYD!*NZ`+WA2Up{FLju5SBV+X-0rJr|CDdBnt5q1Q8KOEmF*~e zxd7NHoN$U;Q4ejU^e_XeP*<&a6bZXa8aRVuk^*1o5HH#X)OzVMO{jQG1V8<9u+emX zqI~s{k@{;KXFO`eQcRW@Lun zcrg3%36EBnJvKcVzSoqOMz{}senX4;GE}gy|7PdQ`tk(4?{@I$;^e0E-s^^`&$8~! z4EZaa1%X>jTOZjCiIkVF<9jwJHCbKdHne^fip^j(vNxRaDK`?hh!o*d91=#;`kQlT>C#)CA|8R=|!ibTQu&}SE^=5`SF52B6#TA(=P}VDci?Uj?YJT<5X{l zIz`zE4EkJmX55|pz{JOY-)e)vbz{?Td_j};Twle!?)QMVfz{U@zq!EJ;3cll`AC}7 zn}s($~8rl4C(A=g_-)oc~V|< z*G!`{*{I&cOjmkq^qI0*{)nf)%!l)pI`b}v3wNHZ9*JoHM4Sj+p>OHKMFdU+> z7Q(h?tws2;tJeK;RbJg<7I?S*YJJ9#9aUVR&%jOyDTG{oIj-}5era?oQ+7~>e{Saa zqu!91>7wyUx3SH$D%xBAh%2bTt7KpIv3f7d3QjH?>~A!+y&sO8LO2nuR(xCQ$}Zq4 zW4@g`QMUCx_}-3GcCgIagHzU1n&0LWKj9+``>{!B6^***?}Xf3ZNkT-N+6piV=>A! zy0kSBm=sJ;UMX<>!os)buY3j?DrvC1b5^olR$M%(>?7Oj!z$D<*H&64sW;*}UMOMo z`|jsqD-3qR9Ck_W2P@_t9S9$RnKiABP!W1lyQES4BC-ppwGK6Ba<^2^dA5 zfKlWL7)73dQRE32MG7IHh&9*;NLnAx5Z_%Ub7YG7X@TLtrw=DecuFb*kzS+1hNfwO z?S2cI4tek(OBOgB%A|ukK#go9z}G_$cY~%hfPW>64=w@WGr(N|5ngW~nI_cC0C$PE zuGE1183A%w{R-0rrM>|79I)bm%>*3NhyeCs5S$^!PQ(Vqw!?5(M3Htjq7rt1_D)R5 z-a*J-L|gf@x9!f85a4Pn z=3r}M0}9z81RVqsVm5+;Q1lM$5|apEK1QGJohU;S5~BT=ziAWXg#+f`p8#K7yGejg z0qF98Q&mI|a0G&@ihyVy5ojZyoZ)cMA$vL|HprV54m^ju;FjLA!r@dRqDNx@Cp16s zJ^ecd&2oq?dIw?e0f0B@Lx4|-UQsmhG~ikXG5MzV@Yw@or6C~7!PnDnoH>5yQH41@4S0O;Eg9Hy$2q^@8*(W-tReXj|CI4h@`7Oh^^fr3 zGkb;!*Mxe?u(7ED$EOguA0!~>M{}8jYk}(^p=;WBOuxP4QCM&T3L!wj2=;RS&KEc# zF@{NgpRYYOTpM~#Oo~GVZtGYaR8Qm=0m}t=d5*-<|AG(>6?hv!Z9H>Gjt9Kk$Fib; zQt6Pu{zUfQ|Fa<+FlFFng%b(#i-WZNL!wJT5onDMZVX)}fFGUug8zxb3-|WXe1c z=>ZNt>WdzT)27kO{$8?tM!B~Ajn>#WrZXA0uq{CX$56J}?D|b^{d#Wbr?Ken8TD)j z@%c2mjqAd-^!lO&e%M;1^6P$|{BC2LD5Pf5-c`ls#;1LvkmWUaz9z}T%j~<%w*$s4 z?d~R0y?eH&Y3avvf=D%+XqEZikVW)5MY+A~S3+^!%EX(tSXNG7@|!YPQ@nTb(QJxoeE)&X02>_&xI{eMD(l z_$=9MteZSt+kMMm#?5l8i{Y+lq;4jzI6QPqCW%w|(IzsnLGrAqqg7!|YN=rG_M&G% zYq-LPGfy~0%e2^uWyGnw@3g$AKx`S7(B0G`@JIFYudj;nj8WO_t^FkW^{O(OH_i^~l_hW*?gHL0Gr6?Fl z)`v%LEm+;Q0Frmnc{WAQ$Dr#)PL4z^o;!uqCM`vTE`y$-#57!OG ziJ$PJmpJdpUVaS$O0vo&8XT!AC`SU8L6t`>Hzn2R%(wS1Kd$CsU}mtAD5oxm|wv z_1F8c_RvQcWNx-IvBsZz!c}(TlM(0n{9uF5>}v)@UE8Sa&<`6bJX@9?-Skt8ckv=} zvl=R*uHROqznRQE?@v)~z}zbCvuPU?q0cjtp2LZvS?zvMQ9ZgsPxot5Lr!bXOe znc!k6+oc~or^8UTqx6>M3MsrIukMHmO?GpB9~!xnA-aLxXUe4YtgytiXyeUlWoMg( zs(HuO8!D`hi{O0WsvAu&PuPZ+m zoV_Yt`n;ghPj4nhe&=gTQ$ovW_3ydAZbL+4=`+Vv@)LavvNy1k80c)Vhq zB2t}m6I!HT*t?p4Rxr-m_!6a@#$YiP3I7`!fiMLQv0QlMM<|1+b&1HvHj{ZRGn-l3lSuqk`-{mRg%Jci1*fY z6mMGPI?yd#C;YW}U9U!Bq&0%(jxSLjWiM&9XJkiXn~tY{tC5km_)s3|W9#VD9oI_* z{`Tjo3PPrYd6t?h97C{MU1pYclwo((Y(5l+USuaA-M|evHHs?KZDc>hxgg)CR|e1k zAP?hp{u=`YN@Dc#lK%^GQNo~5$&nWndCXb|z{Ii36nWy;M4tFHktcpl2ppowqZlsM{~Yup zp$9(!-BD~Jr6eUlr@)9KKmikA^ADMtLBSJi9#C-b5KOM{!Udr=7+jND6p$Yy0E9Xb z1suO<(!WDdG&%q)1{{dsB$p2kCjo9JbK}UkLElFpH6~LC2Q| zK1p<$V>m7;fO6=vy}%s|04>1c5bFva^U?L|5O6`DkxT@W0-Bt~lcfYDbwt235=H{l z55PYf%ZVNV#KQ&fK*GU%5zy2ez5zKJp8@wasCxq-oj5Cq|Ayq`KuMcJ*vBh=B#9va z@LbkK92hwosR7I(462@p1Gp{-D0uJQG$aI?IzX$h7bW9vr z7Xl(&3V@r%L8KZQSE8$1160EgHFjbg<5u|j!x)PAvAi1 z9&Lh}F%9S8Lg;1br6kp-L~|>O1AXZFNJtR;03z4t(@!;QYir{#Fv2+V}gH8d0Zk52C$O@{}ca=1N5GN3H|qRsWM;> zQci^f!UH&na3&qFK|0!Hpi?r^g-+BV;HG7lG(5!^zRAH?H7uL45CEWaP-h zc)x%7T0xkhI&9J&7XsXK)ES&vcV&Bz;v|}4k!ET;$VAs2y!`*V6Fcfr1+OI zu=6}3%iMbKKTJeI2s#}A?(q^D>+UJSW&ewpfAfd`j*$QBH9yA7m`Djo=o~o}*n=Ii zUUr$7y3Zg;Z~Z8p%)$OZNTT=f=U`X4Y9ys;8xFN9HHNK7m9FXL(t^$I^7)qmEgo)J zBw@Z?Us9*qk{`vi=4jk=SPjaeV_Wz-xhofo?byS~-Nr+X7l+qm2p2UajOnIfO#cuf z5TY~F*lU`?rtZOytvKV~EOPr9pK_cUpBgHr)xe7>-FROCS$KAdmswao+k-EDVP3y( zLQOh&r_ht2J{oly1Mk-b$2gZY;aR0=Lp!H-;k4^ZRW;U{E)hA))^YI*PoHWaW7INO zZ&L_jTg73Em3?WPY*(kZtJS}mD^qGi?_KNs)4rN!0=JV<@3v>00aiRmlAh>e#>i$= zUG`PK{i{;550x^#b5oPifP9fZVQ zlN8;`r(KbhoVk`r7!ohC{5fSpT~N)MKC zPEskVstUlk+asE?yvpJ8_5w-H*%eU+%WN_!Fa^g1+T=|v?>Q^mG`z6V| zUW(;M+mg9`ROi5^3g}U6$_mHi-|6PRokd-cJJr2v_q0$Q)bBIUJGYCx@XNCZTO50; zGt7dZi*UP+Q;RkQ{PR6P@bv0@yo%{L_##Q4J~=#YBj0`NgVuo2PJc<&2g`F+TAnC2 z*PdJ{%xaC;!jOm2e#GIhR25gDBEvkFJP2_Ge zq?m8kiwf3kU+0R{JTEL>Lm7Ttx860&jo^vB5P!*K-teAjN?022cYLe>brFoM_=s<* zVwBjAJkrQ7E6T4+he68L)E$YadVn*Y?c00?Z-Om zem=jwdLiqSId>8&;TUJ$Q)CZQZ@XWNj{QKwLa(*+L^xlH$1o$dw5(G&Z`nN)c|TB> z^==4K$vr+t749A&@>0T9an97jdLh>0%{nUsZi_jdCk?|ssmnKuvT~Bf*6&X)pF&~o zJ-miN5kZk$>icdYB+pN>|Cf7Q%st~w%y&&PG&O-3zKZve>5TXVPn;g9-f*@39&h+X zl0<)suX`#`FY&z`w@2%<5V!@8d7?n*A|*@cPQluoj-n==Lrp*xg~d()=?_JOBWLur z_j4{?4hLq4)V9&R1j;Z+5iOaRH#FZ-7HZi$@edMh-VC#oHPO>vxfyTks62W{twMGx zBL?;f_Tg4-)?5<~tTeAPp!&suN)Kh&Bi%-+_dO(aPQln8@UasXu6(i2ZMpSvI1Ilw zzgfvAV}EC>Z|X%~B<2K*fluKFtvZ>PMC_;MJ=KHl#RJG{#1EF2`*5-mQWu|UABbLy z{>1;@!uIj{b8~DGZlu?vLd%*`x^7kOz)QB@w&%$+?7l>)1%+J2Ui{t|G$#PHJVwX>s1+B4f`o`xhBm0-=OHpnI0Gb*4o-{FwvzY+ z0HRBliGgZtXsn1Y2h8L=BV3Cfl*|(n5n&YrP!51#R*(S4j|2q?K`bIv&O!#fPIAET zW-$S;OzbTRJaT{m(8Ws7E;ld;xRL<)rp4WN*m1?}d^CdZ=ykPCzz2!le9qQYPpx~v*BH3cj=KyzZCxC~qaa@PbtL{U)F z?NAb63Uo=n-z4)j!OeuQ0*!|#NK^!1ED)uMHlV;Y3eXuSb{FrF3Q&_291n^j10J+H zTEIc6!44-nOj!gdCWeHI3M}Pcc2oiib|mNI5k>%y*&inMIR5j*<%B>NdXs=25CSGK zmJS#hHQITH-U0k0fB+B}AlX}RO76q3(BGLj{}e_$wLv`}XhR=Ze^U-P(UF_$50zp< z!cZ^?9u+5;`v2ejM8!d6z+J#x@Rk#PR9ocFYl@0OC<{tzD5(RDbpE)MsIVZ!qC`Z+ z4VL21l!!lNfsYsPGI$sfQgHoE!}`AqQvucJUD$uu1qy~yQegkX_Kg<$^JpJH4j@E{ z4eD}&y$720T=T_oehBE22N|r`;H?BmtcV0K!XtnO|Ids3_er5Q001C50(R5LPLNaj z=akW|Sz%Bg2#*5HM&!>VT5w4ZJTPT+IY@M3DkYRs2WTY@qd|}Ru)u}~@;HriIQ~!C zvXk-PA`<9I0F;nKIgI$QT+W{p5dxbbF{u0u;OEEbf|30-Q6W&5DfQ)eF1}C-FPi4t9xf_ww-vrZr2+ zIV60q`+Pd{_%pE^Mzn26mJr**yKUENHr548*b>7kV+wDwX~i!bbUJN05L&3Trf+nK znOTggoEn}=WBY+4q*nG2L2hUz&p0cS14BKdc=F6@iX)!eMU;o@$tY>!jyvL`9 z0leI$j}>*JR%2=0x>{ledY0baWTHW-Giqs+Bp3~mdl{wSyjo?3GwSiaDN%denh(7n(`o5^iyDy{Q-8}Wf=~R}T$`S;xeUvrs6JQmv8dJ=XIS|4MWghgq( zWOIZ~hiyWmyv4OIJmU2iudq z%&byjr*hR#dB16G5mRc||9Y!lst4C2EmJKF`sDq2x+?E%$RtNkw1Vsp#ZLqV-rVmU zo^sXDvRlwyV(Julc80q1T@3MAO#j_cHCXPdth<4^bYb3}CjG0y)F(-%Fo5$GZoU;+Sj{nsp^uOkd>7x21)15IOGN+@vl*sL9Q zVS;&Kd?BYqkN(sC0}-_4#1sehQ@&;c zB9KP_h+Y(shm({4@n}TA$@il{K$a!3`R|$@+FIb5J6ag@wEl-@0)!|*?uT_XoC-3Y zhY|m?s)wV|HQSCjopAd9Pz(^Qb7II4cP{bkUhh{~hAD}Eg> zBVE4zSh(Nfbb}}N0vtayYr^KUN7w_l44uH)nb+oguSjM(P`uIa#5K4Kc)x73MHZH5 zca&xPtlWM!Ugg`(A7N*aYru(3Zq@RT_Y7V671{SRLrC{^X16!ODWp;pJsoE*{SfWu z4;(X6lFPuA2`CbyeH;D!-pGZtM9KF>7S5MtZ93nGN%r_US>$-Di%(yAX~lHDN%DJt zaeUzN+|<+J>(LD;cZT?IoM>n5&XnNyLfwVZ4h#})h|R06IrGEc$7541gxk;IKhQ~d zuDY#yo5!Frs?W?m2wOj%r;+x)_Z7C15i{%fBto3AAJJv&>?%&S@YxyTc@n*(Gxx2( z?L6A6?I+1iSZ1+W!p@6gdph>yms{mq2aeWjv}~=*FM<@C7f~ac?>w9u#74#+$HTu$ z?On;MNJuwZ#lv*CX}TKs=2qmmCk^!-%Mq&2Dx+`N4xX$UJ~VpUr!7;D++vHpkObNA2u5T(>q-pi6!{$81FoR;r2^Z9}S#^y+k5W1b zkZ6=ShJUk+Qnb=XVQNa|V(&!%N)>zwgSh;KWOW* zITtnEI!_#n$$P=bE579w-^@U$f?#k$fyNUjiYEoyW48^Lb5RV5$$>JGxn*w2qUPTz z8!_(pV^g&wO-SNU2@f~cC_}N8-$AZ;*EX%7hfGnOsRVko5>ZQU_XP_nv}*%`@a$Q1 z=3GERPO81Jvvpt7O}P}3^Xcb9HL>*vFSPqoW8^nCUfLBoYw>m@Wl1cOaf%4?H5Q>n zeqqqL&SFim_!=duP#88bn^pD$gAEq8@X-Oy0C)`5EV%ip>ue`$L0~SCGLD zumx{==*BcVweEIkY*${3(sX%ab6n|CoWN(ysUXp^l(Od?ni>P;VOGr??up5Kf~`@X z2#v6)S?`%A=p|BrCS6zD$9pU}yU&Niuu%JGuO8GAc`T0V9aNc#%}rD6pIfKGzaDx% zbAaV3ZMF<4HjWo-?-h>qitFO}KfM-jJC#RRO#Hg#ziMJPgRgQITa#Cmku(uj`E>!` zB4tF_G2hv{jB{)?P8|QotF@f4&TA}1*;Fn#iM}os8*lU1%BG)P?_<1&*Blx-V9riC zH)TB3Qn4IWjUsTGQX8+Q=znP-XNU>v4IfNVd`}c4p&~JZe-13T=qeqI;blXg&|G(S zu>S0QRnnl#kACRxB@vsHyk68+43zj1!d!s|Dgbdg%%C!f`)Fe9DQ zD*FddJPLOtwn1kSGn%)gm@tnJx2O8}h-7d)_S3EILR(tUf|{3Sg% zb{oN|Z3Z&c3KY*w5dQh(eYnz)@7RT`0Fm(Y%*H?^E0G^qV?W+mz(k6xm)}p-$9FA< zVD`K+Ff&sX1DocO`>2#n+vb8gMB_)La8bs6+auEetBVHb44q>`;jT^T zdVPkY>FZd0lV2GPP7GA9gdrNw>*uH3$P#Wu8zn7&!eu~JG`ZLjrtGdycAIz7pTm0O zdixYV;Tw<1x*yepasIEO8(B2Cv6Jn?notizQ&moFYG%*TeD2!I{81O6@PSrgf+~GC zvVl0I^*qtdH-38b5^ZCl&Nwx;+HNGtrPAkL)cI{Bwy~ZKv>I@3!&DW*+9kcJ@;ppoEj!wWy)eonEKI$G_5AbnP06Ye~A)p=#R0HPsry6wO zTj8TUJxl?VG!y^hGNMB0vl>7+3DAzt-?R9qn*s_1!^!>}E)2aFA*F#XgZcbmDDKjQvYMNIZd*f(kqr?^}FKX4))cyaX?Jc9~TDEOr+=9EiyITmsJp>Ex?iQTD!XdaX+%341;O;?#L$Kf) zH29k&``mN(zW257d#%0qZ&lTt6KQMK7}ZA~y)Q|j`_2KS8#Rf8wjs$$s0yrN#dVQ} zchn-7_>mG?67(wT$~_O4nXl;MInB~lvcrX)B*(@szmAPYk8RI!ZLHu>9jQw&!m)W!|yo3ya{_YZqM zga&GRd69K4oP|&^1{M+4ScPVm`aY>0OCMK2S$ew>fT2K3!@#F8@!KL!Laxp&S-%J}A*&ONZUG)^z2dyMuq6%cpX zzCmv1jR+|=z0-QnmrRZfLNe^_QtgC)&jznb+Scm(@=VyZUI4AhEJ?cQ^Cmhvk3`F> z=_XG#=I#O;Vc%$qd5HEI?VcV(zolQsj;{yf{Z}~C(K%4h9kG&>^<5G{T%r7wv>{>9 z&Ee?Uz&(Yzc+?@(i|dL#ULe@dZ_%NgYtqNybl53ZJP=G3uFmK$^&=6S1I z9%cxKfPt!8mn|4XF>CFxz`VmcpWy;DKXG4bs3T|5Pt(gsv>;}@gGDUw(h`WFob7hI zB~Ux+G`F1or7V>i#WFpQQY3ALU)w=tSmlZ;96{jC7%99DY;o`;G$)K`{Gh&$7tJq6 z?lsGe_42ZUuq-3e&aRyg@J`7zZIN9ujPnAtay?ax9I`H{S8$aum9>a$qRh&ClM1;i z6#a#66dV2{Gav^)cT60?_oqJ(dy@3SYFJ`#lio14DhhY!a_cV{ym*mRxDX|r75-j`e-k0pkKEMYeRr0^8c!K!M zQLl^afn?Cg*qQr%Q|41!o3!-)7ult#)h5SzG5CxMS-6ocOu?ZI!%z-QKi|1g z2bU?WSBE5J>ZSHxa+buyLh@HKcVl{NgMn{$^N^Q@rkAoMQyD)Zyxu#MWuZL94`JRt zcVnY;Ya0~Bj!9UJG1BobYer5(UW7fed5@;))=`gjV#00b`Z8==NmWo&#q4$@Atxxk zHNJQSw3*X^#GZpG@?Ong^ySVad+dPhiDWI6?-Toi*Fxu#ivYYoL8&$#!Tiz4v@-+F z1UCF3wdDs`d)g~biF?J7dm;XTp%eJxicSS4L#NL%;H_JHmyrEgNqD!2wcbGSb%t+N zNs4>`ku<}7+HyDpi1 zuV*1%pVRA>e}yg1#d{eS%YWC{Bn+U7CISFNuU;pxQuSULY`#Z$j){$P;KtRjA z357FP!@b|tx~hs{q=zeGz48Q()a-W3;}BWe0k5Z4?g=^cmlD4OS2sp+w4)4{)dJCm zAIJvBEuUz;3@^%l&6?Wk^nMqPY9Z1ji*$t@HcNFp+8$rm7J-ai!JjEs41aO_s(fdw zjtGtxfydM%AKk=y;v&QlK`(EyCuuE*M`8N-jaTx!8^_0LK>QQMDP)fIXM9?qI6WHFQ^{ zCoruPjB4+~6LkL5_s-o3?jNwSnJ1WDUki&1(tAlkG{+7Q&1Os3cS%;;ryZ%4-5 zB(?3HrKjuusB~CjZ;lojC{Bbzy+lU&06ma(;`s+$ZRkxHf>VEr2&YTbcf6zvKTN^8Zif$G>zd|0q=g z0Ne{q9B?)33np-zD*`fzQ;F+Cagfhqe9=e>|06DJ`H?S;@jO==%`OR!bwT zXj0ZGoEks0`TlyDzwt6eCl_WC{{-7TBt7 zLNaMyqiOmz?~=VQ29CL4{>YI(|c4X9zhF`W!rfkwHoGr^| zfn9~)GaWP-sC|6^8D=tHj1{3VJ+#eA3Q|&jAeF_S%txo^pv|ENqux@_eT*|_*5V7S z+uNGCJ7^)&n;99JHqO(~5_k`KS9k|2`H)xmWO9tB17Uue`0hPlnnjFn{nPo2jIeUd7u$e;YxQU zWHuncB!?y7_j@`*3(x7t$Pfc*XfF=v4cut7pATD?S4H@euw-YiCcc&w*$K^c{{);5 zJWq|k<6$MqTUsHp$kO9Vi+7C^OcoC`=wC7p-o>}<+@V|!*}{D*H|WN~{G1!wb1(%} z_6al452_YtN>qMQfki+v7W8&A0qL2~%y{nbOf~$;~O)pTYrX>N(=oS}YX$@EFMZ@{;g< zncUtI{t$7<-ut++X7AVTLy1Y@bFzDQ1DHhC&dE%#K&&>`OUo?@M(NPG*<-F(n$$PG zf@m{^@^t0f)|!Mk66B-#Mvz4QeOa3mzRw5LFq;p; zj@52@bRdWbDIwAyFhaQWDuH6!UF=Zq0aP&IgKwtV@ewh!LoTmdR-abne>x%DBHD}3 zqe#3wPS(A8hjrqE{_1V0*kQFPt~?L(xih_=nGo|iqhm7uD2Z})5?W6~L}y*Af;4t0 zsAG8A3E%7EzF$go3PKZ&lJ=}*gC~S3s3WsjoC4(bFotiPj7o=iq4ydOVsu}has(I> zMy=lmU|V}#V>heQ`cuOdzU6)YwjJZ4KEwZ`0-beAY6?R;4NpS55#&{o&BiKQku3#TOF#0#-%-`cx{eT-A5+1pG8WS-mXUo@}{HdG(5lX^1TaQ3TcWdML`5^MXy60`HeqqVae##T8Am2(w3MIyw#-s<)3#4<@!> zi4g@HeHb~pY`5Td*>GhhhN}e6Z&wexpvLQ`k~eS8>b>99O>;G`8;{&I#xIP_`}?&7 zbs1B{95WnxQSP0F`!5dIb>)2Mnqb7_2Pso$%+1ujlF7DPGGd$mVmTZ{?<=3$Ss?J# zr!x#QsD3vbR4GQhEtb8rQ)E_Cd0U3qhfbAwerneMLrc_orCld*&~ho^&L@Ur3|iv4 zU5omzUN1u8m+v--d4wUnjjhAf&~VKs9Ia55>}h%I=GH{TP8)fI%jxhyDv{$Dp8{(_ zNTnEaLt1}RGWDU1L$9wrhLL@M)+$9s2k+`++qc?E{{9-XMv*h6w?64A!;!diA zKWU@EkfCuJwolY3CBr`T_=A~bEr=JsYmB0XS7QPlqFzA73!pBo2I`Zt9t%=`<=Jmo zi-?aDJ-ZP-TTAbql-V2lOddgBQrcQd)}cV0MbtJ(erxWkom45b{IDzY`J;&O39e6v zv+LE~h%*nRGJ-g9$P9R( z5umgJRBO>~z*<+e5^%re=lm_M@n7^3pkWU{KLCD*06-YU{^uf)B><+TRzQw%1|$#A zz|G)REfiX?r5^C8e_YH7(3`ZCwMXe0UH`96eTEQ(1R-e!W^!v$j_)DXUtEaCCOBFoV5okE65?^J98N1jG5vX zV?IA#XKu05s1zN_oCS8$e0jhg>66sOr3&5$Dabr@8N!S^0AZH3V*YcoB)B8 zUJ(O>GAx;nZ9JLTBQx{f_3ZA~k1ZVrXt7v~0Ju%7P6DYkm~aqdK{aB3!rH-_U6Pbp zd|?j;j>oBBM5$RuveCot-LD>P7Adv)+_qNeA*3dnn3Q<+i!j0h2B$o>PzmI2A>Dqn z3_KDmhyH3bl@tGY5*d~_wM*1?-+?ZeED(g==3D*7B@E=+kw*-M*t zgx@`!y(I=exxmFKk6xPhD)Z3M@>&ygQvaSXlM<`O(n9{^B@3%TWEDnl(f? zh2$ljq)gMoHK^JvENr2KXq|iurlzmZ(dU_eIl$mMv$lcAc8t>cBUXNqSQ@e3iG_ zB!aQnRH6;%>zvF~IuhG&yFF4MALtud_~Bn{lZH?qb-Nw-mxg;x`6RsCDrIzE*P^cD z);;WhzuM*kS`V6;BG!-%rVT6IbXE_fN7*(ENO5e>P}_Rg^QjN>X_gDBIW8)DyGNlK zh_E=J*oszPO?Hy}k!+I!C$QxRrX2T8Uz#s-HjU>v?v-^QfI0C0*a{Sq7_2(#Z(W5 z?NW2JXfyR1OaH=}%Lli|?fq>**0LGT%sUTu_bMPL*+XYdC=jC2kS<}%o`d*pSJzkOouamOc=e%qhg=_JO$&~B5NA6p_sVN34#>J8HOjNE z(4=(Fl1Umyg(C#iNQ!u&V4dn3t@5}BMb-&9!arZ}T&Yg7XG)1Dg%T;IVYdw18f}^~ z;epcw+LDru_Q9bn-7R_}Bd25$iV2_G&&{zx!sywO%1L;GKNnG7!tq7Y+d4WBj>7(6 zqMqjHOAoZ+0O8_}60kG&fdb&jit3w)-4lh;P`=MKv(iSAe;sm45h=2i%?>2%LX-Kj zoIplmDe_vx?uGU}eM|*IT>(8&ulD_Hv1=<)z7NY`08Ck-P;@V6PwY2ob5wd|CGk`a zP#DHTwf$Az`%T}E*2JBS6(Nv8CdvckY0zQAOzweWkmHYSrw@ZN&1q#U1g=-EV?%{l?=mcso)PI zvsqG35R#ek#b#H%a}o`*b+|mLILhvdIP5tZwl{Gdg`=8L%-m^l z2aboeIHGRhe8M8JwdiXJJFM^b@F4%p*&LB=K3RmG5`pHZ67?(H_`4mWeYgcX0$Sh0 zh|~pat|%W4=-in+1@#CIaCrAh@RpSN+b#xjc%LDew8tx>;dg<`VV&MA6N#4 zzo3<0QP|rB+OvHa{c!KR*V!BmMs(>wRB9Vd`gzn5h2T!UgyzbVW4@sryvVX6eE4jO6SIAq%=pd;ElHaK+#;s+k8MT$>2Fvl9d#C(K* zXDONWCz5kYLs9|(5~BtK>vtH#(0_KC{WlW8$^8cjc-4e}l3+(HOv1$nw4Vb3pW~St z3-BU%fG(M5HEvFz^1%Pxr9%cz-GJu+zw`mv0i1v=6*?`LMI0Urlm9m>^4~;KpmCf0 z*|haM;R2XBqLt;H8(ePgP$h@u*E9IZEw zi(vZm%)@-G5mU*LU{@%Vki;3q#gU$SbIS575w1?B5WUcYl}3Dz2{Xg+jdC|;My!CG z`~~rMRM$y|bdX?pD)qXnDss}NyAQ2ivaiVu(df*l(yl&32L)wN_6jNEQkp}(_(ak> zbs?_fjyFxQCgv|cO0QUtCbNn>u})onb_}m+OUhey+%KUP0`i)ky|F*ri{RT)Yu$3S zyZ3>YM9!@1!0JAK6PllbMJnu8bcxo$Q5bPV%R0#2G`8xL!nwVndFj;&PAMV{H{Xs$e zkCeN9(UW!7a0UuqSahpKr56@Lt#WwRd?U87_;+O=&}90B*(XHBf-tR}WvL{^#>t8# zsfK^*Qhx-&ZmF5DV$$36))5Nwy|kwLk@FDrX~-^92a*Bt^{}c`jDnF@NJV&Gt!$!Dt4_2 zY-HqSHlelC<%jyYP9L(;Hg>3zShKsfN0V=kG4DTuHk2I6^BT?=CqKQ3*T1*oV<<-z ztVFQ}!|iA?n!ER(zYwL-?SbiWvAXbfDMddd;CHu#T{0c!v`;#JjrPSd;NykK)8$@Q z)a9_+Qr@SL+}-rXmu~C$vapd{2;w+dvy%@qo73Mfa}Ms|we>(w{R5%FT*=!r{Kd_u zWc$KZl%XHK&EPHA$8sf0qdXRm1@|N3<*dc|t5}@*+de_SZtGD0dDrv!{>7l<`ki$D zGhv@Bgr|e%2jIPRJvdTqey;z<%q78>$UY$tNBbt$EGlv)W-}Z_-@0cMxW&8hvL1Ra08OPN2`qTsS|S&Ft^&7C_}_ zKJ6~%_%&AF3Mm;n#HZDX)HoI~OAn{Iyw&xsbasfPSSvfR{O8l~7q$%uH$!yOLGdPo z89zP~rRWW4Bv?rlQqXv1t;Mt=q~q9M=42do~za5eO4oxs-sgeupEU!4ewoAg7 z9leYVH0`XEQlbe8o2&$X)$-n}zZlm%Xytd6eOqKw^)}}GYkRwNq&Qj^JA^s0@mTBx zK6TGkE-Yl(P#C6I2-6(DX)Fh*G}NXuq%L&vLA7V(=Vfu(QYf0|E4Sby=Ni_74lGzc zyT-A=ZVgIVPL&M4ZjR_D zwX=s;PO$PV4K!Bug+D6C`6vyEYo#Tt(b)SnCo%`r=f%6O_c^8>^JJb&vjWJs15r%^~?52@xDb`q_ zQNv7!@3u3`EuwL|&%C<}Ky>iSu60sTu81skD@K&Ksk`3Yxrp?B3#qv%xy##Gr&xmJ ztp4MxMOxj1r#i8S7gRVtC=ZS~$Uh0sFqFQzEx_m5gc-&J5;vSm@t(_iI=A^KZ{jcjT_d8O2J*Y&LuvZv+&1~) zqFYY~4i`i1X!Yg^OxM(Br zz>{yjy1SU-$H}+T(5=v2FE{U*Py`9L9>x%js8J#BjGsJx@3uMROw6V;_@sKCxlIn? zvuQ~Mu^4%Jj=ly51;W^&%*jnP3NV2lu*w!|#^C?zzz4-Lu!0a&3X9Q(IPy+eajuSA zKUeZTv&zD?CWC|&-Yawk4!Iuj^u8jfzSQZ?8WK6+2ra|D%cka%5yBU)ywRtA| zspU|p*OvVPtYHJj%tvM6HMN!Mtwn?mOot;g9!p;wq*7Vy;z7oJeBXEW_H`_JDDel> z8Z1Hs6X56>>-N|lJ20T7UgVXKan$di-Vxg8z{fsk__OTFM$MqqLRSN|B6b#)4ab&CBPx|Jpw({UqK06B82eApAF!_?xWCw)q(Wz*^NCp z4uKYY3q+mg!9*OOWc5|~&)`Kou;O6-XCV1JSi(a<{Vie+NNR!oo@Y+p|5Yud#*wP1B~s)gdg}RJp?0hZ}X=$2Q&hcQCTJ zug5WkkOd~mGjV-iR`eQojN(@9_2OXb`x4!_{@gIz8M+r3irA)|2UU^K5k$WGp2Da= z2|0wAqMbrTsf23M*dWkMngefPxZ%ZAKkSG^!QF;FWpQ0Uyg#(_Q|&lqxpW9s_`nV9 zFSt;*xwIo0^Q{^2UP_pg3X zYZj>9^#`8+{Cc#ty9c6V+1R0=>0YdN!8zU{&g&&<_=`{T$O&4ig`6{zoFb#S)i0C8AwDdY|C~_1#T`pE70W!i; zprV)8kwiuE-1Njy*LuSU)S}!)5mxayYT9|T=;Fhylld%2FtkPO)kA)P?zUFT$UaV& zv_Npo8G5rC(X=7#m_qQQ>QikILJl-y=kZ?WgIa}I#b-*Gl1wU?7OR@oN+vN&`(&GJ zY4iY|HN;&1hs@;(S%maX^x0x6fy5&JLTfDf+*LwNBnKPjHuzLCC!PqFoOawtiwO- zM|%xek~;ct1M}cR=U87@zLwu`?xS>e{YSE z2u-MlXFXpvSF5gV@M*nI?~{>hlZED-#(w{{+~sE`5D$I{`nQ1xwcu9Br1XQ?hTtBJ z{+FPcu!_9<9ArZCqV6Muj^!KXlLSveEz{|(0^MKhtM{v^NXL(n`ABo1m#bM%Ket%O zRB}@DG}S^_eSe~no!H;^(0j_ZD)D|AyWky5RzmTH5|-r`dtz_4pOAj(f@)Iyr7g6Q zO356n8151FzRqIuPec$kcy>m4R>t^mRfT^EJp##p^=lYXK~DbPW|%5~Zr;Dt!ufwU z(EOfxZU_1O;kgay_lH0248X;I5gc;)Ar-(PX$ZEE{0UC>^5E(OBzW*s8ld-xFAQ|z zR;L3ZjT}ROyT|VZ#|&U${CR;Q6A+Fj5JLdGK+=T4pisb`WIYp*cn*CHERx({BY;!0 z5X`Xoe`}flXD2BCAI&#F_YV+M{70h z&+-E>z~cKKdR~6M1z4uCIRFB3;Ddni{3Pcb;J!A(|9DbG4gwAMl?YJAc{cw3du;^J zt9#a_dH$FEomTPxbujzumjA4cfQQ5YZpbrU0>#Y_t^wmPg6!gT9MVP5gI8~G&Jk2J zPYyE&W}~T!owpX%!hdLD^!18u#S>c2fL4QfD&y|!d-e|pQd7Ainuvl_HdB)M~WWIC#S}Oxp@c79oK!ec=U5-`CikN=?>0!`I zzL5!fP?oy>IMXo-Mp+Xa7^)%nCE=3li&JExW0CYi45(npEB`SN>ut+sh(t)#B5RfQ z*!RU2&5sf(%38Ev$GuyX*hPc%-i1vOl4Z)Zk|(tu4GODmFHQ(og|`l)UVoNbke)30 z@L9eN(ZBuiz`g7TDjf=@+Hyd zI8Kel!)58Zqd#0#L0q2V_G32|9pb#erndNDGY~+#Uve%X!$r9HM}& z3qQBOvvrKbexq z78hBSK{+0c)!sR}a=2?X&^@864<117_~YYqhp+(TPCU+>&;~Pi2zv#dOkGmN!i)ns zmAdO)YWZ1x$)@=M$mq=JDA|zqXzEcn(CkffvUp_@4@oTokyPg1Wb80`<%UqjwDm&W zl`oX%1TDNZmy(oGVLI|L8A4k3qx5MXRiH1oia@-Cktmhs_$0}XxtZt0Oogyarp*+( z0A;pc$5K&7dPRsHCq<^ZP+)tx@F$Ia(VNq@9IN-+1A<2&5>gzv_eI`{YzNG5nKTk5 zwap@`tZ>Fx-eW1%g%?jV>ak`^)8Sx;laT6l(C4sF`e-Zj6PK8?Np}L?9tNdIeF2?D zI{9uRSxTgA9S_aS(#7m+nai?+`jRuA!6ouu9v6H!JDf(T;v zqexQU;nnspFTZ1=bJ=N&+;<^Zh`A5JO03h;;Pw2s$2Go?UF|EV!k4}sT?SI;CU+H+ zc-q8yt7@6hvYg10e2x2tGK3$a&SYSs`@SKI%OO~WgR*Fp-u4Hah${wgrZ3VF>MGjX zuf~v_?9b;V!(8@4&dd4I#v_Z}Sz5x%ERqj9QP(#<3c&9yhs=L&Dn99D z?s4A;lA=j$GG>vrv*_{Hh?>Vw_(r5!dw|U?Xnd{3F&=(l1Qsn6u_9S?hp!hTyhkWOYJoO<1#M%LP$Vt)N zS$%xZlQls+|6ndiQ)V*1#_lqBqu4}8CMv3c#8!H|`m6W6Igu?mf~WL`aK`zy+6!d7 zpTaqC0IkXTWk;JpiP2%uzMxt*!hVmm1gRB&I%v8GqY{OdQt(Cj7k{BqVXlohefN## zolBw5!u+kiTHI^0#BUm-+G8(V4By}Fcjcp06D&aSP8UJj-u>`@sR*_@aw9G&RP**v zb1XL);I>LR;8Bogj`B582n^GYaH+W`ZtWD%?MfOWz@^>)+Rc7wd&|zCA-N*l+xN|# z&ILps`FW2ub@)WR9jV&ZK>A=-G)_D3*WQzU;z;>eh=Q1a(b7o4bgID@jxYI-ce|O_ zLTm{R9`HH~>tGJcmR&<*W29!k^n|dFi2e;iXdI$hIiJxuNcLRmI4)bWLQ_yts|;`_ zlJ_n3YxmVM{lS(6Yc=BX7X&POEA=km*?bU+y+|od@dGWYXmz|Zn^%0Z^jmZTd3+se z9MPs)b+;rO9*g6)(^M_QbGpKcUBaiAk=-J=7&naP!D3LtMvl83?^+3vo_Jw#emFj7~RoUSv302lb%jKl{Xehzw&LpxS#*-}oCVRu{=|1mkd6a!SVZyiI3j*(qZt?nDSdp9v>(zkyblI znC-c5m#VZWMX94d`R~Ab`Q7ecr4R-dsA&@3B%^6o)J!G8uTq%pyDAZyP&bMbE38L;>(_1A*Mt$M_pVbPi{P+u?jE*cSyuTi5^}-! zq#OQm-1AZ4hMNS;$WgZ~$ud68JU4k#XqBY82Le)g1%`_NF5&|u3lY}CGiPo+XA3{?s@vFn*f%y2gUHd`|jcFR#PCC~=PJeFoTjfVTiF#l>3dx9Y(kPZ@yrjkr| zoN1s&fXRi4J8B-y&1m}U*CcCxSo2;871DNpSfIJcoq$KqOo7zm_2E7vt4SBFTG;&ka!sKilgul7<{lN82jEZBQ z5!6`mdWCUAI`a)FBqGbb05MJIF&YykKHvrdTM)s1?i1-3NqHgCSMK zNfEuyAb)hLKn$>K>R7a64rJ6%Igqw}VbyV7MRtyK&b*#j*-YLH%`#2ocV+NOLpAV` z?6GH*F(=h<*>E-#+MH#UHxoK?RIvws^>mx~lys#Ms`64a~MIWK@-!GuSpQIS7~=* z66UghiFEmykK#Brp$sRl@)IuyluhZv!hVPoRMzGro<*rZ(GtT(u=odZVl{F8UGeo( zrOH|Npo`ipk- zHR7TlFGJ~tW3P__vbw)xC1pKb9{3FIbg}O2F{ay3IpatSJ)X>RZ+Q z4yHhSK?5#~2Rbl;L2l#kaPi;d9)P7~U3vLFk%FZC;uSHz>MnGOZI?Br4JyRpbRyOd;%We1xq%{#0j!i zi|``Ub7B!yv&_}HPj;+gFuaVf@Nne_$K6e^^5?SP59JcdW9`rP#%wi*CT<0q7x;hK zkh4n@_VcsLzy-jEt4ahR#P_XjxOD}TxttEH&HY^4kQ(+wHx0_^`bD#bO!Wpz5=R9z z53?zIivQgfJY=5Cnoohl-zt}I)XmCQ<9)&XSRdLK7XBgS=>yC-68IHbYW$Z23LY8N z4ZT%qiJ+f?`twnG7B~)bCYtb0Hsm)*1<5juO#uUR#0qOSbe+ah@T)83lH}DA8Tk0) zf$*9J3#1q(Pz*TnQdwt^bv!D~r)!*`0tHW!AjcrsUnFuxPQ!f=Ty_U<3)YW;} zr8FW^2IJ4MUce-3h?XT_XL5qsm(!;T<2mJ-*BLhf6PFj&2?>evk`OcUT(|Q!!E%uO zMTaD^3TOI{Crb~3_O=$%S38JNAOzH%t1%or)MBu3D%H!kn;-ce0$`&xZWhc(L;Aq@3ZO zc?WPBQ*i@r%@PREWsiQi992ZTdCyLl-k-poM|pqz1R5Fm`N77=NIe*?SGWMXw6lH| zdl^5-wIh0nM=B^oQpFK~R6(B5ZzfUd8x7P&#tRr0Lo{e4Mf=dFVz9${*u8LNyK=)} z>cJuLc~ma1_(CU+Xe19DOLhGkpt=?!UMR+5?o46}IW%kYO<|Kb9ekwUOxF4Sv9~F> zik7rIc=x_>^YCNrLm?Cw@<%;yM{QA3YuN4)ZOBIwtKdoIbQXgux+>6dZzCYrTc@}5 zp?2O1wv@;!_SRE0*4*E|*pm|o=FK+tAnm7eiy!=AZ2d7CdDTLace90b;{FmQ zK!pgdk{jFp3!a2RFx}uDoZj0pj7GZ(LhS)n8t8Jw6L$uQbAwOgd4%*A=22EuGZPq1 zSsyv=wRpI9H5vfBt%~7rzebjq9f$nhxwlM6;p>N3MFsTV;_`ApIFem*;gpm{PH^8P zuos6vJVw{fuZk&sKg5M1Y{7k#7|h#RQ;Uv(`htyl@M(CXqnKI$to+xTtG9zM#3&$t zxWtLN(|s^7xr<@Db9imm0ENQ@ayIZdEG^34%4I+&?kn3p$v*KxB|S7wE*Kf&T`2Ii z3METKusBYOl&QbV5_NIE+1W{2z12#_jjr@P4>UM-crY|ID9^4}2#;Lt8?giAWorg6 zZPwuPn_!4T#&QUlJfg^wDU~i_gUNQYh4lYK8ES>UN|Jw$2mfHUgX4%^JWCGnf@^3H zNddh@0G0ahfyNq<7R-T)0Fcyqxxw=iXf$9w;K=iPRMn0^gTwdRrt)tm58%SRcA#|G zSb%{3`$DP?1X?=2-^Z(eTnPNYf75O_xu2DxMAhK1z=ahEfV|XS>h1rMpW+0JT>$y# zXWG2AJa80ymPY=67?Qp|t5Qap!ofoe2ms-=0E-c%q35tDhwihZahT7N^wk$6bVX~G zr2a{zyR9@)D$d3M0@1dT@d^`vB6B_3;4{O#n!uW7EME&LR#uW~kuNSeAHV=dkRoF0#e7^4-UuWia; zpP@9C@||5=O6$94?oF~W)r>H5HLqqmT<-UT#-mrtjO=lw@+L-UZysdSE2G=7cq*n{ zn3?vfkpcy#^=8kl8y(GLeoQ5S>bAZm4GG;>QI%@QCMVf9bhrGJm1H*w9hjT!^DcY% z?r@Mtpst-=5YaHNiK-M!FDD)_WvW#%l5$`fBRJr6T~;UmJuFr+LC8Id_aJHHYS772 zL{Feor?V{KM=bG+U#~tX&?d&+zqNgz9ar9wQg#3q@3&3QUI1Oi1eeCz!n zJVLkbKSE0s7hvpNAi&*O$-VY91_!Q{pfQMK!=N}+T-qGJ*5rei5PDn+4++ezfH^Ix zikM9OnI3;ssP4!v!!|Xexz;at;r8Zs;#bSf`)+Bbk_u)Q=N+0iLY0|(%9HMtvO?ct z`}#c&SD&;1GsiP#3ahnIPbeR~_}FwoXSx{cGEL{Aw;FZim8_A`2E|0- zR_bn;Z9H300k1D#p6DwZQGik(e^e0=%IR$4#y7Ig-f|}_QrJ0U%M4n*%<~_r>(k?h zzBa-%p?zS#dQIkzWJkYN&oIbEO^W(K)r#-YmfEb=F^NgT8@?G^2{k_Z+b3!)eAHb7 zf?Z5jrl6G9_`A}zS681<4-5!*;RohsU|aWmPnul)NyU#o;<1p|IIbsL_EqUgai+Cb zesvmsShVGMi9}DLf4tq%MJo6K#jRCVb@&s+H2VE`u zgN%_uRg~TrZ#wT@NTK~)y;uV~G~<%)XqNJ^;u^!3O4E1d`Bs-1lTU&j_$X?c>^bBq z_73V9yOri2l?r@uI}HnC=eDh#eI8Gm%m`GmM5~wxb0Wr#em-t9GD{s#!sZLvNwh1T z@o>MX(wjFG5H;fPlI?WcB_2x&G3Tq~Tz*$odoPc2O5bQtNf&Z`8t28&np*ESd65AD_=$X>I&}%XYRJrgy5%~ zc^U`HZM2>FK>*!Hg}L5V`X5xblRQ3$vd7KIJL^SE7Z6Y=^>w3-^P*h+U;Sz(_&U~v zq0Q4{R4!5-gh>*G3DE2UIc$F1MSt&w98m}beff;_;D6A(J#%w8*NSeII(c`$fkt^o zDSi;+%pkYn=*9eE!QBv2V-o8}1?L;JD7{fq;ilTqNUO;qV$Sx3b`-5pcq*xQmIKZ7 zA@z!M=t!(hL(&4)9DeOpl2zK(I8CIj+(-#4lA$qQMB)*&?nbz=2p;@7t9r=ZGzjG# z&{m85y2rY{F^NOHDs19Ly;6AicMkDEO)GG{W)jBB4X1K}`tiZhDNz@?_Z!2BDIZ>J zRmZv?1YvRplWJc=dI;@vscI?_czj_UnwyB^9K7=rr;ZX}vRW!#Fet{Q|8$nqrKK)?_6Q z%$V{PYoc-;`8O5miGCF6VaFeamV0!XXQ^_({H^YsxU(z-l21MR6C_{5G^vq*^r7;F z(cR;6&|srPM z6Sn+fHmec4;UrQGWxb@XVg~IKNM;(#QEeb;)MPSgVs@{6pt*_v&M?`9Qy*4#HCGoh z)xVz5FI7e=K_R8OW{em3Q4ku~ih&_6r zrmG=AJi}4dJ63XhyUd`F4C0N<`yz||zc_pAfU24`Zd7{H9U|RQ(jg$70#edQm(pFb zNkJME7Knf#Ac{zbgpwlNf*{?kARr=eXM?^+U(a{HbMJp^&ph+YtXXTXdHNS|Ppmhc zaryxiJtZ6e{FIyG!%h=}*xwH*YKBB$A_>9h+gn(D`ghiIzM^dT+`B54Ppn@>0~P$5?g%qxgfYv2vQ z2c5wuM1=@!h)|)cN2K??hr9=R~NGO9$XNstt}W>RJ*hN&xZ+irn)7R3IKuKn(#-1keZpIuIzsK{$g> z!*34o4tWH4&CS8_Y7R=oit<_t@K}mk35oIxS(x)#@?56-6WT{a7y+Y14f1~wp*9GB zNkNbQzbr6$%m)_yB^W0ML052}K~!hz}I51pqO#06McI1r?2nhewp( z%0g6F)JoVw)ZE6>T*%7OoKKL)Mu5+P&)V7sv06yj7N$=v0Kl3=5Ex58843VA9w9`g z(!V&0@&mL`OJN%eQ44E6OI|^1a|>|$7B<5CmZG8-Ru*R*Gj78!Lh7zal4lR^B7n33 z_yM3aIw;`Ehrk8p2T+>;7Zlw1H0t?E0g1Vgh`A_Op#YD$Ag=`gc@pHY5(EvF0#;VS zmVfOl6x@Od{AThvs234|Lm=G&fDZs1BvAww0jPxnaGF4MSWFCBHgf?H3t>@95i3hU zAuF)4yaJ+p!q&n(=2m?CJT~S$XKTg+8?ogEBS)8`GXk)p=N0hs?)(z9KnPXo3@S4e z3q&mxkzc=%i+}ziM8ac+eB43$G(VKp3FClbs}QD7KsVJ1V_78|z6yGDyMSR;^0ovy`Uh$c4{I0q7T6HP+)u?0minPS& zg)tqO=s1-}_olb6dIeQ@gxfz7aKwKevX|AaeFArg`D7$^r~1b>7k@Il7_liL}UE1|ISfx?tftqjxrmo49cbL#{7~qs7*= zR#(%%Mkygn-d-l*xn)=mFJsGAOe-^eMf+kH_uXNc3Y5ILz&~-jdf<`B7{^%7MKvMu zYvcyW``rdOzU(Cg!OW+eVxQL<3~m}ulp#Mgx2}I53(5>z z-WNnSSrat85TD_;kteU#sbsF)kQ>HWnb&evZG5#kSdmFsJ-JDN;*C3X?S|$HCMz2$ zp)}06k~EOb-W|X#Ykpn9RjR70b+`?=z;+7LnMt3o_6kUV*7U~}^#Rm^*s-SEWQae3Zm z)gs%+S@l_Q5iK3uB^U6TKk%Erja)Qcu2oWjlauM`52E-`X= zxnGjCntb>%X5|a)u_7`=G59L$)}__sV<*D6kMr+W`#gP*Y#Em!IC4aoKEd7*a>S{u z>r;5+y&-B7v5(2m1&&D&_)^StN?C5=!^f=k+S01{Vcx6A1>dQi}0mO4UzhoMf zZUBXp^IsB;_+WV4Jxo4|@JhMn1 zLi7#ClV>SW=3p-uEySC#!rcR}xCK2g5CEU!=?jF(bcvp{w7viEdU=&0G)d%jbYZ>A z<EhJ%ya2`w-ZP(0(LyuDSS{+||gC)F`g$BVm1(Z8u3j%BpQcC>;GFKO=ha288 z?kCJB-igT~kHrp`<)(8J!fCFunyI3#z^a@&hd>kse`7pii0<8gFDxPYPhkn*%>f5F zDxWA1I3Lnrx1bqu5O>)<0OMum`}en1^e==oz=zik7~9g{fHR0bADxAaPxLQz6j4xM zB6Sdae(>LdY*t=qbP$Z-DgmD&r#CQk&_FZ<_7)Ir1bWe2MW=IGz_~8b#wv`6!;-I3}YqX`}fBcLFR`blkPevt2rb4x1S0@wu>OE z`$@(-0x}xT>tC?^pMvLp|A+z+hCTvU3k87}mncL4KwEZEIZ1l-)to0p2pW#`2*#pu zTCtQ9zl%VwA7I3AH|E#&C1a;=7`3*>-fQF}V^6!^mi zCVH#!+Pgi>V-s!1Bl#D2ZWHxtKJ-$LcplEZ)7#$b+b`Ns;V2im>Z-2k5|L_|{`l(q z?6_4kd^Fx#-jG)WMxqdE9G+qf9aEq16v}a2Z$f+r=Fs9n#qI z;f3(@F-urFj&ZOWIloThiLGf|(}mz-t{F87s(T!%7;mu(twpIbWAjh=qT~#mo_^4G zCRw=cUB0_e3CD3kagxNKwK2IDyKT}L>yp`eEUwnV=`;&UtpxJyg_fJmOdly_ydLC(|Shd zK4|elzG42`)Zn{HZx~eLGdCXHVJWRR$|dqZ{g-;9}(?Shy6 zW{(bYdOtpMO+_~$*ZSs0lXBqrO^)N_Nl^Nr`s*?zl}dn6G5RPEekCWul+nmAaoyt% zrL~~LI?iJ?JZ^2HnxS3s;wbTBrt8scDmCU+yfcr8)o$ksNg0(Fdh0F;A;<0O-$?pE zSNDCIIoYIKSJ8jGZhN6A*#2K&V~zt7QK z!LJJtgk7D!DyQD6EP-E5S0+@{J}Yd>8#TM`9pvQ8?e{QUt2G!?!F|E|+DQci#!|X1 zno(=;kdUc?-?4S>$~OkJ9PwzV@-w@)FC_JYOQz9i>2`nMNLGM>RKE#|8@n@(Nxfcl z`G5x3yE*j&HG|>q+2Ur0i@l2-BlJzBw|S?sSjk#PODUK-;W$+OEq7(ticIN0@Ka3sx z!O)3WF!VGN9LXp1=<<;G+#e(l0|80p93UxrgjoC`1`Hyf|6>6T^I!zc*{vaZKmXn< z3)wD!v2huQT#!s67}UA&$Bol0g0US41y)0gSQ>h|_y^~%5HLCA5@4Y96io~AU;1Nd zgNUDpPl5Eo<4Yt3AVmJSX$i!_dzWGOK**RKL)V0^to+em9}N1RtpMKsxgf~Isp1-h z0HTAiR{vOn=_;6ncO44=B)fqpGr#%=6VWx$d#xCT18v?xRe1-lwsAd~TW@k5Z#tQ2*U?uH|rv=3#uFg8pylG@1^em`4QfL*TFuR1e+QY7kaaRuM8O<{F>>E zr1$`AN8nj63Whwy_wCp2ob~p30S1NNVEAX*QH{KqFbMbX*A!s?7$5_`^Oc@5V^TtK zxG>4{w_xcI!3Saa(sZYxgT%grx4?G*JjpX2S>M6RKQmyULnGf|nh<>n_;dRlff2vj z=%7Bt&*zhf_r{3?4bu1l;{$&Mb02Iz2oL<_&x{&CNHy2RVu4nEYq%x^@9$d0mI0n_CbpE*ycmM6msr`l`qpQ@Dc#-@ZSI5#s$9yLa zr)J0Gkzz`T8Tw{l&DW6-_GY+(xJmU?_e9TY9=(bgLmYkXFlqYb;fre2WM(9&ne*DT z@Iw&~1>$A*1HK}nL`Cbzc@4y!uHM7DlUr`|Uq|GH?j6}bCl8=*Fv1-CA=zNj7)w8j z`+C8vx!~DYM*juh7(1_JO~Ux#3R;iy@L8jc?Dct1E~Q8|+#q&^RQnuLwna}$Sj4N) zL~LE8jMDW57fZ{XeaH60fVq1$MnNGrzrc$Eu9B|Zn_Mq%sx~52Lzif-)4X-dbak5LBL6-|IvzhM%*J|Qf=0hW!jhZV*UIQ|&D4kY?T(ckh=_$fRn6NI* zX)e4bLB|PZh`RUCIF$SOs-`&7h9yC8+^8|C@sj$2gp3mT$TvZ2)IvIyAZ#T6!?&z( z{bd8){qpG%KV zj7}Cn&xR`{=||#S?vt}kjfNAM8+_kCH+^5c3Nv~7>T2BWSY^Nm3t7unNWLDnq( zPi?(I+A((;J{P18Ir*R(DGrp{5R)?Cub^AFXKlxKhNT_nlqSExZeq-dG(+xi9f+jSm@4W>ti9t zPFVhuA)1nSA$+06hkM8M5(YEU!(z#2%UxO|&P-e|KIER#?ow{lC$>p4ljSM$my-fh zqEEyxNm6ZyNhN-Jxg~B^pO^3F?*$+Ttv~Q{$6ln^@wENiCC;u2dn+|o^~#4`?#`^E z-}rUve0hmirttX}K?4&pA9*j1TpL91?mc>Yf$Wk9t}$6+w>1AGQsU^;!Q;NCU$fy? z-r-*%yV_UUK-A}elUpjS@zFx^Wke*2b9v=mN2#yna{F`;ueMLngmv`(sr0xOr0i{T;nXH ztV{YRn1@fmIL=M1e+>uHdzn3ODhVeq@AA>*8|H8V}&VNyH{FS#|4z|Ig~mKtp^ zHe$qwGs?o2ji@=1R%`vXZSQEZ>W%5m7 z`hQ{K))Vc?jaS(i8B_98;+q(J@2Ef(YV+R6_nF_E2mO)z`;BY~dx`Af0%Z3u3eBM% z-JBsdH*Sy=-`jvqeCs{#<=lnb0&sW&dY0&C~D-^3~sgVjI@n=Kqaf6NeqQ) zhTT|{o*0I{olHa)N0I#L-C>VIG5Wzxw7YQj4`o}C&Lf<(1hZ6ZuPY>M4TwqZVAeLE zWLRA?D59F)Zr8vbc+u;7lheBp9*pVX!XdbE|78eg`l4o1>uUF0!VMiwfVF9vp0y|Hq0?m!b28d zr@ZKGBw1w`pcGdkQ^|54rS9TN+1)pO%zpcWj;ZFOSY3o@nGtJ?2hvHB4e-o~(=N?r z|A>Nkl*k_fQg7UL3V!g-?CNc(nti8su+ygyksQfsoz?%a_L_eqKwndH8c#r|jQcA) z%-u5PAPe0vDO<@Ra#=ZVsBG%8e^2duSnw^{kIr~b-)SYe%4Yaf-V8gY1tudMcBs4!Z|JC-#MzyKxixtTNya8xQU*p_CtEfAJR`gG%E7 zN^m0sJ_%Gph)qC>@Gk*<W8h)Oix*NDS=WGJ}A&4|5XA9_a z8VnUG^Ckrf0nk^70I;0^aFOxy@o)*@V+1LLNBb-xbUOp)C5D?EmM3{sC`W7ttAHG8TkcRLdd{w^x!xv!SB8d3} z0oEee0-;~T1lj25P#ePU2b_cW5X%vei294rV5A7dApww6izvh=30)S!Q`;kVw1O%#5EF38%e{)Ad`9EMZ&?_dGJc%HW zC^tWF+Ws>&A0IbF-wJ@de?2;008m&uf~iJ{*dtz0tnhpQAHOI7*9Oqv{|q?uE&ji| zEBViTi3?ypLcMsHv|x+RK*f{>VT2^$GxHNw`kx|| zp!nq>3MoW^kNFo`7{35;|WPD;W zD19D=k3fP4`vTfXlGTXGNq`~$U%rH2y)oy#h|lG3&f1^7P(L{dIm8NV7r!xt@F_?T z9M7_E{>vD3&<#xhn*}144{;kH<`}pT;wVVSfO7?WVgEG~An3h=!A2^27O(sVL(s*G zOne!#Tl4w<{7mZ{#Rtl{1Q#&h z_;``9!z=ZT+;j8^0uS^)v*FY9g|{s7^160@?0osu#`^`IHkqac8yZz($I`TO7B(!$ zEZ-|jY9Zj*^I-JucOK*J{MIom2?qxphk&)?4Oa(x@~zTY`iYs8S$&{##@-yhq|RXT ziMgS;VM5+nq{=arV8K;Awk_J6H^$gPWLO$+A0E>-?z0w;|Aig@!5EcB+>v+38UdQ? zR+pkAS=ROPHct^2p~4V6iseX@Xe7_Xp2(2NUZnX1E>_{8Mab&5+tdx!&Ld}*renGy zY5aD)R}4fdg!!K#hML`Sy*6&F9HzT^2Yq!`l{c%+^I!Q}(<_Bm`jzP7TXr2z8 zx%Ux=XX*OW|C$k6OcR!7awpIBM8u>bYPl7ekWcmtg-% z9X@Nf;&)N!oBEL1_Om8&f|)_pq?3$$zWu#pp;a?uXqLD+K;7=CtMMgH$gmQ;ZL&yO z_SEY1+V0cvNaq~(Qj;+%QT6Av`dmMT2p><1uh6lATeb-rQ`QQ-#pIjyJuS{wOsq>G zMifo#^-Hp*oc!_kb}`XbPTqm_)D7q_2H++wovwwv57s^RP&%tLrbkc(Kgoy4Y-kTS z{Se4{DTe%xplJVjj;fS@ZQi}f44u#lBEu;b%&%K3R~`@`Q*(<=iu8@3l-fTSFGtpr zv~t(A8+{mZpjXlIo4gPbkRQ#a*j_6;wXWo!=~#eMR9?{0TM!x$vjU$hJN9F&1x0GX(BsLmc3a_wI_~2U z_V;DhGzv}5u`WFH1nY2$*#!amr}aE(jBx_}6HD6$G;)ig(kJdJ^%p(vIaEL77!b>s zd(nG5k|ukskv$-*Jfy14-Kk;HPAYk8i0&H~zIarDiPNR8k~=eYamv~HDImUZ2ICog z1{PY_b3Nlrc~|T+Bzo@ROjqiI7yM2plloYV?-Wg1q!%A2a4BkVWA3-8t#MwtHd8RgAKdwg+K&Wq-RU57*UXi5{IJInp|6)ex7klDFi}U#svS0RjgXv9=mWRBBD)$)X35!MORfS@nERy3 z&f9==vgIJQF#dz@borYb1wG%Dx?xD!Ph{TXKW%qD8fJ2$RncTM4?KvdeVwKrb$6Fp z<4PMxMhb@HwQphVc_@fDd5f6C}fk38zsLpWVH$W2^XgXI>er4qd{R0ZmD)?`I|KG&_AjCsf!#|gS zfOSU|0x@FeaNJYFKPN`CLxZLOuU_;lYVps5^$bfMA~ZYe{`*u!bd#WffVML@dWZ_x z_7SF5#0??%q5-)INR7eH=p+DV{I65;9O+#YC>Uo@r=)zMA_(Q{-zxxc@Q@56sQn$W z^&5LcYY-y|Ibzh`vcef_=q>1nL_hy))XC3L2=(y4%_YHf&h>A>;hbv#=!e>N{=@YQ zS-uX@`gr$Wt>2lzy1jti{@1pg(U#y6ld}P}_U|qE^PvMl8;Ho7IN+UP2hZ#8w}PKf z1OT|23jus}j(r&3uSw3p=0#JOut>q~fSAL-?(+;ZUO*t_A}PRm|F4|+_<-&MxzeK% zv+;?D{{2|~Tqr_I0fDI32}mI75g5VW3q2|=^_41y>XDJqBI5n0r){I{FzL%M+Et zN!FLP>uNNJtW~NC7MSlznU#*2Ja!Lye51Hn$wMz=c7?lnAiaFs7TG;FJC-rjipeKe zL|!h^u1Z9V_KLoT!Q*4keK$X^6T{9(M0WGQSD`tO-OM0Q3fCHw8)Et{;A65}ep7b= zfvMtR(7h)AsMun3&-%Mp!^XC@ep=1V`$i9fxZZ6(%6c=y7JO1osL;K#(iCebhkU*D ze(=8dqaekJT|!=#qou6(W+Uks}AINWFHfjT4O{X_5AAOr>c7G|tu`dJrmWqPZMnK)WPm)|d(BeS_6rNXZ$pi8 zS2h94S08d23%S)Vb#{w=y(tm4w4GV$H^Q&kT=M}CKOZHXYb|c~s07o!;C?i^`hr_l z>V#U0H*(q2kou(xW^#DA-yny+1^gyoaLFL+%{+ANF!>})crI*j;!r5q@}{0?JGq`I z>z;!R_M&SzWmK5i-3&XZAb49$-I$Y~w*N@v;i}rBdlb)P8PHEZ!Uqi+R32!&nHrh! zyLQU^5Ccn#cH2YD8^;W{d5quN>Dp+!syDCYg+!sHnYUM@vzZ6Aj(m_hrKQKY{CTY3 zyW~-&Hoso3s)0||bS`@>DponVg~n+0D5amQ3#i{BYg@aSm`i(4=xZLX|68XVaN*T!Lc)7p_zpokbf368eHp;fHBJH)^1&|q-VB3_XyTWV7hvIahmpP&jHPo~5q2PaP3=?`|-)rab6lX=Np9$U=)hXh^mYGeH*F z&yyC*B04FD+b3LKFWu+~HP#3V4pN_$#?x$xAf0meP2i8ULV2$E#q0)atxw5f>y^c( zrx{Mmcp5tV1L+u>0Vg=a4X{!LC@2aWq^=)z z<;t`WhAvIZZU!C|`?RZ|5J!K@$_c1gh^|sTjo#o-gfCLFXpkQxxd(a8bvxK22~iQo zY#O6STi;*4b7Rfm+CmTHyz1jNBEM8SyF;Cn-|e>Y=uY`6f6QK94}cvxr_ z2Ey8S%4kTpv)(f%hmZ?qB)v0Cxv`Fn!-Lwo9v=LW3|)1F%iIhu*l>%2C!5N=<_i6* z;ddh}qI>XT?q^lAA6u%HjE5AdZ=LsadQfPAN4W~_`)~xnp z);GKyZvNVJg~7ui(K8{7rl0bstPO3tjw)i7W6^Kl?!9Vh@0+EQO``rn)bND{BcbM3 zrsp!GkG)&ekpoaXu&$=vZY)`%SynM{)$2TBQtGP|gOe)VafM@XU8n&$m*N@EUTy6c zMm?RhXO&g9>b7@gbg4#1Cue;V8-yE)5sBH+vz4~hEBn^EZnytd^*m{A!HW%>soXYS zFFMxt+7G>$W_c{f(_$L|bgfxjU)dNNTl1^?pOSPF`zG}>y3F3Zm+2*+Xof9^9o|;K z5T88th#HO(63==vmm|#{j255CFf&ycRDR@XZkkmWX5O{Tw`p;y*o*S>v|qvXt}>Ay z>d*YYjjNPqaN}$ifp=gBN5D@DpC~+$-oglqNcT7FnUJqm%T0 z?OGLYar_4S16{ufGMy;enbr#)Oa`PVGE8r(d1>Lx<~k49{dLOBdWIwd_9C6@K16Oy zwsu)lLNvF%()`DRhB&L#ojt5iCK7@c>@wMfSSx#%*EyU%v15;N+LYJ643R5}5=2c| z_uN@RCd%ZGauol>wi!y~dGtE!G-~<9f$x5UdOOr!e}mB4;aL2J=c}yJHJd!V=@~iY zvltj1YS0=w0 zq^;%OQLBC;#K_+Yd-6=K=k%!FfA3_ztb!T0?UIoA>mMz`+^^ofam|>@57fAZJrPL0 z>?!Uoc971w%`jav)oM2CnNEl%JU5Vn(Ye7lfp*H6DAs%~K4K7p6EE}1Pw|ly_>B;! z+`kOppQo_ckpGY+!wE4Fm?#LV5g9mx|2oF`_#qrsB4)@78xzEW@IRZ8$?p`1P9TS#L$4sjWvQFk*Z(kt{AuL)J+Sn56Ul!CS3wv;K&k*E4KbdaUdS%SM!Pn;Bo7-X+HX z7;2sxLl6aW>2md$i34~3fj5&6C%T9aj>~8B&z7K+3H?h~0{UMFYN$p@58s9@D z_B%~bv51n{DdT$!O$zY|?LsND`y%(v8gN+5OdrrBvSH=wC@Hwn;)_r-kow_$kY$J8 z9w)qrfyB{9VA&hRZt|nx>t^N1+dSb?r)`evMagE_)`HuZ+?|}Gcquy_S8iMP-*F5> zby!&)uW-F^o96i&5q&?Lh#OCwF?1uGag5XnzG!+Dcd;MLes`CnZ%#?RMqt9i9$$iW z!AGnXra)M5SKTALF^b2GIdPJADIlxS8eSOXTn%|ovy3?8N9QmOGGLLsEGF92h@Lr$ zBZAh$-B+}YYuZ9TOtp`HnuGU9`spTLb*&56_PoUfU17X@&l%myr*_bBBgk;`*;GVE zo^3*+`jcvP%LLKbp{5Jup)!MxA5im=F)hoxN^#_kFQ;41BD)e#p5Pg|T=#a1V1+9r z6@JfcI^`Z+7a1j%2rYy^ScES|6LDX&wE8AY<|B}jgj*9bZ6&vD=E-L#@|W%(&^Rl@0kZu1AVhYRkYr zW6!33s+-~vzhIauRbls-k>7Jlcvi+WAUC?K)s(R zyWr}=s&lbB^pjP?WX}t=)NfYp4L6X9F{;VWLB;iHX$#0!zB2^SKd2Q{KH^l(uvIc4Y;Vq|#xPD`)w zJvur{U8ne-SX=V$T90+0;%UKL-f8Nlj;VWwcy+9YzW-`YZ^&kpjX(|wk-CSQUMrfk zN=JDu175ZAX7SyKbuw9Gelt>rUif>V%7+tT(;qMHJ)Hb_u`=vN97;~1g&$87?DS0GAES7B9&Zt?$f>3SH#bn;t>VE;O}=G) z7>(hY*7K%rv3b6>w>uVz`3@a%b>bGvXPu&n3&?`d5Ah4ZV)$*o7Zj9au)7OhhiQ@r z>CL<%^w^(1xG;7jQT;-C6cu_2Hxj&@lWP~paH$^G;nCrmM7co!?3xvA{xizKcO-B6 zH8R65hdWTHl$oNSOXGoK_!6n~YxC5Wy8HF$dqUK%1w>!m5@Q^%6b7YK9DNk#sBfsogfJW2P@}R6UJl*4QoaepUVTTSfh# zgh38!RNP!_N0PXI*|5m#G{(qDQFzE}%`zG;UN^IfFifJ`{ERA5JAAw|%+5EGv#W@Jb27B9JXacsq%b0W{M8eLBV-n)}BkSaOnq(Us5vUSVURs zK<3D@OoX@hy%KINTpEx#zA8KjDHYbLGlA zm(KT}jN=u`!UY%83D+b|`meXHZHM%8bCWpXOFY7Cdg$#IjG;wB-4#Bsl(P?Kdb77- ztwiZ1eWCJVYPdXy+RZu`~?q)6h=Z%Zs@@S zZ!I$t;nCau5O;AqE;KTpnyhVW$h_mtR&@R2GZDd1Im_4ld~lpkbAjujCL=wYH)CX1 z90Xxswkl>M)76j@&jc2P7gYNaIplH)8;6Vsdp zS%fsv(N(F=YzrV)@F#O%@!;kKdAI*!{=cPy8eIhL+7khsYgGKejV%Du7JqUDo^5Ur zf&CZP|HT;!=}`jz-#Hu>LX!K-@C1Y-RvjR`ky8Ol4*Z}q20s)*No(LJ{1+}3NSKA_ z;DlsQKNaR*pjp6C4Ix=$kfMUea8?3a_IDG@?*i7JqSx=@)-TwspN1GA5vcJ8mL@U} zKSWQANrDWb9n1}}+2N0j+=oO+f~Jo+eyns8m>cLx%5>%Nl$eZXSff+Ci%k}ib-*xP zNilt^nDW^0{`?2t8#k>?dI!9@Yrh_jJj33Ye8?K?J&!h({veB$^NEs-=x2sj2?Ysh z*|3YdWUC#!yAOSA4?Ct=uN13izFqp%LLna$J~cA|^?4)U&EU z*HyYM*;i~*4_yhL zz0i_hjoDwr`q~(ar+=rBSZR3LJZPE1!AdiepX1O#-IhV(0&g-gXWjuS;ONcW7}czz$Z6AiWauaHp`7ugrCBz5@49pq^76g` zfFt+_>U=+3tmVX6Ae~tCc(-%7NM*329Bi|2nKN_1W!pD>;>GW?dtb5*hTJ3sC zwko#el=Arlp)gMRZ&r>4hAW;vA9_p5GK3wYge51|){oSTQ*P6t#wspT)NJ*B9{8BI z8Xv(p;{^969=25S)5i<6b^peCb0l1T=MGu3lbuQ7YzBcPviyyHte1Nw6GZnJ__%CU zD6odj9x|{vjDLr_VqR*g{ek!4+i}?~PLHbbgDy6?m_*BPmt&%ROAEzF^7N6oy<3GJ zHj;&ISvE!2-Y%*U$l+e!`yTi%4c^bN=#y>YB^P~3%`$?K>D%y23MKu@1Zm0I_$|vo z-UkWUTROZ!+iaqKtDcKK4O0qFb@F^&oe8T5_vr&2+%|dW;Fi(6yrdrq-XzM@eSN|| z??c%@C#8CC=yTI^b%h>d`lY+y?v+_YZ;MF#9eSeqJ~qAel{G!(PKsWJ17FKbWe34v zX;h7BwlI=uYV$)kyz30CP9bzJFb1Cz1rX151&Z7iiA$YKXjN*Q6FWS>ajAWAGUMpp#~FzuxcJ$EEPSsrCBaq#7U23-QqOY*8Jy5Ers`4*smiPQIQq z(`Ckz;FbGLwoAHAWq64X9mLle(Kf9oNjlymJ>W`x=v*4KT3TNgPka8!u?-+%iXPwex@L`>w55h zpa;9(CFr}I1l3BdaLdq+V6YFN(ig>mj0-B=hm3FV0`EzCMhH{1JbfQeQW5`}aYf1W zRC__Oa6V@lhheEgmMFVkxkJ0^HXZtGGb0Y&K&I^AWx2O&n2A4>4E$!jtOrKT95v9D z@py|yM{bwvZneQlJ*(aQ8yqU83m8U5^*eemd&5rj9ZmN8jo#i;wTN%3U`k)wr`S(H zLi&Ey`^%JjO6(T_xnLKQljctWjuyM#?|0)LR*s6)=439}Q`+A57k+)YLiGcQiqbsS zskKpmbxP6m3qRBs#%SJG3%Px>x@JZ5l+S`kIsv&-#!g;RNOt%cvLwRCK4 z=2M;Xwy4*$CJnOsP1LS%wZe>uRf53x1VUp>aysJ~TQ}W(;c9d{+|_;4VM?aFF*3z@tM^YMn=4p^Ne#iQ@6Bemnr%;iTrI`JM!ST!a z%IAXvV*+I+f}<}u^rrXTW@J=yuTJR-7cr6~4#e+LR6rJE@k~)XcWYRkPwmZ)B(Wzi z;NI$JF)#i^`@-(Dj2atOw#of{Hyl$PVmIO1;e5U)lZ>A^es?=q9HxkYQ>SrP_QMa&aUN4|P-e`l;K+`VeE4+077DIIC$ueGKv<&*cb8GQ1||Ga9BSrhVmoJip=PXU^4-XfRK@gP&r;}iK zl!%hHK)g7Qmi*;r1my{V+o#DC*oWk(F@gN?uWnEeL=bAy#W)jFvM&=}zxw}Q!Z`Qa zXpG>IB8z|o3}C}CypBj5ezUB%!iFN)RsG&F{mo!bxNwIBwnwx~Xz(;4sLLOL_EIS|hnEULxxE?9w&Ks*xRvoXu0 zl8{u^|I9A&eST%}a9~$h3q6dE3l+Eb3Q8}J7E`1hJdELG=&t-{=74gOzG&;(Ox5R& zvxOdS)VgaUyPg*>Z+o}Dxfbz2*_Zut9}``)tN#)((&#t-IJ&@?f73<#l?rk&^PF6Z z59wp1obQ2h6?nNUN|+y+QFJ*-E?>#6*Unw{*22N;meL8U+41g-7UAgau2(AG!Nc9i z)%nn0?g~G4XePA~xJ?sigYP}wO&#V|KgB}Mk`5!xsY?xOjT}5lrHmPyEb~8TiIF4e zV54l0l#vSwVbs8*SHEo2;1d~d&RV^zDfxZRk2fLqP2?koMkl;1h0-R0W{{$9hSL~z zv>M5zkM>EyPL5(1e?jPqsvFL@nWkO7E*cWbdLsO4Dp8yWv!&-rMOL6H<|z z^&`BQHz{J}zfA5ZG+VDev-{>G(`;(TXB`&78lG;n-fmQA@B)^t& z*XN*1%{cWs!PY@*;fUMaMO~87K%wgZe#n>CJ@ooE*Y(2Sbis%Ug^HOH0$}ePP*Pm_4Kl)e{@fGUPrgzR(MYlP3BEj&f_Ju$8Gm7vuBTd zZQEq&buV8j*`68}#C?3(MK=^h>UGwEyWRz(F&C@TdPjaHj+Dl_2c5ibx1$`FL=Q!? z8DjK|9(cbKypI`4j^B*z-f%F9B~KWu@J^6Go&p{jm9U?=Ar_Wmkq$?>^TVquqfaff zy8gOaB7pdEuV{4587U>pO5j)Q^R$zoF8%yd`{nOPo7i9(*vR%yufhqP|dOD0^^&q61)%@%Fb zbW}xOM_k2KO_OJ-(9@$ncp47pbc8jQn|Sb!@6xErn7hlhf5EgyA8|9r%H5!rVtOda zndE&@!WC1t-jLgvn}l%vGiB59)YnRe0J?tNPWZaiaZ|!t0=r{)>2>doPlL8%)*eRO zpRCr%(<-yx(HNNsx^ z6@`Ed&G44vW)m$4devchW@#pU^y0CemY0?7H7HYD@Ou zwGk`aL^m|u0btIqzO(xB#=7J>s#oUXNz9X$Yu`<4%xS&EBI@GG@R6+Rm!5`T*ip4a z%F;3EOjgE06F;g#_sn62%EgQY;jwBdx1^gAzTIx$fw-I;sKzcfy5VNdls$f-5^r+T zyd|?i#+zaEh(?XuTzDi@+hyzueSE^*eaO!W&PSJmQCL})GNaG&?YYjtEeJgX8Zlq9iJMP}K)xvIcRFX-FgGRd8! z0r=CnG(I0991lrmWRko6RXnjc8=onV=asfdkZ&_N8SG}O-mlb!?Z#}?c`v_uWpnen zWUzj%7x6o-MMa-Q3^CmB|A( zJ=Scy;~neWwDVfH$q$RJN$I72S}>P#`p^Rx3eeVgwXc_HaB3__SQ~ArFl+55^x}D2 zNo8f?Ei&1c1W2KZ1r;v@?{;-|Ub3{0KG-Wl&#`kf5;Pkgf18=0Sar#t@ireyT`9@a z)NB>m#jCFzv(YRPs5N^Wm);%_#^+koh3OiuQFy7%1U~+HZ)hfrJ^W$RJ68tOxuk2# z*zi;ZWK8v}S~PJI3o(1onA7SYxI1=@q^BB6#HJ1&9!5zPBlDqlbr3y@{0sIhjEbPk z_h+bM3Yi_qxRZUN$avbQcyrLd24RHQaj;U-1>Pa95ne&}_7c{cA3tCkgpo-i+S;E1&J85Eg~1izY!(4Vn+{SCrdck z-!!-5@&-$Vp3&Q#?xX4YVQrIpVF6DHySF26%UIcj_rxeAGzP8EK z+uUg;XihG*dX4lR?}`k@)_mfn23iXCuc%TgrT>xy00`Z=Bmhh^XW0vXN&+BgW1R3G z)6CDXq(op?fP(pnSP{V-e}(b#lm25z zJckmqsq|=fHo}#B9{VPtMY?Qp&36>8r}{Y$bx1xCj_xQtknst7lCaxo^io2BSF80w zupCju6x?F*?(Q_yQ8t#_;X&rI@m3A8e))i*%t(@q_P}DAONy^l>wdCw5V^I&QZ?!t$aM<35^iTygKe^_9Vl4)R@A_u(S|q6Tb7tF2ElU|B^5#Cs#+QxHXm}i%qA0)T`&?9KmxoZ3Owrk>PEcO7$1bBYC%a`}WF|`28n82r5(1 z^{(dm&E;n@=_n0WWeRIIAFtfHVH=|?d3jzeE@~KYceHM zEGwhJEyJFF4SS*_JtOX(*JnR#3~R>i>Qou!xkw>xO-Ob=!B=E(B-M7mWg4K{OaRlIs*KVN&A2LD>d z`SnGg7`kcACXG~YGSxCIk}PszASo2hts_6Ll>8uuOQ)54pXV2IhWw5CPyIq zCpfLpmvP)eK7xBbN7lo((|E8KHG_&-HcENBBb$K6ofJ#6bQw#JZcArE))vS3p)y;s zfXGA9J6P`CvsaqvyfE7^es~m4R`JJvop&>GfO{Eb)Hy=MZUzGZgh7ioWaUQMS{(Ib~m6UYukzbhAU{bzE}+9MVWMdR^sHu=}#G zu>Eqi!F5}bkk7SOD`JwazO9|+8&&lE5wBMH(dO8LvylEmnfI*^r8Gs8CT8|OzEB4Y z?Y@K0T31ZgN=3Y1%J1Trq-9ykL3%Rkph;I`HMfi!mz0 z{e*6+68Vk(r6O`ST+4dOH+S>OCN4jcek}Ff9y2S;JA(Mot47^XF33=-jUpTdDTO&u zF<=oQq2F@~M}NUM;W6);cJ2onQ5!T9fZw}4tbkHLufQ$eGB~e+m+h?R6>%5GNQL14 zA?>Z>s@lHwVQDtqEhR0r=`KOK8>9tE1q2DfO}7YwEE+-SF6mYgM7jhiL1|H1PfaE^~`yQ@uhuN$=znjp-z!Vx72+A*#B0 zro`n;JQHHzvO^;t?%{h?@LfvA2CwAoqOR^0H zwd`$+E0)1cuIiuA7VTraP^S%6q=HW8IW%Lq=k9J|I^UPV=HtPyb8k!9S&XfNV>lzY z-b%;GeYnB&>{`w=`cnmevIsg2iFu`4SADdvWnSZ)&Dve9Ypf;hbn4_AuOa=FN|>48q*fS1ESplH8v&?)4P+uxPkXap0Z3Mm>0{n;IIdFhLhy zIXc}Yud7kIZfyNgHk~9$x69~_!!ycCV+7m0Q6ZL9h3oq{@nf8cX1wa@?MPFN7Vj%# zMLk!t)9UI2qG^H?_|iM>jIXFWd=7shq0SnCphlQ>_;KCZ^yWtKl-9qa?4c0MZnUi% z@WWa^jpgj*`!_-a&sD`ka~*u>fh4Dwo&n2URQ3-rsqb^K*S5Oj9N(I$&Y9rmd@@1c z=6vINFkymwU2?KJani))(4`NbdL^MegG>0%F4w0gH|I;e?zsN+qJ4uqD8_)Z{q{92x=uJbh4w}r z=OHc~WO2D0bzRnUoWdMZ8*-ArhVB65x?}mE_51AV4fv4;cRt--fz zxh!(3Dx)=L^%OOWf-OqCeyndSRJORPB@-5uWqe4v4E(hZH$REs9w{Th^Aj4*m3oqaLY--HiBPxmg7C2N>qqkb6`5V}Yx`ll}d zc`#u8D=Gk)V#EHzi3(lNA#ehZ;6Ji@{-TQc;}M9!0lZTXvQYv^W_30Y7Wh}YFgP3m zoG_svM4<#Kse$95e{~Q9xq*P0#0}(rzWE=e9PN)x7^gj3{E~j9g+Sl7r-AB~_J8Kdt!Mw*%gPOj~#;YVw3!A?|MmK@nlE*_diK+SwZ((M^ zd4Uf#0vS|uYkMwSr{UUsR}0|rWa%iaY9uJ%Ca%d);K92ppjV%Uie zIk$(zacZaHx@uRU4Of4{3i|bprtQ`;#)tiLLm9DOh7g9-5kD%f;{+1ocD;>pjLaoG zB2>JUUKS)YU%&jFLBXWz;R8enw`Zu7${Ztp%=e$S88?<}X9uVZc-$9Q91N@x&P|Lo znO}4{Xn&5f$n1w{-+t{iDct(5!UAJ?Utxhz#XX>7lT_M9|e{Dvxy5u8#! zulJc_c8C1h7rRe(geE-nnu}h&oc`j5v4c5Lr&&pXNa@>j4dAz@z#zJ!H*k84|LoIO ztjV}Nr(a?{XILn&siMfGf3XzMd*wd$scjK_lXie26!(4CdM(a#z%NU%q3Z#z@D`XC zRkBzF^)$LbE3w6Nj3;|}xitdobee#C-T`;AKOb~jX}r@wxv4Eo zjPDUULbTUu{bgh2n+M05N*Jt#Q7Nj`AK5%w$UkpC4Y*A{es4xhTU2R8@wNHGnaD?L zcW2kS%)UEqiSKVEZ$$gyALaH?xJRFq;I>OQa;K*E@^;i4zS;amF}NriVpldjxHe7s zkbEablcF`pZGmP!i*v8)T7Hkw7+u_s~0lKgOp$BKekX1 zaW4^ar4>pddZ|YDkC^Dc)~<_!!l0)pw8Rc0hnC2QxgbUk7$d@-xRZbjlW)kE$a<` zj&vXYJNM%;l~$kJeB>=l@8e(iNol+Xurk)N_q3$(FN$>e373{0OkFtK{2cBCa8B4^BqF32d-i~mTJ!NO4jtD!(e5yO3`uz$lE%G&q zExuc%iBd{_Q!c{1HC8$TDrBt)gW0~TyI2?9x z5y^d~DQGe4T@fi8Rk`6d+{={e_l+hnHFwCAfVJWl@5XuGUJje~%=g6vnzi*xZT+-? zLYf&ntmj?)jCV>$KJl~7XdX6iULj;*64d8_!{`*NuA$!w{QR6mQIt)2!`yVP(9l8O zCGS_S&qEE@Q^d5z$41L-EE_lfS$hlK7yhR0uRT_sdaq;rkm~Kh{)Jh{o@hFfI5zQ|&IIlm=D5+cQ`Te;n zNt_Rld##HKF%?nJ;u#U5wu5h*9qxgL`{PS4;CX<<Zu_(-c$ z^l{y=umrpGT92xfx>hpEJ^2?{q&J-o+Sc}euGwOpc<3&uZaMRbogP^*yquudIpc~g z__$ z^V~+no(zpzIz5l|uZk2!Ir;qp{#_uga9F@@&0WcK-K}!-m70tYv6fBk*5?URlblVr z4RiCsL**Vp>ETE+&T+D+J$|e1=v)33Sz%!tU5-9OOYu*nzsXfGaDSg=MeRPs_Y3iA z{;RAn)EE!LgE$2LawsYIFVdR-g;Ex|EXo4bIplIkj}YV+M&>Z-0cwuRdhJL72~@t& zTzI5N&E+8y5dJW7T-FIjHvK^hUF4l#jJk+vfhLhZGhdc(L~1T){u?z%6%Py@0yX0S z^PpH@(aA9$s?yI8cSLP8KXE)4KMgyPFU3Cn<7Kmagv2m-=I zIG}kK1CImHak_E(wxkUKD_$01^s32jFr5Eb80@^vG$^p;I{Q8q`-$2UrXR0prJr zHYn@N7>SNe3I`EGQNVtJq|A^^t)7Z8WeO^`$=$eTC{!3-c`K~%t@*h?x$#199g`k*U#El55GIAnc;{IAD3 zuA@_eB80({)bRkK{6%3HHZk~lAelMk4v0&jk#o$8w}K8)iGZ2actKY{^MXYt z3ceE%Dn+uIhzbfYiV1@^3dv`{XdxM47#pLAfVhpI4ftu_7Ie66&o62#<^aDfBrYms zBYqqF>)eJ)g<$lT`FJ81WsAV3=%R$gKh9%Cz}Jps)n60=z^^|SG@_Rm7o7SJEC;R|2a@+0en7b98y;Pi&7Q;y7T2W=`a2X zz_J3_Xb@3CFfkZ0$-gJMY$WFfAK^c+Yk%)622O{;4@n65g987SBxGzC#G?PF8w-K| z1+X&&(PSj>4Oyj0ZQONPH zL&M@Q0+e}ZK^#VpkmgXYThWQkIFzSQ;`6E4$w<4J9mhLQ>|*3qC0tu|khj;?Qyq)i z_|_;&Dz+tMM^90#fo*TF&w8-z(WKvkpUg&PreC%aHja4VNwCfqy5 ze6_77TlEEF=|S~lui1CX3W}Vr>ZpooNBjqm(qj0i#taZ!y&bhnn?Gvl`L!bo5b$GP ze|W@@`}e~S1yKQAbUWPdWDtiNUhgZMl}}!G&IZ^us;(3ob{5BSm_9jDOD*)4S~Pou zw(0Arb1mfiSvCDj4{AW%unIs(aYPGZWV5DHb9~+D-e}lx#}=Lf|B-jFs=yWYO^l(IH#}YkZ9e@ZCqDXO_WZ1ei0QfI1(E zAJU3*5o4!$wC7KLpDCQQZN*+sgYvjIA7aM#sw3JZM?CT4U387+Qw}Z`mz2=KQ<)YWKZ5rq5kf zG7ExWPDhO4XDpQzi>Ym@sunu*&AAjP2UE3&D8&*LQ1-!?_XpVi9>aN8~7SmquwJQp%0 z`@TclWBpKX^lz=@{Yr-PtTIA)39Hgv_fV@45kDNNTPTw?s1NwC!oto_gmL51*f?&a z4a@zW_f9Tgc8W>HHGkU^IcB)Hdu0_M!)^RgCIY;=EcFsarUT@-ftBa1H{XZ z6#^NoL{0TJTA9yNGiI_=9($Ims#=p>Ih}r6Xz$O6Qn@&t+aN(pOR)JByA7B@qlDsED-4}_VzG2fj`F5k~gqxzT&No5hKnF|0@XD9a zTQePa8|{9+*Rdo>mEyPj4ELYjlTDZX?dT}dC_vPAoe6HEGK$8ogo$?W!@1ss=T2cm z@t{Q0vZti_lGI%R!!+S4A5KzLi$yk7k;IM;;du8_fsLyI)67=VBMdLtzLB9&w@mm@ zAUu=t6MfgR-V74A&r-jdlVV)B)|%j^el`82<7>My4yhJr?)0+dAfmiGoaup7Kc)5u zGOn%s4Bic-qA9$->$)UZ1k--_HQ1j5=cm<^AmMxDRw6Zr>-v4zFq6KR(_}|mRBSDA zy(VY!1Ao*cZkN!A-#rPHF*KjY#3DIZ8{!dDnfwzAg)at=2y`n?qXIr+sYX0BXx}{j zMQ*O&h~I<-cl>g$6Z@5-*E257q-XH-3-5-T^^04LIwnM4-+J7WNvp8o_zL%R!dd5i zkE<^|HGhpnW!&Ap4x6qFUuEzigAC7pMo%99OWH%Lw3L-OIjdVy*K;ZJNkilJILeu` z7)I(6gFFH~ssphHKV2EElNwuaBJy?lhSu;KEj$;??lPj9rt!xChF`M9{UaOz=l^>+ zfF9D|f$^z{{qbReLB8~SFACQno!N^F{|n&)M32<`@s2Oj>n@u9c*lUB_MIHe2rA$K zKFb;}Of99B5C)CN!)`+%e84RUQh+^y82B$86hPjKg^3Nh+<-lTT9G;=g&ZWU2#bKc zfx`yn+y?x<^hz)Uw6p`bv=f}jVbBjHm_78%0kkICWgjT zk*sFrfS^xK3Xu7^>VRQP@4*9K@C&o(f>+F?4n~aW!rUMnEg1dqxazKp^KRu9# z$qzl&gK?1l2So<>MZD7k@A2Xf8@V9-f>H4A9uMdttqZH7Y-p%_#ze5I{&Ts z1{9=leQ*wMx z#DCZ9zy>ut#_=M_SOq%iM`zX@X6uTVg>hbnFU`bUE8_Ttq7h;SN$#PR#}tiz(WRq zKK8bxJ^uYGQ6wRLN#;+~)m|d-+M<+L^7=El+h`HVZc`rc%BNDBgsIQZUNT^uJ<)VM zr~Qf>kZpJvK9q!@Wn^EaE7vE1kvngq4Jz0@>STe5o9yKjJ;yr_%6^C)<&jrJ@TOJ5 zYGw1oRs~`Axvr7N2-bS9z20EI*kj6>^RgPFnRt1AgZ-4)(JL6{K zlNBy@lKOFs^iH-xDnuA0cG%HRxDc6S?Zb>fqjl=f#YQ~F`*k-)oh#BaHYUoDnZUTuX7$ z-AvstW{DYo{daF4tVu!p!LJXE-L6S56!Dy~g1*1Nit^PPPp@I~Ru5aGtYvOj3cMZb zd#L$d$L3`G#`>-G+uF$qoWK+mS20` z`W`Nl!ck(Co+qRwCZ>!CBN9dZJr)sq@4+5E9`-Z$oDTK_^Nh)~?N<#In==wY53YXN zY#~tzD_t~VKnbY5D$T<8hi z9hrPM`r}$r)e+BF@Ahpz{fegY05{x-m^DOM68mM!mX-P@Fah4TWvRAK8{*_%Wam}DON@b+X8M<@t=7-nu;kf$-B@bv6$y=-FJ1vTh zl*;3JiwXGIEHX_d)V_6-DW}ofOXQ!@;&@4od~p;d>v&WDDcG?wKC6cphxJekW61E- za_Wu(;X%Hgw_dUw5u+mFQygr5Uf;$}dVY&(rTiqWDv_fud|aLK+qseCJ^b$;0WId{ z#ynb|IjTroDH7cvmN-6wq zx3vpD3Iq8&q4goB~XBeP#UT>Cj+8BchJK2O^0+ic`BqSAajP<-ED z`IUBe&#nHW(Su*os``>#9qbd`oQ{utou?%?8kJ+2`PAD~8MBC=u(ef6^DofLA^bYW z%CXbVzPs)m&odW zdKUnmJ&*I}uz?;J6M?^iVIYEX=~@6D!lhEce3aq>X3O3rkwF#!#D;3jfk6W~d*CDk zP^?1Ew}3$#4aWN`fJ9>fbA{r)U?d<1?Sg|6#T#UD3I0{luSpC>fOP=|2a^+80$XiN$@|6njlnR zb#a$9D=-6>J~EH(GF15Iyje&{0P=9eB8QZ%VWj_SV-RR0`}asV2sJ@)bR06Mi5o^h zfsCBM|J6rO3_^e(A#?!76aSBGlw|z>L01J{4$2%ZDH>ogh2%wH6p)(;j0p8FEjr*o z5`;z|;KbyEP!9ROZwYucL3A;Z1k|Njv-!`D1VUX9T!4@iGC+oYz?|~GKu;M1Tq@{; zD2xOROm{(!3B0u`8$5DIUJOP`{f~QqXr=%Ns=`GPQE# z>S)ns=U{OmxRWm2{fTt=OZG-e_n0W6`P*=@7Bl`GX4+rUzT)(m7HaFnA<0DJv_n#Z z{5a8+!&eX(CVtDsM>f8u`AGtUdI&`fddu5M&bea(C6LVHg|cpusakbr)7kYXSTOo? zsu3d9n`N_n9XaRTf%ngQ?Q}P8QF<^{EX=JdYKze2SYS48KMBKwIcHZsV4>IvP?#V( zh&$n+sU=>Dx?hck(G}UFqhkHBlkwP^ZgTK*f}}fQSl?rKTWoR?PhgO-hD4Z7jUR_4 zoV)9XiVydqQx36^Dl2Y{*yw#9W{6*YFMc8qIM_B9Y z95@@=q_#YIb{2(ksH~uJG&BwQ9ygA&aVOt*q}Tev$gj&`92Ld~2XB)d}FX}cMtV~>JCt9^+ z8*bP;J%u>gPk4V;z`3h^=Nm4Mvku{BeicTrMLchl+T86AkIbEQ(gQT?(;(Xe~V z#JT0zysV>{`IP;DJX2`E?u6T`m-B{L0@m;xB3ifM(13koZ+LFxd$SXhLwZe;WHf2C z!eGw4sz!*Vb=icft2TSvXGMZ6u zn4zIO#vCVU?i0)I4VSew!M}4|zRz7uD1Zb+)N&pmp!;t3u0#)gSdx$2Xf3#FH+-dR z7g6*hZ!*9Q@hJ9v)7;gc*=&{sctSmIi_THh`Myj5~{8w-9)=ChU;>mza7J&xM&iblx%YKH}@l|-v#<;``_SM zakY@4M|QvuWs?3iV@jNBFRtDv?VF#Ube==FrYS`)2iM0joayz_HU;TCgGai@b3g1X8CjnVF>gkZFjf#4c(Y^9O9amZH^ej> znu!#BmUHqEn$gOO6JFrMJ0f7QJLevacOOl95Maj`OevaRiL#kGud@G4e6-apCH(gS zPFq4TS{S_@lioIUwac1L>a(tjqwn9=&=AZH9M8W>#sn#iW(RqM9?aJ`2*OU6Si)ya zVd`!EljU|=3Wt3Kty>-e9rBx%TwaKoV=nv05Ks7BJ<6ch_l3_6+mcLt{E2UXARpR<+0=482LJNmSzFVDpqpqu>6Fxzt{HQ1 zs-X5=1`^Epwx+eSP9d)ggGw4xjph3?w({AzAFWVtUuyFZWZ z9god$cWIm`pWjDI7j|+cXf(3)?&KDUODWk=HX!I>xz#+t>ZCr~3x6#iOI7qljyn7q zFSD;dnAR_BvB{$*rU%&sCT>+C&mx#_%!n*M zH$Yf3%R^sN_XOe~e(&%-o4{>*m)VEP~Fq!LW%J*e4>yX!!~I=(}-+(Ct2rZtJZlR`#Ytj_n)(x>FzYN z@M^X&;I>d2@6}aVjoZ+7VQTLh8nw4K^S?)s9%-2pcvo0Z>`<)ydRG(F`Znb%v*k(z zni^HaI8_d9Wh7CVeK@g7Mz<%Kj>0?sgI}p}s06>yNqTY5S^9Z?Z@;x~@NOPeHc2g< zigj1)b5BVjv5IDSAqO{CjZ==nLzKmsp^f0>(V6FZ%M0Cv2V1MGzcE(uZ5mjvTH<9z z5D8R56Ia`=l0_0l&2uEx=@r}_bJQ`Jpu;fe?)5wvlQJ8)cJwLVnA60;rHw)2SvN_W z<*tFl_omxlPgn96@vyFbvxG+XGX%^xYTQiY&!%(o1iwv^+V9e~Ae8x8dGb^B$HJTeKLTcR1h+u?g+2BJLEK zcsq{Tu0Qt@)#1?S%lN(1jcQ#q(X1wG9LXlj9E#~<9T>YGD+xO}LRzz1$&AFeg*tSG z35B?G{Z$t<^OiEPXXhG0%<9-~Ir`|A?(n{cMAuxR5+4zT>T%9s*+x{+6WPTa1XCgQ z+l3(tjGny`o9pu&Rm+p4m^PkhDc0dK5c4 z+PVt#J|jlwxd84tzN>cy^aYTKgfxmPHE(qF2HJNL>i&KtK|RisURTj0i*o*p)=*^2vDO1_@C ze)6^6Iz-tgRM`3sReR!t%>z8iBmL4AP=KoQ$kpzjJ6@qb*-C#m42;P1WBFw=WH|NT zMV0{q0?PHeSf6LTz+${)3t$oy-WLiTZ=f&&n-(bcQt<|sh1P2`GoX6gcc*=#{K8KXwNvx>V_6KeL_5^&#|i;RflUE%$QZl zD8@VegYPnReDl+IEU)HN7B{nsRz_NuG#Of%ATlS#L7uAeJzxB*)XN_Ularx4!3FJK zBQdwh5l>>!?-x9jU&sn+ms-2JM3m}gR+QGNZ2EuK0)4>lQ2Zpg$( zJ2SEeKSTntx@SLFQ7)`hvpQL>Oj?97EZq}#GxbIs+p)c1KXP}${TOCXk+8G$fPY`6 z={v{BFELM8upWDFVky}qUI09VRbAl+4lORphtVm#8-*c#{1Jq&8#9)8-<{hve$S|- z68f%EfK@nrhbCUohQOXi6)l~2Jz=jsjLw1Zma0`{u@4KiLu;0#jzzepR6!U~5ng2T zhdrvV2yFZrAB0QBd!Z+s(Vpg6&)$eCOmkBOTzmT3F4ez$oyCad#OI44je}(fNAFF? z?a!VSa5NYT6SwbD*8u(iflOp%?PJqnlc+$FxYMS_L0j|LGVYB6{PO19-dpZvQAsSY zjBNvrTF<6ttDpz78!|3?&G#PI`0%)n^I1op=^$D|*~gtJJyA?IC?lUnRJS4;1(~YD zT7%%qWVWi3uI$+ecMi59bd8A&Q_Gq5PG_$w- z(f*os_KC&DxcSvzI5?|IwYO7uU1fb=Etp?LAc73IUM*xD_f5o4qFEV)($sGSo{aW) zm0Jwv&Wn3lQX3o`DAR@Kc5~iB4;NixJVb1`t zt_eeB0bpG-w}Rm%(Y}=ZM;PY+|JrsDhJo<&!4vc9kYOXqNiUeSw~goS0j5pFkyV%S zy1bBTVx15k+9zM?8XL{8j&i{-`N^Umg!QMqc=GCW$LCjqov`N^o?9^fL-W$sw<$ZheFRz*o2UIRo=)!o)ZIcqdy{u-2_}ahU$eEd%v+r@ zZ@QGbB(v6#6^*fVMOo|S*vGI2)?vRvuUClj$tN!EubFlucov|JJ?mBfhIao68NOoY zHOel%a{T1n{h9u9iH`K+Ivk;)xg8>93gBS1mYWU#%>fLT_i-JW#gXP7DW_u01ot=!J-V-ABGOt1=Hn_4* zt3u~JMX?h3eb{}c!;NdVurg2F?d^}xsIel6G20@0u}+xX^hJg0yrFuF-}pUu!bT$9Ng-8uB6ksmu&9ezpVS?`-R0|lG%N$};#hTzNp zf=qyVc>m$zi9_;jxa?pxljkC3kN~UMMIQiV{e%65|H1ykh5sCP{K5VLDDTA%Sr9G) z>SHAU&u-osz!v~)RptWm3$ca5;G`m)jG$QGpL%_u;2ChhfQ+?fJj{EuGJH&U4Vum zz6h8oH0X>&4COuqpZNl>@Hzs_ccEJaE9K<@zc}<`5SN`C{vWCKp!yyp&rHe&#YDo0 z(f;e|^`EN?$Y**X?6M{nvYj*vCUwz~_YN)#xxhsM#J`&YWS=E&Tvn(m3VBcfu5$0- zvVo4s7x%A@DbX;=i!L(JAQoc!0u)Rrvz7h7!CRsVz@gwMbTU+aPy`WKb>TzWg7&f) zQSZX3@iF{aMFoPby6#Bl`JCNO5bBpYlClz47X>djy{BHrrDMN$MB~wvn?lmb$^vsM zHV1uVve$1{ohE?=!efMq<0g9G)+ZkpVzDr|JkF^K4jFbtakAs9t^Fqu-BtUny}_=R zV+=oK^2n}pQm21nCO$T)0YQ51P83~70p+Rrm$cYByYx;X~mX9 zpMQFd;82W0LDjC3ovIY?5I9s?NrRqB(iE=^||D#a)P=S-u$9zkJir960 zCaIX%RT_sc3z`@l8uzD(xvVpsgE}ykBggtIDq>q);j#lMr5sZ)l*n@OBYikO7Mzyi zqqGSy94a#fHmkAuy}U`QL%pFc+Y(*w=oLz#Uj@eI=3lG4h?Y^JvMS*x4lRdE9?+zX=(evb`RNyRse;+vi=-~IABqp#0m<)yjALiw#lBVMoT zg>>fw(X-<(cl3>Acxx(0Y+E+++Zluq2nr$syOI5l5~IhGNhSg_nH$f^$@L%Uml*w) zBo*8LNm0_ny^ZrZP|!nMOC9YM7rv<-9gP6Dp?+5ybo0L`$XYd-ynV$wUV0f-R#IS&EYhvZ&?&dds;edYUiYIeis|jF z4WX0f;hl%wP4)43R%8`7T-yBtB&f5s_##(B{e1-*fBn|XzF}S}FPK9xKU3)TbD=Z8 zR+cZ@cbvtei*-J>VGMZ>t# zf>Yv$ZVz0n7*OlPT_`u30-=|s-cFH3GmRxrzdjkB-UCnu0Fq=WT`#U>f8mc-FjvpGGz`^tSx^#KkQ z9F-kJLXqp*i5SEu3AAqQpcDPNyp{pt|cMS;(sSl?Ti(>wWCy-kBL^y{W+ z+L!Ra@AoVbdR=gkfpUDjw7a(=|9xW*=QEXmGe^zLu&#vx*0P;s<8Z?auYjqEW|rc* zgqgt#1<8YH0$$7ZPb%3qlNR!C#3b@QX@-dQf->zf%O^yD%eYVA@HZvtH7U9uL6Hcy$bv( z^(ydJsaKGk{1+$>nJjUE;1js4P0I+HgfHz5YCSL(Ai2T~Af||WF?FG#L|7Cw76L$H zM2%#GQ1w$-5abk!yaYvJp|B)aB!n3TE<1flVUSfaz~$v3FF}pfct|!J*}w$Ab0jIC zVJ;k|1~F=bew)Zk-w5O^eE`n)1_GC_6Oflbv9O`+R4{2^BEY!$q=B0oKLwI*I*{N= zK)Rj*B-~^mVPpd73sPD@O6|{p)RYAzC2r zF6eiTl#Y?o$8;c#<^icT14v~rfb=*MND(iAbSDc)*7-n!_rdfb$pSD7Z4S_p6@q*0 z=YV^BD+Idt&p~TYFCjWKPy`Ew@^isuUNN{iA`eIpOF)+qh)@^OFNHZmOW`E?5J4Hx zAM949+fiVnGgu{akzN92RKVjh{sr3MxTsZ{(0`V)W>F-h2=rcxLI zaxaCfANg1Q65q|gM}z*pulgS|6E3$;>Z4%$ge=wwDmj2-Y+xZxO_3ybkB%xCs|c^7 z2;b1lBY1wA@K$xo?f&=!3XG@SIxG?tc|D0M1zoo1rvyr>^;a1@CI`RDQ)ue``g-gT z@sa?u4L9cQ9))~E)bl6hrxfgL51wl##PYn>yq$rkKbXkSK+s$rEa9~2vf1<8+uhbQ zN^i?^aM(IbW40TS`SG2D$gAjBOqAwP%w{*|CrQIc%xxdUMhcpA@E1ZSkGcvm{eL`i zw{3|c*&>1Zf6hj1+kS2=`atJOZGhtST9}EunC*iS%P(dP(gV?}h9<){B-@eiyl_I( zSDPAhVqQ~ryf19p$hd8j9{%RVU{k~@I(qQCvTipA+nc(y%?ORV*F7qzm+$lCmcCji z(PSAjIk5Yj9@R-p_vor^9v-I5`qs01YJRPq3?cbN4`lI@6mEa?(!*O8BI>avRv|cl zXiiqe4|g5zeqGS_jKU`}>xE6jP010?snM02tVg6Fc)qmI) zza1_0xImztvjrB5;I%$dTRan^BR?(tfhY43Cuf^x;UmucC*jt5^49w0U7fg$RGR$Q zv`6sPjT7RqYgrOADn+@f@FUy&sSHk1=7`kv73RJ%4c^sdA-`wLKkoQS%3MzxC?6EA z#QuV+$m2MqTqE7D|U)?YrS8!owB?(I$6u@{~QX;`eUQi{Qt2I4plPG!N=-q$j zi^ArpC5v016aO72kumeioREcO%x#5Pcb!@5=c)Rt$>F=d>OQzCUw!Gh-8ZsJV$s(s z54Vdqpw{o7f`S%8X3+Uc(AaJTz1b)lR=OZ9YyLLWrMqvEe`bAYb(y?2 zMX<)F|E#r{6tVVl*PnuK!&&@B%-a|8+qms3I`285a+l_SI5qw@OyH~A!S!^to4Nrud`iuX@b=0gWTJjv0~^F znED+mwYT1k{=(wI`6S2@mK(k~q+h5EEwH8C`W!1&`2a)s_u!ogvDUSco7(U2gXqRz zzbS?^+KTGJ^hh#`Y1$^}gf+1GV__-htidh=X`};(d}0OJ;7A$iCU}ngmz!`wS@O@b z1dt|(WIh9D4i`I3Q$c}0n@5me`IlM$<2eXidJe$8|CLV$gg>5x$X{@N0TA!MbO!!P zaRb?<7brZ4xf%8maudX%g$P?QWdKT_80u(&Spd)A$7@_&s1pg>_h|*t`&ZUZEq|N^nPV&%G}i;t1L#_j zIwvw1RQVd%!NXQ?1BW-jR1UX+hVOa6(NK5`EMjy!XrS%|&tuo|XT)AFKoUxIf`;OE zU<5@MXn5|Aba48>6ApEO28({sP}B`1(f2?K?g3qpX`c|u0C-^Y*MCO59{?lB-hh5D zKY)JUkkX?;AoacllF1Ng&FKZUTVNOrGkynj8^fSgJ{w4{M}UOe52Wl-&~K$5^z$79 zQu})V>|ZPcb?XhrfoI_}02;U^z(>&i02=tma9|L|B+L%FJ_s)BCSjs~B|MM{{>M&Q z01A#LWQS6Qfx+H>iY+Vhcgz3JQ=$Le_6vgF057%<8c1M;f^UPejAy$rZiJb|y$lty zz@y4VH~ATjuSvnZ5_fC2OAOO}ji1eMF&|Ff>`wTeSaiC1L;$els&-LMB*lC-A(8Lf zjxw9>J4E!XufwWSK4P1zyZBnu?`45ZjKuqL7_VYA(%y0hO&+aB zekdhefLHYrt+o#z5{TvJccE=CLBw|71L?ln5QU#(_@%zKR`Y*AG^A;l*Zp;9_HcHp z$A{$Jsdj*3@tv!k6MKz*v!ZHBJy8b-L8sI04>(>Wx!-+sV|tb1Cf(C8L}FyqGlf-2 zQSJAI-fQ>RlvgF`P3MR9tYsu4dzT)2`DHgOx`?a7ncKHkZoAPumHYOFAp4LfB=Je0o@W?N zeAp_QGal?)gP5K!kCh?5N*ThbEXj|UGZLG&KE%-wU9LFFzIRk01N?c9 z)@ve}UDG?3TiJKJVV)@CH=7%7&RthOuI^_~@Thmkc5jK=eGwBxh%)@s(BdXu`Nmx5 zHOvi33}ad%1!e&>10v(8O~$y8*do+j0q@khiLP7gQ*WH_-o3*$)Z=T4kZw`Y8!I}l z;uOt~RVrEH+Z6EcoH#_k;)Y3{ru%x)%pV8)ixVv1wzEvCk642Iv#+%uUM3WmD!dW2 zKYJL8nSonFXPYi=fAC0xb>XeVy0W3posA961zS1qlTQ}YD|3RXw^&rebGW28EUe;e2p1lexMLD?h*yRXty?_vd%{{Zr?0&V8NxInMoh zyWVfN>kaP{zFlFL6{Wu6@HTIGW3P$}5{EKd%pr}De z6<((Bww%IWNA`}>ryup{FWF1duvL2Fjg{z&Gr#3AO*qFS35F-{>haSXHsT^?9_lk| z?6stORp4w%Ix?i=7?Rz_&qbS>`#}>G#>jg7WI4kbuC@MIdQYFV|Ngc^?&iK?Y1G%6 zW8<3@^)uf?)vowUr)HEguNAWw$#(mG^pv`~&bAs@yNZIa0 z-%8rxPt|DU+aX(J%D5G`o<47d5L7qnEE|^RZ|qAJC=E1j3R|bwnAR34j+`eKxpMh~ zh5L(OqsCxECa!_c`&*X}BxWDDGm9ilUilDBc!lK3(=v~OC&gqutryt#&EeRg^_LJa zJKHj~^PUG7Q?G&t8hB(%1a8(OM?I^0`}JPRrhScZWj)p+-QA)E#Qt@=Ln%YECDMy? zO=)u)4~(uhyooQPv5PRxE?kTslV?2Inj>ED3deR6>A;9Bo!L=N%f_w5m zXgXDG@_k|Kdhg&mOr`f@UB;h{2GueCXiAh<|NOIVE(QxlfG3gVoQSYdiJtJ*f3O## zAl+UU;Xkm%Nfh{kpW=!Wwj4-EoWuek4^A&3^$$?kLsaw>jfkGAu!1tDBsq|`I4uJ| zCCNokRo6g!K<;4@FA&WV+Uv(NMaA?{hyx#SfQSJ9E+_;-7R7zc^BY zK^$m20Kgcm@w2c3Kz6Pe=ez_eWhEXzdob59GLzPV4RM6nZc*F)2Yw zkeWzDOa$mefP_O5z`Uw%gj!g)O5BpW860|M?(3^=EpmWKn!dnu49>9_KzSyG$^khMdU2=F`KNpN67U^swU z0&XoRK@2ewq|!zP5GJwuiU)RAqroT=7VvN=fqsW1=p9I&2#SNb0=g1FbOd_)6zeP$ zMvRXQQ7!-l58FWsfPJtDu_=&u2?&2=lmQ&1V1xth3gB^xg?>7xJqw_5YIcAoTg8YJ zAR7WAY%(eERDo;>m=_W7*1&}T@XRM>IJrr92Y3~fr}6A4z>^e2rmGYI4@n&O?}$nY zgQr?Ub~+m}i{Pmed;tj0vzH8$MiM~hUp0^u0z^@MtMQ-t===isE@U_-4E1sW$`3gq zC^Z$3O>(*zXnmk2J8lUyZ#@H$8*<1nVK5dz*&!!I2+X0Vu%I}kpA95XP=)E+z@QzL z!Na7U#nT6QufYupiGewRgI7iTWGW%FdZ6}!n!$r*FoSPqLEG89*ffWQNPuyOfRRXoG@mHJ7a`E}+d*)%U~aG>%XM(Gstb6U^l+e4143Rv zek@c4F)@&U3w(xR3YIW7HsryEc!rvK1u`&Tf;louT*{buqPR`b} zYi@bwy`i1ObieS!xx$U@PO=$R?W>H`J@(;2*{M^B>!x@F9zbs+Due@HjnOc`D3*yGj)!%ozMBn-mnw4FCM2N6MNp{Rru?+<;_?GBfW`dEaABA zCATD{M>zXQg&-MU2BY25lM+H(48kGZW*BtAkhdWvH7ilSpLzemqh#%U?i(V)&B9`M z!O7pcL`^lktYzgP+3;YC*=8FWPLGC9k1Kh#E(hE$PIROi7SdCU)}YSP-Z|&hA3D@! z>*!NY()t`hYI^ZV;^X=2WQ5*LMlO#SgD8aZI>u#8ZYkx(9i`>C{KGYz<*lb|{mdUJHir2#CEK1k+x7UrSw!DFAVFO9AZ2H0sb!Y4&d+%2sr71M z+$Pa~6eFbMoof<@d+PYya@7sj#ZujEEhdr2@M zT4=f}6&jv%$1FRiN{EXc8)c7swBd2R7s(r*3mR$dpd&N1z|tvSAuQGll0v7)Causg znYHEObI(UCp%do{&hJC-t3A6eI2%j9;agmIu2bggM!tA9h@g|Ip3po%$yyOTIm(pL z&!snYI@8r>rn$4*43rMqI&KM73K|q`-|KoOcP0|U##hK9ES66I zgFK;bVS_5!y17#6eb*5Gczd_|IWM-VpJI+IYnJNZ{gGhVd$o$F^Z@OoS6g z-V&U*q)b4ldKM9d9!AH^gt;%;VVT=nW{c(u(69K5yoTra=`7(AOX5t2v5lAD8GW<0 ztrH}A^K_f?zGa4ZEy0d&zP-19Ww0Dm2(88e72gI2RpmV<$seQ#8&x)VgdOf?%PgL?$i9;0VyPaP8g~w5`l&I>z8ib}V;=rJ5i$ID3Kp7k; z!4V4wOB7%fkRXuEhu4}jOesX!XsjqlQKUKz&eUz&5-So z2>~fH;9M*MfTt)B6cGp40>~LpioSjYn)`4FnhSIVXl_D~6redNTx<#nDFEYu4jKUA z5&$BJNeP4HeB=8sXyJAQy1@4XRkDakK{#-D{#EA}bojFlHZ*k%+-=Ss0$AXg4s`24DhVXm2id}r z-qK}6YvY9@W5D3B=LZe1V^g>L4!|!;X=(lCRT_C4WAfvgpxvGegq`xkb=|z zF9FmC2QXMv6yR|PZ2>U40RCV?t!Vf{(1S31Vkk}*0A?gYgo&UqH~`t;5raMepakyT zz5uEsYcNTH(IV|QF`#cJ0ha_D$#6+KAz?caxTBD$ode{2fX9T147P=AXmGfpR1AD_ z@cy2>ivL7C11Ul9ep6$?ctnwEc7OWs{HrvOfD?m58!;dnoB$J_jOu?B00M9n(APse zB3fkke-{!3PaMpP9U%pjd4xxdiU!Mvrk|a0U2u_ZKEscU9AYGfNBd>{Mb z(+O4;(bJLzvn-|T%;LbXl$eiOqe0fl$u1#Zu1M`BFD$KH`P zu`9+KI4^ClRKe@D!tx?lihENL5RhLQ zRFt?Qu@T&?7kbN*_n)YaP`|ocF+P{)SvcWyhb&HxfQ`g8T0cUr812jJS>QPOCh3Z+ z&T{2!7mv$N#*NlBqVsBD*7SpluVa-dx^B*0m8%}4Zkmd|`c>!5$Y;lZ``>Jhu8Us% z9%LwX)OWE})sZt!cVZE(n-^=Peoi$!bHR$>blbW|7?SuQ4f$tnbE zs9@b_%`6*jlHz~dK27Ri!hh~VrO+cy6EJ6-Qh!xdfEp-U@mrih7YoF<}+>V5d6nx=eATiqF-trNOt8yXP@-BMB-Tj3{*p&RJc2)qI9OH1&1H zBQclHU%P0y&+vpMi&3ZSxHXTq4GdrHqD_7-pB(cT@u@aw!&gml&S4x+)Wgs08i!BQ zO#?H-ls$#UKq@Y~xCob%&Paq{>6Q$Ku`+)q6!l9#x#h z%!bed(}P6zehJ6wS-akXpIo8XNf-1rKFlxOrwk0_zPZO|%J%)C)}qHpsONb7sY>iFCN=)u2BJqYUJZsu>P3`YWt7`DKT~$8vevHJrtkzV@9<*w)$@HZ? z>#29lHH!V^W+-*%V!%iIeuJYNdK>kOP0A(wqz}~vwZ2BR!CHvSzU0DL3enH!m(tfP zf-8dtY!x@DyTRK_2y2O6irP;-)`oL|zt%OmjuY-{l`4JKW=@|xLM$>W%nKlyi_+z)~sOide0MEl)$;PTsLF2Me- z33U)WKuNKw1Q|iNbZ)yX^ zvfY?|gj-~)k3XAlSIlaK6SR=+^olSx;pQV4*gX08-c#^-M3#xqO4UHZ9p8Q9s%AY4 z>+bjE>F%wwcbd27YC9$_;;r}Ud}Gs;FyhM?>&R%(4`#Ainxv{)6MgJ%7Tsij`^GlQ zJ@$-`kjICMwr5|^)O%fVqkQ`^e3oGCMMGN1C$DSzP`Nz}{Tw;#7!%d;k6=f4>pjlM zD0P;Quw)4ir7ucZES;=qi|0IaCy)2)N2qJvTvo9Kb>tV@H$LLXNq*%kjrJ?q;^C9Y zAJDt3A(X@Tu(g#kWc04yLZArIDtpweMA~-OpXgQ7%`zy63UyNh+(42JI|=bX2T~?) zo$+D7{YSqYXuAMr_Cz^Z^p{8+{FjFs{FjFs{FjFs{FjFs{FjFs{FjFs{FjFs93{&E zpeY;`MU6T*#i;NTXFBAi6VCWQ%E_3(OBx84_)vnq+DDjpkRLj}2-HD^Ne+b_lE_hmegJ`sR6Q03ERPrv)D;KR5D3f51za+!0zU?*aNZuK z4~5NOVUkGzK3Et)QV=i)7+9d6oTTJ+N=^2c0)*L!iBF7j=dze4#-$V!2Ot*I5CIIU zB*2b;)WCscNkH4XnD|5~rKBjex)V(|m>9SP3Dhk}3L;(0#o&@jLaZpJ7YF)|tn>^E ztoH6EU_bpACx=N12P7AgIzx~$v(q@ z>aZBJ@s#|O5L;>lEZgfC@bp(T>9A4S&2&hj7l2bFC`Hx;{G23sUcc2u%@;j6fVJHz zV0dl>xC&5tCMGTg5}<;bAVNq`90&&^Q44q`W)yVLAUVDmB$tX$l;mD553SGO5D+8b zFJSPHn#SP4oJc*RNV>Z)(6aCnz9yXr9M}XB;><`T8bCsV0U_`AxALfw9MsdZKvyX6 zNg*x>3}@8^QE16Oz} zDLElml2cR&7}FD2b}DBKRGxtmkhn<$!|9VA$g@w9^oWK9`QG=m@Xe}_?`fmwf$j`Zc zJJ!YjpWH%GIu57dF8^peoVbM+(1OpzcoHtsEfg6dj2Jfs`bLtZA-g7|AE@z6MVn$S zO;&1H->6nto%oK}sQd#*v>DU^U?&KC19-COb@OThazOG7x1 zIi@zL5|g=t`F-4HN}Sv;$?l``vbKD(yyBGfCUDI~`wn?k+`)F1bp18a#PZcg$ zz@GU=P#_FV6KS^97Q`icjqg^|2s#B&)uyv6DO_Uxu_oy2Q4oMWS(Uf6-u$!B@5)xd zd;OEpsF#;Boa1f}UT7mL=pR$8!$xD&s2NU^-INMfFEnY=6!pfFI?#w=Xq~^8~or!lwRdH=Vf(i+$nF3 zyVMt%B)2ga-ub#UpQoBSBUaw7yT*iY zJ|D3+vHy*(=?=g3Wx;r9S&@DoTD8>k{hqnic_MLgk(p-K*v!7Ya={I2Tj(Z!E{U7wrloMMe{c?`%9UPZ{aX3qdb^leX0G$(Q+wmg?dR!uG&qjh#1)qJ z+^fzM?htq;%-(IxaiNhsKhFD6MTfFWOJykrQ7ehpkU0S>7H{@4oQ;a&m=)ak;D3B= za^-F>ovsX%5{L>8so1(5ZCzX!9cHWX(H_%o^tG1ORDIrYg27^dB>}8H|6}Rvg6jDT z4P~jB!WALkqyn{i;_`A{eMqr^WEzugD}3pnd0wm`Y*4nDpxIV>6v`IiAZl$|D{yP_ zIpU1Z#e6<$?zn|pIM2h6UUh%d&0Ot&GkI&~T~fRA+To+Bi8G-RUrnIZ4BKUv1m?g9 z1M!69mk(bKANt3i>tDR-`&?F1`Hh}|f8ElOu9MO}m++QB*Gd&;osmr z?+k+8l|=Ffn&7QY^ZuH#O2W+ zA=5}VjW52?d=6U8lU}6zxFV4$E4X<(aTd`biO|`p^zsnlg9=R)N|(cSkM(de^msBp z+#j!XCntU&Q=vRbBICp5H>>%qGn%)0`|UO?u52K4Q1eycQZmP}L8VNev9f>t zq9PS+He%w{WIrGESw3R5c`=eN^LOyC9x$0a6O=z8YysQ%E58!_zvNc}i8)aDl}=$X zIoJ?BWn+vTs{Z$(wNmKh;R7 zAP2VpQt$NIXSRP22M+Kxus5OrL-^w2zi$Q(QdNjUIm$qrP>=n;)c()qnE!Se5T{^Q z`4S!lmxu_`DdrDQ@YkIK!wE<+Dy@@Kq;lYcOoo3x>XWM#7NjufL)oeB6dao7prF8n z1Lq19(MTbR+-v`<7gQN2F%?vFuK2woB?fYEiGYQLiToSLqN*Vy>!*5gDUl8T3)4V1 znJHjcA|SN}KyZK?Mi%-PphXqJMkb*F!BYCs;GK|+IgMul3S6iL034TqyvV>M+A5T! z74kH;2ms2W;5Dkkqa^#AlRc@4Ap#t$*AK`kp-y)2j-NCJ91(zWiwRKZlmU~7Itkg3 zz%Zf8N=gdo2FGbZY@k|&B&h)h4TGL@fPawHF@%8^j-mnq6}0>76c$Jc1KwtofTkGd zuWp3FqXHtO$cN$c>m(-l^9SFI3nVZ|2wbQ3Fb?3+^pn zWA?$U(fp3lw+ADnCOeVv_0fWFdnz_h7b{5dujTLkoL&BY+_5W2nZ?`dAm8`pTRC5} zNpeBeLyxPgBphZYxGlDiehiL0ZMfK5$=xXT$g3=ihb{QW?%~ePyPCbHZDS4&vp*5I z8#9H8Tn@sc_f7Bd8P)V%<8s-`cQ)cH4*fEZwp0`SRLv{`%{TsfU%e1EN0sD&kz<%w z(_F7O17|r!%P^^IWZVy#Zj(vk630EW!2o~e1<-tm(^}x8;>`c{xnP16~Pe(62J{9$C zKiV*{Ei(=_@WA*ZALEZRE*-R=eew-RG*h^C>~3r>GHheUw-?_ar4vKjxT$7)BLPu^ zJ47TvQW?W+so=#Mdh6BH@P^kluHg#L^wG1gACG8deUL{t?5B@veA6YYx>&tEQ0i)A zng5Q*6_cf@fY48rzWRnI`&}Hw$d|W-1}~mlmX#^!nm+EvN)dLJr8S>cVI&f~#I9JX6eD6ZRLT*3xH&D#<2AqO_^ zSJHfgl1aZ5HfcuJJ9}^ zH~n-wdig2dw${g=n1cpdTFo&@>4h3wUY~BejP3d~#fnNSCdTq|??_D&?!CXTFru;5 zFL=@UV#;WtIr-=o&1?U42^d8rF7Yvfy*-3yQR)l^o?6idv~Ki9KC}+gVcv?S?3QV) z;fjM1n8y`~tC$uuK5kkQaW_L1Mk!0QXG;UI`3ocy%nQ0DOYJdSkK~<2JLBJTB(qx? zQ@OfAcB4JiS>C0xOumfL)q5Li+a3y&uh2!bMAm}$E)LQZO)BqiJRv>0D*YxA!A##n zIPNQ7d-=i7@C;3~25yzA@=_~Ai!jxAVL*|WAgmhjhWHPZX0fvMK=F_%?l=zbG- zBmDa%BTD199~J!gCN0iR8*3+jdyoCi=;2b@Xciq;FOSHJm98iHvs1h#LR{bo zye>452l9xS7DfY=B9A8VuxOwaENZAr2z;Iuxxu$QjtKODDSYyi5EsRC zAopHTCVaIhC;^HQVk6IkB?bkclut`jU;)MuELFn7_>|CXVIZi0Y!NIM041PSBMPWP z7%VKvvsl8&O=D=I4{X?>iJZ2I>`fSK6M|JJn1BMBsRmCj%K{qjF=kUsg)_h|~Zw$449)DSaaFsvC|_tcI7-;>wpS?zaR}abFzsD? zJ6S$VJWFPDqgTGk7eT&jse*z2K(j*Vf%aYgtN{mc%Yt5^yLYYMJ@%EqkX)P-$nApZ zZpVO4LpF+qun%MJ|77~^SxMm*eI_hkECtOP^T%0(@Q5`R%b$;8bqipTc;*=aOP=m@ znjW+CKlZlU2wa}a@KtkmjXYr&qVzc zdL?y8`o8_0Ex{LTylji5sUx3nUW~0_B6lPs-lU4GiUe5}UA>-XEM_w1OJ`BIeVg3b11^t~J!={`VhCmpcWJd&*kkxeji)i!q-^iWdReD%E%ED2I){#(eJ7vSBcS^# z6W`$;X483bgjr|3ppv=T(~n7yImh|guG8Gxw{iJoAMFb^H;<9UDCq*Z*sa6`g9P2WILw4d?8hk9C9C3#`GI>4_(Yt7ec1HN?Oi zQrL|NzeI}OSpOk!`CN`nexuOc!>YA92V58H?<~yJ*eW5 zY;MnudsFE@CP|O1j}}PkLkAFI!Pz!Zb=)*_>~FRt(_718$d-LQ^0k{aUg_C76-CQl z7DNB>Nb}kcgoJb5wd8jf3$s)&czMJ-`>gG)y|3|mwk5=q(IvE0Wj1_YI@#~aw!+Uk zrEFd4RGkYO(Ds%qwfv3J)xxs|N5=8Hmo4Q6IBzjw7=&JASyaZq#k-)t%`o@b-&M8z zknDUiR?GG!_seFBj;}_B8kmAhYm%)mf8x9)h%O-_vF>5sGI7m&b&mJWMN&6M(wBSU zHD_%sy902~e92iPc(eTtDsxG)s>iE6*qF@sZ)_gAC9}u9o!^lDoESDd!!vvKig?}k zsnsvcpZlpKMIMW&xa|02ekTjVSyi5b_V#DX>QYkrm@} z0Y8~vsg4PuT2_2=5R_5S$ z8n)iRFNdf?^;-RX476l+e~*PMaz(hPevL}-tR1wj-_Rrn~ckzf>8g$ zo_3}E)q1W4b;HGsvE;XD*XeawX(JVkKi!LaL(u}=GhcV!^uw54NWSS4qxigX=v8ct z@nIS-JB`Js#iE!wEY?E2ru#K&zF=nc0WBaWHq&> z@l*?I6y{Lpox2he;Cs05SNW9weHHOHFROw_kaVNkXHxo#J08muxL(V;+7%azn^t!m zmBX1vO5ZfG`slusTd*&4(Xyj>WyiJCyLo;5eL%kdEnPd6_sR<#%N9({?3M8op|yww zw_?p#28}OEjB@JV5#P9dUid~jMt^2yzG3aawVuQXOT>fWGCsr60I5l5@vbSoO|;^A zn$>iM-DmUR)yAK{-5d5BEXmxd#<0PQQW`k3H@IBF9Lw=yL{3UIoU@rmi#Vpe>7iZQL<|IYW2d#Nc#nT+Hk++-jE8YWaXb^HJ9f7) zH7YqXT4pZnHri(qq`NXPwRNrbsGJM;C*$D{u4xmTcBiSiL#*}Tq(K>h#=*Oj;Z{Bi zb$03K#w@Qf+O^hUkEK|mdTdpPyNX5}+=|S*4Q}(06y}lM{%$fl)VjI%1;hsoZXm2x zZah8bB>uDS+IUk>WeC==qjtJ$r8oP{d^?AY5x010s&ju&y!9YPn~w(Yucuou_&E*`um%C8^>OLqo@evYvdo zh_tzzdy6Jniv3~R`~n4+^{&@*`>mZF8=-j^-E@y@GNU@eo_U5BQ@=JT!uRsCR78Nv zc}e_Qn6}l`I?hZT?UcFITljP{KW#^b-=BLe19w-qc_fv>a)$VHizzPc^BtJ?_<7c$UQ2= zF3&6JUwZ6n+^PJGJAj)n)+ZD#Q^pvv+%1Epfvuy|WSAgh?Ap3UA#`P=Uoti4zWbNn z8#vnMrl($9Qf-j6gbaf|X+U2cC-V$&)zPmdjp(>@noITK`M#^Vx6tSiv{gA;L9|Oh z#%wLH?Y{&IB1B))2FX5j?i{c-7CbWMy z@1Ee-Z?E&yC-Zdeu%R7`op8&oUNciZWWL+x3mvR9{_vd39$R3oQ?-}7O=vxtl78$i z+=+{Zt+z|;cf5ZTnbJBx(lR?qFaC2yx~#On!Tvz2QPqw8W8qe{#jPc?-ps^dS?~PpNt+$C&t3hY5b7g76nW_?@diHBIq_er@B6 zLlxVkoKUMGaCQNRVGWZLngJhsaNO<-OgDNQaw>>f{?`c@bQy^iQhqz}0SAzb@4*QQ zup%I-|NkHaWL6s%S3n+rRL6%={(TuqZGs%angBddDxmO+R{#zS695K)D|m=Nz8eKp zx2UrqHV$|kBfUSn!MX=VhdL_;!uL+tTWrOD$|8+$4?mV?}Ebx z8E7CEV&J2S4 mPJdM3QSd+mzpkKRaYMuaaUqL&o~7W1Zh;>s&l3i|Gy0yVMXFn5iM8<6Oe>5V^@ z3Y3$P4&}>J!!#Enu_{)#x?gl=o;=PvY z?zkphMe^vC2knAmls8B0Di1-fzI-0b{aDkv2v(`0uEs2K@|c!w=CSsMnu}!g+;C&z z4{YkSk-|HqAlvB64?pE?KMW*&Sd^ENIl7+3k&+qm5?wd{e79qWc;biF*5Q}zV+gaW z{dqS?X$X>SRCs8v5eC0ZGvo1|lv7iT(Hx0+^TdGe+@Xo_jRm-2)EAvO)`6ZLv(%7Y zEmn>WWBwRwYefqB?Ba97X$5E&O*TP+pNiMJ@(!#jd zc{*L2Xi0q`oo2r7@5g>B$(!u-;TJdA7?>FzGy9xbBuSjd}~{>Gy-g&mAIw>KE_*se%LhI#C?E{)5Bf@uh1eE6nMnlP%R-YV0;tGUVl- zR_|YPvsTezexT>QN3kfQ@g!goQ`6E&qc%MDA^wXt87KHiFW&bwPF~|dcWsyPws7aT zoOMZmInzrElUY}bSPU_8(Ytv^4fzny2^*J2FHq`7wKbFD7A>rXra#L^2o42rY={Id(LFA!)xW#8*yd~W6{uixvMtBh1TObh1bytKbQ5I+}_`!wi_% zTfcURFA6iWyN0Oe4L2DK|0)?~Tol8#hjexkSLan-MJ5Tg=QEsoQ{1j-_jxQKUXTjw zX$P+5-n~*2u5-@3KAf-$1lw^nGZV(q|4OzfH6X}KpB5>9l?FnSkoU`o>+hHeSFdosg7$u z?q`{AE3~%PoycEF5x)y4wokcb^`{BSEVO{H@?HzGJCL{9w3mS5TgL~~Vea8#XIh-c zDinen$|o$oX`_E6$2gu(oo770#qqFRf?@Es%8GUGZUK|RI2CMRVXCTQ{KN1^(Mdng z>t60SP+(z+p1;G|lV@>f!#pD+YTJngamL5nd~q2EA4EKNlVeVk&7J*u=KPr9rC*?C zg9so1R5l{u)QWP&1s3*iuDH0sh(x)^LYchy^iZe*&=!unhK~yqlLBEVKq&zZ3|=YV zTPY4AeiB#knE#_Q00T_Jzczy3?h|uS0Re}9Z4m>EVk3;j0ZnUzRrKT-Sn4Py<_{BE z;!jsQF|gwaLUguZU73}`k+W&iG zjqsn@G=7&?`b&5T8EEs5N8O)UH;_*kNd<@l4S~ck99{$n1iwHUI$AcxhNY6x9RG@4 zXDC;F=@Tz$n=s*n%f0;ULpj|v$Ge$xf({0@&#FR(uGf371&b;-XIVW=y4rGf`YPk} z!py>fx=kGXnb(zsSj>2ef+$?FyxzAMzk>041{>#Y56v45xmxNfly%vu+%|7`6yIhxE%+7BaHt|n z?-raRYkMy(N|Gljuf(-}(JM#+OGe!u!;4B?Ao0>YER#{m2kpmB30<*qj2VW#>R`bY zF1J#FY^)(hGJ94Y%KH~uIx%!ya($)-Z>Xo2GDLVHBCysC2!-e0Jl8g^)o&?)I|qk8 z9n9Lo9gWf-hV9QUXU2Em5~zv{GUp`tId=8kv-=;PRToq4n@O;+X!#(<_gT=cxxe>f zLF>hQ`|38PyG%R#{kVe9*HjJbHDx15H>5&XXmDN;O5r7;Y~q`jFvs%7X$hz{5J$3OdOYvw7<*(=DBoK$Tl+a-LzAai7HJ+JeHdmjh_0EqA*s6DR}?IQk8RJ zSrPMPKULi{48xqqcx2_@bh)a&a}xQDKU?NyfPUyC*yVzdsaCGyy^Mp!-tOf0!N>T=H>Q#^a8+ahi1b=TUG_^_P19K1~AIP)^& z#Nv8g<*_LqNtn9#x+{6XB`L^xzKxuJp|qCSgPT)iasgW?P2)<`Sf`B*Hsw=uMM>iX zrzR7{(#qh(xt+kMGR&5->R@Xkk=tz7LS}n{wgMq?MQ$a+8(K7K@5`Gt&rtZOO9W6% z31LZlB7EAgZuT?5>0<$lVQltQO>#Br&75Wm-!{$WZu{70&m>~*zO!0 zj9F22*(GpXkyWO-Gwc5Hb4~QEhiIwXA7eQB^8(!v?-1Cm3Qz9bIi_1AgLgzNt=mqA z(8Q!sgmPcM`&qcl%kum=qIeOj{qFX=YEHrW=NylPwHJ*Z8K1w&m?X(0iXnmdWi>mh zQ#;SkBPVr4T-W?DYd67wvz0@D<@@3;Lz{V1**pRnm%L2$Cq`dH7Lu)2q*ls#svs0EnK2K4x6Y|XH-cQ2F~y9 z>NXdBqPBWF5iwccw6+}izD&4qy!F z9KrlSEV2jJKE{*id25lZhSAHtJN8U6eA*yLBu#=dOVfyHWG*#{oS)XI`B^7~}bpIYr&nhp+@FuqqyW9Q<3 zyB@I9Xx$|BbC#NVr+;6034e#<^-`|0_^re_+0DCmotRvU`p2ks^n!=phS@Zj1sr3! z-r|HdG6{e#36N-1#ABs`gK%qL0RKs-fJhB1LM~wJdSwzbAvdJSz5`#U3~^)(={AUN zLBbH|wl6*^IRP0!8~Pm<0da2NorX9_ zK==K?M*e~gU`ZsPK0kbJh-MRy@Fe{+7%GlGxN2#Ox~k-l&kaK%qd(yQ6pSon;Ly6{=16u|A7L2U)`ZY9eirQJm^(`b-kJPBXE^pm$S~POSzdg zr@c|(_UW;uj|5%NO>}3nZYp~M&)iFDw+@epReMtDO9ryfk-hGddw6R`;$4OdIe!lU zJwG~qaKn!P79lmVXI|NfgqS3#g}skSa3VO=rrjuXW1y??TUSQB0d@ql047oXE}9dK zD!U$7*?ol&+Towq?K9sL!v`+d^LpM$8Cb$eGV=2NZolvFhKh}Mc_>sK&Ea-q@+&P3 z%|I=&7;dlJGBuS5FEWL+9twx}Pmw$eN@p3Oh7~W6YYblnDrPu%4-sfqT59cv7~7<{ z{A65bM~ZFG_kB0W-byDJuoTV-o;}0BLK%R6?{@O)B0>pQ&Gd{w7pc9@Ju{037k*?7 z=2q+#Y6ZPylRY0>#Q3hxWGz#TQ9DsyP@Al5j4_vIoLA@mi)4;Ko{WSk&cG(u5a^8f z=;9>^{(~kgzRkn6W2(mhGe7#78$ZiqviRI8fwMaaH8ibXoM?UcVCJ6?**3``@syOW&6a4s~rJ6oz3%u)ImB zL>GG5t|&fs_IOg*k+=`rLQO9`G0v1=WQ>+nq{*i(Bv0}J`a3b#tTJp35rTYkp(zr#Zif-9QA)aA+wopx9Pv8!FD%M@H70p$f z!j8)kg+~4ySN5z_>_9>wjil%~a>M5iDUBYo=qAUNh==wiy-Z8242eQzy~9dbC)z#MX&gx{3-#>{Jgqe@dthA|5poPB?gSXAF)aB<{hWz5+$-hdenqm588D_s?O*vtA;tE=wO6*bN$;DH@CKbaD~e-5XTC zOebij#_sftWm@V!|0j3lon{8^vAw8{nVWbK(X!HrMA7HnX(~>0gfHiLOX*(aLJtw)Nr5fDh*^ z`$q#F7=4azqAU>n@7UnX2@Y%OgC0}J14H| zSnn0OYB3!~x-#)dnl+GOX$fM_6xtV8#^E>FaaLd$YzO}qZ)Vu!N2;UX4D%o%x(7}`-sl>>d z7{L8G+9ArmSg?3nQd`DSZ<|3vna!_%L&hI1)C zD*=s6X3*>}({~+=_|4c&!*%2^vLO}Det_xB5 zUo_>P^&rHEAaDZHc#g~jeX7+Nlg>f-_K0ZT7F&R?A&|ULrFKTgFkk;cdEE>mJVP#m zu!HiOD?ca9(I@#?A|m3iY_dYNn-??$uVY;ziXGqV` z38iv#p-y9%;|-zhOIVet|JAuKCnsyHkB%sTQya2NqYs)H`ztP<`M#fe#noH%8=ZNA zl5iIn*RqMt3pCHV5`ZtbbZ>oQ{gk8z?n~9}--Vy)?cc@iabdC<0J6f&AP5fD=?2V$ywaf?V~zr|Fck@Vai^Vdx#sz-Fj*e{jiuVjRb z*_W*;iM03=Mht6#n(E8F4SXI4%?XiJ1Hp-hkE_i;mr`OC?Z}nd;%yv0z^J9?a;jZkF%@P|ZExjr86c-xHdBbGLsq6zoEsJk zZJ6*=f4~u!qvSr=ywGQy*c);bI9?a#ZTLp=pok-dn}SsIoxoX`NN?%tCZ~yv`?Zmm zR@CchM(H&M{7gzpnF6^^SkAM^}-d(wuQh!L^o}0;Z@^t--sxE>b?2*8qhYAUY`TIE$gsXM>s(X`1fL`zSPh!%ft$B zK)P{hSAtfLabs!v-lJY=rhM;=#h3b-x)Qf(_90|P97kZYuzyfo^7(>E@3~-X6xHQM z>5uo*rv2S3wn=%VbkM`dHUb5dht>+!zFg{g*WVunVD~)6UHbwl&m^0Zns#%kb6JK8 zQ_oep<>?&~e_E4%lI*ng{F$1OWx-A$wF-TV$3PYf?eGUrBYuD->b* z!67!*85nK{PWTaKP{?;iXJSag+gVFPxAC8SAN;TfQcglvQgt1S5_$b$1-Qhj-Wqu@ zNtJAq;qg}6wp93;7ruizwWRN-pNr*O&M75_46n9^unB}5`?r6}9jT^g^f>U;a<5>5 zY?037Rz0K6Nfl{UWX8VFtdvl4gjiS&vXb0KK9+^xc5O zz6KnvgtHVOVUCJB1h*%BR|%Q#)+Y&uS_ z;407?3PzhL$CR{}AdH23^$EXERPWb?KqL4XKfj z*%9wwIDda-x91W@D9_v_Ox;`76A>OGFWDzyIk1#yS@ZVEoZOKk&Lh^{NhxjKSxAX> znl2}x79czN^t9SCWe*_>Bl^qEuR6t_U9Pyrt!al)6M3_&bV#aX(L7k5gP<$F`+3 zG$;?vvp+f8rX&lcQHF~xC_8bWh(-0Zi2f?!C{F6wu8ZV%FM0o+HGX?h$-X3YX&6g- zVda7>Wp1fgTt~48;xEk+z1Dk@MT;p~^1MKIR-!HA`eL`(YNt#&qLeSP{c_RcxF4tQ z&~Jx?wa{A3H$2&a(LOYDfo?VMUX&?f)wWP5`P81aaWA6cDqHInDs*dX`S#2E(gMIe zW4z#Rc}Ng>1OX?q2fz~CqC9_x9AGT=5OgH)+2w$7*h5TVSN;%GB5pw70J0>hcz_GT z2QY}-07t?7A99a7u-s+>W!R-ZI3VHV0X-mN?XQtQq%k43_7CO8Z!%^;g9}m~|9wOv zc!MWpf?b2t3^Lf+pH%2KRoTJt;W!yJCGIoERQV>sOH1dJBPd~YEk$iMUefOA} zqG?vs5(A3mT@c0m9y+!BZ?Bz8#)JDa2IW?GC|NIG%k}vDyI}^D0->V#LVHo&Aix2G{3F?x7r~*3 z4}$jxn|qhBnYlW`2(;Y$d@*oVO#ng;348JaF`g@=rRg=M z+WDS~$VU3x2aWXx`D9RsQG?zGSw|ftuMWAqGx`Q>iLY>MCMsX|BPrO_!&K6Qb&-+t z;YVB;)ML>Eid1u`?qIy^ArKowu@0NAMYLxy6 z-iI+P#j{N}%H5eoqSNP=jwUM!%h$koA=OxV?Zk1#Nmna!C?se7%o2Os@+~fXnTE#3 z`MhOui*-8JbYWK%AzBNNZH&EU?rIQ4XokKetO)n5$NvnsGO@fFUvim2m7nt~tP1-i zJ6_3S^xek{s>`{Rn7f1qY2^;$%sSWA3c1zY+Ii|}n@=RD>$%9;OfA)8zkDO5OR+== zN%nMzdysw!Lka2I({(*!zr*4z%IM{7XMLt1$*5Akw5HHWn9%jHy^z$BEvlCe;;08D zFtt@lA+K0*xg^uk_bRF+hNU+%HqYj&sK}E&{61IZ1Z-uV z=x^bCoLYF-nniP#P6`p}!mLy!(C)+O!N8HM z-lf+cjF<6kmCH?-#mxF_-_82Cb&Wm;3iX*gHb3HCEyS@=UfB#5&M=_!u+I}1_LDu* zO%)S3Me5q5EqIlz<3Ls%F)@`QcK7$YP9mO{kaW`s)!$m%L?mGSL@{)z_+?1D*8u`I zd!dD+D!hHNm^gHOJaA8@y@k4iVSWN$Iqm18UZngG?RgHomx`0Sm*w>-rjk&BhH&L% zrd@008U4!lc~)2R7m?4(IqkNzhzJtb2kR)|n>r8%??&UEB$1avjdKQ)<{Bzi53Qk%YSIy>Tg|EZK2^7C9d|B4cSI+SB&BG}4?8Y~bz zW$z%ZE-TUDG2EGP=jQ?Bv~cp9O5;Ne?_Sxo`#op*idz|>OFp$B{<1fH;LO~WXyLv2 zxP=kn&Z|)iijXO5>JMv(=#izy2w5#`vHVyqeDE4*#IRJ4Tg>18rWxhs_`OYp{cyu%f$pZN+!)c9`#*`Aw9~@Pe4*sy0D_hL z7N~=odA~K2)=R`QvJ{1Q27P@ft?dIgfFCs-^Je%_qxkgF-CkO#%;-!>7k5avH1iM_ z7=7l^laV>DFS3g(Xfsh5Dh2yL<1^^b@4u2pmbL1lve!qs|gz9?>;lO zFt)5(_aj1ac*$yRyc3D!&Pas$&_7zKDm_eoP_eF)0NKZer{G2G(#MHVTsM8IR|)3C zBfdDeUe{tDO^1ScqT_L5tNLZ35f1zuVXz)Mv(W6Y7s>cvA9HD1{W#OAw#%`I5^}Y(Y=^;dtxgY zV%@%7_;_K|BK=JNz4VD<=#O?~8&}d*4Si~;p)G_Hxjcot7GK!*CCNM=X0`PoS7_2nvGv4%EBusKgZ1F+xC5<( zy@^`j*>L9s?|ENZ*~mT(J#MbH)&GmtyZP8%Xx zyXDp4ZUH%c1gG{4Ud@Z>;FEimeYW|WVn{sqZ04%6?O>Bw z#9d4av#|`MwJ3K1$1*7LN1x|TRx(4|OzK|+n_3vncH>>67(YYGe!$yz*4)`C6)jN@ z5L~_cdGyie5w$lD{O0)vG@lw0#&D|prHKAm@Cv3~Fm^kT zG%IsK5x4hil^iq5gvd*CjS)h4bnH1c@Ch1%=2=N{2_50IdT@@5P~#x9IuF#RXRr84 z5IqdP%%j#G`0qGUqg(1_;I5sRwKqNTqcm6{ ziLXmk0>=Vy&Yg*h+@LUjAU9xHjBTXU>*?949<-(Cf?Ot0!=;@~+}z~d&y$sLH|ZfN?s0LShhdJgq#-`K3>inrf|R$$qk6Y zZ`6SR2_#5%10enzX31M6lO({*y$uE6vad}*$rtw}P`}{>YCu2<2#f_BDS+YdhMNyo zEptl%4#ZDxrVM%gNmBr}18hDShO_L4A|6|OJ{r#i|(D`>F{%bxsxxWejgE&3i4d3`bxnusl5O_*iOjyLQ7_iR2 zwyPkq?*^%N!$ilx^IvS&|6b^ZeFfY#U_pc-MuLoif8H-A;P2~PL?S{0FX8{P^97Wh zf3fES?z+EGV*mdf-AvN>z%y8a`fiEQ%aY!tG_tBKGsrI>tm(Ro38!|X@BX}`vKF~9y zb@}c)awz@s2lB2!3QYE?ssVe&)Y#vtj->`X5a=Ek1NbM}PLK(5J z;*QHB8sj0yHsxQXp-WTsdQn9#a%b-78AMOqVp8K{o+@LFB_d7oBhvg-NFCf6OO7ON zWPex{>_rw-$GZwe%p1E%VV(~+WA>G++?f{f9&{|VSh{9SZD{PCEk=Rb^!P8-{?R zgo}7>H#*^3-iJP$UdOD&Q~QK_fM$l+3m1d-vVKWo`KsN0-ww{<76};4i&|(KX8LJe zxQ``PFweP2g+o(%(h8nZA|XLyj*oMllokP+6%!68Nu*jybr2PkoVkMxFTu}y@%fJa zhNZdzUlOr8x0lK;my;k9s`Oc~nru2@I+5kYOZf=&uBgvOpF6oAEg@56(nK9PMa)9L8c0=X5eCNKoN~@1Muz z!w-?5HK|`&V05+6x}<#GL*vQkGsNkEb}%og`4hW_TF;ktS>83!@v3^_f4;9Mla^wh6z$mC$Ig!HV>9|i?tJ=*6nCLK*<6B1%bsF=OnQdREshF(1KO#L365BPkEjtWJ(S_s>Yh|&4M#pXIkw%wqslObXBdg(gU{J| zPBGW76X;ZafGef9_O{&UA?7D7;I@=uk)^D!DgTfdp1rSG(IKsrzb(Pljz!i9-d1EtFqPCGTE;4xkx zQLTmDZQzf`mkgXfeLe93_o`)m(o{N~7ZaCi^Az8IsHD{_9Ko^t)9#rwf3C0Y-i~7$ z@wrzLTcp{{z(^Sq-!oY_m-{8{H741Y=T0W9U6HRXJgy7{d|R3!p@tV$h#FZsz0WgO zOX-yNJLMV2T$<(u7W22-1e;?4OP*dkW;gU9Bf0Ty+!xOpu({k=Vq!pMd}E>hfjI;A zZ!{kj$Px0ws$=o)-2)&0+hjX#-oL1&f!x?{!v?wpU?*V^6VyM(8Frn4#rYpQ%n8ec zK`>xLG&q2nF9CuI8z@7AVBDHuK9B?k*kS)ifb7NwYp9?rkpa;bK;Op)4#sa-o&|uR z2Nn%f0gC-r3GcTi2%uG7K~aKTWkJx0fL1e@!F%H?uyFtc92cN$2BGXX==WesaezIV zED?hJduPlGQvR8Mve?G=KWI2!P7YW=IoJ`yCxhTJ2Mj9); z^7n5Hui#Av{Qv4+nG=@F28bFg`;b|n`HvQyXCyHFM@*OG9+bZqY`)k0zM@Q9n3FS2 zAxST!hK_?LzUqbT_0^!U9>KR1Gqse+-Thke*=}1QNxezR(EBb;Xa3LQF^J=bIysOv z1Bk^QGEw)xTY5;@QFJWkvrp^nRY*w1MVpT^iXh#gAC}`c#KVu9&p|fFD^p{f1nr%u zXFoT4M6Z%XH2X#Do%AcHnYi?eC}JX3dd&)n9S$3Ia?R&Zq+tYtF81xD;ME9p9;?cw zaMGtMckUv7q{7G-N(z4au%MeSxLhIqiAq?IYx6*UD%$Mpw%(_Yr_c1>IF08Qy$yXJ zMf{`X)pPEar^a(u6ZS`(K%KtL zzQKN3(i6iLKeIVB3wqlHTg0Y-2;$XmuFv;72m6Lrcv6K2&nYWf^?D9_1Ttomp;rRx zbvbGzF~QLrHX-|w&qZ}IJtRBwz5{L@x#F+5X>Pd%<+`fNY9j;;qgu(8@ip@4R)#ta z1ED0iGG=m!M6>rg4tHT2Do;a}0*GrJ38KY>Rvv#}jIwL^kf9YNI)O5C7I|Miss{0c8H7=T}Y z^N~OU--h3zE7-j#kRg*Pk1S3E98sVf$OpJP_<*7k5774k5EIz75Y8V}Bjq?ihW;}X za?7p(2oO>50ZujPHaJB7kJJBud78hm05{(!^$Bc3BrZ-a!Qadd<#&uhoag&|dyuo- zh%}F6Y~q*33?m!gsX8%3ekX=q4@UfWfu&G{_`{<1w$?AmGJx5=?#nZLcF)(JQU^W|9M1KZM3&Af3QZ05UZXs}xIEih z-jeN$N%0BCw6Lk~gyy@F`*hNH=rkX78t;wy6&|m#q_7)NvtJus+UQ}6*HV3dO=mH4 zv4$hvBw_^%+)tK~UGYgedzv*loqsToIKGoe{Zqc%!Ppl?Y~?chB7SfT0ByIh&)8%;|e*+@O+T+_^^MihJXzbyy1E8s4J?SIi{TFN3&{>Cx z1}yn|if2nd+mUvj2K)H4xlQ2Huc7BhUaD@^^|&ssw=`h};1);w1wJ0<__Rw1Zzj)0 z(?Lrs+ZQH)Bl}B!@t*%`FzO;Lng%Zj7K;tNY-%$dRyTa3f`(!>5}g?CtAi3?bO$d| zxjxI7_(vA*TSusMPt-bFc+YFu>eB3p2p*OP0fny-EO3b?pJ-$0Y(<@$DfZ}crIbg; zysV1)P(yFCZp90iI7vLHU073LYrpSCpYMD>dgy00yv0}#gi3Nji-e|N)~+`pdz7Y_ zZLDQQfu~%5GBLEJIHJyR0q&*9$c58W1MSTjH`}C-SSsJ31y6@(14ek+lhd8rZG`O*qfFpPEZK4=f4UoKlFu_t+dJo|D4QeoAsnpDskUWT{&1w#du2< z+ntMHBI41r?lp|%Ye+)zrSylej4s|VY0qkC0U%EdcB-`V&f?%<^4XC7$+vXTL$uK7y;^L~zJzs#J!m;rd(E0Pu3n2}8$EiRpEZBk zshd65&2ntFD4{{WL~8-N`;3F=peK?U`C?Di z6ZLDRMz{eA_L`o7{sW$+Mh=AKGT*+M8PM0h{Klo;%5Wyj z(1$bsxUz17M_y{XDwekwSx2iUex7!W5RZPDB+eL05?DZil2Gx;M8YYpB$xJ*TBukx z@txr3E)_#5{%tl^drK!$Cl9Srkwnu6^(rHY`Uc6Enl0kA)2T0ziQ7@*V9+ODSJizAo#QPn2xAJ9YvZ^Y7F)F7T?FxqcK29o8Fwo7fP zPq~aiv&KbI-cNpRdOV(JZHdFAoGJn5+deY7EA5ZTo8ryVLa}rx(Z2MfbLAY8s{#$e zCg3Fe<}(q5WfK2ZaQ2~E)Xn#hP`G??-HYTCak)QnH=(@sb z_mEb`nD|5@#D`?Dx20OJNS;C&{^L2)ywpQz?slS(*Idhrc8mJ%CQ3&%oR8B(QtrLg z^V|!9&+a>EOp3jS>hKS{I?5d!e&Uk5FyBRYC%e=8LSj8FhgWR!m`pi{ERrl`dX_Gh zdm!YU1tj^zqf?`W8W!=!!%vhc`_*@q`d(RsR6VUgf3wc^|O1-Do-KNEa)?QBdmixZlFZ!t6lk>`&$`aH- z!VQmkiOUl8xT`xud~SF08Avt8@Ee2^b{;S_oymrc=TzA#k$0O=W{M^m)p?^W5IaHn zS3l|vZ{C-Y{X~{lg9vA;wK>|drtcqT(6nsY)O4SvjsMG;JUPxYw=90vaCpT>OC5;m zTCQdf%z&M)e!)(JL_%gE)FuQA?JqiaeR{YlmC`PUbk$9rPtps2#s7UtZJ{3?i}=v0 z#)T3~V@-NdV1X$!bhMp*eIb7QAo!hW&)gC1u!8ut=X>^VB@d{ERzD%~e_l74?>Y3! zN6Xc~@519m8vG$1qEzj|khQ$; zt1bsstxQ0H`RP8E5X_+h;sA?G0g^9HJXtyp0wS#nY7^DW&C@a9I19vc~s|Lhwrz#+dFxOfz=~X4@cu)sQ za#f(TQU`HLu7+p;t#2@_p&B%4G=N)pQUgk;jSwfif75IO`9E&p;k7jUQB&asfE*iq zKv)U`kfT54lpK(h1k#7`;IZ$+in|~s#P9w+Zu$3kCV)Lt z+hb_JHYNaGYFLR7HQ`epE3B;rf(_NDFW$Exi=Ar%iYE~aKPdSwTq=h*~Y^8oCta(1jO`f2r zZ=UQ=^@6oDV=o0=4qb-5{b-xZBjMRBP^?=5`9%ua4w&8I1AazrxMN3Pz%(iQpj=u!AibM(ig zDy%jmxqR}3BevQTc4PZ(5q5j}O{3GXd#w%SBt^K4<8PAT=j)l`(^KB8B`rBWSq>Xn zQ;K79FCFGk_iSJ1r91f~0k31QNI)pywSOTpf54k~4ppU^-Y{10c6u@rokTl;pSi09m6$vTGj10Hl`l<_fiPr&0V zc5UF%C0CHT!KA9&uNDnXN?4$MkBBX|Sy22;IVw?Hd&&C8<4((AxiZ>an%{S9mTl)0s}zIKd;3%nM@=8p+;0@Prs>#Hduz(Q%M~RYxdEK;UJHFC2m#!w z=E5Z&ft0N$!s>yVBVWs$jGT>UCA22_J_XDaU~FO~%l}x5r+B|13iK)J-$czG?RT=gH=*- z<=%B22l@v_lO*y8p|Pw*sz3E(oOIj!Yq>CLn|>6R%f>x0&#-pQpngC5ls8T)uVn0v zYUxu~{D~wL<2WOzHlpq`=$g{i>*OZ87CX_`gQITNu4T%nhBI)VoZ~EN_NlGm4zJDD zzeqVFAV0$tvywWM*x;fz&w2k{y(yUrnq+mySYClcB!~Q3sqMA<6JLgKnx0sLP*VJ0 zYZ9FdM%K?%U&>x+v(cixM=}2p8AMX^EY2o8k3YEFx*q=!+j~C5+6nri#QZol>y45q zA|{MvK5IAiX9^aRY$iKfWi zyxTS+VVS!*;-<{qdX94R>v@mWk6A}wS57C(b&hVX?Z9@ik0sDduKkA-&XZhD6U8rGCta zEz}DaloxfKgrxUqK z{)j_Se6U%7 zV?ES4DAZD7Yvp7bqrfXg_r3_p`17`aHM=Bo z$_jq{U%W&3E+LFzjR-ne7Z=5Io$z6se7f;!wm+1ZFz+vw9yIVl!`tPf`X|&-Gnz%| zlZ0@>@4tbibdEnBXD5E^^rW81GPR_&!&gy4J8XY}{_cJv2heH$wLZeU&Ha z*Twd0`8vT^({2xyh4Cl7InuS}ThfvB3LCp=kxeVk&p8i*tkb`I?Bl|H={i%TL$ZfKCHC9b@HMzSl6FPk`@Cb9 zd4-0JRPkdIqYwAscvv4d<#;(iL{v;ahu=A6-zC|m{q0(SPzsPa{==@udn+gXPv=4a zCi(6^Ar~QF7XOON{-Ms|24|vwJc&OH7@*~^NG(u`yLB=E(`rU1WB|5N3alA@Eil)o zUBFvtCcwagiN(Roz&KvOBf*-xAr>%BJ|txrbQnZ#pY%W;!yF-C2y~V7R=EyF)eEL! zp<`erT#CuS7J9*mQ8jEOSZp6~63#$7ECw4>45siN;taFu27Uy>2hc&@14?Fjz`^ML z06d3@n<|)r1oP|%?n6v3s4n7xD%dF4!DRY?Z_?q42HXA!#$JIMEPVhh()b>f9u0z$ z^9NAE8UiJTelV6p4-Gav1ja6c+ReIXu$RLS8(8W`u; za*Ttk=Z}EvZQhhjK7kTdC=wd1%9PxcRa$}OcDAMDT&j|h<)P^!f}$7X^VPeah5R8^t<9fPri z#RHZk3?Z`xDo)PKvBZHiqv0I-ZcqC^UH+tqh=XBa=c zFVm?1D#uXz)9lx?EGlwg7nRsZRyu(i!R|+Bt0O)PZ<@njD(t)OpV{rN&eh=Ne<2FW z-Nba2;;1*W;wGEzkr{_GhTeI|e)bcQK8&+}ylW(~PL1N>R<8LniTj-m6H0p5>)GRZ z&FItX{$_Od)D(B!qGlBO#*h6dgPq9=CsrFWJIor>1T~H|75q;*EwSYhPKrOpw{g+m zmHIRh(Av|q&uu|xo|A39>?X~Dx`Dfc8>8vvZRujTD54o~75IYG(6=yQCFt*JCV^qm>c%du!!|3ck+KNr=nD&FEJl}qj^ zaq}kpCkyKFLMglX7ObR368DgB+C1^@f4R@bir&!_X&jjgUnO-A;bc?&jG$k6cB{8@ z?3<>5%Sc`HPgj54YFr~|1v@bp7RfVw(g&FAW0psBj5NivE(5Ctyg4|J3F~qfMJ`6gTTB*2FduNygPh=ys8xmKn|O--WVZ?LxP6tF8gA@(%9SW~UHnwj8O zvO){q@PyzVK6?=jYb^H~nxFf=OfMJ4la7qjW{!A~!vxzm@l4+a8Wa-ppHw`0)UJ## z67q{J_hZUz?vbEpD|AJIp~eP#v*ST$^+4w17QHt~s0UNcW|`XM>xGI;=5HS=o-g3n zRFJPNui^^fyXw)lvCza6==tEo%oApOIGN$nO9X2UO!cSec|`&*{LoNQ3{DYGm<+=m z@vUoL3430=W$6f|V@Q~Pajy6H0rN8}#Nf3eOJTX4>S=6Clog%KRN<4UYi#SOJI%8#xj0E3a@-+a#CnIK)a@hx z1M#tN-@D;o)8iK$^Jl95=y@eX)jP5QNByDOTJp2@{lb)&-h6E@7p#sqSB~oANu0lC zJH;6&T4`J4pR~V*_6*k*bw6hHhXtVU5|=z@W|0r?h5y#&zTH{b`H)cO@?@Aiz;u65 z!SCX@B0~p`o*+P!Q6d12(9naIyWkT3e%>Bpotd~ci*uv4lVaQ$_TD45w67uhwfRLs zOKjmmr&paN6#5P_j}=;;rc+N0VNOOk>h&sYemTihu38aEgxYVtcU$FRqnfBVK@1_rF4c}Y(UE6tA=zrENv3*+eYJ-K&R1|0dHiEa938|iEC(; zQS9@uYpTaKTKPAm{{O*P2P%sZ!F~`QcYH8;fCA zKdm^%F-~M7v7y-!Z%ZHcn0UxX{JQ^r3GM=iw#WTqrAk|(z|+OhFpR>8v;Q3r&dTij zpDk|YZH}`Zoz!25EAnybNgEssdFG!orw>@vI+%;4pSGRZdV9%DjAo+$)K|`&Gxnop z3|M+**0w41E&F@EAilJdk+kjD#oI*9tlj!Fb8HL~l+| zvH$=#>thh5WM&Kix>*FKDtjEF3`1W6DaSXq4jix~xSA-z7B%qDV5LjI9T3_F9zzyC zh8)ad8H5TLe}d{B!mU~^8tm>0XqyCWNih&{m{}#TX=5v3Rh0{{zc!%;dTT+e5V2dk z8GZpS?k|M#TZ0V^c7F}nm{y(* zVB_EasiMMmHb5_Q1@wOE!a#==egn6{zY40ln-Bqz&t&d}0kp+#8q^7Z4?wa7W=F08 z!~A{=I30}Z;3@&z5PR5B26iUdq#2P~1c0fq!H01x132PCO#e!IE+O|@hf^kQt@ z82W5*H|Bd_z0K`Ewi~><-#oXt%>Z-F$H@mH+y@jWieDfYXuql0Z<^Hhfd!9hhT#4l z0BUr^Kq&U#`dT+5yTD|+f5%cl$L}}&*Xgt%kgtOsN&$c!<{kux_$Fxb?;(KqWibwo z2(|%UBFSI(@sCCRj6eia6L9ks`Tu?d_jeEC@1Diq*;)SXOTb8efFH+iJQv0=>J6UD z(0vA|r)=ojJ~lOL(eZ#~@+T{WI*k=9)@3Rf^zmX_6Ymf{Ot`wB)bBI1z>j}gm_R-G zL1>|QYrId%0(sdaXxWgi_MIU_@4gJ-pV9F%T zbed=Np$Hh7@yE{XzBE-7G5qe+v7!n-xjknY5NLqDXys34y`Euu3XfV#ZB~t%4ovn?h zndoDls1nl{j54)|b&-Os5$A6&3v|(l^V{oR-qp}#8TokTTpIW!i=Jo}tFV9XrF+wR z4n&qvZkD;ZVjNaQJ8px5-U8I`0qr!iNZotY4#L}O_z1SJOOB_H*PyC~*oDnz`E!j_ zS+Y8gS;}fkopPURb#@hvxakKTu^_H{lJIiD^D>D8*edPapxn#5XrQWdA~@v z?Y(5&K(91*m7Sv%mpQ1@#cs=+4*zF_KWlwTcYQhTHtf127i<@qsD0aKA3UYce(577 z74tf7Y07cyv3ugw4&mjS&1oAMIYnj0PoV+3wRR$01&=3`-*u0{-egfbmGor}cbvVy zJiRkmC%5vXa-mJ+$X&CXMk~Iy$brbDK*NBpD|d?y(b2nX(<&n8=i>AAbM z1&&hpSS?hlAN+bd@({X2L*k=|UW+huXO||hxyqsKk#=$y!d)Yq)`${aZL?1=naZpvSQeA+2E4} z9<*g##{F>9v60_WCSG|OG_m>k9j|_=b)71QCT)sgxQubx+l}!G=oQqgML7YrI_q7k zbf&75@eb!;E^$xXr@#)mH+Iq~0}+%RK6QB0&8k8r8(*NOzxvKlhS(a5nrD{Dm3jw< zCMRry=sB?NrKbdMJez)v953DB9wQrlhOFp$rX7Q1rV$-Jh<>zNh%uWM{koS$dAVQc z#S@zHmd4jX-MYduk7SpI@~6EwzK=UiPD$IPLCK<9oOg2kIIDuqJqV^{TvMQSnW%>| zUp3ZL*$O{kzpTWm;UU3v;Fz1P4$w4-VCdN;StD*%`D3bK)mmggot_K>iwJ0j0*m{P zZ90&y_#1wT2o}HrLiW)=z_Gz^?9tunKT&NyZVni(3Hp5)!a0NpV2^&6d-g!m4(N~& z#=H*``vt-NV>13~D%-#9KRg4}TQ{5izr&1fRs)Qs;nGaN>fr*@M4b?{`bx z>F?{!{{TzeLHd))j!KVWgc9tluKz|6Y)r2E$CrLFB#oF`Qh!y?&8AU{mC1Ue&5B2$v z^`Sn6h7_$jJH#Nqu$=m?sP{@+MN0k{;Jy zBW5|`S|nyw(K~Aby*v?2)O5b#0_fXrSc3p>9J#qNn4GyVPoVHxPmwpnCXQxl_Nzc> zj-X_WobB!}4n+=2DsvYXHMBEElKpUU3G`l^D($a#3u%6>c5aM$N8AzAB(8UYwvN^c zoeUDGYP-GQ#Z&28##^Kca-wW~^;t?aO!-=CH}E@cxs-%w!ZRraQN@Juu0Dhk3C7S8 z4wm?yH?>aFQY}f4>^>SCLyEiUm7b5^Za4IisiQmZsA%mq7W6-(G}+l&o;FQ7-HF47 z4!)Ng5FgkaA#<*rLnQAtDp)Ezf_C^$O=$0KijbFm{q=Ju(b$gPe)SXVB*Qqe5&@KXi&M?J zxqWPI_pE9qzv>xf4qi5UU_+x(mJY)a6npirsGP6i2xIACoasaaz=h<7QJ11o1E-ef z_iz56Ur3mS83rQ)ACQkF!JvZ8pMmT}cqqVSdY=NP(KitJ|NUeCyTAEgoWQ?vVX%p7 z2u{M#DjGa@G7;7T=#ZY1^BY;*t3A_Bxz-Z?i#DZF9sA7n#O-%Y;cD+5kjNla5_pgr zMOD6oF6ir#Q>`MCXQr{6d>9_&<`y2=aj6qXC)j^1-1Rj&^quaTb~yz1Jo9MLdkShU zYB%WwCQXzSnH8zeGGeYsFIz|{GUYe%f_$lq8uh$0%=5wvp+!=Vi?0@&#!+gX3Mq1S zT&bFY@88=s8K%?WMCigIr+jKjHOyhB8$`A`O%>iB6YEL}Kg*@XYo7Q@^$2_js_zH9 zV73=d@cyw~s1~BJ1~kPG95%M<#usOmoy14=XKK|ByXb%sP^`>ypZr(p0PXTcRw6{f zRIC8e(;=@8I<77)fBsbbCZXUHNGK@tSP}Y?hTx0H!(<`$*_PJpcR~SaX6DexC`V;t zrza}JJ!|e&Mvou2%ADb$qxa`t;_(u|kIm_Z-beU_Cw(s&jpOyAyi)0STa6_8_$oo) z@%oY@X6;;NbjzBb(B!5YNxGYH=d^XFF|rd7KZCBf4vNI*wlSvPsrfP~*Zxu`?ahWI z?m*}Zoo64BTtDU&ks+fOpM7L|O&5djKAo?wh&%JNKG*R6oHs`Gp-gp;A5ep6njg5Cqs7VSdv$vUe@64|Do+IfU4}GcX8=BbV+x2cbBwur?k@Dhc4-Q zk?!tNLZnf;QA!k)E)hgR?hE?e`Qmr~bLYw{!)QnmGlmJ`3r=kuUQy2@+^sb5;;Un9?Erg@kE1RV;3Wv!#4Q)p96GNUwGpg z;1oHm(Niw{%1h~Ukp3>%Rb|r`JDkN@Wu;Mg6PbBAx9pX&JgPTLIa?+OQwP~WMD-r_ zOGO-g8qQgb($I3&?I~YXNey+x#5c$)w;+~q#>wNJ>aK{4W2i-V@w4#f$-A3S%cZar z9g8P7eS<&f57}Wq8ek`0L`ym#(>~hYcp2+dCgD7D@hqi|W>k)|D*@^@4s(U|bbt!M zg=~0b)S=q$jcAztRuR^f!{gC_`n?hH37higa&TPlZyI}eJcHL)@CO#4=;;E5HeVqg zWbRqKNw9dqTI=qkBHGoIUa}HcQY`uw9cu$aL8#XmlpX!YLBK8M=VMik7Fdn%7`9y( zy|;NiAEU~(*H{Yty&pz~2ZUDs%(G@;BO6EZz|Q;_Y>B#eBtB8y)|}AuoQ_kYJKgv=I>>Q4>*i{sTegxGo?x(s_zq)a+0RrM z$T)P@?wVFcGZW3Whq;Qz3Yuw+qZx zr^owGZ90BlFn{nab6p?jPBE7c$N-lCh}Wn%cbzE|2qQ3s1Hr!QKv2QGCLuWBUUI15 zxaz%=1)K;*1s_pB`Ms(gTm?w{1XXEko=8~y-P7@R@5Vo+<^S#j`Twv(e^hDzKs|Xd zAAyS}m5c5%EDso8xk1LiBd};RBC!AzXpm>HC2>F;8iWrDKZ)us_V`80#910~2@^_P zJ%eoA2DRzZ2!ZwF@}#>y(#bPQCj?Dp+H|wt1F{6oi(e>DxQdJ4+|*dpHpu1>5^@xg z=$kG6CG~#z4W^k-v*To)r9{P>3_g^xqC^{wiy$Mp<{Jm$?4viqrk@8EZ{GL3hQ+DF zF0Ohga}nT0uI|gOJI`#u)@SA@?uqRj%u-#XR@KqK(Ts;9SsZ2i0SURLU1Jz_^MFf5 zyk|`>rWdgcIkvlZxFN zt-PjoMGP!h^8_tkq?zQ8QO4Sf2r$vhCZ=8yOk6!>#I$2!9SgE=Xx*DgSY@Z$QfQj! zfJbR=yL`bD+wyhlJ>E!d|NhTZ^v;9jaA{kPr$*fniY8HmIr|I={?6&8mY?H|-6x1#;*YB5N2Y$&K3hB|A#cY`Stpl^4CdvXh~ zW~X7!q>%_wgpVI3>zq^9eLiNY2)25{fxjMmB=g2b=FKv5gItf~e5hANM~$?hXNI1i zJ_4^C&U3g~1&iJ=BF+f-{YD$Z7dIQJt&ZDl8Fthr2PKO46wbWZ$@%Z!>QGAhH0jB z_tt#LqBn{#;HKmKgys|rpalG;{0yzt**~Ua9X~pjt$pq!VV zB!a>K$4XmZ9!IrH_)^AI#P92?o_=*tnyZR#FvBu(CT~9^;63cNuPahOR9zt^h5qU4 zf(Rzu1i8EGrA09FE4;R}4NSNWA+)qZCK^{*maZOdKeLE8SrF=CGidWuM1dr^)7N5T z>lAqygbWIPqWt(E2A z46KLG;{RAyZ|VH>yfAF#JHEfoM$7dZS%471tK1b?gP6WS0NVsM>cQANd6B=>oD}Si zoS3NxrdlN?g1%N?;#VkK5#G!ur8r?w9w}p*`{XCTcMJXmPKv(JXRU8~7^2PJZ%&a` z1zx*&*LJbd7Hbv6eZary2%B>!*=@cst-t}jt>;rKy8M<*QcEPj1|Fbjbd2f_L$HGfTk$2zgd2F z&wxih10L^x{N><`J}$Uh8S33JF9f9AbxdBNV&A{eIM_=!fS}!9G5-Hcsk%Gpv1wsI zI|Y^|YlR0(lclaYWlLg39Qnq!!&k<6zc*ty>TFA4){(Bjd)G)EDnO8eUJ0WUc*V}D z7N1s4Cg1!q=Y<&SxBa~JDMU1k9&_Al3|d?}<1tFPyOb)Flr;6c(82MT{wfsmP-ou; zeHH}SOr;l+zYs%tc;SVoD-2M7lDB>8s2{C+y342rrG@CJe#m!N#kYJ4d<<$ascE;Q z+g7(RA2hCpApooWGGNjqFqLVj#5FdMFkKD&ctBwG1HTUDZtqjx?`x*H(A6!bHV;CXn`GZ-#KAg3V69#^}0 zZ}EAA{HF@8$LfR|99C`bU;a8+nFwg$=;(W7OVZv-Ue(sTr7(hJ``AzD&DMlAFL5lQ zh--o-U08OT2%eAV9|@mxDy z_4UzcozTnKtBD+?P#OkUO&_k^)o+WlS3=adOKpzyQ@c@^-D@8&D4U%Z9?qAZRdyB~ zKHm^*r}!4|fWj)9#ecHY{fDwWgJ`#=WQpySyZ;pucHi>7razFRjPd&y0>hgs6^9E* z2jKnvC-EQ09jJaF3cA@+L39IBVH?-s>3|O~5DY*?2O=T+cXaaqh(SO}gM0Z@eh@~1 zxqfhbpr`3xTsK#H^v)I31y;jt35orh@_Fz5l4=qj6X_!a*b*T|oCCsETD`>cADuW~ z=G@{Qyo+Ip6Zp`?qx2tRpSsCeySx3zh*l zZfwQIUKTHnshezu-xf|)g<-UpMutV1s!6G(Fuya|sPYRx=aVO&at}@j;f`fqc zqV2zV)}^d3^Xt6ajrv6qwtk%VCdN;)Ev<$O#?s2BT{bjP#|nNp>H4I$VbKTFBeYNK zr6P`b^HQKoXM>5ck*24KrouRw)G`Q*#mZaN?MH9dbe3|v-9?T2SAZmLH3mX>6?q2x&4ecr;nAkIHd z+qZHap`~T?N;xlu zu^%Ff<0-f7jmbCK=(Qqj7ar8YMX_&cEx#-EpH{(~%QDyZ{O3rFXu?{_pj{#0I2WjMnEMa_zjO=$3cM= zQJop5`}b~h=OMdqP?|~X%ntHcg0SfieNr0%$ID9Hf#i>o zinWI2=K4eWjd^pcp^r@#2gkPTh>J<~HmQttn;N3VPT58dCTA0?CqLP~g(nD^YT77H zrHG>>TBA!R2VrXos@4s6Yv7YFegSC__CfW-48At*PsI4MqFZMK*>U(@)_E>#`F}Q_ zNEdUrCN*CtmS7xi@h0-%DVck?AXl6niXPKa+0cEil&B^a3S}G&!5U+^ zzYU+@8RT|jZ}7HhY+j_fZs^UnM21|QE>z`-!R`xnWmfBCRtl@aB+XgZRi=cPwl)kPP+x+tel_kg12qYTV(@YPUQOpd@N0w;5r z8g4COZhU4{d!z$2{JC`%a-mI;s8f_Zc|>~LnXC3dOT5_2NLU4`}M*@S7to7QZ8X@TPcPl^v46xgQ$Q#47>F#a-@0?C%g%{zgPj=5fb>wd}pz__;R~02xb@<4<9F-rma0(mc`O@-k#-Md{mSw1^8+KKDC_N9`W^2t$v!hOXqE_rL!Ty>K-a8B}Jf z@cPkflM*Xk4va)CCYEUJ(%8cqB?31Zefe+of%uZ5F&m!#FFsNUH?tVSyF8w&X(jHuZutlxcIasRYohIzjl#l>F8BnlZ>8Otp+zfn#ro5RgF&k-+}XBfzl`wkm*?4DKmR2eAPRNFcbtR3N-0a7qV>0)7yJ z9wvzeu&^RX&_Mwv=pm87G8kY5>U1&gNkS+<1p~wyct`_L&iDk9Y0ViSf?$X&hX!H- z6fl4creBN@D?o`Bq6|#cA|L~;OyKHmT8I*Wu>mf3V21F6%M%KzPO^(UIx*^cK1FF~E>2zzL@FDEN0 z>-Kti4}?plF_%}%XbUNL!`O?teG4sh$_?l)^1PZ))P6bzZ#(i^iHLsni&y+4+RMa_yH4qv}1Y2O|Mt+mcI^mAAy<(7Cm|xQV@z ztUNWfsg0sF?dDDY+_d=CXM^mbZZ3^uigLHn(ViaCuKC8vVt4&iWRG$|s| z(7Ky7#`=yGz%WaXvzl7=MEYEiL2^q&S-LXEy^sxd%E~2^gei|;Cw>H3qQTiiIt>%+ zXRc3Uk_&l(3)W&MgIJIeRFaozGNt^>V0Lr=^Bs8KizmV&KYe&RgWsH{dOQCp9ViAG zA2UG74;rgj-P~O&eci?qkZcv)Q-pV>xetnZVPgmy3y2}F(F(&Q5bRzfyT=gbpfx@z zxH#vnqRvI!($N@JccQyI`}O&8{Ul0>Oz@tT_Cp-M+)@%o$+tLcfVfctI#ENg7Xx>1wT<*)kQx0w}mS++91wMI5k`tq$h_FYvE6)o%Yo0a^jd29YF@wie%5e=-e2ipq(u}Fj!@ydR-PnwhEqsMz7>ny*W)a}bQE{05$T7L z%v$8=u$55$yw~=5ivvw=CPI`n;e$ucmQ7LS!k4{t{3l%M(155k&W9rbuWWrZd9AzG z>`hmS$;NO+#Kboxgf5E2Jc*cxX0VlDFft_sltI+9zRIY}KG*XEw*) z_$-|m@WJVM5z5h@o00~kK>0Q9`IU`?`gUQ?lS{&f1C_^3+ za(`=y3Mv-ggQ85w|MXni-guf$CIv&P6lqz?Z$}MiS6pSI@0pRaO?S_j)=TRrz^0 zscdy_^P~@%Dl-ZsOnu~Oaa4%Lor=NGODz5F5IB71=e>gAFY~SsNu1>qu+IKW#v0Z4 zL;GgY!}I4xwRh?H4@XyrY;CBdn}DZ;Ps$NlB1ArvyHO}rFK6Xnp| zq0N0+0h^dhZPc)(q8;9mT?MGubawb?wA0wR*{6t6oRGAF$U~nV!POXwfdjKXz5V9j zp)f_k-@Z?5e4%@e^*>P==I-h;Nchg!{_D~ z`U{x-QGo`hezSZ{07rU={%_pEA^@=k^d&$iW{@a?1jyY+0lo@=P-pyZ$n+aX#t!C3 zMgqpKK%iAD2r&cDB*6)=$iw{vJfJQFu?M6K@l*kGA&{YsE)1~*<8&v}%0QPeh^YQh zr73mrKuGC}BMAhEfUxSF5_qo2Ozlz?4 z;YcB9zsXGC2$dKZ%=@pe;P(uOodo_PvB?k4Wr%_MFpK1+>1Qcatk1yC ze;w1mC+L6@77)IJ-vtyrT;Q7ogb2`K1p|`z19$+pBv{0YOybY3CX(Q;sWu1RGRQ@H0MENJ#kK-Tc?(gW33k3gM0$`D`yX*iC`M-!W z_ih+C0WRKDm?2ykzB{@MKrao!g7zDKn6H}~a!ji;w8pL1uB>H#lIYk%sM!<0WcJ3E zT~PAvpjRHD`NS6yz!{?}%Ooe&TqoY80oBDt_)`3HCKbXn(g6kd9E23PXczJ^fnq&d z5@MC-(-Or3&C}K1((vI0nWv1IY;f{inzTvDvN*%N4%h)0A01D9b3xHN9H=X)+Vh`Y z#izoJiQN-xM`KU$T$_0TttnPlqtkFD3+=~6gq6{P^IMjE{Us{=9Shd;)8}4@g3*JL zXt0IwI5X52*Z4>fpI_t-)A|SkUW@eW`jqld^lf|T)}M{i;0%Xg;l${RmcV(j(55e% z)6j14V@MPBk$Nb`GJ0~>r9hR8wnWr$Nls_7v89j9hJG)(B>|@V=)Mn!(*))o>(*?)jdKxSBM2CMSkT3VO>f_-6Bd(9CuTI@E zzkKRyVVhhKzh0Efk}>Jb?r>Qgs&{;`!mpzPEc?D@<0F2|*!#)14C<}Ode&6GF-ZLa z`V23y+H6I`&AI!>;L7+*Dabof&X1HF8l(p_PBLmCh1Bradi0y}n21a@#w~?p2(d%B zpOTr9Ns|L>g#?HkAML+T^>Rp)`lr$w9zD{(SR40 zgAdXt!Lr?LBf@YLl7VtQ3FTWRCf@E;5&EpO!n`rSwb~y*^bWa*491oFEz)W>r_fwU zvP7AP&?~hNm%K1ep?*e!ZzyrT1@pZV`}%Rv7oS1mMc(vLDKin7!T zyHtM8n7O-tRlqy`>c_;IuMZd&#fp~2u j!l|~p9)lBac)CrJL375m*77ly?ubC zbCb|1l7g(|%$Yic%!M!TJy*AWf~v__l@)b^3*Rq5-4cGB6O1v}_RXn|Cu_Ma!j)zKQW|)Z1Ratoof*IT-=j7)^mPhG$IpU-L>e~7)YRXS`cJ*c5xW}grFAW&Nu18vDr^8>Dv6p=*H%rGWIxMDd!9I(YYsM94W*L=3gUi(B$>v3`M zocxRXP$2{D-Z$va;UcxIOYaIUoNwt4dkfhQmS{>xGFxK zb1@sv?(V5JFCI3HTyOX`j_+}yIAZn$x|%#S+Ar%M!kElRG=z;JR)+iTs7BX3Xk^mG zd#RAQ8QB?><;~p1G;4SjScgIq*C5#Lq9^g$2PF!Tygn8x53SttJa4X*Tb+kh3tiPQ zd{MV0%F9{l!Wo~z(A!cq+dDfl7xsu~mOyEcRH=D$Ld<7#B`m@dvySY?zHTDk8ouvW zK5MzA<5*&Zq_(_8OPk%54%cS2t!FRm4f|bElN!9FcAvBA8_qrUDc%KUxG-uEtlp2oie4BTl%8BuQEx1zO=!VJE)Rc+@8J9o{#O zg9=u-n4TUp2!+hf^Z3=FeqaJe;p^NzdW4b>{WCWu-=SOcYZh^@d^Vo}s~UV*92?o; zqCXV^uRRVwyH*N-x$lrn15`bioBZ-{4Z>(jUs%3dHHn<-tDO!~TzqN98*LAvn`JiB z{QUO8h?_x6{x9wnH<*gus{8FbQhjs#1P^mVUT+9TR3)!plzf4SQFtTt3u&8Gbn4E( zR4YVhK>>beCK@FCYyi)+g2W`Zi?f3`S0jo;>z-IV^b-<)yu=Y|lNIMruFT_#? z2oxcSfSxvJ^+2b>U1&=Z1PP$20coLk%pFBZ(BOfcJ5CSiLitaU^WDNXad>nbO@xCffp@6MVMxCOBi=#k+82$eywP$>?9+{el^|6wQpCQ1GO#N_$k)Ez)f84Oj# zMZ=@O^4*hnn4t3p26uG_gMQRc6{8QJ9c^%pYm}@K*zbBlN~8+jx6t%tXc{<8-JFTw zF&VjOFD{Dj%TQ{5CCwoUI@J&&TUkr8<33uq!}+xBxb^6fc>-^ot5s4QeBynXX>{A% z**&7?>`fEp6WLN*-<3w9v8=)irp-xRBa`Wqi@?fI4n@6;9ebv1GZx8K_Vteu4o$84 z2gl0fjJrE1x{R`3lLlLB8q$@j!gdgP^B*0$@`nC6qK?a)o>p#uN^r*)MI4&l=JC-6 z9t~tF5QnxTWqb5IjZecM9uZ^G`iL81j-?_ANE=6~e&7wtS(>?x{8)lE*X`xn&%PL~ zG2a69-#N%A9CN!Rr8}J;{mg_m#LrI9E>|CjheM;}{i89NjDbx+*?B~b>2buGTz4FH zm|ronbcsn^4s{vjd|y_Kl65Dw2GlD>?DT%9bszp!9*19hCCXnG?=rNlIcE6l1v!}NIY*6+57k*Y2B^9ovxZrWIHstjxc z)wpov6bl$RrDu7-+x+$?N>KoMLD|+T` zHsOSqDBqqt2~Fe?5m{70rCrAI)z>U&fTC+5(Ie2HLEuT58Pi-Yt5O&9(r?DvY)hR8 z%xe^8-Vv|&zre%K6S}TnlF@u`AegJzL4UeEaq?uJc9{PNWm@jFI9wX_tJ_RGDb|T< zD;QixObTixT?ysidl0&JD8WCewlW287vim)zcRqk zbMuQZXySnP`J)Lw0rzB&NlHgzbHtT029_2(G1h4cTd||+J?=^}_gt_?IW=FlPm6K>nni$6t`&wRJQo@spR%8~7o@ojz zP<_}kM{1JqQ6UwYVG@o5gt)1Eej#$Pp(7@ewx7-S?Zg^iGprHs_l6SnsK8A|-f);8 zRJyi8D*r6UNu|~O6FY?ne7;{)n9xlMk)w_mj!C)!M;^d8b?2y4%qn;+D#Hy5=HtZn zt8m!XNy2(pYyo%L{y+woW8T&+e2mU~QQTzB+vFHdNsh6X<{)&ed}&Ed3Wu%Vn8BLe zR%Jz~*lcVAh}i2?VwZhA!v_>JKC0$hBAxe$L^F;S83zF+v$U;09Aisap}tD$0W($j z85LhrMDmlaGHi}J3Z-3MxCuBVR9J|-L`F@QY!yU~kH(I+@J%C-;P4O=h-N9UH|r^~M|%kJW9G#wDmbOgDF zM>p&HUzaeQYOmBc2uk~1yu=4~sM(F|-&={tT(7seVmrS&yr_X4zT(3~F5Pq7GV}a- zNAXAit2U1){Prba5eRVIXKw$~zTPYBG6JDGV8!LTBr;rq|0DJn)7fF zHGa+Dx#07oBlA@L5{=UHO^f3(e`j$~)kT)rHdV;=6Jj7WNChSsu2zIeYm>`W{p~4_4OOb|QLHTi6^*1l5o?a>=0d3Br zuU=Nwc+Q^CYySv3L4wyGC|)KRB+rgvYc)M48zg4nI;+&fW$qiZDYcJxl>ZP^QV=GL z-l9i|8&m3rnwN*d+yh0@S7F%B@6@4|qW!8J_WBWK!4WUHR&8<`sxq6z2+T{|niQ(A z>ZF-+Va6|+$ZtytZN^3~ps9RA$rQyPezOhj6)4&AAbl0D5|%d90>)6b4S0jdJ@ zGp%(!8{}WRam+X+3oJPE36@WoPvT=Gsu50Q=DxAHg^{FFoBI6&#QuTwN8(!Gw<_a5 ze}LS8MlYC63HtH~?tg%I0{4=Qe|IMda060bk-#`C*wE-jQ2M`OzQBT|&6B|@)^J?B zAPws-MN8RfNi%fT^tyl}1+aH~AJ!obaIRjVPn+7z!^ zYRTi!r*s(EGFz1RG5X22_f@}4LPvhx1P4@d{IPxVf<{7EbzR*E{b29UZ*DE`8bP0y z5rh#c$*Po_e`&h@YMs@XCo*MPuF2&#_B$SOq>t)mNl3Z{*o zeXh=rEVxXyU55!tji)Ta7EW4E3;DdxTYAkOlc3Q$DqTnmCd>Axejck+=`gNNC*g&< zHYEkqQSQP-iNg{XtjiWZpi)eCHptNG?b!`EbEUn2jv>;3S+7k(BTQ zVN`k;28!5oLGrC*mT24g^_|pr>3uAEqZcct))5|$hm;4OY712?UX`aH(HmNt9R|-> zVlS)}&uQD|1X6{UqNoj6Q$+z#V+bv@hM)CD!`9I+jb7bhhTtIG#K_aoX{ln~siH*B zPaSbC!ALHtEm$)hMhpUk-@ZJp=2a>=GXIfz#aE$%Z>dX37`+)*^M>)r<2ybjk?Vt! z={Ps(LSOp#VND*!xclu$B%^RhGyCWk-aAH_?k{ZWYL@rD`?v<Q56x`vb2n zxF7%6vad69KAsQe&zx`R6`fjGH#Q>Q&jFTMhlHwd2Kih*WUn)yrat0wb4e-J2+Tp4 zZpI=u8w^G`NsCZ#rj%z57lRbpO4$)0iu_;==htAph(tJ{Cn)h{#3K7~W-LkX^zvtN zkpyKGxn#|}r^2|DA`%l!YLt~aG#AH1Te0OaN)Tk} zYQ*$HGg8rHZ8{@e(am z&h(xV!td}Y%^ZrnquDe|hR)V=&3=Ejf;Q}J7`DE_HR^sNEs{S!3lM|tjI+X%>V?Jj^e(&`W;kZDDj9m6ABDea~gc|KYcqngMTGWr|YE zTY(P+-Y>y_ONpZ)^LhG+jhs6agUqWP>84;`Hoad$jZBux11OvhCg1e(^li^u8jIS9 zJlIeSqtcdU!Ii;*k=ngp=!2>s z6jzA;(>+wiVb^D;>4&(pCWx=)X9gV&1foZNM6pzE39}=x2V5Zb%)jTJtZZN)R#Q;N z@P;`p3n2u&4~Liz1QumCy| zP*6e0ankTd)$XAH&wZ@ppQkJj7cfl;-tO;0Cc@x3`X>q^@LLR*5*+y*1pym>wCn`9 z|LEKZ{7x>tTO$NCx`4QcO$FqP2Nu-iiD@Ob{68g;h{Vv^^*`cwhI6@$Xpf|4cRcO(@X>V-_&4Ao!_Ra?C*UQwR;zY7m=QxsIHv zDp{w4kx) zQHhZ3?Q);WclSv168HQ?=#8O9ilh-MMny>ckkmwE6ti$OSMUG3uWTT_M^oOGlaXJ`&V}lswOTCJCQ9p6LXnhG8 z&NwaE^{Fy73u}$J=yl@?M)MA^_hPXKvN^d<9Aq2Nm8BY#9nyM>B}_KrGj=P6c;DKe&61iQnwl29 zoU9|4eA@R(ri`C86%%;Q@4%|Bf#_kP>Gmyj z5p{mx;kEiZy`MLNf)3wA;(2v?#TsVSLvmzyQP)D|CWlsqysi=ZQSA&qamZ$VFIq^*>_iQ>9 z)53aV)fY7%(c%(P>txjCr;^n^5$V-Ut@W^{*-Q~^*+;3f?HKE?BsaOWbMeW&)XB{f zFRoiD&pz#VTY2*2NMvJvBEm0NWc^zWEgl`zTH_?HEkaNq7IJl9&UbX?>D@GAx!?5K zwXxt@&S;tUL%`e9&7q;pw+rX5*pv&zqb?+pjGvyfl0%~kB^(oJEZ=Vg+zL8jlF=mb zWwW(NICB5cf+M3-Mm)NHg(h(9ow!;6Z6hz(aNRPB(%st7&P{Mf9<3%@L+V>Nl4=dI2S{Sk&oU1;l)7B%{(|&(txbuoz0D=3&sQZ!MaanNc4--J(FFjWQK0bii1)>VHxj--h8CQ%y z0;LaKAzawp|7K+Zu7O}!pK=R=1k}1h1c7;42sZeBu~A@^0ckggjg3fez<}}Oc!s^(x$nAt)v}Xc!1Rh!uy+Gzze9l zgQM#{pfzRm06ChOzM$2`<^;uKHT@tG_iq$v^Mq&u-~B+YsFN4CHz@lCelCCTrBr?Y zvcF(L?F48Ye}aY#7EEn14}j2vWCl& z3=Gi6VtF7}kUaxyZmx=jPqq*ZuNBI5?j8B6v}?&`@PL2RIhFc0oXccYH|y6<#DIwr zjF3FG-h|55M>y*1mTMSUyz@`prdSd&?wwkjdlX)KQ01rI#FquLGu<)X=c-vcX0tPg z_@1q`)iCo#EhGj(7wldnje#{ysOiI8lXr#7AMFcf+yEkdz2j?wS_&8_`uIr zlHFGp_1#+8$voRx^x?7a`^d@KrtoI6HM3|{po#pkjg&X9$lj@b7{ z2VZ|9Hxh=-8<^FRIEBvbbf_GC_A~Ls_bN1mhtJ8Pn-KT? zB<%+9BkmDmkcFgU)Vb>OSWg9~h`ov~e&t2PP&$#-S7=a$OFKzA)s6gd+cccuV~~L% zB8Di(*CQv-&6T{>v0uV(+9N&&c%7|vUxy>Ky(1!)qhT*?dB_tzdnZ>WRinSYODl!LuJ$*Bp_3Ot4HfTWPU(R?=>8jR31y?e?+`L>2CsGs zgzs7om|6UfB#z#o4>%=R#kEquHErOAy&+pr*ct;7O~9YunuO0xfU#vp77eToPSJUpx0`kC-1VU@+nG#` z$D<8bcgx2XR>oQ6-7iLQAEAcZ`eL!QhD)Bz(0-(zn3p|s>O?RO=;}DAudd#n{_1Z{ zf12mSo#bG^z^Su;{&OzEFOo3sO~=@)o-QCg48nzl1E23jOUX;>rFshobfPx=WoBIg z&_jL~d?pY83GM$evta%MSfmp4yMc7B_h{r4JOaP7BtQ#$&+5N3tO9@C7r{mMw?nQ} z6ipy_0|6d54u?DeZKH-CSVJ-|0=xkRuONVwxE>57z%&xHUoeWepzyghMv<+g(L$?jyl#_ z0<8-B>$Ekx(YF)1MCGlxTm5PglAqtD`g~pE`4KlMrZy3|2p3ELoLmZ5cFOwbM;h-MM>i{1PVYrJ)loHKYtzusDU#RWNn)YAv--HtBQdwjpp7F# zqr8(vnTj(Klf%^pDQu5^Rd;?`-fGESY=$@{4e8?UN)H>eJQRt<3x9`o#qtnFQi%?0 za3%Km%=cTSjUpb4^kuP&uL8r48!tM=j6=6!_ZLm>vQ__mw#`D9WUSt}w=@B$y))YJ{n8PXErzfK23eH{;Z&K@q2>eGo9i-^9c{JN)arnZ(EAV6Zt89Vs?kk zQMiYj_K4}P>7XfSG%}ZFUw3?XbAwR4P2gY2y)0BlxNcmS+6(V}!oFi4j-AkM!@ym} zi5(oJuM&+qn7uXVCSbYR#IL10Zdf?>pG4Ss~~u zX_^?TpkOKghjHnb1LLgPI08uxLz==NSpf%SWo+HTe5fiHzvizhPWBM^92c%GU0lAa z>8&OQd=HT<95bY;7$81H7H08DifBHuWQ@eVk)XyPXAX49FX8xfLpdJAHe-!LFPNVA zq|)J)P+S@mH{fIDIv?z%c#dpgtMW+PkhF-gID>Xxl>H%D2`t7^C!U)a+jo4W(AoDZ z$S?Jiw%S?D6PnkX+WM4GpPDadNkJ^&O5QkNuzb4kK+U#^hp~h)nR|UYpuXFR?dCe3 z&>(F-cqZ)dYyUMr9AulPMRRsapNf?9O6kBNB9z<|s@AF4%=GGOXIZdPZ_!q;GjVZm z+Cy^ZKHqkczUaX?l$uIJ2}Heqqbn|q&sN_RJBC7xEO)9exDF2rMf(yx$CenMw$|JR zQ$34+twvO%n~^(2WS3rctwT!WjiIqkLuxQwGoGW=9S_#09=wQ|8tOnJm?Fsc1V1?@Ec`M@3L7I;>U-WJi=uCIs5layUlfNylgB zyGRd(TX$;Kg4)f$dvq$rH&?ek(HqP#;p0#nhZ-)=?^$i4*O+wb)M9k%U>r^TFVfyS z5Uc^&k|$d2s2DTTgI)cgG! z-|y%5`+a}^o$GmB=Xsvz+~+>m6H7Dab1s%ylqT{Flfo3oXcckgOK*& z-A03w1Y#aa46d=pHY#`$d4J-0S~p@y2wR9kmtCy(x0HXoQ}6G@7W zr_lrs$PP@4sLCEL&oW7#FupJ(*eoQ@-4-M^8b2C;O7Kh!`y%e_O)2DN-LLuCn&7v~ z>|u%~IO4wq;C1x3pESDe#06d-t$6o*Q)!eSJoAd#h?Rh>`2*vh_p@-4iMiK;NG6vw_u`>4-~ zY<$YnE&RD9{o~cDh%fhcM=xL5L7Kl%fLiZWtL=8bt8x|f{I+!P4d3HUtp2UT*Jfd` z#a-0Fv((2Gzlcb?@@1#4WV5|cFqh@I&DTe$FgOT4^sl*Fm2z&1$c&je*u#br-Z>P6 zoPOuagfF}?8HAR_^V5mb-{>YheZ=OcZ_a;5eD4v$=VxPam6RaxN%~jee6%qF5zaCRUv_!ad^Sk zNUi(~`zZMd`XvLy z;A^+k#0mx4cVCwp~`ZoM)@ zy(!9Ux-%y}d9PEPa?0Xz#q7mmswy({8wo_<&~RrHQ~x?8^dCr{FR?)m~#nyi#8BbRCh){;W-tzYDpf-zO< zfo!CQY#KoF%Oz&(^0|kp>^zG7RlW!M%iIAMrzhEybqQFTwwkO zuo>nsJ7JW^<=~f-HaOGdF>h{WDG~9g_uVOqc4a)ykwSJ!6*QP(`$S%65g7WEHMCB} zJsU_Sm;RpC06_) z10dNL%PYR@4PruAcSj~)KaC;TXq_1Rj7Mg}h3Cw^kcH#>J(h}ys)c7kVcn{nU+=+z z=YYMQ_?W0ff2->H(MzZdlTQ`ZQbxY#8`g9fq zZk8L?weRc|bQk$Q<6P6&(alAW^hdIw${7|U>J$Cnc#Wu&b(rVrP_S*~s(0&Hmg~Nm zcZqMpbFX5AH44lw_ScwUq?hsuGQez#i))%aB>V1O>BTicHF-=(9FPbt@Jka5+6uJv zQN=&Qc2$>mi+hIq$RQ|i*|ouCLgFO}hWk6j8aKScPfGrKJhdkLir;0`;yLj(nFsmQ z@V&86+3Ef79=DD8vPw&kp-P)?F@#^0T@L8|9xJt3o-r1m_|TYM@1QH6C@ztF)XY(% z1^uB!-Q!y2tW3!D6^sQH(yZk7sG*|`NoPZtPRsc{yFQFL!&3}8Bn(W)o(m!!H@RjYUX-QJjE_`yGxyNt&aCW*^%eT!bSv%rSW$o z!_S9n`H0PqDW&$FqxCnh9FH6>irlJ~M0NHYIV8{DAJCXWY%p|9Vk8eSeAgw2;GP)*&~8+u;c5YxJ!g23%3s zY4*K9KO^=7Ek9#ej}~tB3kValNAy_T7-r zPwjJmJ@Lzuw{N1C%Y9xvDTT&rO;8-3D)(Ikl|0+=e(v$>w4tL<=~uU9p6WapxFVj^ zTj!xFQlKU(efwhJ@nhAtO$xhb9X@wL6`P+Enuyww6%H5rl*=~oc&HlNxkRPhsNJNt zQN3I65KgO4VzM0fMvWx}r_|7XaGYZP;GH$3d)3jf+`g^!jSua->%m&b0K==!%uU&5 z3~W?g2j-FGlbTN$sV~w%ON_g(sV;@(reyI{yj^m_tx}XAdhOZkE7CLbnp@+s)8*_s z$~ZU~?|Y10Qp25xJKv%`Q35VofvGCLa5d`u#M6Z={2Jrm);6UuE|_#ahBB{5@vT}J zegEp?G49*qgtH`el3Lxlu+dx|q%IctU^*v9bOc>p>Tr6}Ya!stW2Y0%zbfwGdJd)RLVg(_@{s#fiyjBF=T-6mW=z0sQqK-E_VlIiPBszGH_|}cI&2HCidJH zd8k$8zG2LB$8GxPIQPhXb9?dVkmZ2>Lya)JlCsG=QsC~aJ-h5ClT+^vllpA?QDK^4 zRQAu6J^it__7M}(Iq&b;UMZz5(HqkEY$&_rn!iAY7d7TVZ7Wjsrn?Lha%20%FoAD1 zt+A@Yds)q-|Hy}q$ub!DL|_T6Bj$v$?%vp2XQv{8zKQGYKK9&jV4?-`eFZi$?Chh~U%L1%d# zs<+%&{qDP783l*AP$p;Bp`5F%&0lX1zk76FUqRc|HIo+KMozuDkXF|*1b;;`ZG|IT zb`vkq{xIz(f)Z^tI=)(zO|0ViYQCbD_ReD(l}l*tm@VeFB_`hBqe)oUdfIkIr;8K& zX?T}-wRH$;yV=I5U$$aFKKw>jiou*ggMl*CrR2h8JdnbC_1=ZA^TO_>Ie#vUZ{eK& zk-PMwPX+wv%RUaMciFdFPZ_-IOME)SO&VU5*_UhQh&-i3( zgKqA(S!e2$F*wMao8m_0qu!X2xboo3Vq5mu{eZ09jMd&SW~z0xjYbJlvAmd~aDR6l zmF+KM{)l!h_XR&L4ut_NseG|mdi(yZPkQnf5p(dJw5|igcq5ugMrnHs&c~AWOtqt_ zJx*q=7!P8MS^Qp)(e)b)p_SyIE99W_>+zJyinQ6=UaHhuRqk{5Rq<-S#O*ljE&R?Ne){cyGwR+lYi0AN5+w%3KheL&9Dvm~|U1oQwK%*(BQgN(6fJ z`~y|>R}(h+)pl@))9(7{%2`$+hK7ayMdclD!&Y;zq?-x}d)B0*G8 z+3pEl0{fSv{YJQ^^-kTPI@AkGn(`ff;LAVIY}--o->Gk=A5WW_yOi<@=G%myxxrO! zy5j8QaPd}0F*}y(q_kTJU9sOBhU;UwfOdopiJ6g|wjg}N+J7}ftwPalIKaLn4XV=h zkniv*@#S|NPf8M9B3?WV@d7pJ#}^SzOI>v6N>Pw_UJFIq{c^l z<4GnNb0J@;?*SulNn3l%LP_6g!t@wTlA6GB3W4~3=c&14FafPEHuIAocQ8>V6|7R` z^4qU6OiR+j6NUTuj&a1navtnYYvYmeg?0N(&1nDb2O4~GQFJI0#Yutw%|g>lpwAZ{ zH7C6_ZW`gA>GUUN4hqQtP6?Kq=wyEs;=dydfTBAqwf);ALMntj%Zvw}5G4PZCj^p; zgV8~}oxp+7mih#cdv?{{|JN}>$=Ob(`l#cp+P_Px`864o@zB~>z&d!Nxah=mC zFjmV{cBIf^!hhj#yW#lzwz5mnDc5I`6Pj=g3HptlcW;ZwJ#4U~o{nkU#kQnR?WG_Rd+#gU0*Ccx z1(LXzs$S)qG$^_#J@B8`$P zi|V9v58r0R@vO>!PIg3Flpx1(+;R)P&ccRC=j?YcuZObI?B|*~sB`2%9kZ z^!cU!UX5f1zbZX?M8Q!mXDE$OW>9$d+PZhmEw-Cx%)(7UEyJil0x4a)B+*6+3Vj3Z zW9M5?ZkUG%HYjOhiG=zxaoPW~yGaNBF293d zkpjQ{pUCr+AtqypIUf`UX>ccpLB{W4JY>TEj0-|S0;{0SV>vMl+IbJ6Yg7usH)i85 zmJ$?L29t-re}EHXf>oTirwS+pHmV@lmOK3l)E0t&hA}Ut^yU9I@id@*7;_MzHkb>f z>zo!81Jj&lH=u?L{^ZIs74eB^4%E0@T??4K^njMVAdg%7^^>$)YFsTZ$>`Nf!;Uv4 zSTpafjf}q5^6L)hjuL(~n|RT=-DJ9#qpHgY%b3NV$VikY3~voX{dtgs75*IF(`@Us z`KqDBJTaO#`H}ensYA5mBIy*5U$$yK@Z-ktqWg}D51^k(W0G53$ES6v>S9?pzu?Yc zhtfw0u6p5rnOdD-cx*}JFLt4+G-kShq~PU*HE)?1;zf4Ep@zUxjcWE?-5h%T?321{ znOd#<71Lb0SS}=M_y>hVY7)jOcy=iq%*ONb+!BNKQ(PhTIEy0>E8_*8=?hM24$(@? zF{=%tn&C07@ez|M-FH6ZMTkxNZz=y2V!p@LO{FI3hj)Pl&)=9u6DKdynfBr)D#a}Uoiuu+c z2fyZ1Hcw&qK>j0wSr!f6?l3{l8C|voBeol(BzjYpgzpK08e*K7uylMKy6|>y+bHtA zc-l~X^pJZEZ?S%&v}kccX#arX$t*L0wTxtrP>UOr5r;ubF;m)FwUj3Y>&=)oZ_G{F30_^t(#_BIB)vc>rhMtJKB!H4_uBE-EN{I2fs8l& zJM-F2CC1W${gdMg+#WOnpXn@Q+e*gbF|b~~c17K;@mzZLGl2Lwt)5u?jkfC+s>UQPmHKel|)W6(7cb=a$SU!b`!~(aK^mySw0;rM*ng zW^c(kEC>rjswYnsYHlv$wZFbin{o2U4vxmzl+;asq~d9~0Hcf#5Ud}kd^#0TXZNdF zS&WsitnAlvuWgtg&z5Kj3Q4qkqmu zpVotbf1cE(>)yk7_2jPMy(BR+lW1SMmR63=PkE#|3IktP?wHOt#ms3XP(@JNA(C&} z^?fb6)8ymHTzXxw^HZl<{$@S@y=K>?&P446(0ua_!7KcPh7We)`F>rYo>3;Ekd@=W zwuJhg&GzgqUf9KWhu8R^<3TR^qo5CZ{A1s93Ukqjoa{!`(zI)T(EixGgP<_G==$B} zniI-3=C0yX5gr3A8(pg6z^BY8pK?uO?B%=6iZTatMGA<|!Zjrhw7roO;eBO1Pfz9| zqfqs}Q(n%_HHCJMi)qMPC$+o%UO)TVd|?(5@zt9;gXVE6;y}SN(w%?-J3sNl(C~8| zV>##NwnDDBo+nRI@l5J*%PSVsjk@0{^))tC$nAb^d(8RcrSs~EJkyGqg|77jFOl2? z&B|wx9a(HAOZU!-kM*oiw49ui3h8?%?`kn=`d7)u0qh0hl&@n`&4+#-+=>2y=f+HU zvn21wgk4-rn&DwYG>L%x_n^n*QLv}<{53xtFgiwYe0;~HD`mNEo_($%SO>@$IEzO? zp2u?j%}W73d8d`1z_(8X+I|6Jyo&sjwSfGSwSfGSwSW}-y3?F*l zd^VT)7eXCqp$-JHnvH-_`tv^^fVY{ z`0!^G^d$^oV1Z&kfUA%NMIfc^b1Z1pyn0MrRM=Z${*+s>9|UIy2J{F}ghXYGG; z+s{VNu75EC%m-F&4h4Sv@95Y^m>@*e0@H$wJ7B!v=Awcbu0da0fS7RS*)uph`AZl$ zIlmJurlS=Es}gnnmlYlkSV3KY_4)%~E&YWh-3`|0(+1Y)dj$(0YUw_^0(AN-QTKoo z4BF3XoMJ(4Jusm^6}hTAe{Vp7H%)dHB==&!hY_D;p@0FuJ7kxk??7{dm7X0t6#*?S zjD*s9VI2Q*AtM1};*{|(1P&NfeE?On_xu6fU_|?LWW4`R(#h%B5vN0VwSN(62O|_` z8<~GI4S+0WKal;>17JEJq)v%;(4FU$@H{0j`_74XfB>s6zK-*M-+1`cqX!jE0CW=( zjD-gPmQy>N3tIaGb3~Vfj0V6gx?(;j!Rc|7Cw(9%H77XKqAk6W7SqsxJMu9u zLW4WSj1f^r*?W8VaflDYW=rmp{8J7e{JIg8Q|x8i@GcQm0Z{d$>r;p+e%x}iJ-2b- zqh?0aYb(qPeWJ{ih(4=OvDq3s1dHg%BkCDoSDV;ux!pL%VhjZJ>NL_I)<-WP>4B<(yb3Zce6)bC3k)Bh0HF0+u3uDM8q@*RMTG= z&F?CgnVfNb>lZ0GhJ(_6$ubGCtC=pApd_cBxM=v$rSnx~PT9Q8&a6crS}kgH?Vq*l zfM)Knh3-6}#t8U2=zWz9-J+tFQjIG5P1#-g9~ngSwq#BysdrUjY=j`&ufyvX&Pnxy znWyX9w>O3Rd*H_~&AsYyfm?hyTfF4I)?43D6yKt#?ayGox<`{nlQa57X6`tms2}yP zvSY^J;NTHPmLSosp0eXxp%F;&Cu4Q&c8apGZAEIB?_!sS*Y3PthGB^C=$zqghiPqHIur7? zny1zD%nvlv7VO@8xPPxojpLY@%`sV~_MSJ@H2E-lE zSQ`2=a?Sw+8>HO}zEOZPamsO+{Exo?3{j1NuhTyR9g*h@c4!k!3CXI1rjf~Gptc@Y z{~vN<3@A+N|B$rffK2@lc?*zaBL8xJoX-@0DtmME&rBnyr03Wll4=4*3ssDPK$5e| z>Yb9`{zJx|k|N`OxPzyp>wie{NibFE_@Ak?0f`|Bb{t+`NB*CTs=r2f{52-zPq5>8 zL?Z}|0lRP%(1Qf@E2w#c$|q6aAcWr{KI+GT-7hk=9^x@79|QcgA`NhD{IWhw9;mVhta<8b0JUF8T#r+%b=c zK&yP(438WQMm{6$#%-f|Xdk}QINn$o-MC%3N)wpc;B?Q@`942tSc%sa-h1#o2-A{` zcC#Dy)5Ug_NQAFhdrL0=nxY`<$PtV5^l011Rko9rZ`)$nB%#zWI?%yvZJ6UFPko3S zGp|LhMfkT1%yk(nKgk8;I;LYFniAEKX|eMnqhdmY0Hv&_1>ot@?&z(FDjYLL8O zR+^`ngCZe{^CJ!LHJ`Jzp(t`)|Ehjm5RR?T^e$t$=Acu!qm-C>yfoY6GM9-NNjL@_ zNp@cMb9Fdu1`F?fPDHHyO8jlb-NdTL=b^Nifb)g(P^6>a;N314BkZ=1m37X|D>xnNtw`gq%0z z4l}6@2YQsILpUOyKBddN+=rwU*sm`i>)cjkj$!T{CqyZJlo*TEGV}KJibSu!NY_?C zrWdNIGhda<>XhMCr(CJLbMN-1xVD!g%a^;6n1QeFu|LjvLAB!%8#St`~s zlceS#$`P5cIu%OhYO->aU$V6QOEKB16Jo1{5YD;W5}j7;I^CY6D)HlY5{_NcxAPJ< z_2F5FU#Tb-E`knZU}*_oJ8F{v&97l_fcw*A6MMWm7uv97SlV7v*4I4Z4ai!RpZ8RQk30o^N`-QrpdKDYjnHa^x4uWoQ2I6IU0>Vh%ulGpyqxqXJc~d6 zK$Xpi%8*35jZTis^C0PVh;P&X%6_C5bnBCoUOGF%D8Uy)2|h_%mO}1F(*t25pmb%(;DU@`xmJ-6;>%@u}}YLlU?IK~GIRZb;xMeCPB? z1r4nS))+oZ=Lx>gKwQ*r8w|c=d%JJ;e!(F__2UC>P{F_F6_aTTX3Z6Jtk~ z4>o*3nPM*DukN<)_5ly0bHayV^uD3TT6G?3St)s%pYd64>R*wJO&aY8H;??;X>fh{ zi{VSrU7Cz+uBg4w@-LQg`(7@OIe&A=EDChhwbytxe{7R$vYZ}I7AQ`UT$oGEZISHq zOKLDSbFy@UA#ouN$}-pv4bvkO8^VO7#6|VfQAudyqCtcMuioc|5<7jHbV%5kSC?lN z9=kvFXq!nx5AIZIvyJzu1nGiFzqmiYTynR@U;5;*whf4(Vi39!RWBdzf$3$McZeu*uhe= zvW&`A%qB)mZZgaj+7Ld4tO}k7 z95JxiIWX=HOD(#;Q!>~*u)Wk>l91C%Soo7mbRCVV$@S_XZ4(Qt; z#e#wt{xGOU0b~6tkpgrpo|pjQTZHjL@k>BcJsUms5N?7qY8FA>;Mthp4MKJ3c>*yJ zWVZz4$3~ob86kmFjr+xgQVKd)g30_Ij092QP|PyO?Gs-BxqVydz~s|i0q9!*Dkgvn zK?4iGAR<@=b%+IrakQY8RS;iExCB!C%$k5Tm%0Xf0_B{N)6&@Z(4%!gURwsH+gb03 zn;S6emn*QF5Ns2SSFD_#b!t-1Z^8m0r`6N5I>01#TOe&veGS-euG_E>hN(@v{%->f=;hNu1SkO*XlIp>#RPu4Q^SGbgZYP|L<;{i zYkn*>`OiOXIcR%N6$-xsb^ZY9{S^1?6TkQzGZ+dM<6m!lE>h~<_~E5%Pye^1 z#I@-D>Ckk;FU+=2@gDUb4wAMs6ZGH{6h#IGy>8>D#8AJG6y1q^ZP9K~ebHr5%yZ*s zur?iDW1nrPWQutTj5WUH1(q#En){@x+vimw*{Z^(n!fm}(!DbbY?HkUxCP$SwYO27 zQ`GP0hx5En@kbnoY!x+e3d*Ycz7kPqW{2(2c;EVKWJD}65 zX%=(jK*P8`nIpB!pYfI$l4Q=W_!b`WL4L`23KlLK?lOQZ`NoegsW8onULWeg5zy$) zOVp8-lw)a-$*}I9z9^29DDouEd@}^aWV7@(OZnTlcA@~I-Dv4>kxS+wKai)dz`=6a$8-WG;yEgO{VrSgcEJZp(w1c z@>YxYM&c54Xt$7)Ok=k>U1S=RS*&_r&EHhq#xP_`p}_OrZy;9d`ket`qq&Iv-T}L9 zws)}mg-8;Y?RQ!g%1x`Dciqj4EEL*nC+CUV#)Ol`g^Y8GamA;zLPIW#f4+dLw&KMW zx;r++)6Wy3x7*>qqtucS<5v7WNFMdYin>cH(}Q@VjgrpXvocx=%SG=nz-$0bC@!tn zOVh_akC^D$Wx-qf$-T{nUuYbNT$M;9Ego16w$;@~y_PwA+~2vLE-WIBs+D4XnOss16RZ(Drrm5 zFTQlBWMq=mZcFH*ndRVB`;CCBvvIqr4s#9`jQT5U_|kq9*I$)~@(6r4UmDh4#orxJ zS!|8opL&?6_6$7*-(`)eIjg}*gvOuIy3;gdN^2*T&DI4AFD-wc+Pj4;#*<7%b6+O6 z2&X;fQ`uVl*sN8oq09@5lYA3PMHDVm)lEDpp5{uONs0tTG(PobRAG@L1;nmSGzIB? z*9G!(O+!O&M9#JhYs7Ca3r;1cmVO+SO!A`pb?BWU9xt0++20ChPBahy0+hVv*m#9!`X)l{c%UXMcJ7jE)SsN)xioHr~b zwn@uMb#*ijNsO_h?Q{AnT;leHa~i3@m39#N%+$PWGNhHQv5P7~Y2CyGVUjs4=Ek3y zGu!%hH(S0lQugg3MPPtlQBSnu;v}v=OT`fx(}JIzX@@L~70uCq!FI&6G&%D~&&o1H zQi1Jqlj3pxRMjJww^RaFIkpJSPdB;UsfFtV(-_)bX)C0?bbIz~Wi6##mESOacrZJ0 z>4@*~&f3Z+U8b?Trx)M(z)rZ-^wK7=iFN>H%Xo z%46vakDPwhiwkhUZlu_GSE#=^w?XP0EsO)>4>}P8*$Aik20~(I1#8X@ei!5s6Z-Ai z7W-3~M@;x^cN0=YhkIa%@-ldp17a+=2@paj1R0ypCrNRjdMqIH;s+TSWHv)83wdAz66OR4@q{4& zxG?Pz<3fBmU`!DM4oVH+!0$mPr$?YX4i1!r3%7>KFoC1|tgL`89*}du0%Xwx3OtAy zA8=W*0oi~LcYr3b!D(lXV_yO||2c{ghGK94zmEXaL_os8*{ZleXc-2-4`JfLRiHjC zA{dkphx43^BcK{Qz&{hWAcWt6T=4-(K?FbTxBx&A0^%hAXLS+59ie#wz>1V2$A&_Q z;r0-EA-*=GL;|;hKy-sDB(np@hfYZTJFx*AoR~`rZjYD{@EZg`w<~+HKkGz5A5TZm zF3ds>Ch;Qz&ZV;Rw7IXZ^57>EY)ctHeNC zUj<4yI|cAj{5=fhl!H3soW25o!ybTBMG5CP>ss|U=d ze-?L40nLm8kH?U~M`uugxfXOTWzA)whROO@vgwt@J9k7O5ZNl)T+03qdJ5Xj)`H1} zDIeq#24|F27M_RU`N?GTdu+OrQly%YA+rmW{?UPVwRJWMPCd55M%jE zMejYA!(;6?=#3{+KX8B4b@{A*uJ`C};7~NXX`3-i0<&~g+mANL-3;@p=_?&>6-*uZ zm9XG$WMeV$ErK=JzfmCKIaAO3-k6<6*3AK=+B+k&VrBgU`ljnk<`i;h{A9>I73!J+ zF+^!)@E+>vqFZ69uTy=Dr)zFUr}oENwXuix`DMd{rBas9_PY$3ihdOdSTfb6*W=PC z23ukbHOh&hh11MCe^k*D9E|iqQtb48eY~kZtIqu@ePszlrE_s&^O5wn$o) z^({BF0)Fco1D1v4EOPyJQb(9&&u~4trL1FM*?quwu>6? zIj9W#@g#ZjD7|O_Ukq(kG^dU`!Ry-CUij<|mg03(OK&In_I8{G$%k#v)X%Fr%k{Ww zcMn*KV=gIGaw%ZE37mMl$Pq9q=b=wg(NRJoQwA?;{!Dl*J&MCOGg;U#i)Ss-`|huYq^A3)Bbmo@26deK zKl@peIM>^*2)om}78`LN^v5jpaVM%9(-YH?F{7SmnyK+W-9)@~;|X_MDKYd{;QHyV z&7G(=pNgP)o#cQKt7O&W=Xd#egu-BXXmF{~#k-$%B1bw|g0?S8C%uJ6O;6`O3F9h>@6*{3~V^In06Xj=@(7?2K%RUdDAzy%n6C$ki^;;C9>G_FG9HA|{_ynOxyB8qHfJF#Cut$|&6D zpZVTB3-z+8S$zOC~Jh@-UIgn`U|?hIb+pM!?3iU)e2<9-!9yyR+BYm8w`+6$h zlcnCjSGn=(q(N!)W7Bhe)wsr=!I{zEG@?kKO&RuKF8;0YC|q++CG*J~TplLeBo{DA|=v$_%=(K6GzyI5;lRBz@EK zA|1Kyh#;-^5C2+9mjL!)wx*vpIMxhpRgR{QMKg$#C?As6=wd!$2q9hQIsV32xSsaq zE%(p}jyZ2f$B;q56)TKPyh|+s;%T)qbyY{RvpDUSyma5scnKZWRu7d#Wc#o5!~I?Q zO^_^$5%1c|6S3Znf7t7mwJ0X(;CtXTvFlr8OClXSj*4llSB3mufeOc(%)}H>gBF|= zo&U_&@+Y0(H=5BA`_n2@?02h7pk{u1U&Q{nSj7IMn2P=B<0ST{kCWJ+K2BnP`Z$S+ z2}4%&aL#j-lS2YDD-#bc7R1Q_zYCGSL32i8G&AwO$KUSj|ndD z2Vb-&!3ob@E4a)6Ra{L5$i{RZPZ4Tk{-fN5A&DFybi)n!Uzk#`u%O2*05Hs4#nysE zF8z0=5_ihq%6;<^xYCvDi;nebn!CW9D2wM z7|-Q!@S(oTa0`fw1#o@Y0URP`gTqfZo=?^BsiWfpIQWOR0*vrOz6L~>Df#*T;p8}D zxpBbRp^u|5VyrW==#-TDa=x8>HnMs;63hudFLd~yA&P&-uAXtsIpG{^|9~T>j5CnR zXJB}X3Dna9X|cQlkN_6gHCkbZQ&RkcixB1&6o!~(3CW?$9B_*Nfvw62C%Slk`~R3j zP=pt}Tw7S=pgAcVRE7Hc_5aHy;rut3UjUpN1!{als{bQ*<{ONR@?SS6dV1xrg`nrW z?*}*;#Xs-;51SlINbEd0=CpFz?;>M-N`Fl*{y$-`-$)IT9RROEf;4#R!l%gyz=nPQ z7HCHC-az%{xH3uIs(zQ2xJO(TL*)~srWIAF*?l(M=guELo9P&kMOl@Mn$}-Uh>Eh> z6`Vt(!EwFuZMg8h3x6##%hpf~i-%OUt>*>^n3Xpmr!Z%{?uT)jd(0!aIL$h1dmCw* z)SUhB!Q82T==#ULJsN!AA5x_#Lc?X18$e+hSFx`}i(0&>$a2!n{gl^cy`nYqW!1Fk zo8=GdhbN7s_hWv1x*zil3!gUs(wme)+f1noapnsV9Lz2GE6%lVW!*`tN@I9z3?Gi< z@y^y%`5@<~Ihc&Yu4lwPwJ^K;xp-)4#C$drzme z)J`Rmn#6b}wX3|wtDg;{7z;vM9TNBogITxaA8!{mG4|dlk}YCn@bwLPU~9`n-R63) z=|N16t~R0E__Y+JxMC81D}yp>6M@S?i(x3dRMp*tQp4JmRsF!Nk&KO!`+ZyZI7-1} zbWy?fm>1`$6)$xZzgwVxvwvCMu{)=ttXG0wf;Mk8-7ENIMB%l}yEj9$S7z9YFTTHX z^8tqk1MSLYnEHDRGmA*XJcseVz;zYfb;6k7F^i&SxEY?XTkk_R*B#+m9+D5fzII2O zLFsDTZFh-O{-iBCkjfjFkA0ufdyGCqb$El;{O2pV4>3O#cl7kXKM9RC#=c#nxLhun zKP0m%!M=6UYMj4VRW`-$z`lBzqO7@U8+(#<;70C+bt58?TyyV(-a&Z>w69(tq$^Rg zc_T$--YqcG4U|I|UZH2M8cuqvOfGcqs0fuC1XIHbDv!XiT`3H7wlxU8l*+GXnto^a zEnVY9LkZkhOM8>7_{kpNpZIkX>@1zLXb#Xf|n;g z$y0k0y7u<_M~gCi0Y#0>q15?3zZzncG9Z%W4jEBoW4jQQUl8&sfY+*^qw%evPaI((!L@exEkgt05AYGSU8&MR zOssXaJHGd-LKm;xeLl}QGSEe{me=h@4tvgWknb#gH_@qo9Wd&M zrVnY<|D+~>X_z2_I;^6tJg}3+ZbJ_FfU|rG?ztl@zZGsXUU278)sC&xVUZIwz zH{4%UeEXhpQ%$*o{%13%h@?#9nEoCe|CZaB!V^P-%KCloRn10$EDChZ z_2H<28^65jg?Q3))`VY$|JoUoC^x+Q)`q`H^-%7;>CGL4i}HG<6WNav!`pPy9ZVHw z&rSJBBra$&>}_FAoZ!yk+0C3o2`e%9Ih3FfI@M}_i3nVW6Er|3?JB2(JJL1{6Vv@q(IF z%P>ahI1k2$0cK~m2eErV%i~cZkRrSYP!mf)Sd3Q$;1GnE7_T5yyhcU~)r-Qhp90a? z5hzO#u0k&Wl%6nf03ig0c?AH5I#t35&`JscZX49mXeFY9de?vhC`A~46EYP7faod$ zj!XGRTu@jDJRQ-0iA!(7G$9IM_zg(r6&B6~gaEifaAN|ZV&~%EYCxH%Il$?ma!LA2 zkgEus_&hAKPXtUaj0Ecj!I%O_Bv_RQlknN|^VHD)#wBeU?Kq~ zVG&_oe$abE0Nk(uDBCG~_5}OY!15bJf$!#t2wa;|`1ENAfchH<1b8~aOv2#T0Tr8f zuxTL=BoMkP3Rk5Q65$mETY5s^=LSDDlb{HaR}jEXArXE6PGrf@sa_Jp2_S?Rc+&f# z;1?+pgX2;Dkwzepykejw=zpc5tyLHSv>^tb-ebN$s7&b8Wpf$Q=YkVco#7PlXwJ%m z{6<0|f}n^Q1qJ10VSezd{moSaM*c1b+9*M&#$p6j*u0mdZ$jJ^=7}@$C zxi%7T3hKX{d;WyLU4y3~0-1~8kVE4V022L01Yi>(Ap!7Aw8%)wK?AXWbNRtf0!2{* zfFJ%pa@+pR6$I}I|2Y)IxcaY||H8crxKw{x7080;MS@nEf?(m$wj3Tgo3HNwgcASm z!#l5{stMVvfWI6MIX*sw{)q4j%HX3Tz=x;4y4SvMCnXXqTMv-Y7g-?>XGYp|LRA90o7=@o1i~1#>3asG`IpU z{HA4FI9cSdmhD}3%v=3;o%cLl#Oaf6D_w_vvAH^#cH%^Hu#LX(DvYHM_1m)y1^Dy9Fl$$D zuEe#}A~bFmv;IIb3eNIJk5UZpek!poNzY!dcQ!{Q{+yW1PRvnHEOYK8M-}7ny+z}j zzG8PYV6ox$zWkT9-E3g225QJ#d%{|o*3-EW{zzMS^+kCUXQ1VsSHI>6TbeV!#WCJO;_%bbuI4k0}sDm6#gzZ0%TuY6_{6uFF9@QlIR(Pvgw6Mzt z)*eS4NsQxo*O-nZ+=7nWfgUULg_#^RSx?!rL2wZbxlG|(s$^Q%0drKPiuUoI6rb1Y zu^gIGjzHUes%Dx!4ngw5SH9Byg;C~vm3_joPd`*V)GJfmy&YU}BgG;)Yua+1Q*?oa z+x5$YA?wQ3G4Z?vsgl6wzX%m{%RW)hE1FCsIljBg*RsTAWN7z!dt7~h&22U01ywyH z%UhnRkYgT#b&Zv~41?bWb))6MFz*wKi=Lk4mIPx|H<}(crD9zdQP`shB%yQ@tK@BD z`*lZ4ub#N`)tgdX3jz(j?u3q=!^6?#ov%;AC9Vu7Ua~94RVmf~x?`bLhaq=k=Y*7d zz^bxF{nP!@g~J(#vi#4Tc^^Axx~_YDJ)CZ_)kZxWyc?!-g}P+NHEbLe@L7LhSAMw@ z+4QQ``G?zlYJO=4-S-KMupIik)_mxaVfcgmxHb%Q_CukWWGHj4`(4& zHDXOI4U1_Mct-F0=2CI@N6+}OD`quAco+?IBRrEh%iCme4OADKZ`R~TD+RK%laM`n zeJGfSxIZ=KpFaOJ&5jNOR+_C!Xz{tGTSFbD0*L^N);rZe;}I7Z%lf5M zt=dp}wbycio!*Hay>F5!5*x~%_zdozH2F={R^VkcKN7cH;l#|z#?+w8W~`?;o`N{AlHt z1&MT~k8JaZD30~Me8xzmF^Ye6$*kNo|E{jlw`j8~7sjNXRXZ1F{(L{W z`$5nmrRC~wnrc9Abl11QNt=T&aEDRxG)<2f#*T@RE63Sp&%SW%xf{7ZQZP5<7ClH_ z#mn6EPcPyK`?y}BR$p0g`)RJ@5i~B+mFMk#h(7vr7<6c*qJ2F6kp?x!+^jx2wkW;x z<4edDi2*N9%%=}FIuh?6m-Eoztr0cc*^f$CKk)wG(q;D471K1f(P=-+Jfa7m*sHL4 z%e!NG{%LlbIByG$mmSRqE<@{7GbH`70KGRO>8|tBC4Hd;DwgygLtWkabX{MizDFA5 z`7_!Kagk__1=}nORxo&@zO1t2%uw%<>^RwZn8tWg3eUY1;gK__Ao2R)Y=>Uoqvv_+ z!mfye%IesLr#w&O4Y{Iqo-!aw5pWphI4T;oYn@|Bg@AU5j;|SPYE}gA|XF>u}05PZ-6& z_xJ$71bck!V2=g)l8%s(h5xsAK$stK26dUh!TGKqI5^8dgoqU3ypV`C*vS)!0y}j@ zif}w~5dkE?n4$oh0#yY-kO=6@fj&Y^4=pJIOeiRVrFI6{$Pi*eKn_4sLEh7B8WDbB z$dnD29!gOHNa^;edx4h__spqWJXL)B z0KT5K5cxq!54D}j(JKKk`rL>7f6?~VVO6bPyD*)L?oR3MF6or+7Nk2Q7Y&kwtfII#IY5+5~i^>l9EDI22n1l%M1VCvf5AcZ|_j7Y|v+)6J)qm}OglfP%5=B7& z6ekBD!T*Amj~E{K!T`YkID?7@z$O3@^c8~ya;ylvhqV0T`)UC1%gM*d1|WS(E&#Q1 z0e^A=!$u?l9uLRAH*)~r6fZKDhbSt8aQ}C<@c+if4-k9szzn@k<^R0rUp8P!H7@SIJ_`4*j{^Mw z&}uuHAe{ffY@!9i!Ta~sKor6U$m>Ocm~lo6g#AC+m9+oU4*VTIUa!6lfWeR2ARPRE z-T$|p4M)b#rY2GF1IFLYR;382HJWF#b59zY8J*khjzgo+9_bj-7m zS5GPF@bomOGprrC`~YK&#esc@l=?(1iNvEbFp_`%lvkDipf5>2_ipVfu_IH(=~bf* z!kppDIY0hu`;RVB0&SM;l8FOS?C7x4glC^OSNDD<`L5fYEUXsKukJbG`h?|$Re#JH zZRbFFCY?cm_$!Iab$oUba00g8Km=ikM;E2m`%rwjiCSIbzkszgwWbid`@-!KY;z_} zLQm*Q%(VWd(~LdzI|jKd&)RZf$FRZIZZBMQNFW$!>TlgA6(Y&;}nDmrL@ zyJdLyZbdq6rp9)n1H#hHfW&rHqf7RLEGJFOl&oJ}B6N!U8Om7A`sORaZ&=r`YKl=q zng*=8;SOhI=i1lgbx#l(DVT^NMk+EI@{Rci?5~wq&k1tYFMAnDxk=O}2>H+N<-q&nxWL4IW5q8j*T+ zGE0!Y!53e_;|czWe?O5Mz6DwzjOoUd5#jSO<*y-+(II8OIRjBtT{mogxd=c{E$Lhk zHQam$(+h?1lkUOL+WI<+DUV^c!Gn2{$H~q_cR1%$^i0_xFcaqoSelnTuEbOs#V|&c zB&gp1C6V+_tj1~@l%!PiCk6(KpkQ^gWCaPBaGEz}v5{ZiQ72tsLp`&C(ZD%dbHH6& zyGrFTU1tivso_PX)a(zEWjE$JxZ#mNKHinp`uRydvM1 zCXrj&_~jj=p*BVAgy{R_q$nr)y=NPy!5GIQoayV>EBIiG$*J~6eMVBhIw~`x@DRrATq{yxs77u{5+|L|FqhG#!AB3E)o{;(SKn^-$a_|L~?yX&GMb?vgwFXLK8F96wH#p-7e}?8%8h+gx*Vi)ezmf}JT8m*zPNy6WUY@2j>Z9qvX!;oxT|DZ)ib%Mcna zVeg~g^I;#YLAy%V9}vx|Uvx_##?sMqP4HD;w4Aje4NbJ0xxplH(5b}_qI{xv=qmu> zxZV^vw@b|fFLfcq{m{3c7~*S0T6E6NL22{#EP>0D;YC)4uNh=B8@e`Kv>wta9+!oh z|7zt9PchuAN1(QfBR~@w>k%ZF?=aVDWW(Y?imaQxtZ$CWkmau){cRJ0HDOqQmY4bk z(X{Ve>n2(3P0{D)-^0=wqj3mMo0v=);5=O=)F@&&!Ii5}`Cm`0j@gbnvdwBc#9eg7 z@!np(py=>|%^pm}bs6`wNaxJMv|=0Ebuz1;@e&!SjWD%N zf5w6&{6vK3H-=xMcjhqn_H#8rUqqE8c(ul6ZP|I_VgDoJlU0?!LGg#aEVRq3tY<&H zp*+^^^`-8vx31Kfd$v?86Sk+mk8f>W9QvJcCE7Wc$yhNhiMwtZ;0n3n&<4Hn$!HVK zv`4vPLKyhTih-o!_0W2UX!U$M<2O&ruL*!cRtaQ0AXD{QuKss984tuI1cTu(q=~}u zN9ztC(6@7duN+YUF7D_<7bE6vZu2KSrnywe7* zIT8gxU{E9o0gqFVmyHvEb^y}?fGb|$1^_h&;Z#HW#n=70hDHl`SoH-a9UV~7`fuR$ zM{WOC@{o^{o9#DIC3W+QTKSiqSAYxfwr?i^e4PnE0i54R`LC;gTd{%wUmOVd;>S-8 zarHs`HL`}I6&?>V17sYbf3Lyua^>iK% zeu(KEz&N361AyzF&*l~YzB@=)5RmqC)&XAcy8$K+JQpt;FEBqr6j;W0|zn&pn`utg$vMvLB}HELBjs?DUX~Pz(6+xZ;fUS0>&TkK{#P>aG?28U4i7g zk~s($|L^b2574SWG{MQul_rmg2Z{dQ*#YwL<1G$engs~=@s_S1;c;LAM)>#FBVhqR zvcKOIh*$t<3`7#YvbaAqfVd#w6^6Lb-&39cB&+^+pyj{n>>jh7fMOmJ90dow0q?J5 zCq&E&BmfqD8g28!aT~1`z=f zW4Gfbf$OW)rSsJjT9ByXPd%h9m2DGDF7b`-WaZnW-esPPj(FAi$(P-5L;=cWLd+_6 zO(O#-u}(AKojb9g<@Ml~-MlsoQfNZR@`dX3%0I#H5K9lDV#RFF*C*ghhs#7;33urNMy)tntXfkC?AxF)e2dX#U+&{1`ONL`7rmwb65s zIx?%*h64!rWN#Hhc+2vvQ)rEsm0_qjRrhF$5;+QrzxY_qahV?T1TLlYrAJRX=2~C+ z1?0>J_gSdNW)LoImNQSKTD!}j)rS`K96r?q)7g*ss5?p2*BN`+_$8;_jC$(O#hChK z8@ubulE0)uu9ri5G28+J8KY{~exE z$?6l&_zJ~KQ+le1X{+Q55tt+Augkr}aHIYM?GPWg44z%V?)7g=Dl@+Mm5lw^r#>Hp zz){|&`#h%BGNDt*JrGu}T$VCp-Btxbytvh(y4{V##q8>h0{t32!b^DsAsh7k1=o|f zSDknHu06U%=JKWY!=JDhdD^d|bNxbftUS?dV$xe*S=l-C7@13Em9eGWgu-(c_FkbK z*_m3vNt;waPxE26V@aBMQ<`pi=CYhdg87&XGQH<>s2OX$(29=P-oGE!xucb(yn{Z4 zzQmWT9J4N;%1%O~%<54!H`!z+xL>k7V->(DQ~N2|7)^rkS&u7+F*1ou-x{ji7YVGY z{=TG2TT8o40$cofi$=gt)i&Ipb7&GW%Q@ur=WvpHXgLc&$%2N6`GHY_ zUuNHdbU;CDVNrMJt+Xf%aU!X+>2ZO5E}K5%meEX@F)nJ!u3u<3&BW02?A?XMuSU6X zZwYO^#n0MbTG%8h4JNxO>&ANL#)T^ydI5IN>Iq=Yp(?B;m(X60uah%=VDT9 z$YDLsr`n?#?p8grP`h0Qx@B%7l-}|9eagInM*c4eyCE>XG9t{Agmvw!I&a~P!~1=7 z-@4)_C>uAv@hDYqxBvcJY-Du~}8YjyTx zl|~p6q?01M3uAFL$8woeCy82c~RHL!_1L}cnjG6ron3Q)vM@}|+AGE__?2${DU<6bzrn`Vj5 zeum;4c>ZO}Luu7pp)fG(dA@-{<~ND*w?D|SQ(3$&tG&5cs2Q-=_Eix$!R#Trc8ChW z!Nw@As6AySg=CA2hqmDB^cHl13yH>Q*nJ8jS&(!o2)A2IBgo0PGw0f+^?OwMq5Mms zmd`#=anBhx>-6)}osBgYlpHMv(pw`GabLApIW6<%n(d5jPZcv1odtEq=cg1%$-XaS zD5GRc1g(D)umc*~Ex1rc{8 z5;f{Py+76F;D4NLjtHDH8g6}JTuh0nF))4JVvC|Kk$5Y$BH9fWx&5(;Acqr|_u_zB zob17o*m+!4rDrjJCU1ttJ>jw(p|wEA3IEk+TjK5Z7vs76q=LGbC>JP=mq7^6HH+>M zy_k*%egnT!T_CSLHihtuWyJf{2K!%0av&?fdGcsm1EqyShZ)f^p|(hIuVkK+_j{i~2|WCJ0FecHsQd3jR&){-hYl}1L9NmWT|w+rMu2?L zKnTl|McUbYbE{p5f4*P}K_mj!<4PuP`ipd!dJ2+j{H_|09%Yg~qDBP_`Z)Im(taG2DEE|Be9altn)WU=Wd4v4~BZ?X>=w!z~ga1f@!!SC|d1gis~s zm*U75HFlFHv#4;LP19pqlTd4q`b;vTk-lrJX?v6F%b51*+(R2GN5!Mo6H#KiEJG7PAC4 z3`^RHyph8Kzbd#=mwviXd|-@A^(;80(F|^+9JLjeE+~58GuWi^v&pN5v_75u`K3HL z>K9OVr;8`FS3Ofvn!?z&{KPWZo$zH>4eZbb1$0g~`KM+(d5ihvqR2uF=i;C>QACet zD6M(V#G%RL><+`@(`IX>2D)?_3Z8PZh!&4l(1*>ISat?+hMp?`uXxEh&d8qlv zPc2ZqDKdGpB(s!!7H504@QF?Cec7@|qZLK5Or&QTuJE#>H~Xx0CX~E7_dczZQQg-L z%pTE1@$>t`!5-V81gG62kURdlPcLF~+J&!SIUJ(*c^ZyPaU)gV#B%h~ z-Z<-ie*h1i+umjD{D3)uCT4ZG8&}vxmT&D8xyVdm&vnxuF7fE%xL>e4dQ)vUR(gW$ z@TwcWcWO50d%mwiS+VKzC@2lwwQ=%wHj|b5P8>(gG*ga!HT>)=4D^&Ex>-{Lca^b| zK@t7@*kbMq%-#yf!BxA{W!fr7i?@+1KiQ|)q#exb==6XS(Jr^Lb!i=BJ&54xTz$en z{QTio9+#(P&!97)`D#lf-O3{JVH9`vRsJW>`3RvwPnaD>_4b;TfFJ9_LKv^erD94k z_t*Ax)%=?}FM8)j;$D1Z%kp+@c(o*K@OWJGU#8{9(|9M)uVL`N9zo;>qJuCygFye`mj2;y{=wM)zjRoCpAP{c@CDd? zpr0-h#M=v(8S?Qt0t$F_fi}SIfnomgOG;jjcGJ zOcGonUW%!#I9}k46dJY+5S7ReRz+7+e%&YJ4&^P79FPG<;^^#t3W;c;KN`gq$zLYf z^H(=8Pkm`xC5BZO^wT>pmL#s@R+E|bRd)!n-nI=)?$PqVG-07uNUHiuGITMost0q| z0260x{4*wwL`r7xTKd^)zo&trv;VlwUcrObyY?ORx128&)OOEjBeETE>Kn8hI_kAE zBfXu|Oy9-GSnU(9@B>9`gu=^%fljLp97CG!>&G|({=Glf%1DrMaK;7GRtqr}-Z}DV zPrk1|dX;z2IQ@{WexenLnoQ^Tl_QORZQkSU`?W?DvRMjb@Y-%o;CymyNiVXt4M>|N z3!zj zcjriJ$NkwAb@rj(05t#5rd3sBsSO`E-Ft3sm~Pol!ZIe2V2%@N2k&psHtt`ISzUzh8a6m zR9)^lC0ozv9Wwuo4eIC_jMEe=Wq5JB>rh+u8G72S3)~oY$y3XCYl>_o!jF6U2cfWq zE{Q)dFT0?RV~Y~}#J6fYXWD*aDes2S}`oRt1qmmh?Q|(is#^r`o;fci}l{o|+RMami zN5%V>?J`2738`jpaThOhk}B{-*md`7ycWCLgZC!VK+ z)%ucP6+WxYkKHFb`T&vW>xiNK+sHVDue&gX>g|LjfTTheK zEXpq7SO2|W`X{kXG4+A@R|N^-k;X`$;>o?lHk^)K>>Iu1UCJiWOivTi{9Iz|yk_nT zsP!;sjPQ4f0U@_j>D%qTEoxLBrp}fO2(I@0n`#()bsA2-4@Aa1o5yMWv}IL2HzGP4 zuE|n5H&r~E7a zy%-Zi;ee)~5kN#%{`i_4xo|>|p$MSvXw4o_g#f(00}h~n_@{t@^LJBfpep^>Oy9qU zS)4#~Y2hfq#lic5upc{3dp(|%Ymxl%caJTsA6Ilk|MGyq%E99bs}GRCer#&}kI3x* zs0)PU;RGtxf#z(mKn?@4hJ(!lUgyK?xYOOyA=2aaPZo0GTi`Kt$P!}vAi>9htE3QC z|3o**u&}eEC(>a^J$rEIEkRb{V8+_W$z6mHTtI|iB^SYKL|Q_wIePyNUVMG0SEq9e60x0IqOWONl`8;}ljC3>$h z@yxR~Ah#<%Y6*?gFVTRy^uVOb+2!@eYl3I})MP&_UsS>bKlw%*a>>;clGE^o23saL z1!YK{JPEp%SL3VF2XKdmx*tuLOBnnhAXV9Fa3#?#7zGmJI(TLd{5Xe@F={!=cvDUW6cXk^%=bOX(uubB}SOPhPTpf-E*yf zN~FWM$VOx>BwV+2m^Id=w~H1Xk(egV=UL`dgSdbw1^gGCuObC8H=oFtZ@gpm{Z~ZI zy)w~{qd(g>BE!>Y8+acY;reI~+{}(dHt{7%e1cPj-Tr)7=gYu1+`FAS-nLRK9=A50 zFxwY^V)qGLw0++dl1gFgb~`JCM%-_U_}R;4y2S_eO`XNSR;BSc9!1TvHCpWsb9kLT zY)IH_l+;ND337!543ti8TDu()0~H4MSQ<-tl(xgzt^alpKy#nZC|vvSOTD1=pUw*NTdBvNmcRT)bZQd3}#Whyz`3aBDefc8e1$6|uuFSwXo) zD}5{l2E%M+Ftm+;fe;3MHh$Lo$;U1ouL9U|PCreTrjUHJ81FT4@?aL$h?AA-J-?pm z$>VDbiNUisMe(|3g+1Vj#xSTAoKV|%C|3_!=#ca;n3Oi2a5mEmVIkr1Q09f4bt*w8 zKOde3_4LdR8P;Z7`tU$!UJ#Zy;!q-z;AtAefNA~U1}TXeq%Hg+zKl)Xx-UO7`@AK= z-Q$`^J2_0s0Oy+lji$bb4twcJ;b1+GJkEv7GarAo{7D%How87HVZ9Za)Jg;8oG3cq zjpHe&75YOK$Lm)}oT|&=dFgQM4Bt^ggn;Pr)U&RGSrV&Utj`u>Lpe#7r|-t^VC(E;g$2$c?=DQ4r*og1{T;*Yt17>%`*tho*^bJA66bv4=7mi9hQ- zw_ieMyo z!{&+@uObxRNpal=u=Yey#Iruh(%`5gvsXprN_o|Gxtn=8H!w`g(|t@4P%s+lwFhN=RfvkLLiPabUoai zEbP8YPb^I|Pxbayi0Xz2JasmzAP%2cI@?>9Sdau5JbN{1(Z2<0dNtu6_ujggCy?*@keGl|`qh~%&=p9i0%Zz8l}L1u{YU^sa6s~t0oQ2b1Nc(F zu=IFh5%nvAB}@U1SA0NNj|L6kd zFi=>)1X)i7aidrm%(_IlN4TSBKq%8doG==`5Y03YD>yg6(BI+5Lwyitl&e~-dr0DV zlh^$w$tCs6`^lat_>QZow)#uBw5VjLe&%BYDf z_QIP#EZi?1F_qqDV?4EfsuL<=;`Dh3DI@^0N{uw@zz}Grq8?;R;g>97-6G}y{2_{^ zGjZ+Mhx0)K#!WB_Czc9UMvr!QK3koueZfIz2I;cTu@C8Z{af1zdx5q;taJa&I6#Be zHiI`t+M3c8L;{vGbx;e}{or5-!tAeNQNzr!nojTWEOpw8h|2NkICuMiE>ww(tsn zsfR`1nwIM&Xw6i~`=aZqJ55^cGBA>({CHNnX6R#MI!4l8idqf4lw@F651- zo{QQ1wjx1bdiXx!)@XuTquIx$u9AD|^P0CA9SEMN`L9>M!R?Xn)Yn!En3$%v_{r^t zz|KZbbS*ty;Qm~G*AzaJ$eo^c2lIJ$+C$yCp;>H{VR{MW_H;6Hof3W!c{qR1WzrQ) z1tN%L5-O!chtDUZfoJ_#Q9SsmDj$^rd%_E$#j~6(XeDF+qOGWk*8BRvhpzA3%;)Ck zx@&OIa|C*%s{#p*x4L}TND*34jB9YO45U^f%YP7J_x$jpp7gpy#73T2=7hWLU!#Va z-Gg%tgo3sl4_g`NFr@eZMRUNcOW`sM-l`c5Pn%;gwL@V!TgZ>mZL4_=L*;LFS1hTnXU{SX}{*GP9|GR$^y*v7?xt_Eftzn@a(;(`0nH@Y$0j zUMZ^B^P#!A2YzGQki)lHwbx;6&IPntSYYBT?tW+r_x?59(kN!0k2L`02IT<-t~WeT z#XQ`_lFC!953xl}>MN*nqqA|pEbW+48#dav7yWG4`y+`?M#EO(8h;OiPsMWS8mu#J z$7|UyJ4$%RqI`CDd$Z(zkuhMKQiySxDtC7@`t9(dyW_RUM^{kr7;TM(^_d6W-sN?n zReUK9YY?S*_AoIiY;0>{m%jNp7%^+c&QgkRjEr;kLq}Y<-d6?_Z69?TvGp(l?PuHP zo?!&=ss!I~*LWxFi%;Cza`PG+Nao&L@|7t$UBr*dz*XSATj!Xp7#rA4q716%NLQpT zny$*fFn(3F7di+!TZ&U&XwK}Zo_B(Ov7aHAIR8^^=u}I6QCOAW$p?J@MX)2yOf37r ztF$00l%Ek&J#-hBUsz2-r+N0BvLk$s`%4cy0>G{IO$Y^(2eZ+QReSjl10PNI>c_dX&MHt4-dHgp>@saKAglOKpxietXomz8)?*I} zs2=i;UjZ%amr{nUyjMOL_=3%#j19gbe-!Fq*Dc?U2rUrphKJ9<1D`q+&mEDKgr+ti zqza-lGrsO!XVdg7qP&TgfHh~+GJtxsZ@FS#5dlB(N?SN2hHIEOcw@2XQY7gTeWDMk zLXgOx{PR}R%8b;NPZZ_^jQ??mR;(C?Yemc0(=66Py_*XK`9U02DQvI@iQpP-VU+)O z)l{mHzI&|vU7tu_iYf1W_KnQrmg<~$tr$4)!_|8~SH^X9LI(DwS4JVj7Mi8IKObIT zkBx}CLA|~0%y`3g|Ik*cYT)B^{LFdzrzYC6iLp-TzMgwQAY-NHa4`p~q}yJqZp;XI zo1IJha97|Ch{2d*b-NN332FN-`#Z^rVh#51U>RUxAG@6cuJ@M{{f8`E>KO|Ql;|V?w=dVs@|8$4I1oj*tdpPX-=rw^3=Q)7a z{M85T-`fasfe{$=kB*if@+|jp*MEe-!1XbJ1u_@)-x0U}AZz~~!~XvuZh@#3=;x4( zgbO1GX!H$GnZWvnnhOHBfxu|r$qXtfZ>0qIhMa~eRf3w@oVOrLYm zN~#VnFs?75`(`Jb{pIAglhx=5#N}OdN!M1{K6VusfaBq|er%?c{Sx~{)tI#>2m@NQ};{t zjQrXI3Y?SJX%npdWG(EWlFQvaVnptj_wXrPl_4t^6;_lVq_br?OyD4^u7>1Tm9UI7 zwDpVi2;dPN293aQA?rjZVd)BSrq@_cV+Ebb*@l}cqO*qWgm}Z<3oOMZagndIq! zVm^zeQZ-|2Ee!Z9i{kdfnhTp+IgPIT6Im1*cOjUdx`7H}AC$ZPt>3+M^4oKB>j84P z7rSiJm%Q~c#PZxoUnHg_#H2EhXiVgyXD;MX^yNNPg}$XlCZL6Zk*B1Vvka+5(>9{h zB!Si)WC%-lVJ@Mn!?ZZqKtXidwf53>DWQ(#<8~x(;_^1vp<^2bVfUtqAPM}KQNKn- z6(I(rvPwa?u_M)(*BzfZk}yDnIc?MK!#Ulj9G*Do=Ou3K>o>ZZS9q_vzNuEGM(a9p zFQ;YIUZ1Iz5d_gJkHo&<3$PXhCPa0(#OW78qmsuWBic75EAE_Ir)|*WOL4O%vn=H+ zA=jzH!#KIU`3Ylf(eWyZGEmZ`%nBWpku(afE2lN4Ep0wB#2jO${=%K$ryE+RzJG1f zoZajtH++;F&4hBN_0+>G;!^U4liEdS^ZRFsqyw?Ha2si?ChrfS=d27--vlM6f9^qN z_=tg7@D9s2 ztV$ALEMH~oey`^VL(>lpMIjkB!t!@t=Vg%P&gN+-*|*Wq~!JNRWS1&=B! zF-COj3~Mr8B_7Wn=Td&PT8qj{Bv$4YkOmF+*dRnwN6oZOfX0F{CPi)gC4L5|*c(2O z*ve)@oHvO47UpH5u?nZnMM4)kpeg#f9rBPW;FkE0-x3vTe+L+8bA)rLnTAzx#V7Y< zUrHy(@b@TJG>k$RZ@zZ^_`!1)>L669^Xfa{%5C%1<=1`gz+F2$monq1<9#Or*VheS zaGwfBr@jayRn;JTW;D`D`()3)u5ux%BN4*z$>cPt^Q@4kIL5&a+E@N_>ts6Ck?pI( z$^AN@6&jVvyC)w_1|taDyOo00oWTMkxTGkcgl^5PJ&ZI=x z8l4+*k%pghXLyp~AH$(-7%g-cv?*bDe$8Ii=;nMVxh=J+}?EQ}h5&k0_OI zIxg7*Q;8QV!FO83@3FN6Q$6P+5z80(|5i&y9Na;VRZ{<`GI$O=sjAj5g|lr2se{MlgFP7W;a-|C!e4&M7FM3B5M$YS zay!$eXq>Dcv*Ee^O&BjMIM$+9_g#jjGKg;z9P21FPt|%zfV}@{ z_L6@Bg^(krh_oo_Jc`um{O5Ldl8BZ_X%9k@BCmyZRH7nM0YX1iB65H=Z%jSj$>u@( zOd|iiJj`B=q_ruCWgs%qLXj#1y}bzPD?QbFhEOB?8ttP)_-TJ(u^x{rn(5hg*^=gD zmpI4EimseKtHl6M`xX!@e=XU>p?x5M$m<4OWW?Y|cueC!4&kooS?}C3bHl9KcN9(L zzIVa)`^K=19J|H=7S7u3bF0J;WY!rkP_GIaMjN_1Fnl=Q>Sfqs zvoFRPx*rR@qCJaYO^QskzuX&|v9pS)+^;x32Bn zy-XGs+b=6B6K_%tSYK#%HT5Zzxp9RicO0&5hg}-tW**&LwI^ndXAC&DC+?=Y%c^z# z$cqLYIdfEQ%prp9Z>fFO?E7=Rt=?!Us`J~%@Vka4e8;hrV{x!RBikrfFl~uK;%Q|^TKh*yj*R*%3358|5wfQLY*U&1UPJq@_|$MiJM zuk`ez+kdS2#Nq@BHh-rE{s@sELCGKzNXG_-7z9!RdK@qYOuf>9#65NSq2m8VPGPSM;1uGXBOpPTD*)19!3pRp8ZfTAGYMmthI^c# zP|Nyt3BmRoJW8ER-kckgbah)xp$broR=5$>!H~FwcKIX?4}BXn?&1_E+AKidrQ#D7 zngz5fh`$&7mQDpfE-)bvmkpmJ6Xim#d>n7m*;&wK8+g9E^W5$Hwh|MXO5U9uKH2A^ zbQs)ba4ao2Ne?ku|HSHC%oit~CSHzWy+#q`%HN=ns;j{73~@Oi%U^Hz!4d{DN?WbM zEhI62gyG>74L~ywR3D@4@P2$KKZ1#ew@3`zW@&=6G4_$JLl2{qcb#lRtk97!vqrSf zo;F@b(vfGF1ELw?1t<3Mrn2GkVP7GYPoKZ8tZwz<%rwF)q{{@Y z*F6sDT~_a#zQ*y@0ER8{gEqHIE1@O*zp3YrHP}U_ zZuqn;X!ngL`-)+B?^-_-x2P7HJcop5fa@Y#8qU(0&_OPKF+7%)(Zf1Ujfr^-pPBde zJk%#`BJt@Gi;S5qVIPVXeZ6p9Z<^9@ymPRc+K?GezB{cm#lOOqpoVp)oEz+qb|>M8 zzGj2wXY|`QQl-rwZxWO~j?VyngPuA4AVi?YF=o>OrK~XUg|FOjf#9HgCgC~g5*(y- zXlwkWM;oJBIobo+Q$3qkki{w4P$WyTVZnF@B)3~_SxEJjygxo{PWC+5I7$LHIf${z zGTW=lQhwT|Bdg%2hx6v(RQorZjGhbo^67?Yy_vVQ+6ABQ_gg9zqhG3zQSm;hB|nYm zz8ZqHB_-=8Tm2YII+7Ds(hC~DVVH3aJ(rW0rz zX{k1(GL-w@Fp+Yz$et~t`+VeiuVu2)XH4?R@Ywc8l@sh;WqB^Sqp*s@Ce|1I3qIHw zFW;}L$(P1xy)-r6y@)>XTHrwg$Q5%eYgV-VU8qZ-__1@z}BT`~2$USF<)TE6P!H zk{}IqnNmu2A^ieU6pPWToC>sv#U59Jp<$I-1jE(ZF=JC-%)=B30(sMyHL|4=nS-MA z_Mx0tC(H1s4;d9`4HH+Wulx5txM12Mk5&b)%@c>C>uLZLz-&a;m-VM$;|avE*@*Si zbpCG+50jZ#10keh1~CGX8aUv(A`J4%jJHGmHjda#2PooKns3FeV{q;J9gIXQNANid z>tDJ}zgydye4#oI_sxRWN~vHsb(ld{8`0gqI>FjOL`kNX!tWxOnt4ZjJ3&0V>M2)e zJ6DZhyB*sIjwZ_q7Bb#0c#c%t&?>4k(G4!)+rH35v!X2uxg5P)N^LINedE*Y|?;kWhW`x@n~5u=Wr{?z_$im5m_)L4|o@P8#c* zw#kw?h97%mERgtO@EwP4!)txkjTUzC(c*DGE#ZE$z> z{oN$O&C1*n#R?La4Riqqx`h9hvf*>`{pw=&PpX6$!rAxenIB<;HYe{RXYr^p0Gc%X zIrs}m{MAzRQQ!Q>^jAXTPf<7z=by^;e~Aq^^RSd4hZBI0~83rEs-GWjX(?4 z-?s$Xtx};ODm~s03DVy5>+(k^1I?TKT?`m-+6=U4|8xCPJ#a(2mj87_`qIA=E4&bB zFfj6EAQ{M|@Iqu;0bw}MC+c5f23|;TD?sFkrGT)3O|8E+J$^0!07ATwOTYlwU4!8j zW&gj|uKjna@?UCkAY<}4lpBK64uBj(_X0lL!14F1SmQhfOY8}Lwv7wJd@73>V-CVZ zA+FuM2m~ibTPs)cFo+Jy_%@~?pro%AMR8fa>QQ+ zIOGvR5TiAv!;rom_LXB8;)4tz_3$lUax z8HB|xbp6fO5f)Gz^h)^QEJD>?zLbtdE~Ju2734uYpOxSBMQ9YT`WizMM#FMogyL$< ze#FLv6WI}(DF9Zfj~3QxmW>6MRn3Gdc`GCyktb1qySfCy@;Ii9nnRqm5)&(SZ|U+zY?hWvQO8e3H~p2(RuMVFP}yWx4JMO?dBte)x<<%flCC|Vmi^5b4j+~TyOkrHu8c+Ky?f^I zu%>J#PomS_NbY8;^N(=O(a)z;`e+~ch&>|tg$T(a5mMM6dWglS)UKg6S>$ft)w?eS1nCSwfaKNS}VY`wr*?<6gVEZh$? zS{%A`IF%Gumsf}Kr z-EZ8KvOIQrzqE|6p!GytcHYiXw4AZ+Eu1oSAt)dzO~C)FU@Dw1SRFA8CMV1g6l*J; zYMdDg^`395Rlj<^+wOJ9$pGsRJYlf$m&R5Wx*X^I4#qg=M)b?W(5EjAFV>o#uWBGT zi)49&w%L2AYB;|?d;f#z^-S-$yZeNr-Z_+=#UWojUsfwapu-0;{ZM}N?x51#w@2-H z0{#aVKpr&f=@o38D0sN&Goyrvb@Lq;g39@G=ZUW@LD%A(wTKG1cQ8>N9PO&^&lI9l+-$(ba2)gL`b%l|q1-&Ju(tlhSn`n70@Mlxt zE>=@~JoFSi#{~1fJ2cKobbQXQ?Wn8Lq7UM#Nc>vmz>v?1lx?BM#r0%SecG%BH%dO> zehHbkHDF(P@Di@3ESQzgD?)6h)U2^v>^Ziyv}VaUg}6FMA5{KIM>s20io|}lzQtjB zqs^V+ZDOK(oBeKhS4g7IIaf)2-%hu5*$-EFP!Q11I^po{?aebV-CTSol)g*i2${r#24Xk?X)zS$J5-{8py8a|N_= z)lJE}l>3Tzd*#!TDGZjGx!Zk910sH~R<*-)9WK1!vRUs>Q3Mxccnz#3T7(m{df=>& zp|@F-XovAScd~Egf}E(X1%}+qPE@l63s2hU$5(?F72Xj>ZtvgTl<#ul->s!4TOxaD zslH+0GQ+dxm+Fa|3qdG zDlr(Ki`Q>P2Zj@9O7H+6Iol>c3-YxO5r~|;R#)iL?mB5NDumT{A$MM}7|7SqUFT4np!abJ1C38Me zgT(dy)ij8Yj~n931XSZ?4}zG%f=R5yX^R2X44K?#uGRvG7jC}pD*q2{Zy8oq*R>7P zy=f_t5)lxP?(US5?(R?oBsUGxNGuSgyBj2=LmHG0k&qOS4#9VA(C7BL?&mwc_s{!p zjhJICHv8D~Tw{zm&VbOL2&g#l*es8)-{U6VhnSI*&?2@YcEbinR!?*f6yL#I%p*=` zF;sqRc+FrTipDNJYTSs{kR!{EM_&}%L6b2cCuFPwt3bq!{06 z%H&IKpVq`*ju^PI3~33_4s_`^&mR38;2|G0x%RmMC^}`j$OsBuul#?oHy~TPdj19| zGu?=qb~!ccDvnQ5F213uhS{Jr6E4p>?S2WC`68+$?*mB;zpDn~lcK!On`Wz@8=kC~ z7k{ET9@+XrgBkCwdLds+2;LN(s?wv~Bn37`b(p6AsK2yXo~EvzkAlJ~+c8+M;K~eKw7nBC5T4=#6Vf z>q^BZ9j;RUZm(WS+y0fYKrUV!pGDB?)!Ro;ziX0kb+u5qNtM=TNYQ9 zL*KdD?JOn>lo*5_u!Ni;PzMLz>7@1X)j8jH?0vSIR;`pIB?r&VV#FiW90~3I80f`} zn0RkKhT&&QD~U2vPj=1nE`_Mv8^v8?u80rI=3cfLECms7GhoKNoTzEA{pRcmr5;;J1}`0E-1W=1QADCYex% zlVX5w{oSq3zSj>~2= zi5ZKxXF43DvTB<&GnVxtLGi7K%4k$9+z(b!?E9n1(fq?chf1(ckuVmCNuk3}@rcf* zO6gr|;M2b0Yjb3L`~L?G-TVH|1v>@dENG_{RZBoNl~b-blXT@|I{ z{HIDE0U4=Bl4q%ZB7Dh~Aff~oduP?p$u=LI8d7a}$r=$B;) z=p$;ZWs$ri_ZP8)OI1pHQ(`A56-N5$odJkkZ=d%Mf64-Ds=oXIUq%PnkeQKL$)^X8 zL*}ziIkfj*>mGa+iptH`fA{4RD?)C_?5551j8K&M#Y^SGtwlFz-e}=$S zt-w1Oc@Lld2q}(lBFpyT=E|ul=fyDVV31SnNBkHiGlEl85cGbaZed_`pu5y#2Z_%f5O_3%%_lIY?F=&Mvn&+6 zjO;Z}Xac(Feh{NU*YuLg$aOZX${dB?qvr>enBoyl)L0QV_L}`%+Lc;rbtTHz(HC^E z!N)0VzN#3^jJA6}^|EaJR|RpMa6BM8FBM|d)FNcJMf!AA1DTojg^^Daw<)3NS+kw{ z#%g;^SIX4x$=01b&cOG>X#+-0I0>_!-xp&qQaxGRhZgTzKtJ(|Di!dK@FQFt>}Gh2 z^R;K7Ax|h^Z_B#3KAo{jo_D+aymr0!{dK**(NCp;8M5=Br!O}UU*!_dA6Y?x3i?<)~G`!lGVs4^Ok(!X{F-OcFn;mw*x8>q#@X%~yd4UpL z2zQnf0w-wCBYcfIV-s6@)6oIsYTUL{f?FOq(FRu3;Q2>U0}(bR5vvA^9B^y`s}_qN zz*@#lR)K8vKUoEeMsRxswKtgpSen7U7ksh58JNfhR2+l#3&wGZHiXKeX0|0tPDFA1Aje-8162>u1r(xEFGhqlWLttjCr-_#h#YZVOD za5DzdH;>~~upY6*S(4e|XL~?HJ|N-j81P!YMxuP}U}pR9%Y=ul+`c{3`1{I@# zfC22KW^20@qN+(urL{C8^sgV|o&1$F2tCeM-5UglGodyJ5=UaG5^Uv?lt*0AGY_^5 zu3pMG%NLdTPGZAH$CtezB&_IC3^J1s%cXb{z&MgY!(Y`^@B9`VxdX+Yw$bJPaLK_? z-65zb@;=Jw7427T`8y4HDzqrqNVU&QwjVTzV>SvBYT%9<4-B{Pnw1pJ ze)m+Y>p>y;xpjiAz8s4+IySJGNWF0r&}#79pypG29-*5Td(3$ z@@3$X*+geCcE|22P~H$#JIR2VZ?t7N6RO)WO+xPbN{s`JnURBq{+b7p>oL=zeM(5a}*!SJdCD zR}rUa*F+l1*KiV#R-W0NbSa&p-qSrmuqA!@u3Yf_u9NIt_4U-mLif>zkH@1EqdMhP z+iR-WWAF~lXLF-*7*M+hi$U1)AL(PGTV!-w%rN+(MqSgC?|*g|Dr}^_`$W|RX|B<| za%jmE^K`^YfV7E==gpH4dm_hQ9n6p4aAX+1nZ|uOnj~+CX`8Q{_(AeltSjmXZ*S7s z$QuHgyeG!Ubp;^|CoV@n4!b7YEGIp56LnI#6SK2BaR?cgk`yv!o)Iqkq}GXSmN)!xcz;NgMj0}V6Piso^(tV{CNdC*mDT~=M9614dkJKC3gRnz4h-ze*z%Q zU~z$OEMPYE0bn!(4ye5$GyliC1TQ$-AA=64;m0CCWCIUL!|KC8#yChedHd_j3+%ne zr2`s!z_hQT2ByeA6QZnCzh@GIuL=KXg!}(%e!HbH8ae=@!p{&IRLYFc$$4D`=r%rKrMjab*yNHrQH+y z@bN2G=+*0K%D3rioJIUdQMh810$&7Jo;kzW1&HrZPlBVx57}i;z z60`c%cZi$I`@-NZ#4&bdE}0FwIwyQ07lwk~$Qk_|C(oj(Yr`term3ez?p6DQX1(It zL-2&~7nF2MdZJ&ulx!;96)QnyPc8U3V;h+S+9s} zg;hmM864O?IlPWjo;A#+t`n=b@Z!zT{hsJBqK84mPt8^&opXT_KPrYKAWGg2|4ap4 zCfz=br!~sp{g|*Fj1;IzPh-W9zuo~h~ z9@^`u&oP7@eMu>8=qpF7lzE&#Fa|$q)T`DVEPEJtQceH)3#YOanZ@Rpi{^%j2p#kS ziWxFuxht1v_xtI~9bcY%IwqZ~C#Xe?S1^tE(#U)fckMfyYtZ@VD*{D*>_$1@Pt=z| z%1aetlY#i@evc7B+J5Sgz^j(DJDIB~N$W^U+WU@ibXr{x4p@(_GMJ}ADR%rkbZM#W z6_f?x`zvsU3@{b7*44-@W?TusFjqjNUp_&T)n{ZwjPLX(<$R(W@&&~QD^f(&FI|>$07Ed@9z^yJDm47lJA+z`bms9J(SgjCMw5^iqCPP)BDp> z?L3g%*WYO%+=^~ZG-utc&zp3l5NspJJMo54CVw!idDY0!zgdG&sX9q8#i0#>_S|pw+274S z=L)mTV-d!6XP1$l*k#-sh?h9lk&U*{ny<3K&91@smSXTRD1tT=o34q%^Ez!lv|A3* z-x1aaL^JHDMo;~X%l(a8aggdz5SmzxlBKrGNR$`Zj=sb7a3XJ3%3Sr$9JNGOQO_To z>*c7WwsWX_Migo(X!N=)NwF39sV*8gr=+yNNY)bNd{Vvs(+45GO$hl`xy52c&RD@umnQB5J#qs(z zvZ#roWdaSxl+=*UUT60d`qNTTluF-6JMJRI-R+x|x^J0WJctuR53~v9BA)lv^*pzr zeW}AdtR*7E*4rZtSFej!An--G-RT#+5YenF;J*aUQ#$?urk7N%vG53QNsj)JjbaBz zb5X&)f*qVQJ&i?Wd^-yiEEN9cRRk|?>7qG7ZlarQ1iZN2Pr!>mRmDFuqG0?;w>3m? zr04I8KgC3Ff;y-H2)|;5A;GpHfMNtB|DjsOdI>!I2F{7n=>u~|PtwqZf#YRxcGQi| zV+_I{wF)0+9X^6H$fWd!e#I&GCYyc z0E{iH5I|}LtWb{bBclVWFmV|s8c%~1lOMLRf&u6%C@wAGU;-&SSU~{!8cgiRK?k0F z2RWY`U?LS6E;_)t3$A_o4HR!kuMzjaliZo11Zu!M?5b!Twk#A3TE7nlmDvEF05=Y# z!H)R>9tywS0IeWLbu>`F2|gs%A-E!T3l=#Pe8`JK@J6=Vf5fUIEHfbg@OKdv{FdC% zNpkSA|GO&64m-`gg!BO5(!|0?{2z(Z__t)th75M%v$OxZ$Y{~LS2 zY)?+G9wbIXZjX$^6rm^J3!LDO-v`7w|ITFojVbiiHoI<21;XC(zO>EM+q7LB|LobRI9Q`Qd}jG$Eioq(0YdHC(2&pJE# z(8x%bYhp}=tA)w-%OeX~RvC(UvjLgt2Mue_!^3bVp9}Hx>u}g5MK4Af4$8=sllRgf zor|m3eW+?sz%xryFjzJH@+Ndr<}&C>F9BnP4<4!+lyRqtt-bEEL^YF|vM2prXjP zKRM}>rXC-wsByli5Khv)&P?n!V)OW=_;{m)+}lc=cUhlY%}m&`V>p1;NJdJAYr~oA zt%U8{0cdVyBy_1Vo9-v7HM`POeI-*C=Vl&Kw}|MgltBw7AQ%gW!9=8Gbp2U#Vp9G0 z^K?3?!8>S6dPw&vFD3Edf^Y$U)(|8frsmk-I<3ZWt-{s$#DM;F{qGgckJMaRxS0%4 zo_UipC8qIsnkQOb(8&?_xRB<1iY4f$;PkY=JBNzKF_^&pxD!iWke1yee1fLPmxXln z&{$M!``0VAN;|yWPVU!3jpdXz%=a7hSAQf=bD=V6o_ng+ke$a?J~FVYTa<2ogMRQr zV;*%T`Mt)@We}TER@R%x0bAf0P85r>>>S~I2e+eev9??^rnSVpWvpl4+V60GWHds| zlV9(EZkJifP(8p{-q6e7&Fu}K{mhd&pW-{n)+Ix@`lKLGa9LzGS-@g~6C;$*Du%4r zPpc{UV`7JL#j6OLH}sf>wPULUL=E-&h++I>Nzy&ov{c(3uGK2NG68J~+-N z{@zo?L~BES`Q3iAIRxz{@ksusoI8q^d;>lD&}o|#1S$eNxE1&Lob-eyoaF|j+^QY6tuARy!DBE2S;$SgoJtL?dRq5>CTfc z^=e-Aui()dDpbcXkwC(qbbqCka{brDhy@5=%`x?|>e}zv`!g=YDl|k0md8)-W{+~s zO+zK++Bd|%VsQ>suRTSce&NBPnjYLA$sY7-is=ElA2ioF4_214 zB3EOmr}^UPe^n1ku}0`?{x$fN#)d3)I=>fcrAndSbKu3w?Gu*F=)XP}k{6KpJSmOc zg)Zw&5Ka@@B~8$DE6SGc>m4k9IMaG5vG}LI1TX@|qv!6vSm2<z$34sDf*?rwO=fWL*UkVB}G<*x2 z`FmtX#6?c)X=FbZQ9IqPo)+tHZMyhcrO9Bn@Qi68&`DHtC$hDaJ;CA1 zdYk9;OB`RmOS7SN1aYj6A_Qnb!}-t<(Lz*82o044l`WYmMr$(rT@Y;ayE*N(k(O_ zzyx_(ZlKx!QYd8qT`0VTW|Y9YuUI_lH{IJ{BNzKke>Uub>o+W8|J@M|YJS5q7$eUO z2xI@l6vY0g#|t*w`?rY)*uBP*M&RKBn15jr1Npx|G$pYE0)wo10X;HI^ZFGK*cU73P^%1a_5Q}&*XAl6DBBKGb$Ph~a4;?`P*nfeI1jL{~1aBc8$jKN80g)QW?E|o( zLLP(2$^;d{34je}iojP?h&|xz28I-=MG*#)(I6s!R^5;sfuWHBK6Ho;a9{vVx-mwB z@B@43;F_BrGLTRk9Vo|uSb*(Y?d^mrfCVP_5F!l1Nqnx$PLXmh-AEB3PYU#DC%JfV%+~I4hSHB>c9U$P5<9W>2Eys8#Mv5 zG!PuX01p`r0j%(P8<88t9AHx@Cou`_w z^a-;!mwfJ%pc{RCD=*TVI8Ict;>nT9n)lnQNxRA`!6q!42xG#pVST0gM1HUFYW2xz z((zhmYF-uRO?Ir%;uX_9a&)a9UU_Uem-ig4ekIGWEw92gin}hPbbpi)@C?Hr2&Rrf z^~}aeI8gGzix$M}#DZeCQlFGyTu+m})sHGUEhBEvQ<|?ij*{5<_Ck#5AUDt{!71_n zd*-~XlyDlKF_xTGV!ddM;)W}D7bdOYx43hskEqw%o`pzsGGzC4A|=_RvRQi0=0SPG z11eHEW9)tmJ`<7_yQ@+-xI#A&tofDqQ^UKl)jWzfZl9iBOgp}TmfoNH{1(YCp-)8q z@JY>z$((jbGYl|GJ3R~Qcce(d_Q7D>J2T(5WQ)R8#jR2y7p+|j9LDeZPAILUT! zmkt<0hcc;mAlVB>9nKhU)j7*oE(pk(W(IQZq2NV}quYh>{$lY-dbZ%_^t9=@ws8dS zL<{oE$ho!Wq2PnALp47Sfvpdmt6rqsc(3{7D8+P^LxzI+ebA%u2_oqUV#amXRjFPm=`4wAsiChK&j8N_r6vB`A zy~pjNi|{g?y$`*rMklak#t%75B@LV&(EyYkxFJ4W+3F++(@<|3iJa(%R`&suCGPtu zP1op5e8|3Og>7_MHpLxq74HcIro+GL6E@p&6rzl7PyY0^(=i$*-M|;$p$zRcLE>c( zvRMF0(OgLC+XHc@a|lM(Ehculth8UMc=zBnd=_BLbcZLan?@cn(9d~4Iy!@1kPz^$ z7x^jk-ac4=34$hL6d;z+6AK|EW--ls7}AYLEBlaKCSq*muX;ZAB#{{B4znS)(0dzA z9Yg)as+B*4TYZgU%r`7xr9?Jo4!buG#yPe@$K8GzL*;@P7?|)rvN{WO>*ohNY3W(b zD`)$kdZLoWvl=eElT91$ZXcKEuvF7Nspz%E_KJHr`9bs9M3*rp8KVM6KcqEJK)xSu zsSd;GFod}k;z)TN8A*?6$?FyVEMziPVZQj8qXw+V6iYs7Q|J|=kUie&>ytyoKEvS& zZ+>OH{W9%z;!QJtt;&Xj#*2#r_qMtF<-%}EnTv6vTtNjZ_>q;&kMtpVHtMzLwBCqS z&r)g>WY?i8rwQG<++xC~i5#rv2;o_*B=S;SeWi(<%te?Y7#%#$SAnwS82tBWb7;?5 z=*)G;b#n(4S8aSqn%k|HMX;T83PSQf7aEk_r+vMhZOG$FYKI$*;GV{HRa}Z{SGY+D zO^q|7$yB~NE{INeXqTOr^l^rC1_o}GmzKO^k>$gR(q$AC znwC;6XqdF2&QOVz&Spq|M^)x7lI=39Lm#zixnyGLuH>EdzGvBO^%|D+$KHK|N<`}_ zRxj|q9<1r5K2dg|?;MDa%%8{Hk?(TVvCjGE%Sbdn_AHf04JijJJ-}o%@=#wn&X#hB z^1btyJMPVkdmS&8mn|LwBzO^ZvV`FpIM4m<&!8uaNj0hx7nO_}(ySPO=cLb!=A}2A zAol~1ML~>A1s$b-g$A!chF3c1XqvSeJ1(Ki(wn6*!no0p#hp#8lAEC%^L1Mg)AyqC zL9{pq{<6K%MU|uH+!um0TM|fd>C_}$6d8(DS3A?gJFN)}xnWNW`nd|ei z>!B@#$L~yw;r5yEsRpAEe|ewwbTL#8wuKSyFc;4flH4`oMKd>*4nwB>F6`X=;cR;W zL%v-FMa1mz#m^?kx2^9dqh6^T(Ggjlyz(qR|Bb735;zd;uV>+f6`$L&yb;2t@I1t3wu z!veyC!Hv>r2we(5z6W9Z4PkB)Om+7luxWeL8iWM5L(rWlKnw$>knjPUSQxI+CXfOm zYw^$kGD--;AMkUNTk4_&fy}cpbVb0M3UZTn!Ux3X!K@Z3HN*i3O$S#SQiJvLG3r|@ z9^h32!3KQ!F@}Hpzb9lsB5ExI1=1UGyEH@fS{qKA3H5{&-}k#%E$ zO_KPRVF5G%m>mBJ0V)^(W=06+ZCMMvOEd!n9HexUGyT_M&_WN~Mf}g=k1)j+;Xf7N zKTfqBS^l3`>mN0+zmeD9hXOc2Qb-mw6@ZZs{0c>dA)z61fo+2DhvUKFyfJWo1nK7}OC0Oh!x9Oj(=PNs z+H~beynZ-gb%QE}G2-+~3Ti#M$+ zV)tO2LOa5CezJBUw6q#Q8N&AzIv7c$;UjttzjB1WQJYiiQJ57SZ`J_kJEC+ZBxljs zYdX*HOOXLMrcnNc&Zms)inJ^VT6`ZPOd zdC_tMef$Ho_0ke$2NJ88V&0DaTrqM!R+ zK8aD)LxTp+9$gvr#J`PQ5+uP5O<{IxvSbmp*{MGsSJHMZtx~cXtFztN?kk`QxVS_! z9xr{HtMMvy!!pmXS(aULo;xw)QO(xdR|bbFdeegjfgaf2OAB#FQxJ*}`9BKE-F}q7douVt< zC-t**#RwC;w{aTeTV>>x@dhog1MfT9uJ?Cv?7Ve}5 z=Lv4NnzBa@)@iQ&wU|NDsh`O4OLUSpd3=Q)Yc%aPcL^?gOe!XpvsQ+R7Z;YR$Rugj z$&=;Y#UL4ZU~Ruv%Va*jx&~Q%-ig;QfWhvPN~Cd-=!b%<@X2Bkq`^@duhA`yXs+CT zFYwDm%kO(_)qY2*{7fgbS}$wS$7_eQq|HGPV|!q4T`L6CBW^xilYk` zAuAe}bC`GB{sk%X{7j6{N4c&cDa|{$J8#cT z?;Hu_in_}eI?AZ4Z`C@($7xJlt(#PMe6ahmGORK`)G?Hcj*={S!I~o(DRYD^F`;^G zzH9>RXx50GK?||d^JN~2V~G;o9ug!*6zKI;eB<>3o7}RDr-CX3o`g`t$HBPn zIKC>&!XM0VKFE!h(93s=lI?db)vQvVw)TeYs(9O8)K|@XTU`i}$0^qNT#VHy;*-N< z{4hKPt8vWHx9QW#A?-vVcXaR!IsxBT$>}JnS2dgO?#NEa%`gp~DytK6K9vlReR~nb z@v=cc#2e~=KjG`ZLY^$@7&b353+Y}zfeNiJJJabzlq|V@_y_3X)w<_Tyvbiwi{B5* zL-1VL?z-(o@iVkfI2xOO6YW5ITZ%6`@=kD1n(KAIB0PD|G{^Y||Jo96-Ju+Z$D)Qs z>PFn|Z4^fx1Di{8ew)8gNv;K`{JeRQH*NPfF?}ey4~)4&>jfKtkl(%S zj1$bDcrkz=<~jpk3b5w-%j-u4SoFK%0#Oc)Cg@+Wf+h;IaR0su*uo-ufuabrgCK}-AyV#1RE7bHNe5i11vS(0gGT9H(9)Y;0@45BMP4H z!4mvk1%r7TfEvP^{NBHPVHUts=r3PvF_`ba3wE$5w-(s{`QK6Gz%@XLBm@iXrppKB ze52xF`zvrO%;}2p-Jf4kiX#lMAMnB@Zx1PfT0Cj2Kg^52QBzd_mmS~vuF zR6tlJ#0-{K#~Bb9L1G_0msEaSu$go8zHbE+dRMNK!}yDFj*oI?>3r|x1SqhciwCwN z$8oPurB5K6lJ~|>e^fLQMXS90USGU$XJvB@S!(LoFT+l9G{1cW{vidniK0r7PnQtk zODy$a)hhBxWq^gB1=R?JGB5DQtG)a3&${>|J|Q0<7kVTU` z9Hxy4jTCT~kS90Ei!N3aN-4BG^SO90QRzXMj)a$mBtx4eKhXz)Gw&J=3!Zi_RCQxm zZe)sd_AslZeU>(|V%`_#VrN-3JHJlzsOkK)Rl22_-Ucvk_L!OqAmJVg&d z)}mIn9xuww;y5TVUj9c6(%~GBV7XOl1j=U(Azc!8N_;py3Pm&v7~`-C@3SkAqnhu1 zs=;@?qN&ntVELBjI-tWu@L)gBj0&Y)g2y?*Sx7a4rdDtv^^(2p!PzUdt!5T6mN|g> zho};@e{prg2cfsAvncZKS>08;4)wLUgT~!Zx3+j*;ZHw>nmDPS`%Q#)OT$s`Vy4V? z-C6F#(e;?vThZ=$Jnu<(`C)F|o_}?|kdWh<>SHQd#iatJDW(w47me?wY*6WD@#7X| zqTV39p33HAh|nc#4>t&ldWeS2o5$j15g-uyQ3&lD9(L!xaezgWcgn|le0A*mjByl+O4K-9m(4oEdS-Kxf~`Q- zBAQFC+B#us!Kb{fqSWS(bxhDwwG)~o&QA6uCCJmE)$4#4F6t~=?_+gz6eIf(-=}fP zjl04*(5NHi)%ZZ z-FM*g`j!4UC)&FecAF#>FEfiOe7OCucCEkKc{|M9ujvIlOz;I8Q|0o%%4)@Aa1_nXuEY` zT@2w&DU*aM6IIog;=F03cGI90LUo&3(_R&>ISJBPy+(ZQ9`&s*Vk~Wz`2%|}<*W|Z zRBcUaQ;eT@bW5M*r7`D74rEwkae8d6;cUt>Sn;((QhaZu*WCtJ%nPigdygIR-z)JN z5nz9O8kJCA>{97JK1oWPRvC&V;_UgI}_{(-EWSaPO_uORru2D-+SqJ+0^$P zoyM^AphRiDNOh*TXTBrzdEQ8T*U7cay_%Q>lMJKjx3w=2uO6Mwodqa~87tUkeC{RZ z*r_dx*NE3HAF5l6%@^nH;ymIWZawBVOl66}ji=w!qPc_Ftcp#dCE<#AKVbt+CR57G zu>U(VGBf~@HyH5@?X}KmS(@AW*Q(g9qw&WDILPDL3s2oV=CL&sg+ePdiPYvf zq@=0z$zv*Itk~DJxA6UJs=sbj-~Yz(-NE*|#1!ddwnPQeZwY(5*1Lqq7T6m1iM_hs z5)0)lP6p!?p0?pH_VZN`7~<3j{BUa-=9cD?IIMyWp3aTvTxAySxzGN3#(&0_agk4` z(VC;rN{+ywgI)H4AfbcPT(-cG`XZN@887E$!@4#5*|^-;uaXPyKqfNd4hLPKU!EpV ztydN(0uO>qRWy(5XcdHrn-XYb$-a-2RcAv#lrg%J1$tVZwymB$=6DHxX1kp@y6Yz; zWqQx`J`u5!{WOO(f|;fB`KFxv``BhgIAL80(f3m;crPz0AvFCPH-HA*SjE!Nf48cF zeWVyT1fa@!7Vm%Bk0>2Dc>VwroG>@fKmmjm!7UyT2LZy(K?JIFBy`|Z5d;YE z$GBigiWvQzC)F3gy7z?f<5UdW4cDiYD+EM^>HB?W*x>2?wcs2PHVg>B0K(KG>LL9t+*a{&X zkf#d4xdj@$yg-x&gzgTr<- zf;;Rt*meu9+ye!|n_Swz7v5O@tEj~Ru3^*yLq?hX74k+yt_y+u#v!0n2Fz(){x2s` z^APZn`h!D2A0llKjS6erK8c1lX!iIm#KMG{zlFWuf|w2%mq8N(awCHun-1t!c7pW> z|9~oQ9S9R(p?Mp#8D^jREu6wuetZHVi)J~Le#)%c8ilkPC5{;isZgCJm1RoMOq6ru59>Dvuwpc z+JO6T+Tr)Kk+Sj%cdc~f#dpLn_eQRTR^TP@1X0r@Gka4Wl87a{Jl08n2h~>J%M!fY z-Wl52`N@tY6dAYr_GNW$FOpb-X8;;4!sZasBJdIQU=o^+Pod~|F#XW`U}MGj^_$EH zMs+94f`ir}U#HUPE0I>h@lN>H{$27D2^g$u_)hXPglhH)SJm>VcVx%MQ~P_p@rjSe zqs(j1TaV>fXFe+nuM>P$$FvP7lTq)$ZC@k|At9Fjs3rc=DelywKj%4OPLjvGxrgmH zRjL%?HhFAlt7=;_1`!rYc`Z`MD((G`&6=z>if6R%9)p9KHxXT4t2oy^+^;fBN2+#7 zrx~^v6aM{1XacUL{_v+)zmVQ4KR1vv53^cXk+Z-`d-yEvOV7tE1$ejT zh8R0~_hodvoT&62!*f3NcQ_sAU>=h5T+(E;`zl6!vw}W!t5b2QSj#RW?^kJ~_jaH~ zf)`>tc85g724$J9Ic(K5hNYg>jQicE%@IV|m9VeS%a7{Zr7p7zbymMv*w_m0qY{+e zkB)^91`Mc2gjecD*@xk~z}isq5bsjh$M)y%_zpGnnV!e)ZzbYYl@1$w(BoMSpE%nI z%vd$`EY&f&9M&jnXtt^%>oA~)^o^#6De9@Yi@id79Yo%lbFVs=kky2GIRK~Ba&`-k z06o||%9rZp6+TxO4JzN*7`+?Ev@R3U(G$U%WE^KG#h2qqi9j58_bWJ+>HdRc*9B%r zBwQq2nwDDLz|!1UNV{rNJX=Oo0oU_yq3x@i)CM^NLQO+`U9kk2+(M&wHKns2LHP3T zK8#==qsfS!$%2dEvm}GEDufBK+H)b$yxo6B-Y8(d2>INsnyK=}Uv%hQs+lG(1Y5{b zDr|ZZ`gyM5Nn&rjQTwOZy%}}Ad+Hdxa+$V_=2&JTx~^{f0o}CABBnN%s^v(*v&MJ6 znLKx#K#QiuR_^G0@YStz*G&QY8nITxo)73Dv{3+v9o63$sgq`Tx&JjXyrLf37PIYqJ$Ec;IL@l)1xnm*Df#{ z0Gy!y^?uKs2_&T!-LEEQSKwFSHKiC&9hX!-8N{$|nT8tVw3b(y*H+Wk2E!p3XnX3?eatI@jw6$K_Di6#@-2Mw4Kk_aZN9J$lItS8 z>m}!B5rkHwPBX#(4MQt5WiR6J@I_3>`~06pgW+zE`01KTska>BCgl=^I9U$l(3LnI zz35imi(!28?1S9))jSFJ_xF0OCH==w#2c2YMK1C$zF>aP9qa_Ug;&F5RxR3l8{={P zzd}X3Wrmrv{h?{gKl_~B-pk~EcT zjNvL4uE#6$I1&z4l7gw1_p;S+XW`Q6D7>L(N<4?mz%2DKVHK5%{%cv|Qv=NrrqMkN zsWZP`t;z?)##RxXhaSRq9=^6*k#P&MZJ7l^sKcR5T3>O$ObFCV8;r9r#c0ymKjanG zix*B*;`gkC7a$We|7wRd_u#oXhij<-Gq;^y;!6KQU2dgaV;TRK*+P+W=nsu{_iA_O zg1~sy1GsGp>OjWjvL9PsFWNK^U97z$=3NJxIwZ>l-HIb5W(7!NUiy~1!H=p+Fqe5p zwIPsASWtYq*Sy-n*oo$n`4-YQ)TnQNPy^-rX@JZ({HBheZnb~~h)QuS*j^@kIM?5> zz2x^=E$86SIK|ZA)pIrJaFM~t;a3%n@E4WwK#C0L(zSuuBAPYK;V^~x5{ zM8;tCo~?v)`Jk}&3w3B}*Y7slRi_QssX(eK3>fnU5x5cFpuK;jyub#a9JK#{MP`nh z!%8q9$oo501#&3ff;^BP>(9k4>@xtKC_!`q8Z$7dL+}Jl%*>d937lRn5V2ur;DQ}Q zl1QjPiaEq0F%yJ&au%S`L<`I~!CQh?%sLP}7%|8XTXYah=|Biz(;I*mOAz4PWZnJ- zI55i@XaQEhMK^voQl!>z$<*XFb#~ zu+JIqfB~ZCnK7mxC#@}ah^*|C?VUoU`|Qu=oTC3H=k3HF`Q1kJJaceA7e3ot;ZT0 zy~&N>*HX4Dq_|GLuB_~cTGygAZt{xMCZ{2P?gY+WXEk;6@N=-NKrF!j&|Ekxr#HZ@ z5x#f$d`#8H_s+6GRM0cR4nn=a0Vd^mO>X1++1H<1?DyJvui!X1Y!WzTpMRap)rYqI zd|@zE1+|Z`dQ=D*lipabDHuoPxI)E@96EC65FGfXIE0Y?VsZe(9DUZsjFNOcOq?#< zD=wu^Jg;=n5EThEOxlE!%Gp{^bg3NK#Sn4zAM(^2{A#i@NyPK{a8L{(+h08$43 zRvwp=B=54|wCg8N59(B&b94;T`SpiZ2>J@T9MHMLD2`nb2FnMU&ovZ=cA9m0wx%a^ z>$t>3imTo1q=Re5;P@4qRP{7)G%ezC4it6vqOwQ=V%!&eXf{Le*0mI6OsEhLaYNz! z_wS-dB2}8mBGBT>yg=JG39D1%t1x`Cr$MOh7wmlOLzu<87$ouJlTP*BMh;g~3H)kNkexNCIwY#6EZ!UT^V<|(>+jP%p!$Nl#`=Ih zGD~=|m4oT7p8AjNW;kOHDsqH)3ES4W2h7}vv2aL{*O|E2s$_Epp4LADCRP)`alzWm zi^g0+xf4fPCPPnbxV*Zz0yiG0NJ_|ib^`C9yxx>ka%_5pwmx=6qalb!z=^S<)FViqP|vs1B{rzQnseKS!-F?m3s;Lb9jSi`P@G{enaj z2;q`n`!x=YEf0&h_gs;y?}eg-qmSplV}5x?Vg*01QbEzrZ_M(`!NMb_Lv`X&uz@x2 z7ie%uHSs81C9@?}Zl=E!CS-87zj>^NyJzFrK`s=SE^&QEolGTtYO8CwO9dHwoq*}} zdZPK$qrPtt*T-8(*dk&}^MxcvJk^#DEG!YewILNtoaW(eCsRE!7RSusbfK>$dtR+w zH=NW$zfc)0Y1-*09Y|JieP4y~=|gevi2&$^#@!wd4clc*k;3n&EObF;UQ6|jI7NdJ z%$OU=VNN*+(AqMsMBx&=>`Z9ll#-XF;RDNt0ln-|WVNOIT1DOZn9Vzgzsi3&ZS78nM66j}ALGBHf(tCuAawNR$5*ZN7yQ!h}P+?~7 z;frGORh))X41~=$J+g&r>75#CCqy-i9ku9>y>Vryk?|8CDfZ3fJEeUe5b|(LoT>QB z+|8*klHMtLtYe$%#pw6!sI9x6lCEa@>F#}eywz7u;HA(yKe{{-KqNXKM&sT9HB0ID z-Zpi6yYg<^lHKWE15tmTk}amCMpKzXt(=_Zl68|!sdw%|&>-H{VCCQ(PvbC6L#gA- zE0en=pNXvc+oyYL?IUX|N*EAVippNJ_i@;)R^=!)(v))bG;5KT1TOZq@PxIax@z>* zUnR1-rMBYN;9&VgT3%=}p@q|LL)&TUwA&gYr0nlSomTnQEa(K@adtFY4u9MWzO!pY zFH$B622rNy=7-ERM?sDWm`>~s3)W-MgGRVO7KDX_iusoFlkStx&r|X>QZ!1{WzmP~ zf@k>1u!SDes&07BKW^K`F={QHf7eM@`LR3wBQ&l*Jhzh>XV3`65TgLnJ|f0!YWdYkqF=VoW%-=@95`pyj;`6sLa`)F^P zlVG$a-e`ot{$ngmG_cv|X72*}_tI26Q0==-nX1!1Ar4QVoR=uyliv0IzL9 zhy#Xv?FR1h&EUhsgdsODKAPRl+RAWL0)W&VLPEg#?>ZHDbN^=W=34IHtzuu?t_^Yr z*Pi|k^uZmBI`Z3c4ioah2Y}rI6zBj!{NLFyFcMoE7>NZBofse{1QSR%wXHwuwwDl6 z!kbLuKOhYl#+i%|B%R>|{EO}=@c(__><_H?jWYgD@BE{rbccO+m`9gqDu*G0;o7;};tA(rX2QYB=S z#?O6Op^dEOLL-^+p6(4@yD6zR+Q|7w`{6r~$Kfw}G|r$lJ=WIqlQ!>GQs;`Spe(+- zxf^M{R!sO36fSYr;5-^73YCkY5rfRKW)~nXtU+%SfgKfj! zji#((p|GQ)%6kqrF*j-$Hd=YDNGVrypKcXAc~|#jz!Eupy8f;T5bfK_-V^_^sA{HU z=(S?ko2^+WI=9tp*}{`ePg^qe8Qmwh@s?Hx5Kd*-t>QHd+VsUntojncefDSAq1zf-~^XIaM$4OPNP8r z!D%Eo!JXjl?(P;WXdpnaFq`DfIp_WEnwhok-1)Dnwspf^T~Gb$k)mnlQ8hJ1>C0KL zQ`|>&7?r(xp8Pi-PEQyTUtxeef;q?$vN9vnwbvI)gMj>2(#SCJ%d0PSx;yh10 zAD+W9Q6nXh3sB4AO_an&>$LfL;`vlcEqR$pZb40+`bO~EVYwUb(uc*eKx!?CWRpC% zoepBFOQ&)?BiR`F#EIJX^QthLZrokKriycyv2McRYQGGSo&Ak^9=q5y(ssAz4C9G^ zw%N1p<|quCYO@+>$X)m^O;ZK;5}`n@cy||zCGIJ*lHX0}+6ZC`1i}QUA=ft-|6p#B z#c#@aS0b+W+SVy_3dg;zp*hM@hvMt0iB#{Z&GeVqSC8N97f{|^_lFEs$0|LXgNv_n zR8J)JcWpBWZZuf)as!5@9f{x9StiD*1`m7rr{1sawX`~7P=jJO6p=5kX3kL8Xk==5IQ0H*lvdRVY#VoTTEoPtOUW!dm@AeWU1L%Hi@k z24=!Ox|Ge|TrAZ0_>A=~hhi?cA6(qr$bDEc#v2Ag5P%ie@?Zb4(Bs`K2b&U8tFGWv zYewcyY+>pd_10Ia|2yA))w4~MvT#f`hPr1KHQ|c6JRZzt)3wkB(VMd$;79OA#&FRJ zkg;XD8hb3ax-&tVT|zx*F%d!IS2M4a@Sx;^{acV&4Ce^f=+Qh-Dg)o|34%ckBrrll z@s-;spn-r{u1G#6jNrhADg9`x?Trg*3j{*Ox09W^@$680a7weuwi>ox(wqeT-Qr0~ zzLik9hTE0-RfMQ#1* z8{6LAS;SSb!Tv!=vR5RmA4|#@^z6)cKp#2BWX{AcnTuNiajRe4C^exabSc*n<^wwh zRyZ-mt}N|UhcUtA*>Kq+Kkf!r&y0y#pzY^YS<1Pr>G$g=@k;)|)etZi{i$2#5DanM zPx>19k)GVI1ny%T-aDD7=_2ROX&B)@Oor%KbvpTt=ydZWS>1K5A|Ht%XAa{#gM2I4aN=9_t9B<^g%Aycm70P^%PUB_4A)Bf*Izrk131!xHzLl# zVP=D?qp_kU)3HtM>Q#iw_Zckkl3D(-TJrT?3*yViAFmEKvUTan3yi56$ei_#(E6$A zw?nyfpxm&A7wWKiLxuH*s@yl~njWvZ*J2|()`elQm2mJ7r=`%qV^vZ{VPgu2)$6AP zlwd^4EDeP?NhI!b!i)tOs(lQ!7Xqz=)Q#z)9lk@~DkaSm_$6UqW|La`&(vD3QmtxW z5KVt`m2AhpkFrKW?yY(Ek0Al)BarZU%fFaw zsw;Ypzd%gX0D~H+E&bk6{5J*zK-$_9K^y=B|AoPbK!15opuapPpf2?Xm9<*|s0`ry z3PWP{;ou;j0s-oeo-NL6m zw*^}CkTu*t=it8whKt>wWQ9Nr;6;h5p~{;4Z(x@Hxjpq{4G&aL{(SX+{67EF*5^04 z0iO5$0SO-x5sLl_>>hhJr{E>y-aL?hk|UxGSKPZGW;&So72$aPR4ET{FgAVx;~Yzt zDwOk63a9x#q-Gcuhp8A)9>I{b2;MkSu}Puy0l_SQX*qU57!vk~20iPz1{3egH@^gL zoZZgPqX5%#X;jgmMTHLP2trgUqHLr?!z4J3O+LYN`JxW+W*VQRk~UERXzg1%2;G-~$JLcZfat zD&{R5E*VY$Y#-L=E;$SNNSE~qvxM69qrw}h+l8NKBd9*wvO-a5OxY+jFIh9#DE zejobH|4#4~RykJgq*6G~=bI|_8y_+8pQs;ssEksZA5Z1A9_wC{5b&I+wSw$6R$znD z6oe|KsiHZr1rV*-TBDPdaB-Ml3-4sv>Oy-c=#(8;sAu}RYDbk12`?sRDsa-0Wh{$_6n+N6XUNm; zjLs~IZ=KCAEnL9TC_!gqMJih ze|dWwvxfvSzo|iOreN7l44|D2suf2X2nZunlY3f5A;*V%fHEeA$Xl?y*`< zaLenn7e_7gvD;lH+aG5?f=My#q_OqI^rBs*uu_QQ>9wl`4;gQ_AlHNFdco|{h{}fj zmrF13y+;b!<$hZqnjlIEA729<=#V|OKi<+#%_fadG5{=EWuNhdBnA<+B)Jo0sD@u~^a7LK9dr$$Ofa`!zz69?_SJ1#tTD6Mb=yE5T8u zAW#=Z9aLW%jERhg6w6Twui}a!J2Z~QI_^A}I~V44zxExMmf8Y#jVgSl>CUrWzwNF3 zwptoqmR2kz9hGK$9fhI_MYhhaWpxA_+-!oYmWKQYe9yQglOnQ>BKgDC6h>GYe7KCP@mny3|!`beJT)CmSI6;LIswB~}pok5}Fxf6} zuCiLc>nryM@Ag&t*TG)t?#$a+v*wBxmqyOz88e3_wI%cGFfaEn@x5DM)x)aG#>F+ef;WsPp$l;EPzslR9^qHCj#2_VX{c!iMZSG^@q2XDmtu8JfylDx_p^Fq@5Ao z9!&m7FJPcx@RU!Y$nmHmIb8All+b<md) zKfB|MTYdkPy3YnXOnQhi;{3LmpbLFJwUCkj%U}#F13O9~HQ%0kMf5G$8!ORAFo@If z20P!rnCFTV<5^Y& zSMvtDz#08Fa}OV)pyKb@3#p*1z}NUFpvw6RA@+8W=fq=8sZN4kK`~IAV-zDJEq2Y4 z1-*t3Z^lp)1 zTeYW6pZ~>m4>*hv1I*+e@6plzsZsz_`O}Dw2XK`S(8B#+*uTI<^-rgyKAm#@)H?M1 zN0I~_VvYp{7M~7T!~%dpI~XXufFLi0)_v3>dODDZTvsr1a|Hx z*Z>6W>R`Wt48#I^E~PAhmWdO@1$pZQ{{rk9XJ8-5hS$4(gLjQh#?Z?A`E1{XNKf}u zbx+TYNM#l>m}KMXQiF-5>7C;BkKL=UD+Y(XhxlS^+IUV`Y&Am6qP{p*3Y1jPNaT6r zNGQ;jmYx@J-FbCur3DM;`Jzx^G&q5xp8{B)Z<5f&i%%hK!5$s)5%aU)&aB~ucL#$X zb|`8SEfrE#z#L_Li~S$g@zzwQyshW^E3e(Oq+vexdC#~O3%Hj#9$KkPi_u2Ky1J_d z-Df4x->`YfBfLd-SDiB-R$Yn>C9JDndTg-I;&-)n$fSlVUt#NJ=&&2Xle&%Si^ZuG`+6QHSgksun!m86}v7gVqP_PL!cyn zbSOICK?k8ncKwCB8%CJFU&r6Sd*iw1{D1?-toNoxdsn14y2 zOAxe<$XXEe;pGe1r#&Z2&4iHqghe~=;>F?=wO={3gpscKda=)iHr>GpUo-?4J#DZa%U!y(3zgt-dc zj31HE-ua3tV%ZI=3!A_}qbHJYF0wgqO8w^JR1a9pp?Kos1rp-=WFfVS*D2* zUE92AwTp8}5-DwsR#6FxZ$(~=|1&}TK^AU@)c#4&1*|aL+b{%@FOdUA4tO3U#^R~` zqY>X#)aWnsz2a3fFE5f-+{0O zRxxJ!;-Ra{zPy?jQ+@z)htW^={``cKB~JV;L-EjPR)_{f>Kx5VTvq0MHJrooj7G=k zEJV7;Z=*R%E{9EHiOPgcZ(z1kDksD-zP=RCT@)l0)A!Y}t_ZQR7G)1X${F41Gp-+X z`H_SH2aC6CTfXvo=zgJqH3&x`$IKqA{Z;LFX6c=084+=_m-xnu&mUEDf89E9W@2I< zup38E7Bwg|B|D!U9NCvN+2JkXc0#d|or=q+KD17VK)tL}(ZQ;>j*h|V77sI`LBXcI zYWn6AU*M|#)h-{s+Q1enKTTVstrMmXV_iM|vh}Cmyw8Q!`jwV4eOc>(#;zLzICV-q zAhY-Tq)T{Ee=vo4?K?XT+MID9oNPg~$_K{a)mtlj))Q%8^)8n$NqR0DpbraL`<1+b zu=fHkY+opgDNG1|pv}r|P`=%~r;wx{I_WQD_Wm&0TJHn|ykPN7 z^5<6Na&jLr0^GmclAeuN;$&XafxD zExM5KJ?%@WNOxbagP)G8*@HXR^llRAvXp;guFVv9MEPyqSUd9d!Pj3B#-)b}DH^RL zB@jMer%#t|)iTqz8ci!r#M*DR?T;OcUG#H>Bv*7@O_vpSKkO{8aP`){u?8RW>xLHH z(DELJzK7~09^x)@-vF~m4q~1H8MbxTNw2LE&_|huN3^dQbR1{X2Dpyu}in#*y(tI z705(n^L>?10(M{JIU#kVC>Xa8@lj4p8qd&4x9Yas8XGyhYs;K6SUmOAQ}M>%EoWve ze>V2EH2BPdxp}{XHX;)d<7t~(0v!}+0 z1)uZiC;g8R@43qir3KtbA5V(?Fhr@Ox!3!^zV6$?hlgrO1UEMEODYwP%aZebfs~R^ z`r)aI3bqqjt9*=?uX-G#mgET|~RGkMm zIAMr?C>jF9Ap_kE7r+<)F=qjeeotn_@xkbLPyRHtnE=wENc^i}0s%vYnZTCNbTF_V z26WPZ<9Gmq`Omv>Lgt>XWQhTA(f?^rV*qqfVqoCGa)8+W_~(>qui9^Oqk&HiHtT6S za%$S{zk4)Cml2=L)$$pN@Zi}UaZBRz*Q6f;_Qld~ooxCQSPv!;Uw#o+{;G&4b3J;0 z9_W32nR2@7-FAJkC~Ur@!4z0^+RJqIhGcMpK7$y+Z55AV>)~Ld2TwZkv0}OUpz8kM{EKV)=do)Ve7~raxgNZK zS(o&N@-cKp;j9#P_!7v3FzP-Yd%u_fU;5B(4|s!W<}$8e^F7eE6W!ra+~zsS`t`A# z2@W=}Fq28E*s4iJ2)Y}ZB{0Oq*d(w*(gn(&FPHur-N$P@l;2{ z>8xdx8d{F)OqQRX{b=#Es!n~sLn=tjBdn0x6DP~R+-6yvS9n@oJfvLLPD%PxK5Uz3 z#+kMp1EWIdt89(_{(kZ$hFVr@AEl5V87F7;JS8gC8-lixR9m$fL5rpWM7BMsi-TLSrf_TJ?y0*5fO8ZKFu=nxh7mu#ezOG5QD( z3)Biz-0U}QEdgFy#3@g#%CP##Bb(sv?n16FW{h?P_4=fX?NEK%;81!ovIec?S0y7a zh~r7vin>XKM=jMKzmDTUDI!1@^|?oZx3v>`kKEK)$j-Mi80=Zcthv*Rlob3}3ACgliLgyBho;CkpGC(w zawRJzpqIVr+ZxIVmNu{-qT(V#8l<;W=TQqc^biZQ@8#HX@{_9BXAG#f@T3ylO3kyd z;?K=P#uAzH6vajw2=}!%;#Lb&p@>zJ|77Zih8X(-4*J6eSV^6*IWxhxK_$;mV)Mrr&5On!r3%eXF$#?J^_AXy{i<4QxhDvUAUm z+Jur93Mt-geeRLuBoiN}=SGQxbzJftfxcvC5=`yYw8Jx&hSJxr9@t@^lRTltfl(e= zz*NLv=5K`Q1N+h3886QijtcW=CE9QJ(2SMPd2BPtsPMvFYPRK46ChS_ur(2?-?7pP zur_M;j9_+~pyRuuO z`7>wa19z^YrF>0jVr&mx*((|Cooc>_$novn1>YZzuwb6Ic#;*}=rp+40tUE5A5Gcc z!?1;+d@11l>ZU|>vV(?L>5%%Gf-!-{ahTI0QM`NG)uDaH{bQOXIKy+cT@ z`-iY>Xy9xq)l^)UBR`*_Or2+yy~iN%&m7*OAIMh_$@bxnD@Q=Z8*NJ<`KN< z4)NDU?1%VszM$Psy_Rb}eHn6v+7Te=4ZlIa#@q|$xwyBXiM4s^q2($f;YL9RblcF1VJTx?9xiXTp$jq`Z_eNDVNI_Xgd2X`AQFjQs zo;&!Xty@ZRm-<3eO+GmTG;H0E8@MbzoLPdEU~)OeXm@4~-!kjT21X=Z^8oh~WYw4T zcm9mfv7C4|^g@Mr}Qe@RW<6AQs=^ef)vQ3dj~vUS32SQ1${pKzJr&BL!)GrkoJX4Z*LSabh3>&)E4jXwM`rp{WQ(w z5O_h+qS4?@;ouIB*X)%jT4GaylZAj!;)Kb3Y-01r(&~eO!n`@RpTEFWq)xQU0aZ;f ztaoKcCbm3*;*8tWNTOP=VK11emoO=$yVYM6&K&1YqegoEv>|DJ<3xaMhVH7vv-9ea zr;+P{rMEj=hF#VxeaT!eN71-dWvH(F{UW#wjc*(AWPGz8aX@HE+(wzl3e;?H>^P|KvJHk$Kp;pw^VdRrO9cB!oh#HC{q$FE9o znwVn@wR3qX+&2=kIRma#?JUod=jHg@^Y%94k(*>C5nj^Uo*HqxbqbL0XJVrEoaTYQ zi1u{B=jAHSTyjbVTjy01kgd^wC4}>`GAAJ5D&nfPB-u`*8lEbDfaeyMLQ8t~VRlYc zF3$Plxw4ZE1tm1qvpy;Oi@|054WfX?X^$P_bUf%rvS+OFaWEfjx1gxktC1j$5c zXnydI$}`8h zUZ~~E9FMQ7^e2>N8U<&8NYW)2)&o!CKIHsS>Xl_tZy4Nh2AT zc#su>OiMC#B9_<)lk9n^7sVMKk$6E$j~urOPI;@6R76!M0GCy*}m8Sy{%@QgrzoHZ#xAb_3o2YvpQo&mIR&|k43=&#ri^jB;M z`YSdB{S_O6{*ui>e^rN|KdM7WaU41c#7`Je2r^ZIZU;!WCWVmXAd#g2)4c2xup{`o z3_!DF89?jqsr8TvfJzoQ0LWJI0mfDJ<>-+RxKBV?E{h3?4-#DgNc@Hq|Irq9Fk!$! zY%9^NfP5lR4nTgmfQ|@ZtO7hWUss^ZC7nYfLlCOb-$TsO0qNgDHTp-0{F4Qgy&{|t zgk%}e4mQ>R=l(4H$50Zmj3B~bfZ&7x=$Drb67vN>zr6noi5xgG`~?8X+SP<8r~(2g ze@IfmC_*hTYEk}|1`dpf)S}bF@bEoVi2i@dP6E~vd{3dsxKBnGj)1cp_*h%Ve!C6_ zNJgH}vCW!0XE|`JU#?joSl;as5(%)yW*|sMilxqsKfyMD@xV@0Y!S0)&6U8YOiJ-t?%2P%dzMM^qwkB(-DF5Ys zY3F#kD=Z72@IE|&NwX9Nj9nFTL1FxsFqTI1UFY7Q)K#}jA5F^02bZC*UxyRo!t3-- z4@tm_fu4#;Beh5h<4WNFj>wAPmi#(bE~9Qj0jP^uboAXmyZv?xD{` z*5Q}R_9)H#L^G|jG&-Lbd8+=;h*|TZ(Mt(rT%L1h<>Y-gUBDtkc>@~)szN>f5tF3l z2$ON~6Qg^2&s%SY)&pD%pH+6mV?Ml$;GVcB=9FV!=22fpYQN;wY*Sy`eSAP^Aml=g zFS1|gI#KVc&0X?QW;(x2DB!!Mf^XzoDX24?NZKPKu?0(HybiJ4ZHj&l8j>%YRPt0t zwq=t^1}=+!vT6OfN}0ocgoi?p?tB~c$Z4gq?UrT5US*uiZQkIzbp!jh;bHG(yAp47 z-X<)fIB|al7%FaL6RJQW*aM@z(a@5O8m)(^=vNvIvn=mkn=b|$Tm}{Elnoyfb-{V4 zP9q0R8xEo6q+tzN&D#9nFbFsjz)pX84!3{B2XZ4MCFv*9GkYL2O2-dPvV6PpdAQ%< z)d#rDd#f)zDpNaPWqa-PMNfkmA8ngj>h!sfP~VY*9^U7@F#<)ek^9nupN#FLkDfWa(}6uivs%gB7Oh!*tOTP-#XU1qIn>V*{p?p>=HHH`LZYZ zOufYS?X@vPksQYEh69e)mrVl(4c#4(OOFJ?c9|A7N+a(+aBwCu-H4Z{B~!jDqI13rZD&oUew3%5kX&cZ*-&6Dk6 zUDuBu`=BltS|W5AbcwyVX@vQ?$r|8zg1T{23TA7BJ(O{$*ER{!wMAX=IhiRoIwijx z@Mu{Pa;Z#Z1G_$V!Q(Cu(`W5GRub3_l#c_= zACq7lxG&ER;X68{P7R)lcij;6j*RHbcl-q~M|_&m*q|j1xxPv=izMhPhOsNgd1!-b-xn*gA42 zB@TI{^)&G&TStdlk>^+%9LZI@c~$$f{rih0w%|q^=SbF{)+tSW=_juuy7Dtz;+okD zzi>mPrWw_g#v+rJdZ$b<=j*=F{n$8sq)+4ahG8Vp9VWQ~Ik_%nNzrnuiJs>u)a*$6 zo-2Xk))b&?&z&TX0c>%4>k(g^(|rz@PT8vp8e>yuTN}gjsP*nR=sPRvBFd^0)7cc# z4zLq)yRDR+J^FoO^W`w}OwZxHy}Vm?zW)!q>g2x4)+2}AHU;=_KF-fF&A{*50Kc0j z9Q4aY+aJ(-0`f0kv$#+5ta2r7zx8YhOfigE7+OGtLFsxyh=2KpwJ1$ZX0R{RPb-V+Cuw9eQbmfR=d+~Y9>48GyP~-K+phd zw#cOQ)rC>(EM{Kkqezt<*|*DwCNWPN^&}i5xR}2B!8<7pjM(YqzrGGg^L#aIr$W9! za$o5yt+QM`w>K$w*qv457lzoF>cg}e$29ENZ5)Z6w|qjahrA!j^vf|^=H*m%E!Eze z5e2=Jjzr>jQDJs8wYWx$sxIr=N5{z;d z9lW7mE94v^;}=_Y7$o<;ll2w$-=A%PeFO-77|uRCXC?eWj`1c-P~CvsR&x~GYici` z$>(%wyXHZ7BGYxNHsP2zzRe%$l6ks8toT01L-0zuWgRy3WqG`l6HG;w@|{PgRWXZq zMYbbOhTpm8YzS>q7YN&EM7*L&fJ|WAgg-2)e~zsdqVN(i^pd!FTX)m>dgU7~yK|`n z83X8JH=OaTL{?>mP;mP#c9bNTL$%pFNJB6u9hf09gdV}-u@(*X|h-*vEoLM|uA??Nu5co?0Q@P>wO@u;Hxd0aKElp9q6A_`UR>X5Syc`@mY0Du;>vp$7$c=kYCDZ3flc4RSNro@QB5R zHR`SE-cK{p%cHxkdD3UlMmV8Af5M=Kh=Q{lv#`rS=Ir5wwJ-G!+;kvG=ddh^csi?S zg?{?}9y4K*Z`tr)m#v zaTFFDn`?;otk2ie0t*}8lkqD3ZTgfN07vX}oL_x*>TpXu-A~ZUR=nv|#SZm@Uij3vcMHD}N@J!n z5%sr~Mg`H%i%r-Ph>PoYzf)G?hlWDyG#OlFK{ncPe4IG4|jBIDVP1|G5D zR>ry-*0%!#qfyrsn&pVj5HwF$3Ht@;&}=q$g+_P*F!9ARyC1~97m0&W$%juv&}9tX zVQRI#rSG@hOHNOEZ>%JOLF4=OV{({9ft%dafdXl)WEX+)rc$Z^u^KH2W2Ayf_OA<- zDY{%#ROGL2I9xXdlG{!3kN%8^2Dwg(S50Z)l{bO$9`*&c`gNa3vsr8=+*E2^+p8av zK-VS-djdF>G!I7`-83{;E%x0?Rk3BdtYa-&m46bg{o||1tALR>P(K{1FI=CtWn?$-K zXo@f#Tc=A5*}GUH&Q1wk>Yr5GGL_cdDq&=3?XSlB){&OAGM+KJ4;<84mbm`Xa0=an zdFPhho-9$>c(gYnnD&hn&A4$7=|G#6h~$evUU0_QMD}>+iviOag>@R&>bbJF*yT#- z>VaS4WgKEH3NP2oe{sY5=FG5PgQu;0A%Nmp70!Q)u9pvh$d;{E1G2zp{@$;mkwy?B$EB!@Ay8iBl#)~uIi&6$XeGnO3rs!>R@sRU1K8NnGe<QPW7QtNmW3*TiX;dqF^6{}y~XjDoYtqV?iE zC$PYI%&31zKgE;kV@CZ+!@=!gs@k!_^psFK=HlocN>+1$Iye(0OQn%G6}~dW*uEMy zNI|9-l~Ol=(b8ju%>fOLBro7K#$FUeICq!N{l@X`$NXd1K{{IU;Ok?gZ!uhST)cfK z*qKVAJ3E;Cb}t85H1v23Wm_bUEUfc&67z+`jJ~}2Ayd)Vi_k7{U z{i3EwTOqJH2nh^9>Mro56vBkR26IlOy^u-$7S$-<>)D#|{z01`rZa}kY>zq-X7`(s zM@$K9$L_c6vNP-rCs#r(0>{en+0D`2Z*2a+9;5U;x=$g}K(Pc?rbZTJZMYU!1czO{ zhIyOGTjN{0=fTMNXD~-c0>c|}><24kyQkDT1cT>c(ym~)$4Jq$?JZkdLIRNurOEOA zOm_J*SnjF-H#%4og+yrB$3u*zcWt5hur|e8JSiU~+4)v&ZVu2vM5s9Ij&`uNjQ#xz zf`(ro3&GrKT>&Z+41rcL8LL9CeQr2!%~c%+=oTsi`l>>(3Gr(^BjzQX*30~PxQ-%x z7hQX+F`t7oJo97fEb3VEd3~!`-@{k?DCk5E&DZr-x-uKA69naeBK*X3A|=l81&d;e zC+ik8*OAPIJrrvWzbVloT61>gl1`?zFr6!d$*IHo3zljZ)}oYQPZ#y(*EJP?3N6XQ zaK=OB@$b`ZN^V75FUjb#w`-{_Q`H#g{>mLmEC~R+w9*DIMqp-h^TWh6=TuF&PNn)_ zZ3N92D-M1U$u}4C7mP#RoQetI8s*V-|MBKw;i2}Ws(X6_pHtuKR$7B%`yQbK2i3l5 zbfQ2R5}n8Uhp7iP>57Xlj5W4aIH#**`||`?=nUeH5>1)-zIQw8?e-Lpkvy#t+E9>m4*Pr;SzuQe^#Uu#;f zzdjvYe|Js&lHnQV0Pp(W7*!oo-2YTr zokNd-NDct4-xzgi9uUbsK6RrPfCHt==yLIFnn)0*MF5*d3;_k$^b9N!i1-qE6hyoo zxb>MkD&G?}jfUWu!U5@sedutIyJaAc=(oq(!XP?Eyzq(;Bw+;r&A)v?04y2!u{$5p=KxVS}6Ks95c7MuL z#s|Lfe{%4E6Tfc&1kL9RfanA0Fl_=InU(*(jX5v?&Hj{K|8MH%|2DGyT_S(-%lL2V zCa^@|i0}N02Lsq=KvQGA0GDa$*iTF20TtM@To zL*A_O!|O?*u&JH>Bd5Wf3#T9B`>QT4SE55|S`k|AL_B7LudE~OpDPd{y-{02A=|{_ zFV>bVz$A-f)-5R=(!kV8WLjB|(i&w<885MEl~jzv!#T@&h_W$(omwe0TJZl6xG%au z7*$9a`$cMrhg;rt_N!)9+r`0B_@w1fGyBr~FTA|kvZ8!{ad2T#)A=qkHhDl{MU-t= zsVmkaE+vd-2--3A+A6^-7<0wY9OF;T$$I9O`HV}e6av)yDsZ6$ zJ%Z?rTrkQVwt$&hhFbhgG>Fa}*5ck)EiJCRL3be6%@BFV3mKafEO7V~YQ9;+khf$8 zAJxH}Xb4#nE~HKWa3eix^M#@yShQU=MS+7uNx9mHer%2OTkr!67UNJ{N^8PqE zuZi>vK?_Mo21(PAk~73N>|3lDoBFS&9F#u z9OWqvdyGuobDVS5>|Cxc^%FhchDwsjvba>)Rvm+1rLYcK#drPuQTX6BFdb@J1>=t> z(O^pEC#P&aPQ9``P0*#Ds^SIk+s~8F_0hhlxJud zs}xR!-xtbklBXWM>6n>C>LZL{=t;D{7q)|%7`?qRi_cHu7EmyH9g9`k4jtNhlOu^E1V+OQR_&A%uucbG(V!mv4nn~-b3>_t2S(y0Iw)+&lQtl z@n-H|UA;@UOX`x{r>j}NH70ZD{PVH-F_YHM8yTJ&n`5n4O5D^hiUK>JjaY|_Idl>Z zqE|zf$Pz&0X&?QU=ZCqQU=RrT(wlTV!3`VfKfXKMp-O#Vrs602NYm@Ht+l#=mLcqUAGoe)9um-;|;ev2b5}dxxj~ezh)Z`e9D8DuOJ9-E5{u2-p z`27XqKY)PaH>>YoTd}}Q!S0OoUw{Cplyn#a_+e!gsN!TEpz{H9_hca&Eu_5%l@($! zjE?y`?~V)NZwAb7Dhz;>5x9fzH=vedu>s7^_1}Qu=-+c72ju*zv-UL1dEal~gE z%S!&6o=)+f;>3Suwf{HW!XFJI7i4o59v_AcP$>ZMc94l_G;Hue)$)cNq93 zDkT~^0!BG~J?*cj{4ETLIP<9dXF&{Byyj*YdogVbr5bpv?x=w$*rN6XkIXdKyaDW@ zFVv+`^5DBwWfM*bo@wxZWJ&7{?Ilm^?KP04lyzjJeVxWn1M2=nJqntmkw}B_jVNC@ z^cbWGFE3#-?)Mf zPV=*{KD|i`87l5{;j94LQ3!rh&gEuLWGMY2cQpMh5G5Imnk=9&;cj00I*x%U`Mo1R z-9A3>lAp$V@wrNNmYv)%-7p;vv)tiT`fe`GTm;qU#?c|L>BVK!_-%G`W3dVJ%{w)% z7AuqT+&d%lZ)=~qR{MMH_Y6%%snMWByyJF+F&+MPGWK$ET}w}O*Xp5j*^e%+NA5+UPHi}TIN4R- z91a|tXacmT>H-!oyohD43#AWTus3H(M!b?rX%SJG9k^y}fiQQy^;qB~h9@rfk`SFE z)TbApphjdCXor2PL$mOEmBTVIXa!|*Oi|DR#Zf<L&4kY{bvEprlwQ!C~mQ&ERlq0)L2k1hXE zkdvKW+44leD3l0YSW(onP)*KRke&TGN6G!LCe*~@FG_We^D=<~sN!eE1GKvwGd`x* z9&={=u-PQS7!oMDosPF)U!?S3a@qLWTdI{1Wab2LjPzMz2gZ!m6y5mFte(P{M0!aH zK6^~^^KWK&6aC&JYhQN!te0Ym*4qM_2(IQ?)vkUp>>YJjG5;K1h()m@+LAcxNDmJ@ zN9tW^+I!`coP804$q5S4=PDoE)r0%K;&Rn>Uo^w>(YnN|mw6$t3M zzt=`jb>Jt1A7FKa12ICucyhIVGW3B1O8bD?OAmn*fcC$0HvXr)h6`}RrUx`L!wl$H zFhGX_8I_IzIPX85c(?%nnX^VLS{Ohz_2fVEfAXgeB6|yz+E?R|kbocLPEJflaI2<{ z{gx!2-=2o%w-2#HY|o-u)zn_34M*7FFico|eiuTrj3wu+7-RB<60d za}6H+i0Yd(x@wc9U^?}z1$q_r=E1Zp?xl`4tBZTlo5k|yZlT_7qb*nU-!s$3Hw{AN zs9=j+y&o=q9ouWy&L3MFC9>3FnGSo)-q@svnx-;abCTZ9t|4#nT9`y2P_oSbM6j!A zoz`?G?enp`&YWw@X0!gS8yockZjiKuz-ify zthQvBm%@E{l_6VPjSe&I^?^x$JgQ((HG0*B%@98ZmJeiF+|@xy!^Q58ML*ODl0|W% zc-uUi)#JRP!1uFcr$L*Q8W#+^VU$$QS6g#g?9od|uY}iLEGr_g?#+%c8@i=zg~=Gn z>@aj$A_1F8)qui#w3~h7_(vMlXu*WG!Yu3u9v<2jnj=`J(~C5#bVwVej46$s1id$?)zcGV2E+1@mceOc~Axco6r61=1Z)0 zpRVKaAbo>qC^?$ERYw@G5oY|0^NFjyi1$hsarhr!Ak1dqd(jMS$$m0_TR8O*GaLHT zc&aEB>hrpvzT^zBnjSC{szf64@kZ^!Ydk5a6ZnZ+$D>R z_>ZnRv!wYR!p-#Mr_vX&_k2lKRMr)x6gl_k=`}0t>pzxe?c$g@=4QNbRV}}GWIGc} z;blI!5Zt363sIqyki>2X5SotB|+p>SSI8ch_91B zfc9Qk!|1Il2>JL?7%S$%SdXv{@J3eH(Z5M1Acpu~p+AT7eXVi~Nxwp;1KV)3Ds&rr z!}2|^_tkB~QP3zi$dwczgd@&?#|rl?rIXpXNt}XZ@@nrwftAoK7@k7Rdif!y|8fhO z05^0==M;WE9V#W%39hTC0;fTL^W!^2)ledMA+wA^_aSw;86MBgDHo{J#WfKdkX5=$ zs46FFda=}Vyk2kcYUBS!+FQnDwRP*m(!Jd@pJ%5>N6P5QfS z#chRUijSGmML0&|+v~>r?p~J(#D0`xzd<{&682(rnDG9dqtWG570FalZ~v^Q$RA&8 zo7-isH@+OnUzdwReSMuyG7f>bzZ}Rf?YO(=6O4scjvDm&d+Q=o!f1 z-*G~Jy>+)~yZ%VIlqzg-K}&JrhV0|F9SKA{H6O=rZ?LJ%o)h-%Za-pT<|Ht2ZiQY; z%Rg7i;!?V9k}XeMC*WKU8Vg#}M1 zAPAq0W)ePYSt5MavPAf_Wr>im(CGvOVWHCr2*RK&7I0ECeMQ4De%MwGmnzV?64!=190b!aAWO)uP zu`C?&1B@m(k)SgGgPKk}YYaa@;^Rp-lriKgX9uA7-|3FOz~svlVSZx_FoIhgbm0{| z2D20@-xFSimyQWUk@FC|@dV)cLjpo{hNn zlwIIL3zJL;4K`j>^)+zZa*rw`(NPuE~k7=~u+kbNk-Aj%JCeM}1(K z@BBF`g;*Hi5HK#Sk7^E^)wrb@BFcUk&97m#y~cPm(~$Q2$PCWToS*EAj4xZ|+eeR5 zEw=}!7tsTA<^tveenw-|l9+n)-F%^Y{hTnqD#l&4UtR{S^JRmH?=(CfNUL(%_djZJ z{ZWY^nczZT`Ml`oH+)w2gX`O2Jw=P`%fh>#-u2x?2({3BYfJq3t@#)C13u0ljh*L4 zy`AUXCpO|MzU(n+Zbjb7;qW@jjcV-J8EWU-QlV?%y0GnO>Ln?NYByy6N%b{dipE+) zC;!b)Pc}D%qDnFrQ$<{o+g7{6#zvzz zLRBKJDPSUUB=2Lp@EctjV@|(97&HxKD8KWsq?USs+gMGAm)Eg>IF?H!Nuy^-)m6AW zthHAleLoYQwi@R4%TS1m7zwXVtWwW+4l9%H&>nAMsSFqFdd_@{6Vin^OL#3~g)#~1W|JK&=VYRcD zs=dM5J-?(6ZQSrMrC0bq9h+fWe&B2VN54AX#QGLJMCVkSTgI^!W;7sLze$A+j z8k^DCNPbcDuBng7=w!sKUC4BM@zt*j&9q;((vO=F%+TZN6qV9=x?&?zQnAvnPk-@n zsOv2Rnu*wPq=%tiB6$AJv)dWtgJOGWrVxvt_Exy;^j$k*m(kt&3jNv)qk5fmw#80Ld$%9a+@2b_{4~w#gHQGc{*`IJn^8I3emncVtJKPTUhI>L8YIT+ zdTCO%`-p9iE5!JZO9}MdSG03&0t!Mz!x`NrM6VgCR)9N{+AvxeC*%;*cU~be=$WK@ zL5O8dcIXYoq`H`4Q%x4DgoB78PKRG(@}L!vwVs`dH0Q*53AesW0;{_Q#iQ@cM9d_7 z#|tniWj#9zL`ub4;}Uv*6vot`=8k@Ct3_yAt0OKD&`h?Yk@|djFCR=TU1dn3K#V~j z*tIGj9nv2!Vev&w$KD~=QROG&t+*Vg+ZMO9tOMr0$dla@xG<0^vnl-uHGJ{NZj3aDpJ0`?W*FRg`>E9V}EE^vwQY(&Ua}Bk5otzO5P^G zRF}mI>(8unh@XZBmLv$ zHWcwl3jXSEKK%W9<1a*xeOT%IRhiIPi}K1EH)IJJ;SW+oHYr-4hu>^Fy9%$?ck}r^ zpwki9%bh$Xu;lhqE@MEeqZ&J2u`e26`by3*S86BsY|8QZF={Y3-R233NPGZ6FoPf} zIVI@Ua8gx>nL1-0+9i$zIzb^dF4EDmi@y3iSWS|{1~j{;wNLS|0fna zhYD;MkPF5`n!10PQNU>e9GC_TSj00z2=6z+hYvVK-_MLEU^^gpoKM++0D};Ph5vOE z27(Jn!R1py8UlztQ4_*P+Q@%gi~qEjf7-#n`DJ+O3+RdpIG zHD%Va<(6mChmY$CHyV9=O47SaWNpoDQTEcYEo{3rZ)3F7d`1lqzjR6bb9rD;sYd561AgTkcv75|qB7Fem67LbzU-pL#ZS<>-yswU}#rp^cG_3~yxb{E}#a z5O|_4A!1BZ`yVEG6<;KAl8U#(ZgG=A27ZMNHC3qI&PvQU()yG1FIV>h*HsUFW4CkO zaTlFS3RAwpAnuJv>;UIjm zUTf-$c%dCZ;&zPf#@AVVQeQo(aJs>wah+nyrA>=x@O3PQE-DRcq_MxkhfG`RzB_)K zKPH4XiAdB)DiX8p5>2ibl{~X&xLreRKXAd1owj3-guK=K{paBz1}&_B_oW#R;Rhi> zv={11ZR~|O$Wd0=tnS#klY9jG5Iin{H1To<8Jnz8Pj6yD>cICE!fgaAHys< z%-*Vv78R8c=sLftvVY$B(B_7yO?WVO2IJ)RM#%(m>EipZIf7X$IAN1s7jE?NI_2>_ zd$3kV{DS*sM7_F~Elv&fP>CdiemN@9_~I1{E%n!axr++JPq5_R0O6*?`ui_%l(!Kz z_ulOa`~R-tToE0jk+ zc&_GNY;5*_u831$BWQF#wVraKGkbrs5+Pz}5EcFHYN{uu4fc1L=}$N`l*~;|xUS@3 zybJr{{}F!FTTy~8tn<%kcplm# z0&j7mqK(FfWk;~wXUCl~$W}NPCGAsg!5Vqq>AlL_OAZqWBlU~rsTgQpj726@n{;%& z%JLIUSO*Dm@`UzYiea^D&n)xeEuFZYR$71LDQENzKa zHfifV_44Jf;^uKq=J7je67DYew@P2inVzw^6v!HsszJgJ{CvF?vudeY; zKRLR2Y=bqoKsw-#!QHrE)G=##G)08pO1RpS5aUi3SaiE_C`pp*(EXq;vTeMi%~a~>glG6UbXs`h@`LNVJ}*}tL<@Mhq!6n~J;-SFInH`3 ztROmbSsg|GRjhJAkpRnEYet^*sO((7EPfIbmA285;a&f6qu`~e3`{AyKw*^DpM>Pw zIVivH_xX6hG=the%-^{)ze^DRX`Mx2WMeSkMBY)vXA&0%gGzp1?SHq|0O>Dh#fieF zH$AX(mKk%_P(xTm^mmF3J1Rr~mt6+se^um=Gm6L~OFA{!kpgmtTW|qq@HI%cu3$yQ zfq5vQoA7IVus2`>TFcK;0+HL~$c`K9ps4UE72uvU;5Zq0s7npm!3RhMPHyDNFhm2% zlm2UdpD^gX0h*bS3!hX~{u4Ba!<7r@tS~J;5;U9=@u$Se75${#^6xVmw9q9OlK>(? zo{6RfK;xts^KUT}I*1kSr1`BH5bUsJAI4RVKS99%erZ0t8gp@jt8u_8gaa#7U~|E| z^bjYaAvq)%z2Oo_aa27r#dkQjgHY+jUDr(-VagS!INVLo zs1l8eqs(77hkwzu-dJ~(k-UYEF4*P6^4KB{v8R3Y>+J{r%F#DTm}~W;-98bM;1)|@ zC$5LnbH;E+wuNdJc4XdTYOOU}lY91wIJ)vk2WR&&VTvQ^q6}w5deyn|kaMV1N>bIR zORCptj<1TBJU@8d^z1nu#bjyqd{Kl@%#oE0PlD8-E$AuyhSMmT%(ibRHYJYcQ4&%9 zIer8=u2mKjMTb&P7XhQVa$0ClSCu2KXGzG&mk7lN9oOOxIiA_RCCIgMf`SGvY|dGz z=fCxr7h8fjLWbHox@Im~tSa9!AJqRC_i8QEk@TC4!lN+h4amDjeu^N9iUT)iY8bOHjZN#`qJJKOiH5L_%L-FMUhpt~`s@4gJ z*KZ5`^|fOn&9QcRpjRn8=f*sT%mlc|B362*MUPc-tyVYLFQLl03^j*zukE+EYb`y> z!xjFBi5K1aw0#@*$xQ>3PpP-xDrLxiGOi`Fj~0ztza;DtUNoANI9DQ2+N*lK{O?yhI!eybU1oz=ehI z_=>ACNXSGsuJD|<*ebWIYFFUNw4r~Av?$9tUUIr+8&sc+&$VCUre!>zZrN~Nxgv>r zO*!L3hjiNE%%_z71+HeDTXfud#|1ps1*<;aWIfLq{Pe2h3#NtpYPCj10uy7m_lOC6 z1gD@jCu?FHE0ki4q)Rq;1=8o(IvX()ULkH*=;i9$u5HeH=9?*%PWB#j$1o1KkTP_ZhoBn zlx?=*#Be|qAtdqgygphn+V-4e20OY{^tNkWWo71Ov%@pG^!%#3%p*ORDYr&1x!jLL ztlGr#k%cZ2i<6xHf%frDbXDrbS5wU?OV&Z1vbXyP^dPM?nORX+XTw70j*iuFK8pZPj_$miX?yH_k~auDk2{bTvLWC8GWTZn?h6+=T8S^t&_uNZ;B zg^Bcz2phA<6dN@+EKof#FQ8k|jn)-3%a+T`Qx<(sv=OfhX+Wg*sK)4+7fIY8crt2` z-h8uGB@c{ZV?mF%`{Kn&L!HlYuCtjO?*U`!BG!wacya-@-%j#7-0#|0I)N1&n}&}G zyK_Om8$MBp{7&QihxGziGRwjLST952lWKBMS}!biW}?o@=7rDdn}pBmn}pBmn}pBm zn}pBmn}q*U-}Jkz2_9yK#2CP^u2b81;xh%05jnY_o-Fu(8^A~!V5Kq$2xZ^}DKZ>* z^#a6)A_n(SKqhefGCmF*$O4JLV~oT^uqqX#0TZ)=X}u?;C@nAmyrIBy0y%8BmK73( zwOJujcySvv@y?tEh4@-*kmT>ZNFzc5I93aE`mSJwu;5)bAdDa&B!VE zWrt*c@13`%1;5}0JURFx2P6jbdO*a$+UtAm! zV-NmjdiWs@(v#HRf2iPtQ3ODLf)oje;Ka8NB&EOx=}AiPUy@!(NiM1XN+mpYaY#r?vK<}N6jR;PZ%&S`|N17Wsk@3b zZPM1fe)U7%K$@Un{_-vzdgrA`HlwIa9Ol*P{pRk7P*X$F_m;G-c;XbDkKKfx)If)V zr1bfvyrrF)9xhhX4|F$O2ee({#4IOvsStOQ@6j)1SwuS%92d=R@o7d|Q`cSO4=f-& zKW%<*a4;E*osG(hYV*Y_?cV1zZ*{}#Cq(RQ3gH5SsFZjehJ4jp+m7@7CI#WtR2zJ> zjn#Q~d#TKQaArNZ2q##&OMGJ^Zk-R|c1f~;4OKdNV!}H=Ykbg4ezUx@(tKM_A#4p{ zGHi^cJssFODiPY7cTeJ7N0N=fCgb@8OI~X8xu-90vzc`s6i0rcUsQC0oMRi?bzB&} z@@RF)kIUX;T+Oe$dmfQZT&>~!lA~{H{4Gx7TucY(WpBl@B(kg~I79SPHQ|-$fppW_ zQ!^9DZ*aii=$BDtaU0#myDwQk?R!O1~D~(V=+Ohsl>~bhYc^~e_B78A?{#P(pUUi=-gEB@%*c< zG6&^E-q35mviz1Kz8~Mrwg^C}rxIP-(x!^+o-1b7>y1dZPf*x5PQj5S)qDF!;QU^g zwY274kHF&GDV+5DMmoG6ChR77cuTs>@O|5h)}jwr7hTzX~4 z*M(KSVd^=I9?tC=Vw_*u()Y+d*Jli{F;ad>x<(L@T1Nij=MIsk(+(f*(7| z=Wq(jR(yJ+1Se{}Qy{e>S*9eqPDF+pe-%nk( z3b?6T>$*HimuSO!DC6Gw;k$@trorpb$K6tdN+bb(raxNE36ywm2izIHYp6L|Fms=1 zLS5t4omLW|u->OAqhCzETyuVM9>T|d!rThnZffFJ%?Zv;WJ7FC0|(u2^vR`6%wJo1 z*l{cSa8@1iR11XWCq-ze5!dWmVakd%w4^97m?+#dGNzS~DW;fJ$_u-ktKy`lyx(m@ z#Hu93EnS{=Kj?bY;u@pdEn|F1xu&YFAc>o-fkbr(lttE>^S^JEJ^KH#$-i%a|Fp^A zf4?C45}7cByi&p}7a&&M-$|OlVhWr_j70>HPFtkuJRLL!LV~CD8X_k{K#{E9)5?&G z(_5*?Nq*7^3$_u5UPJ$A;V1%Uv0z_Ksv^XJKS@9~aOp*0MHXNZ95|B^K#3AbCL z1baj;fNc(vg2G_5OMsL^C%}P?r2)CZ4gEeZBm>R|=Rmsfh79xoZf7CZg}Y_J>fr{- zRhTIp9UIP+gKXjY=fK{#=+R=r70V!KZ6ptc!+67B?^h;?5-e~ToM0G1k`4WoZUrEMx{Dk*>O%r1cPN6lh};3(*d<__T`pr_!ahpiK?~BrN?uZi zV&D`Rr&+++cH@Vj3B8dyc?fz`vSU}bX+tQ^$A zN>(4N6g9w#`Z`$AYl79@b)fH?CU`XXJREqy0N@T^gENaO0tX`p;EMdKeI~Gm2t#05 zKV1WswZuyH|Bq!A1z~CtB2qMdAwFPRIpKOEhysD_r;6{%BL1P2MXB%hW&vu)!~1(h zKewlIh)Y5%FED6+vLqC_$I{Sx&~UWDAcp(XEzzUPApt3 z++l@8w*?&N32f{94-jXeX@ikGW}5y|eiVh83JNc6t%Gsk>GAu}`k9*$0? zo&#Odqj-S(?wUrVSw=DNew!10$)L{2&;EJNmt4+mZ`(KJdb##}o^15SuG|J}6sknJ zb9cE)_MdAdsl1-{Z+R(UQp?WSE4)m|nQD}*!>1OEV;9|WOTFYy_g#6-x8066E)(D^ z{}4e?uh38NWLrIqnXVGbN4{hJ??i5|`Fbk5>AhJ$IM}UuKh%u8nekDpNLt+$KiWMdhW=~y1aD@JiwKV= zsed#76vI=F+Yc-1+@Dv9wy=jhHXe3WIhrmNXsk(>xVdw|@q2PG-uvX2R+=J)!*Znx=(3h~t@^u~SXhpmj8 z(()zrogqqNY3czr0tPM4<*UO+yV?fmANe>urxc0bf6mHX`&iWGh;ARLWVRyZ_+)#WBkyW#U#QjGZV-F9+M>VXv7W41O%YOB z;$mO7?QvaYXWw!0n#f2X*5k=3p0~yh@)%-u?qr|o4`JnRe3!Cl0+W)ji6s+N>RDZn zH!vN~e96X}zt@-VYA`Kt>a4@%WcIY+*N-_dM4@OplfmILhBuqMs=Jjsv5Z><^{qjp zY+Izc-J6phT&>$xm1&buUYWPEc$LZKR7rhWyV=&eWBa@azhtdc#nN55OVl4@XO!L* zS-h8oK1k+s)UedjH;m%91Vr9tw!A)jB_m^C@;E`l$9HGsNwph=5ycl8zYVUbCBrWC zB!t6?zLv+MeAK&Qksga|k2H+}<4bsk2;4ule_x&-61ilIBHri4Jym?rB!OE*(nFy` zM6y@YsalixcJA{JYW9OxO2)C5J7&vf^hW*m!Ru|bSzkKGRw%d;nc0Uc7a{D-V0GJ4 zFGlRh#m|?Ro-{&-x-vovs4DEYBSXeg6BF$|e=8PF;L5C$8i5_2F%{)IncmI>dhVTG*+uzIafj$xF{tQSF{tQSF{tQSF{tQSF{tQSF{tQS zF{miNF#OpZx`$#4cWFW9Fd;;Q4a-?V2)I}q(oI|db(`c?(0w>m2N*DmQ9^8Z&kCRp zb6r46DuSEufHja6*8}9!o4~x0UIVMGaUd6D1LTZ<0n1M)f`-Oz{)RP1?h7q zpk&$!3P)bz@jzd}igb`7SK|!XCfNc&Qjr1P=*}HrnCoqUou+qz#Lg1t9^L}}jRkK! z9C*$Jc=MfZ1AdGvB!l!|kig1zkRHr;7vev44!}(IK;7b9aQ8p52a-?RfcxS^a>M~J zhDtGTU^#aHcgh?AcgOwAxe@LJ^te0(-VxXX;yzPm=?rPY%ASxTOnV22|MWZ)AHH*@ zEZYkxi*x~GrU?cHZ0HT%antP-TmZ9gc^wxdCy1V;JpOra7X{r`@O(fl0Nm04d80>i zlmQ1m@PK|#sz>ewBX{Op|A$NdpFx3tUhMz8{)>p6Uh+l7;NUQFa+KfAUJ$d!(|2=} zi2}wAb{-UE@0!$0^}ID}iV!t3D)dP7x*E#rsHe>2HW~A6W26)Rx!iSB?DF)c7v~72 zr2_`mwy0f&QZtB`+2}2nd)j&$+keVg#L~U!35()VaOwO=MtnZH+up_fxm6DfgFg-3 zAm)`j-2F`G^_R7+J)N-CAAZtBbmoaXuXK2w)RXHI=qW&_6Z}S%1RqDFwNExs;D^Lf zMatePH<|)jF#Q!88rLndB;|MV6c?s+Kic>h`wjO@GZ0bg@bPC;+QhKqt71g)eUSteN7uRLI>QZ@V})3ZkzV(u>qA-|T3mQq-)cy^B0X_bDE4 zT&o**rN3+V(LsNXMdc_2H-WUy9Fvoln7K%ME!3l@=pht`cYZqdpm$0OaV|;BH-pBD zyw}nzTI;PI?;CrMMvUsN#RsHcqZ4BcDVU)`X0iP$sR*H<`8xqYt2h z?K_{nueSgwm4Rz{i9=0puiEiigWgsPAXz|&V_QCp++YUkDdgvfwMf>?fvzr zKWK)qLcxl{{wEhb;q&Q0myf7<4v#ZGDU)m^o_nWEH2v{=r&N4EJzrMp@ckEK_jj^w zBx{@eWyF3gSg!l^E09QaLsnmmXax<*vWx>5wdg$0wOn5sVf!i{Pr`mUka%j=u5I*;7nc4{@vS*3>?NxDn3{_idytB6t)bB7w!|Q+hS6 zugFA)U(B@dq5+X>VYj3Qt@${fN`{(Lt+`RJDEZH*qnu(lZN&@CI$w0-UN5RjK5$wLH(t~HXpL{1 zRmxGg>7jjfZCs@VH~QSiSBSTw%ycRa7ni42LMg)x=9bFB;*v}b%+nEd8!rb?LuW*5 zMocm;9}n{cV;aR#8PXG-KpjOe@sIxX+yNqF&X$R|lPp*oRoligH;Z zbB6QM9C5qCc52MgGji(vQPyvCO(_ISw8Sh+P4!t(*uC__Q!7+zxQ0U8_{L|O`E|pt z*&8&~ExQpp1`C4oPsX!HMX*rElXD?Qm#&P`J+@uvm1*2>Us?#+6txDv+KRkjj9& zKQK##ij3RcM6;P=+%(ykCEnCjeg2dFh2`p1ZICn7rkO_~`(S#$I2QHJ_21|yJLVJ} zfyTe*aS2Jme_W=B!Jx0--WP=EnO8+roW+A^TAG8kee6+c5`XW}+1v=Hfh;_P3u+4%!+@lGHVA{n zuL3e99O!YC#R63Tc%Vm|&I14l@HoIzW@WH1!$VKdF<_hsAo83X%thtP0O+he0w^%y z2O_-bpj+I+Ct^9+HxeBC9s)qe#V7zePC`4S_W&BHa3RBn2ciJi_Wp_YT5eUL` zp#UhIypePaP&gI}4sXT$4$P3iv0;D&F%xX~Q7puJ25^+a!5M`(0C0YV1O6d$)$<5I zq5636iZu_;&bU4V@h5=Xkk4_70B4vJKxBqJ67Z)I&K?o?7?5(cBzSPhBS;V#4rEc&EJ_8AqxCv-hPEPnfe!5UrUYah>b&(&~6Z_ zljTd1M>NQO&Ld#_No@9e!{=#Ju_GRxX3R=#alP9uU|M-PJ})mvL!SitEK`V?M~gcP zv0tfb;@UJL(TML(*Vfs;1qY=gFUD&}6UN=_t9GY^lg{M6_aS?~BgOnlryuwg^yk0&bx&5Z z^mqEF#C+~7<+H}EHBrj4o=LZEG`;oO&c1WTcTPyPvoH1;j@U;|u^=J8s;7F_ID(F`a#%3WReFT9YJhXfdW9 zU7OIZ8kd1nG_Wigws?5e2(GIOeGu-yWhr#jZ<~tBDX2&`H!8Fef8b?*$zt8osaa!* zQb(ZP10$DMPt=Ru?qaO4W8kqsv#1TZq=|8wmEC(YGX}2GSA)75Asnv};^oB`c`xkH zw}$rk@}~d55%C&-z$C`>oaZ4PrDN*lh?H^3hhh;&))rBnzdT1ns@H5sW2>wA9>3k% zZ6M2kIC=A!2&X7ElUIJf^U&93Z{#NR9Ts&_S5a5_J3Jt~|6IERMTBtdov$CdL$2hDsPpsCU>04h9*JA+ zt$Y5(wO0s2Nm#m~UA;a}n9ad7@Mh%e-sKKeziIwEF%rjxdSjt99H zlFm6N;;7X!l6RUCMsD0M@tuAo2&`9|*oe&T-HFFM#^_$$*Pqe2z4i@2s4dKVXmG7~ zmWlpx?QR0E-o`OK%cBo7Jv;%dyUk9KXw+mXL=%La%EcbV$w{779Zy6*FbmYku*@qz zV!?EojEM^uT%L2X+j=u|=k{7cYgf1#2+R?uBh z+}0Xp-y2<*2+DI4f<2URixJkTh?-h;JOcw>a~S{S!sBb1W*=khE_}D1CVl277`3c_ zSGDYEld2zGkZKZIVBntC{RLdc8|TL&)#%^(P2_uG{Ln(3@}L<&5juKSVCv`iQC|Uc z&0t)a@yD0?eDvf?wc=Ff)ljv@CVyKNLsio6QiCp;?kLgxtjtOh(+S3icJ5^QckC}- zsYOjK3EE@K-n+OzS;efMC|g3}*vTc?#)bW2*4gC_ynScf^~Vtes=khL>W{~CtLAlk zXZm_~jOnd!tQ#JT9TY|;`y0wEvqV56u`!*lH_h4mtng`Y+3Uh1^S|uaSPm95-@UGI zteiDjF;U_lP+^$YmX+j=&^0xOB+VtNzi%qfUJ-?&weWkXmUpD+4N0FTEIm`O=H%goJx;^SHSKM8Vw92t+9nsJtGR`0Ed(&3=&iNe#Z$FA*6 z#d21LdqJFLorEq&v;qY?!M`z%UID;33ojsI^55Z~e>i}|1W!h%{}=F_C3=XRxpTzM z+&N-r?i{f*caGRez#hOGv6JgOa&eaAA@;k`7;@({QYCiMAL~T;6dj43wHOl<_&rff zNDNL*hd_BwI>dr~8pbk(S))N71#n?t!+jaRJYz|n_+VsyZ*5%!E|iF;kQp2R_J6x$ z#54bcT0rW>iC<z~f@4g?#W7sGIzndzKI)R16Rq(E$Je zsd*q}1(_s*DkkuIwghiJbW#_IL={=XAaP_RAL!$G3ZDP80IXJ!E2b}mSg=_k_}-_P zfP{*`gAB63>g0zQECNu6C>xML#gOwOek$NuQUZA|@+IJa_%r0QxxkQ)gAxFkK0gCu zC)|osKo;kok+;eKxtxde;cxQ~|(@Y3U!b4M^FO0@uG~`6_|l{<1%0kvn83rLTX> zI-GF+hCRq9A~`S*{D+ps6WPCgPe@rL2ky)ML)PFq*ip&*=MEeEod5Kfcmw!kLVq`X zL+V0uV8;rO`U(hzDnR6&5`k6VH%QF~KnyvU?jNzW{{wuTgnN;24c_I!)`lZrf`q4- z2k6+Spi70NG2TVQr{sCJDKVnn`JV=>!%|FNMr04KUv?XByvmN@Je5-2iA@xd-;-C{ zXZm@3UhO$GlWKmu`|9im3@L_<;@LP)gG>88omV5vuEu3LC=T(?8EBCNU+`w*=s`(9 z4Gpp6WPQTjJU7jH<=%|n_I|I~wiLi&Vo3>EyQr!iE>wbKi04G%EXvE`4WF;TcO*^O zB4jxdAl6@{2g6D$d*AEcq`g6GOR-Fcn6_JSp#?W^b)e*H?vh&Hy(QML9+~`oL`rMc zPu(gQo5Dh{otU^Fh>)>~Zt7u=PIss~kE zq48jNm~t-rPyNZHD~#{caul@PwW69P&>p|z3v8A}4ZBtE7?fCiQ{d{}B;1EfH>ju2 zGHTknO{B9NN#0b_J*6v!Rta7BMHy~4`tnsym&R2K(V};V-YlEPu`#dg-;TI)-bqlx zc+9r_#(6dWJ(`L5%vz9ZA}sQW$<^dLE)FDf9zIvkee+JEnZU@fVIh zji(m3e4m-Fr|-3`h6zAiQUfkT$`n?PX4GqYiurjMI2RD{gJW0I^@|EKEYPB%brb?; zW}HyQ^}LBsZq!)3>fw&Evr7$V9`hAqU1^xpIZ3tCGc<^=>xskylH-tGzM?A=8YSan zo!7~BQLM?Y)0t!Vdhz$5?+O<6#a2!u6#lh7XdP{v*SAw}FekSpifk~oF=sjON`Kfs zdrP&+S3;e1?bpOte{`LVg&CSF?)|qVZ65u4o8ID{&pW&3m3hcmo&VW?wDzB%9G9JYLnX*?>C+&%zD~qOr^hoOMUs(mO-kUL+ zp=P08w?`*&((`rHt>$aYROK->I9fB!z51izYii}U(Hz9rq$f`#?IEl9k*Zv;{gh1c zrm*TRsn{X&uQ{v<7LxK8X?(UtIlq$lQ8L^fCL%S;UuuklJ>m*r9QOgY0t_D=8BeZE zZFRBS2beGFf3%1l?JXDaUCQqlC=bPKZ44jR7#Dx%X}Xx>c)k1}^wrp_n*IS*L)>qC z{)Y`|c$oRb1Y&)TMsf<3orEubqEWmE$^C8p-}Rnaf3n{RoBy=_u*D!g2MWmPk0)ld z0z;C{Eb~cCAktQ!43olgG*JEtBIu``c|*qKK}?93w~cIFWg`|S~d)$5@r z;DS$x4<;Xh|AEbt5h=q(4Zsc!YXP$aG9qzct42TuA`?SmA$*YaflM}`X##u1V8AGB z@d8T%?r(zZK$>E36^Pk{Hv^MrUj@WZJRV{#;Ch{I1uQbCqfmnPTOeB?`S2cS3mV@C zl0C~>f%p|Czz3BRa6)C^7lJB<#@NnxYI>fPXxo5;PB#1ix# z@GUtlfUN2P>Tk3ng?B)J#BO)Dig6gQ?wztuh?Y|#5y?3?C5DiM(BN+kcy9r5=af)J z5=Ey(Fp~IiN;Dw}rlH?wz9ET=0!SzYo+>Z`DC1L>6Ou?gB?^$l&?&KuB$S7LYhZW> zAjrS+YmwTF-hnTo?*%~PjRgAt4mAGH$QhV)@hF&;98FL_9OkbA?PCpZXf2D81#XvD zRPz>Azo@ld>7Z4x>>hY*GbKRDUw|7EeEY6t!eh&iE!Ew_a;oSVNNy#*uj!|#@d;ObN!(8NgH4QyE^+8UXZc#( z^)2qGA9&{-Rnm()g&K-{=^e#snAKcVE*H_+&hEe^uO%nWfQbcull#2@2!gyl9P8G?y^udzdkdcWX9zjJEHHBwF5s$%D$OML`!Z$)s>r(QwJWy11ctCE;Y!|lTlGbJ6z%PC zcL+b#G6%y+a0cz8&(P$O=1hwmijeGWiCKu+g)fv`sK9Zci6uEJ=3P1d9vS=0WpxpopS`iE;gHg_)_W1vg&ceV``COrLI zZ+v53!-j&@C&9e=K&StfL+NZsZ}DdKqK>OwS>p7AU9QTv{#if7 zkIPXFT8LZ-f<+V%WpoWK)*RoaDxA7`#5$uS)W|O%b#s>2$-L5t_8~Ofw?40@(!_?S zz3Rp(*7cz_sKiaeMJZ{6tak!a%8cnp4C+WU|1H^)W{F?0&J#1m{_?29gXZ&Yo(H~(ws6x8x{UfJJ5B+TWGXAKo7H60SAIs|QS-rA=GyxzLYi<6jgoWo%4=%Z z8$0PKKi`^4bXreu<+2zwpii8?C$&jwgn996t#|Xi$ITZrqve0P7(JHGZuUh-jWj;idavh~@6`N5R zew!Y1UH*BevXa9|NzkwE+?sK8{uM?j5AXX^`kx+-bA@fCbGN@K$k&_k#$DbWqzO*m zY?d}8+}sT(2@ZJa&?lX?=_QC(X^^!rO0=QmBF*U5j2};og>Ytv#u&q67u^L8q~=n$ zXB)M)pv7%>rzc;g-2EIe6{ph}Ugtlh+{@`}e=U@t=aD&_)NZW9w-XcZug$7C(6$??EYzR0M)=(I+U zz3FRTh0E=)ypSe}U z1x}%wxWH*9u(*H_%s2&6!uiMe1n{jM5STbgP1&6SNZ}hY1Gjk$^mfXchMZ1ZAw;tP zJ6X&C+~D*UFl9DyL4L_&bWE6X791!*?rFXQIl6&!paydgxi>rox~Buu2{uAz@PaT9 z2poxk5R=S2;GRcjshlYNG7pqmJ|-tk3L{m3%NHOk7#Em#c#93huS^#qN071s;F~&3 zu>?So{`46Ddbb45K{Ej2oVIj0@dzXVgB`XO0LB&&+sI>mi~l`_-1xW01W4L^0FvU%|CP){ZaiIq@Uc!%3lNz` z?xarsSA^mtQrX`~1xV^387J+){&ai*Ml@i+m)?Vl9Rau(xwieiMqNQ3K>kDjbwC<0 zV9F0C2fSCnm+68v*=Z&@uc47KGAbk>D#Qa?VjiKB!ciX}vVXCK`FVf~0?F?A|7J@A zI7K1;w{`)bT@dDX#V3U~i3mymR?jak0MyGzW0ArrAOHRIKiKI45GncJ>iI>GZx<|z zLkdg%Psjhu9tX$&^>+M%f;@bnD~bhpJMWJ`|6gx^${&s;1blvonC$Q8iz6RzDT0X{ zXC(kvs$#1BuP&bd6M4ZLE8vEdE<%Kb&d(`f3{WNAYh?U zBas;>dtMFwYrvdBqo$r-^EL9_D(aq6pIQeS%%W;#eOvRczNu&d`W;&0n|wS=Jp)>i zSPmIwh-!jvEHFlK?{G%y75_^6`L@u0mUCRGo6ou+7u_ADPKFhAAJ>tBL!zlSGg7Jk z`aPlDPiq!tCOj4o5%bu){Y^&2J%OdZTQvFwU5Cz*6Z?%aM6nInlX*V)K6xaGwCr`o z&x?2;Cx)E6hfZ!l(z6vX}oq+TJ@5%QyNTw>OXR*n5wR=keI9kd>9}y;s>n zgeRMjnOjCiMp@ZJ2^q;sl4K->C}d~N<+>eo%bv~0TFi)Bn{l`H<}33_$?UQ<%F3q}=g!4x9xSWPSiMP13=zy8emr#F zhQE8VR>a`&_Er)#?g4(-*l~K{`)v4KxZy_il#vSBVsT|t7CuVK^$=5js#Qr!V)$Be z+fs-1=GcC)HGchM`~EzGQ``0Grgx*VLot@k_C?lJIDMmq)|Iy}4F?B}DY-ph>Hmgx zf0(ByP+e(`ZSH)SLtU`AfOZGQl8LuyWHT>r?@7|^JMRZG4Ii$DzmP$M9qk5d+_)u8 zpHb`DguZb@aHF+yGGU88LFMhekHNJfsbBOSQwA}aZ+bi)G0K*UVlaK-w)WuT$^+%d zi{nSq{NKA~q$FU^je-xsPmoarQ6#ok)GIrT-827(}D)`*?3RG>dE`5|k3AC6K*JFN_?SlNk}6b8l5( zx-(<@cz|8V$9*Vrk%*a)2@gAeZ}rpA>MdF4^WnNqlBVqq@&3lbWg-@r>9r-Nn%Y8n z`J%>I!$@eNzCJ>IXgAapNyg23p zd6MSOjr`aGyvaoO^5$AJs(WRL_jG=6x2Lm@_$5~_%FEg zTcm-E8&FC%J~bbxrTDk$U;6K{;*!Dua8Q$+ZR>BR0@xP2Gd>j#kWwH=J|7SR-BSN+ zTc=&Pl9Ix}XL2e+cqRUm@Z#^gf$%M`ke)L+)#=_&jc@-e~ z?7yQSienrq97$;~D1{Qd(yJyQs|MKeX)~4tICU>vY6voBgwda}N&emoO6+uEhdb`s zUjDYG{AV;uR2azd(<6{rC!>bKPQa}tB!xlJQ&kuos$2&+cjPKQ99!x)n)n8WQT_R1 zM3G2g1T+#0q`g1*rTo>4ltzKM6m5VoB(QPzJE|dsQ(;ShDJj*bM$L^=fbho&A;E%x z@(WT(fO;!q~vLVoG~(8XyTOqWJ!Tb_?s*|n5pfXEd!6oxxMTS7prpw!AB~kb$jE#37>BP@iQznxTfBRH2p*9y4TgxuT*Rqp z!@5HN$HWXL;Jb{*Fhc&6GqtkTc8+||_f)HtPWyNqo$9mixV>kQRXZ#?-(G)R|L z`6faAtG-)$btGK$tFAL0dvVIOVyE)EB!qfgnXZ`pHu)u-sG1MO-=r#DY!8c7J+J&W z(@Mc*0oztdBiKR|nO-Pxun6;ty@v>*4Xo3CRV}=)NAlPi4ZSagb*BrNOo(#Q5%DL! z3z#1cs+xTtXl9IUyf1h~X~>Zlj;fmZYPzDX=A!DJiTw!k)xhmRL`1?KHqGkGPV3|- zm`Si2%}Hb%ff|js63x;}4&9fOQnfg|4#3)@)y`&2*xPBd3TmcO3jef-lI(6E;(+Y@pe?@zOB_4{cxUu z$-UoOh;%GP%(4L1WNpFgWPS1ULuNmCn=LVprN zPAW+qe0Tw^KNf@P?n7P_{L1XIG}ZIik2PyDdAK^TkEov zNKfVi!yoiqlmXtt9*GUb@0J=94P{$U6>p`I9*4%nE3tk&c)rgm%qhNLHg#McO6e1l zl|2=wF_uoB7StV$hz?#oRWIbqrJSidQfx$g>QrMFF`9wFnyhoH zj~6cTzeOLbnXhfq;@J5eByL3FQ69Rne!#l3o!IC;~-%2DYx z>^iMRSA#F!RQw;yj#}hn@93!`jaS@Li|e`ezC*Na}I=%{BrbP`%%cIO5V`!jLyR zSh4qa8?HEz3ewOgFVOgN|MzT=k_vpUyw)g=o}6;lz;foI6OdZ6qb~R*cCx;X`gj6D$0M{OuuEgn1mSgn2U%SDgs}9s=qtM zrNI-Q-edOnJ#bM#dPtw`7-Bj4y&Dub2Jv58wD@0*;203Urso{}vqe0VI6@d?Sw2%# zCx5r%qEHgx5kEZ!>oFXYQNjP(2TBx7g4o@_>&OHz?myiK6qt>C3!YB$EaUfo&Jafw zB_;ezf@%klk^Rb;eR{aqqM|4$X@iUg!n^TjJ3<;lSWr?!^5JCvWY`AdsR61xUyV-# zl?0NJ;hxzXPTvtVmJ|YdZ9+~>B_{bF1Hst<9B>xWch`XgzhO#7`U@xg^^iz#G5}8j zgajpk-`C$S3JIciW^uraYPkW<@^tUe>^3D1Q~*E-`2V8Yfvfd@UnT?Q4M^z;2kA%v z+2JC-0D976@>lfEqx@Sg&y20iw%FtB$A#Xs)MDYNV@2>7i|Sb!Sfxs-oIIKT&nM( z1}A-2k8QVoJ?jqSTG?0_IfSJy5H3g)Gq5F5VDI7E%v33eIHt>4IE*+&`uTtQ%#PE+ zG8anleCb)aG?fQ6wcmY-Xyam=fgkccf*a=vC8?n~u3p%@)@A$|ABqum_484vWw=Nyl3(I+qYJQyMiM7Us>zPeu5gN(+)dTua8fBj3Yw^+S+|k2CG% zQ34eVdO9bqyIPpIFwP;jrAXp|1Z22}e*;FdduCTfp`Sk9W@(^8(XFRT@2?y40S#=%O| zd6$1#uS=bke7?lAB&g@smDu1pzw*^WGo5uO5?l357ESU7qMVM)D6CO@ED4|6W~B;4 z^zZ?(!0WGaNSpnI$Mv$sMf$Pcj|{deqdzA}Zm6|qZizphDQS9w*OIsrN-%YiiNJx{ ziMOX9BIh}s-;h{?R!y2fkR!=Aa7-}JkK>DT1mAaw1Z*dlv)L7{T+>l2S* z#8;lIDBWqz6g@ASmCSlUO}U!=L7l`87A?+~ls|^* zA!Y8#^wu3rcvx?lqRi|0#S&Tn_pCabZftaFvYjG zG_i^pG%Rqw%}H2k4}C&Z?9IaQQGGwsTLJb}LvynB`pg>65K+U$kng(^W8!pZBKMOg zMnPOwHM8P&v|tZae5 z!#yMZA1kXJhacYUJ9Q4U2>7DP?AsI942=s70vw(xo5`B-baa*0KaqTJaXBVYV8Cfm zZM!U~*67`{hEa2&5hW(Gd)nW-(FVqnLIrg*ebXm}{JL_cdGE4MBtrtLde3JiJ#YD1 zHgv6yH8=R`W%vgNdhv~&?#BDIZk2=;$MTFH>RH$#c`^@=9=j4)vhZHVuE0>pnre%D zFI_1wgfTxQ``YowM|PR?xcfvVIQzNPeMjFWucc{Ait2_LV9`DmYjM~WNr}`%-AGl6 zz&Et(9{2`%&Ulnd6Iz&#O9*)mp_izpNHVTCJ~DG)=|pVH2z=lhz0b(Y{?e)X>ESXf zVLHi=u%CAAw|;h1MepOw^vNmx!gPH^K<1GX!6>QD(y07t0)Y_S|HG0o1Gw(gN)I?O z(txt}&maHrSEYZ)@<{)V<&pj!%Om|izMQjcnP=1giRY0%)8((QK?Ej@>}QoV=)}Z@ zPcw%C)FTWcuEj-x77gZ$NkX<0_^ePn2}~SBDkwP)p>NH=G4R zM3Uh_tiEI-(EDwGaxL{RRjC2U1RG&Pf=x-CPDM%xgSeGXoG|vY7-O*XNE=KuE!m6! z22#5r0mcHp6fpq&0Kc_}j13wlgCQZzZE{T}aCYFikZhvBk|73yP{gH#r9o7aC@{K! zFdP5hV`Z6PSKy*3gfIdyjgeyD;X$;ysFk7nAmp2j z>g=lEfRZ1&_WMdP2A>~l!i1e7GfY|l!&$BIXrS$14JmX~{LmT<1_wFIAqqlVen^=7 z*Tv=23-^C63ifgMp_a$MX9Mo`huuS5QUaXKDekNQV=4dc1uwUzmKxZ~r2mun?LRC1 zGZwO?fWhH^FN6d?$6vNB>9bsc{|2!CS?SkcUhw~_kOD^e_ga8Z0`!@6Lp&--l?(=> zJu^xDbw3mm3B5*BP(vY@AoeUc4EMbKzs)(n%{IS|LiOJS+J8qi{X3HB?;P?{pajdA zP4HhOSbkZ7j#&XI?37@O4K%M)>dQGah;urtwKB?sE&f8bnEK||REcM7${9BLpm9+q93z(>HZoj1fd|y-SL!Z)`n$v_QsDuHiQ~Q zf;TxQJpl_J$UV!E2?*PsG=fsE@Sl^0(!qWAx`r509!rWqUDpx89J9#b!}5%F--C~_sKPOR(v(R9AH7Cgm`Lrpn65}!j78*A0r0u#zI zMzpmn{AA7Mmufd0T^DtgOuw#H)t|#C53JZJ$H3uGueZi+j}6ZiOw>U+)*|~LR%FTM zLI3Mvp8)!-jBiDgLzwzWVZ|+W+?%P+?$Cm@yIHH?3 zrs<{})!7u7C=#^OoTUav(fmcKDkakvInHr?1BnXn#!~Kcf2)wG7|x~MkHwXs_sv%- zz>8$mOee-sh(LMZSG%Z{qBx7x zR@O_0d~rQjK{4kojYADxH5KYs1wqQowVd_4(-MLfmGOpF`yzV-^62vN`s)v9tK{pd z?|W5-Mf+Ng)rNYjMc5?beX18670|BTD!<PT@}*=KB>bbj>l8>y!%rFs3WfZ>2dX1DHG&6-bmJox7L)LS0Co*Nqx zDAzao*&(rgVcb2Jnbrnon-P7nJK8YBH88n({n)iFe?(VBM^XljpRcjr}JlCzUoY-+7!ZovJD5sY^M(kkpGV zsD6V(Umo>HO#bb&7UYt4ZG&)b`@B0WKiuyl#@It*T}%AO@(-8Z1^oEl{e5*jruPc0 z?NN+-f^))mDY!Y^&H0@#iK9@Au$7_-1I9KGwfv zao(j(r&CO5t1GuY#8Qf1q;f?qD)DlRBwC(&v&Q{t#L6v<&;^d8rdU`p9bx0gG3ss{ z!h-u>mT%kP%JgxfSF3`|R*K|>%H@S0`|lK6Vw((hg+j($g|UxHpFHKD5EI{c-(P+| zL-yP2+C$jraPE=E*gyqO*)B6p1#bpyRr>;AGIC@gZ(~L?l{0t8CK=8Y=go>Y(alWX zX%P%X>#=b18)io{d;APf1v+)7wR5{cK^W)V?Q1J|t5Ol@_Z)duDECa2i!b)bWgLpv zC^A;(2EAg`qtsmQSva+DFPlsY2Ba+w$Zx+P&^c;ZmlwXS$Iq5{o=gL7jeC7p-FwlUsGWEqLZ+GHdi7@RwHK^n4^TAHU42_yLdfVZoz?h?|h-j(cVC z=c(+Y^8B>}E~~@+^(!aCvO8=9UR~&)( zO#=Fzo>%%;dR_oz&zQQWkW?C~B!V$R}1HOqT84J|T45Nj}-~cq-xdDh!HeBHFP~b78K#@ODF$rN&fNfAn;PV6ps*S<; z1Vu7^s3w3~8?vIN#)l)2!YBavMUkQaz>Bk?5CG6a$j4ydPh~O#Xb%M6ryY+dFd>lu zx1Svn;>@svr1~Z@$l>lBeKp*=b#4CwBbx?Y9 zz*wO{R+uQ|e<(-NXXNi$3K(!6Nkt_(^G? z1Qrm{2g(Uu`8P`ZH!KA20N}lUcKb)@`~MCkLhJqDL~CeBXdq<;%5&)HOijgU8V%+)D4eE-mxSWaEpQ{J#5Ezr*!? z#YZ@|O!!SjpXhQqUNp}@yOhM0NZcDp&EDGIu**SejMn)m;AI`pAH(N=`fqqUr&01u zzdp)&V5U8J6~&$~o{iKbiOApeArT$y4>oZ z#P&3c_rXLOE{;=E*myrxuk!X=*~6*OPJeUJbUT$SUG=>7gN|M`^yH2b22KH`pR0}( ztp8_*aa4eU4?}QQ3`1feKAqgqb%*N^mFIL4%lM|@bP@NRyw3XSQ26}mX}4jM(+L#)+vy99lH-_6cB6NiomGqP?Vl8xqEGTsYo{&~fL2E%^k zc)dCEN6AcLfs_uPyS-E%lFLqP2aP=xxPY~Q>x7HC4a^bNFWH#Q`#&bBcUp{f|jPg5&ECl2oqh;qlztv|`l5q4he4Fh< zJwCcK&U^#oxxA>{S6BNTJNo@r#d#;j@mJ5MeKIx<3)oj=4v!D_DqJydFOn=X7j#`t zNgrA{f{ed*C+6KSmLFOR-)YU4@^7KYu9A#5MQmSwo49hGVeyq+%6NCh3jfmbs#~iU z;Y(7+_2Jd^Bx3Z4%qJgq`H2~!+fRd4FYq!FJWL45y=8FVJ}Zueg*VU{#%pPT(_}uu z+|>HE1*Xzc*EUdoUY7c*oK%@{UzWFh+(m49r4FKXRw^1g&hUvJ)C2CGouY$3^lve% znD9vJ&AF4uTVIZJ4Z*O(H(POVbMV8kzj;8ph~Z4key1N3P1`*BiqrR&RJW>a=coRj zl{dK&wnnYKuN(K#4!!~NTZuLn(o)&mvaD-^i(L;Tn-wwbW9wx;Sl?1;RYEcw^VzEh zH1TyuU90oiG%;Rxe1%!+cAGb-I>w@eF65^p5ftN&{8`*codemcj;eS@uj+ak3nLY03iC@1fL7*eWhFf!i!6qbV_Wk1!D=T=t zJ@J_IPNP_>z-l~OeU?Q1&-BL zP7HZ#1F@~A4Y)e9CNK(UgNX!GaRqeB0!LgPEOEpsr zfEoqt7zkilIKvNs&nyN6s3=sB1H>AeEKCCGV+Tg;7I|fh0WqAPvi3 zw3^dVf0|lQ0004FngtMU!@#&f@mDue0%98=poYvd$jBH@J#YV60R!Scx0KQJe6EZl@-^FGBvy`YP7!0wSP{4T*e}@76cQC*dkoP_X928c7yQrcIW(cj~i=%;SUxJ55Oy3=}2FR@D9u~k0;pY7G3?Df(=-y?p4~o6(DqKgC zSZ<4m4B;#DXq*V@;-zn~PU+^rs(SO@DV$H}5UR9z^NOAOz1JruD@!V|h{Zhejwyz% zy40))kto~-uiQdS0%v_z{c9<;aK=ae6Bw=$4UdEjh~N18Dy9=@71b5jAhyGK^eBu+ z@byLo)GVK+wwEI^QOrS>r8Pd*mO^!Chng}Jz0+lif%oe*IO1L(t~Tu)Ga%vvKoMV( z5`(p}u%2k2A~A=kD|v}rtX|V6dQUB4Jnm0^t6mDUj1kxkd}6UT$#HJeH346lY(>7! z^Adc1M9yEO%%gOqP}uH>W%beb^Y1+C_P9(hmUERC*P5R^zdA7OqkANL6+fczYvp)*dOwW zydNrFOJc`>!)vZ)c_ z9sJu{tdOBFW*liDi5Wyhi&Z*1OceWuHjaF?n<>FFl1S=YoO_pC{jF~SR#Fx;F^+T- zFEd`BEs+Hdh|XL^d!YFsuACE z|Eg{B)vXU!)KpbvevYE~SbR_WNZ=6dAN(o2(Qo-f^$^bD){{?bFyZ(RD?K>Zo(@wEi=9&}*s z)1*zl%1>7%`ac)8i`-coVUH@taPQDsT=LtGoUq@@t|G{;;MN}Vg~!ZCz1`iie6UU z_!&Aaw~cO8|0bkm+Ew>1<8Ez|`Rl0_9=ac~^2g~IrnhQe8Gn+9Qerre_}I?GCplY9 z)hH|D9<`!f$~;7%7DH)-Q9tJG9O+&(74G@{gKw$y`hbC~(Thi6FN5Ou=f6ei_BzVx zmQ2_g=@^?@$zhH;Sp{zM#|uma=N%~?9_Kyo55AzynkiCFntL=a66)!raNE;fq(1Xq z-wBh@B{m)C=JS__WP9-=`dE$1iAf?W@ z2ojE!Az-r5jUeEsVGsqfcm5)b0b)nOI3RWv7(FC$my8@@76qbC?37h<`sIf*KrgNs zps7eg$a28l^t=nQm7R{k6_o@k`I#tzNJL>AIHKYx;a|+#7Eze?pQ7RqE$ZL;)&HT{ z{SQ&$EDv;M^T%*v2sJ^(4?zkr7IglHt4?nrgADWfywI4I_k|DMXQZ!i7rLh|CZm^k zdGFP&k8vj-Y~M<`+4=HYM^l(xs+k~a?jg@5N=|9q;+GCcshh3jU+lzxUi;xACv~$} z95Y{sU#G`9)-H#kH||q3!@|oOmpr1!Av}c>Emi#=D?R@B!arp9@5F(?5k||^H3&ZH6rqWra5weGd`7{ zvut3}8?z?+r_?45hY0uy4U^9T;qRRaJ!5L0D`;)KhXp`I&Fv zzI>PZx$g1IH`O_=2XDqJ=;*krqNP3aEb@~)D`8CvRY{)=7)Lc(BZ$X7X))$--?H<6 z=gKwU<)dJ&%`p^hy*6_gWLD@QEv9LpYuEa9yj)v`Up&j^l6CwXB(~S6kwNwRPH5xh zO82&ctMAR`beRqNC+my`HdvM<6wcmypQ!W&8C=Qsl0K`~eHxDG(q%2|qnv$6sm_|gnBS>B zAegithtxCJv?wo*nYGn19#iQ3*0m@etY<(L!$CrQ$-qyt&Jk~4_}ce(k0~Br)d@M) zKEj+I_xa4X+#B5x6J?ws$JRMCuW{!<5 z#n^eJ-129w*tOR}SL^V$AI|8EcTYK&UrD?Y&&r~x%h}?0sQ~^yD{iRg_R3Me+dj(7 zEHYby{lS>R1JiaFJXzJe#~Ck8LQk%;930IYwfuaBBSEDWCM1_JrDr9(Tp>+AKF};v zg^Okw&v6fw4;0IYo*7}pJ^yMIo$|#6(d?ryke^G}{b{4-cRw;Z zvjw)WVw&eG%;N<&m~{0ot#)0fxn>|pj&2wVDkXUmt;|-s2+19eNh>nq&$eNx)PPuJQZtW@G z4UE>#bC#40eep@h=JYWt2+)Q8Hou;uf%2$IPs(HFuIO;>`oawoOnhFqr?RT<@A6r; zZ+3a(4ohmF)tiQpZ}H5D9S>Y14#}m)hG)wyq_#*#-Wkg}y{;IwB033s+^8d&PGYXS z`%UQ11#z1FNrBl34MWMWyOmkb>Zm0Bo%!~7Ju{6pmcC{YMvndeS9xJdeX;GI4cZ8$LPy znXGCP(-&mfc6Xc>Ee4PJDDbiMQX7{_v7?{!k%E=ST%bZ&H{0mVEAT3Rs_ML!3qR@R zo0M8f+BZVzk8wxu&v*aQzfwdnf9YRvUB84wLXNdnH#;Rrl#=n)DAJIz9Q)*_AsroV-|WE3=~mw)Il;-aUd(v%HA{xgt; zQB(cZ3s?cb44gtr#eHh#{QJ&-4n|3dL$7u5si9Y3A@aZaK%5;t6%FK=Om^lw{?9%{ zMa9nyh#&_Cj2iyuWKqCqK!W%@BcQ|G5(VPtG#S(Xk0K85B5+@yM)HA5C}-K4&Y?9u zC#A^SKpdY7@FjoB?p+Boub-ru=)xr`Qps<)d(q|4M8hKu{apEqkCv%7XDe-dhE&e3 zBuXyZDo5GJoXiD4tuHIH&%=ts=`2RODDSGLxO5Px-y5Kbs0$#zuVoa0#(P{EQ73tc z8>8z|U+R)J2S4YB+KVV{v4+0u_t6%lBEugOd$itsDtf7QA?Ey)u2Djp_D9daV{w(n zS<`T5f^<4zrWfU&V)s5D>=JTMWqm3A*l@w>HbHfBo35~4j%5(mJDyiq$Sce;*a3`% z+9Bb_>XVjHz$o}8w>S>bpmb8=xKNx_gy;Fd{>huzazrGG*>^f?qz=>#7O+MeHE`P# z3tXc6CZhQTTdk5zpLHXw)cMU;foCUXG32qt;@K+ru1P*zF>(N28&EVGq&su6fucrORH_7;TelA%00mci#5yBI1%`^~2HI1-m6l-XqMB zPfEqQ#9ytB@s()nKj6vk?tF%>=;sP0^wYRBzsn?e>8pb~I&odN_$~1@$})|DiEq_( z2KqK;r9?5%;80+ZT5m^|ZT1sm@tq4MUU#11y%4pnZt1VS8MIhEg*(AYS~&1VCc!yi zX+z?gIL+n&ba>s@dQ7Adt*zcr#{Q^aom9yPJ|Xw?XCO4D=6NY*kG;9Bth+Gi;jlMz z_kvT`7joUWz~KGEPs+wiisusOU;M0nR!#!BsD+rd4vqBmy!v>>QA(}LQN(pT>C1;W z4~lX3yQa=6ZEgLxw-gR_7dJW1^)D)xHmTQ~vv_#lT|-MEfX?focA754^jF&3zW_!>PUWNt!uAr>#qrV zUF9@I(HOWk@G};9h<_&=Z9>JJ6JXVs^l4apF#g_3_5eK$H9ga$(2ukuRCok$SYY9m zn9RMIg|U4921$1i*MSE5hu7wHl{XUEt)>*!=NFmob3~3shB0zh7lh6H9Ga@{*TJS% zO=ML<Vl7$XS~OU%QAX@fMfQ|e!V#d52NCjl*5d-SZu$gcEwe+FOQ`HrLuL*8;sC>vwQ$ZcGxNV7EQfg}gU` zf$m2&Cg86Fb0*Dyi>ClzBPd%6++$~!O%RV5)&vMxjdH+UcvjNvM*y)5Jup$8lHq_; zQ5={oQBo4ZfIbI^eBw}kHV!jn6Hi8Pnm&pGGE(?OD+8;Z#Z^KAX=G=CdZ6LYAU6r1 zzM+13&SEYC3Hw(s0(cN1Okh5QMvx%D{;$bm;-WzJQ?v(G+N1wYK4k@pLKK%l0<4Qb zqon+6G715zgqxC5L%u5ixv!{{G?4qYM1b0MP98Wb|Ko=Hf2%Y~o^rL$+;CV3v9oZ1 z)8w8gm;e-^2SX9@aAUrYkZ)f#ZHL;yHD)@rr3d3h^Oi55MM5V&9C~9q#i2BE6vI=T zrWg)4J##8%53DH@GDrH1$CoMGG`b#z@A~;*d$k-uy$8DqLLyOWC!HAkMT! zV1enKMaz)!<8o>Jx`RP`BQZ4-M*N3RgK&QNoa?BM!C;(6ShMGbjGX)jX=+sbPdZ;^ z+nxbMPqOpcb~=czPtsA+gB0)l`63AHWe>jXqnG_{$32fT?`GR4Bx1kmH=%tw$38is zbxbvDx;O6A??TbGcQwMC;l0**$S{rB(VK58Q|0Smj}O5!e$h;_r8TBriZ$kRR>#a^BexCY-#EIY_05d#E8ys20767%4s68R>W);-x+*-Ft%oNkHX8nWPx* zZZ04B=sfmqOR_s$!}k8kf}`8}zAcAu>?U%>(0A4CC;Uk&7vaNgTRIj5v~ZlC8sll+FXU_>>}=fqI@ceC7Mu`VO4AakJfy=nK` zj%iTTNjhy{nljt*{ir-fTFTk(c!nG6Gxclm=FGHvr7uDc_mf3fgUcNmR1cZdl32hjT;CGV` zgbRzYHF?i+N?5Lm>sT>`3r8-!T+SFSt$3AZ<60c1%Gy44#iUYzJQaU))XR&4yHWDN zbq0RQD@@K*Dso(=v6&WE9WIFaeO^#B>zP<7Exs0@sma*Ptm0@T#@n^;rH-V3o$aN7 zP9!1I<>yJUy-;pXs!ab7J{amFJ@8WM^HIX}%IBnUh_1e5j5|LNaP}rddx%923E#T;$g!2CG zIj55R0X80^f$J@H@3!jgjw=OcDm0%@Y@p|6ZaYofc1j*DRjIR#m=hdv`#Mp0k6p00 ze8Cr^;|{OSt*Z+r*A0Emv3DX~)6q+izd#2JysUntS`%h`juUf>c~2F?>c>?_L{}#FSM8E%GzG!Ei>XkvDLY7nhv(9}i)7EPy>i$?=zxZI$v!kOf_Fm-7rKFjNs`I0E`$t4! zwQ1xm#_?`;4Fu7}(w0JP!&y!9J?=b%O85ARWGs1KW-?mZ z345{+UbuGETimOw&x^^2rsU;P9v+pZ!#vL)t$#_yEjq{-^8}_I-)HN{I#gp~Bi}9) z>l-_4!~EPQc@BPgKcS>yh4)cI7bMSNgia`8M1EhcKf&}$;(lytol}WFl12c>1`gWOL^x+$Y`T!$$FCod4v?(DS?iou-mphxH=i-F%{3z5r}Fj* zy|I3tL_3jM__7IOQd2PIOMvVbY1a9=rR_)@ST_bf43kWOa{vvIR0%A z`bXf@-!U(&z=fDEPY$^mUeC<{2$vHG6>{ zKR9$%abW1o^WF;k3P;WBaTL@GBf-HKbwuNXccfvJ)5tNtqOOVDgjhP&!1{!KDG`Q+ z<4h%*E~g7xhob4~0*oi)Yf_mnPR@s;N?y#C<~BsW=AOIwVw0me?ECUJiV>OQE9{RP z^GGvEy`yKsE0f-AUqlmpA|S3Vrn4I;_NJw-A#I}&tD!#s&hbmmDeO0J$?)a!<(~#6N7G zK13`3kZG}r@ovjUjf|q|d(0S)3+GwoIl2X&SgPV`HTz-CWQ69U2gd7sat{K}v!+eh zi>G473duUM6nBTrm+(ChT~*wBZIR8FEr{8fb~##k_-7p3wXU!vuFvY{R&UGqzjNJ_ z=}`+@iEdu?Gb>20f&!@VCZ3%S8J@=zf?Z)5E=TS@5l-DIh;qp){@kh}aq|P&z4?&} zt=fA0No-#?CE|EOUUaV#@wX1q(wK@hqxd_oA_5C=Ir4QHzm6kw?$ke`7<0xj!#%*R zkGfD&U~WU!-Qp7d`8dG*L(u(a&PIDh$vTAs=fc?ro^2?u&{4*2jAYulr6+|w!NG96 z$UxTithP5$hG_lu+)B~|E{d~nn>MJj-$qCoH&g=*av%G!D{=FR+e5^xLE`RsiTNaYXzL&qhNxEo>V=C*F zVr_3KWBqu_%4J0j9qXaR@8%HVmDl;?0tbn1p{7p3c*nl>K75Gp`%DPKUCw9z8;&o& znO_&c#~;VRHS!J4iuSH>gVt$siFiqD`FEBL?rcqxYEG}6RO?Gijq|*!&Z_-9pYXzl zU}YQgn|o#UAzpMQ*UQlIbFnuH*u)Ivi8e|r_vg2HQ8kq01?ZnTn6~K=OrzA7c?PSG;qPE%RhUGixNnZ8*E}v4iMh5BR_d!L9&B6HhZcSGFj)>YwyZzS ztKRG2m*|KZyTpV(>g=s@R>~b(fO}9&rE5z-_tzbA*R?+QOgbO*tMbN#**7lDkUsb* z$niq;{9Gg1(|-GhwffkcxXr0p8vY--^Xa=ISJ-iHKM#Uj76`7i-+id5h?%1Q=_BEe zKxbx$HqO@vid!Ly?jlmhV$T?8VtO!lBgNxs;#*yI3~=AIp|vP5yHv}zLw5*PJ#}-# z`Ecg4j7KF?XK*pM;7Y3z?Gh7y6pOTa^nOA9twH14aZx3>dX~Gqs;(=?4sG17S9nG^ z_NXUq=Z0G8YnVJ)+{9Pnt&v;y)LaV_o6&(eDl^NL-xCxieAmXN;s%xR$Tp@~u6kZb zXr!}WOw;7$MK9dOnL#d zazGPY{I8^eXUmMA4t}BoBRN%V{}gTrK#K;;|0{;*pR50!KlDtvK{95*Jj}v{Nq`NC zi$UH3B>ZSIbI)vbcTlTboWy33;Dg*vX4!h4d-O+-O$EfvlXS!;7 zMtkfDi0(y>G~_un{~}PfwT)v&*+2RjKI|sz@UisgOm^Jy_oM1JaspHLV!c)e$DKVz z#^1K84;?GqJTH6mpp=}2UEO!}W?gY_O;XX0{E{Y`t7gZEc+KC(*H2o0SNgt1(MbAi zpYA5FR_S@CJPDbT%rLVnWn9Hd;VFd!d{2+Up5acNe6hXQuyHJ8T&W0MNN{Pk3Y4_b z(PyEklrJE>VsU*1vz$7$>_fqI_2m-fNJQk52~Ca?ftb|@LBYy^3LeLV=&Rj#j7rG2 zi_86}&^?QY#QUr-#7rjEilyfbt4)e*GYUdJH@CmsJz!kCWmlxqwB>!dC@C`i^MwvL z@{)}R0TsK1T$18b6Tfy*El*iN?PrW80@#8VHQuC>r{)sJdzyAnhBb282OEEo{z}h zQD0#Le%WjyxxhfObJ+%?Jb7V8l~)ZvB1`=5x&9=L7i+IJb-YRT5IwrULmhjr#d}k_ zrN8|~o^j>LP{ykd-s3sGMAbeePkmjUWz~E(LR08nE7SbJ-bz=mKalM|@5uC`ewrpK zrQ|UErJMWDhN(M}t@&AxVpCQ^bEK5XnaDhZ2YY*;Y02*LrQ&MrMf0VXKd8n1rA>V$~ugO z(;(ZF$8(fR`d3S=d#}u^kyJl)!bImhHWWD+FtR}i+Z}$!p{$BYSxk_wekwyZ@ErP(Zjrgx+=Qb{g%*OiCy)fMV&yFQ6Nuv=bYCe>uZ+kAWg-^j2z zH8F^;BL8SX`&@ zs0G)%*hE>hOFEHb-aC?*LfvHUjn1+G>H-youk#;dQ*Cust3>C`E{&p7P|@`LP{Hbb zINltRqa>`aG2p05kcs--{vlzPp7Ts&%)CBXnCz4onZQm&%xCeJuiB|Uzi6&qshn~Q zbJHteMDPtoH@2VRLQ|(Ci}}>GNB!! ziI0M+;h2Y9ooRQ|3?2C1t3+cvIA@K~y)2iH_C5bzEh&Fj4BY6iTr6Vh?mYI>9!q2H z^v?P0X_WD>d}?(}%;!`#bSB8n+}t!-KEn&4Mt_4kQjlIl{{gk_8_w^J#T7;En+!0$ zX(Bhh&E+iX+ofBMWQn#Z2>t`Ca=b#3+wX_I-brw_D<&*-CCQ#GPdpggOCW~D9={)( zR-rVFFEk-qp1=U~5hn^`ms?%@MP~-9af9AtvC~RlxpFdIL05nK!8$QPtxI*!nB@Se z^NlH@>D{k^{3Iq}FU(vKud0@3LN@L`?Zj6;?c8hobSBFXo!UA;60}aUd(bg)Z-})g zc-<@|EiHl5gTHcS09n2;Mi)Z|BE<@!eV8$*oOHCmnD)FDe z8W7AbJAnlCsr6r|032d)2IIHk1u6i$x15ov;6Jl{K}ibIu+jvGfeJ&zzzRuxq>r!q z|6_hw-5LM@LSIyDbWy-wr}Ge#6Y=<_>Ag$=GXE9BU&Q(IGf}cWUsG!xo@*Mv$yc=} z)0xj##MfqwHCWZF3_~`eEx;)F%#Cuu1e(FxVq+ zkUeJj*q$j(N0pxXS!+veUgL5;OSlLH6VuyNobVTs%(+ZTX$v>X!LMHmhmcde<>y<{1=Gwl~$|4L0xWqQqOYwE*J2}8m98y{EYCcntL%vL9g@T><1aDd{6@09WLv6F z#lM=^EpG9gPuOtoKvK{qhzB+cj`_7f{+MH-Gl)N}{NQ`UtWSf2(S&Deu|K_Ex z@3_VptB1mvWcy7QkK$dc3B=a^qLOv-`U9uc-rSB~yp_1^d~}m`kBrr(q?|=kxfy7W z4%1O=E7=fI!|jE+Ki@p%ak`6@$|t?h#{;#n!$(toEO;#c;2B^X>a%k1%K%)jmz%yS z4ss~4aIC?bpz>C;IQ_sWaStieJ;kvt7dKfJHj3T7fXkWnnaO@X`SN6Ibvwi$Ws> z*kI}UpO>iJ8b$_K$WYy29Ay8dDg%|kg#9@TRsf+z!5Y4N6~(`YbN-)j?Qi(|d!F9~ zO9nq!pbzb6!F8ZiC-As`qQ%5P1B>C1PdoJ39R^2~?^#dR*y|QB&8a3N;xx`-u(;G_ zDV`h+exs?tWknsOY;GG&7k2lI-Dg?z43sA0y?z;o`OeE(-Y%Ay`|p(1MRgLX-g0s% zVU2xwdvG&;%x9zcC#QXu-*^Vfuo0(bqHiwMbGGHq`wE0nDncyc^5yOzk8jm(FGCcB zskO(9=9d z6~`X=MPjjM@93Bnj!)2%A|#lGTqekh9Jv^~e}??1XnH99Sc1)jw%ED_AKi<2=*6}B zCxWgma1@pFYdp*^;IE_2?Gq%w6OPVF1>7CIzK=l3WN)x%F}1Ji{k~(BytLMd@N$~r zq9fWZlN3} z=Bxwn(6EpA+Jhx4FLd*bqK~>*2pcmQ$!+|Hs?80~po4`Rzk!m?p}ZZ7n&UgMCN6C$ zK2iwoZ_vj`A>L{_tF2pZdQP`^xO{RZsqS&ao?ZS@w(urB$zUpzbUsJce#tk+22=lL zMFnB>$5cFufu4>E-n2^e(JjmJ%6D4EB-eTFfBOF6=eydIW?$R=^|@3|j1dOYns1+jc~jf^h~3)?jXH$fSybJQ=3R~Iiukn zMSYo9GR(Jrp>!~cY;$GTb%Lpr_IW{Fx-Ob;?Mhguo}KlL8QsFm;Bx6 zoAY;7hZVdYiO4sUlq*~FJF53-I1jLrWO>#M#O+!9VM(*lEj?layI)N*s>BpujOT$3 z9sR$T#Rm?2+*;nG$CgHMgC8E+n zPs_}G^m-|^Z`CO9w2hT;jR)NJ@)P#`_&_>sD3qgThIVwBm5SwaH3}@Tu%CN-X(f9i zN;-t2&9a1LD=T0>Dz0B})6PKXmA%-DkY;t}J8=@V23O2&PUVhsU%9lgc?7XfGVFN9 zpel|O`kZ1eKCN-Rc(>o!l3aMo1j8F0?IpN3m}O3w!d#&s~|sC!uhYELBGQZ2tm*sPU$-H9qOUA--K=ZqRq5bc*#cl8Lkc8>PHVQ@8| zWzk6N#2@W7CLg5S?+}|scvWS+dt|#F>9n`8K8r4SJn(2Tsygk%=TBxcrJvs|zuAB6 zYY5E-YIc_Oad(@(fF52Yef`S$PSBQ^#~An3rB~u^ya^VS83nJWmusg|=v)YB=Vh37 zs{;2MokSgPB~P5HvR!qxDWWacBEPuVgvC(ZW?bO~eb@go>4X2p6>ZW${pr9EM{x%I8S zN(q17=vG==F7F-GVFpILhz5-gxjS_j{6;0oU)=EnChMHe;1qXvZ*f!Zwr>+t@mp%x zSvnorT@JPn*s(V6#|&gZyf5QCR3({lT0K+tp-TFWLZ-WQtE}L=-7W{IlfV<2jqrx+ z(>OUzYdG2`0kbuB7DZyu(8AfS3cJo1Fzm&|5MSDgYp60aY}q4?ZMs9S5{h-yy!rkL ziS^jTMwydCjl`g--)pPHX1+8E-t_F!jO1^5U79w;)uhI&%P(1OmLqKBIq0y$t@PnM z8&%x9#m85-?A~B%MtSI+%^$T}&o1}+jL22khvQ(ey?f2=rTjeN;kf9PMY(WJ(yb%U zS&oYD(y^)EvbZ*GRH&?Gz8Hv&eq=oy8fXx(*`J!oaHSMcO#7q5oi*yl^|gvBAEKg! zm?}2rsA<2uHMQ8L!UcGUNxA%Q3p`ktm$s-Fbo{=WP*ppkuG1XOs(ci*>sLGoFi&x; z<7YA&&nmqVeKf4~DXRFP2R2$;5A*A~GKqj*%eNtHJ~|fPU2!s2MFr!6hKpTr7W~)+ zTNfsOay)sNPj#b?TwKD>DKlW`_+^vW)b`IG+Etl@T6(@j)TE)*q=-<<^|IXzZCD~a z86Ga*I@emd8y0zo^Zpy1nl`GLs(@mKPV>9!Bj|F*aU5}e#fDDTxj$&MPjeE`PxF^j z=s)VbA;_@g>C=)L(uM<@|C+DP@~9SNRFyeLj-b}ZGLd(e3fp+`m0{)xO`^_j4qWSa zA|FkNN1XIat;@6K(prRHk;K&AC7qnsWRV+3h0m8@%N&EK99~DNNttb`G3o*b1ioNV z_6>}UKAE`VKvG=sysK^L&F?~13zONBO>yp0k1mNE?{QjExcG>l)x~${|}g0>4SC=ZjX*H2gZxP%*cASGY=ESuC2Htf}5Xpv*yX5CYybN z8CNH4E+(8lOYvbpD`0I;x=lZSL*p}LQqDb%{x~%W2s-^b|M=rrj^5vx& z`7g8zW2dt(pGYq^rm|zxP<6$JroGB~A3@I#>t`Su$lyXS3b?8%aok;2m40l~uFj}> z*uzWcW=Gh#6JMTMs;_>7fukGr)osVopVru!sL>SwvT%6#t9a+(d%&LeRuBtrY~2RX5N~Py@n_H z(clTw5;%3Gy?m;KKY~grx1-BranRJG@S?o9zxD?Tk^ke%cQqU2D6fPtq;q41jfOsI zy*U-XEu!*S`^|8GP9kEp1D2js_=qF>Tfp(oF+&Pg%?O*ifS4tUx_E{E?0S;B$__zq z?#)R@GVk>_l|@O)THB4873T4Fkwsq=c-4a5){s%`xCW9p7Y$n9B1mE0%2R4m(MWwB z%rX{yEdL!Ft`{FS`F-3(uc5d6G}1a@>@4pPGw9v~=G?cg98g`NptiA<|8{-g|f(+03oWc40=CwJ4?rk6jxI`z>PtAHkMzx)=D3c2zd)poxLUctTd zGbXL3CsUpMVE%YpXkJe(AX^~%bncTX0T;>x66W^%^^c5sagIw`Zqu9a$w})yMXl*3 z_23B3`WW<$`}T^gD1t7pkr(?#5%tgQ(T}e%y-6Qq$i7EO8_B{OIZMaV+wztas}?m= zKZpqK$C&IRp})SI`uKi1){ifoxkX$LacP#fxei?VCdCs8X|%uKp}9MRJdb-UP(&Yt z=&xip2$BCl@WvR+UrioefbnbnuQa?PR!MjzdoD`n@bp>ChfA#pu{&n*c6MExOR#J{?|!e-j^Z@1T<>D?ePy&&&hNtaoJ#49TC3U%Ywp=;EVI?= zCQsTYw1PD>d3sG^PsJPW>cl1eVhNjP3@f!EdgSga$?mUb_@w`tK;x%$O6yOr^?3T; zDCT?&BpPKT3d=|QJVJEK8r(4-3OU_o-k#Q=dgw|rXPC|3dZ>wdH{6ncKzGHMe@aqN z#PqeEWc`PIh7-Eg$@Mlho~yEAhO^nSNy_zZhV$+>TEAr&B(x@W7Lw_#K1OSPl7lTG z+H$g>$JKJ?c>UMu5I;VKw%Zq`0O#+YvcESyHw4egLuE7fxDaUw%m$hl##Mvf zgn$C9M=-V23UEB+F*uH-3WS71!SQddV0t{=ClXm`GZf|wak_!s&#z%JP(c{X2~zTc zNkWZYFlOlW90nCSP^W@gya2HXat}J*CL)I7y@(KY}d%eKQaH}{xdZG;`MM0Y5I znv4yE93>knb{)Rmw?%l#eLP|OsA0i(ymlu>25!)wb99xCzCCz)D@)7Y|;it`| zkH&PQKVz~4h4OPbOfGF~V)<@J0mwt-u@I@Oa}yE6Oyc4_tY4A4Bn(-SA^S@9Bc4@~#5{_HerXS%lXp6qqe8bUwG zZ(eDNyJ*Me$HU8c;+w};H@}M8w4~i{=-c`ns*+wlExC#2jY2~)fd~J&=5`%5x&5H8 zktP_ykix=ot0pHpR6P+7;hh{UKB#parg}Y2@^bNdK&Y#YD&d;FNAckMmt!k^N%&M#SwGQTKOw8&k&g+u1N1Mi-n7Y@ewyD!6LWtW z)m_CY7kB>o3iI#*wo$_?eN;uK;TvD4?=edKP`daEeA)WFc!&Ig#_6AncO=f46#m3- zF1`~K0)Q42`3?Bj8~>#jrVH`J!mdGT;V?2ldcX!&>YOt{{UZkoghDL@F@kFeiH>2}}Z7GBVZ6Qzr8t zDqk)358@j0M1R-QAp?Kpa-Ecp;Z}8l9vPc6TLJ2GR60k8v!l<2Dk6e|RFrq<_gEQ& zWcFv=We-P>T)nT4l&-=>6fo#KUVBniR^U%y_)K0OxE5<`(MOfRBX#uXam1m|iuH3! zuRWMEtxn|CZUQoM#(vgGgvaegDk9JDuz60YiS7jY?uQc=iW^alnh~DtvJJzsJ@f_! z;;s8IjX<$VTOajnUc4P;m9IjhoRhem)-Bz+38c1k*Q-)ods4c$%mj*~si|h}7YL#m zaMVGUmATW_O;vG5G+C7m9}2EW*-o`6CUdKk`j!7M9UYgHY zEx3uWU3NHCE4<&s_7y^{kBlM9JjaQ1w6tMX&f|Gf(c+L1~Eh0FiE>=P~|Z z<9b&O7vwfE{h)hdi*kS0jp^**I}1k~>+WocbZTEHgF8G7!MbBX<@8QPD4-;qk-tkJ z$!!FWlkPxfFx!beO`wvAXgMQYH1rlpv;vq_^S?3T=u;bv{HF6z4Jn5zX&lE0ly z3qv%AN!=0Iq~#BymxmA(T+_rN`~3OaM;=ac zTN8t>LO#?kKPjFPeWH}$yC*EfBeM3md8wABHlIatH_9m z3WJZixTwCZ2RFk16Gl2uokP3Q)qpy~E7Bztk?;3S(Q$L(W5%#?kNo+m{2%pZ`qEAt zx~<%q3TG0^xs!2-o&=r{yPpZYCDV(GU*+0YV+%yv*@e`v+_`i>+*9EkTjL+QQy=tX zZEE3eb66~|yU46Uk6ife8s*VLLza)8jMHpyKQm7;4xqKHtBR*#Wqtdh>3Nk;F{M^{ z#wGg~M>b`%b5Pcv&*jJmsCf17-aBWn8Mn+v_#6z28JC~TA0n8^$9x-83WHm<21mUn z^B!(%qSMOKFWKJw^m*CJ{mFZiZ%IvWMZ7V4OR6+%*G+Gjp0#*7O@x6hD^3{RBcM|CiGy3|=q2iorS^OuVe#l{f6ng#)q{Bca z3{DPMi2oDd1C+Q0*nj21E`|Y1fpbj`BoqX6$`_dMH%S^ea!w?OEcy*81<&c+fU%&! zZvZLyn;Q@uxd4!0<2(z8v^gJOp!HOkC)EEFP7CqrlVL#&^90u*wlu)WBUT7#1}0-* z8c<^zz=h|#k@3g{kK*`p5W@;S46;dw2|(G40P9_g0hb0A#z;cn(_yj~$0~bKfxP(w z0WJh(z(mnOHzBiF*k#C!5j4l036sGFhd3EzWT32A7)_Fvs0~yBHMk>7mL(FQ39BPz9k%JOUx05d}R9 zBh`hRvSHznOBx_OJXce{kpl~ZaMQu=unyqQW_kt-g$j|ob73U7&@pmXAp^Nvii-<< z$c2SKbI9E`L9knv2X_54!LHnQa7m&0z*y|bMJWzsUI1F&Lhj1dfu^JiLFtREKc%G4 zVPWTTtJ@3MIMC*EP)L&v2J)^KVCx5RE2{{!ZjuKh#6m8`MGl4_djolm!HLlYg)TBS z(Cs)F$^Yzq__KF0xC@>y*Zun#j;u#t0!Czlg5Ps_kw@qb@BtJM{LkuOWLXNZCIgg- z|C~^TEEz_&GnfNLc2hp`f2xlEZ`l5ylzbrl*>;QvusslxF~gvMp4|ZJdxp&5m?!J!e`DpU<` zKE9Yx2vII9eB0Y1UmV1$ARf=R0SM>9)q9s{q?6=6 zRpDuUI9BVm<0$S>aqpdPTJ5fnr>_J%UL|9TG4D*t?8(C9e^t!W@NBM+@S1st~ow)36|PZBn_1(;?HjQVFvO{T@C6Xa=p;Q5G2n%p#TbBvXY;4mI z`k*)%w8IcjCo_rI6RBAHeeY!{Hjmg}UEJ&5G293roYyfv$>WH=_i`?^PT!}7dD}DX zHP*q|O7I>Jd1{V_&epdQnS2s|U!u+ls{VROZjZNbSOO-BtS~(qYu8_Z?dOooXoj4KStEX<{G%Rbki)W_2^AxNKt%swTHDD>D?Rk;+wlS zs{N*sP}@B-*Harye1RcXG(9Ga{^fEJp?&hfim^%5%<$pr-jL~Y!lmMX#zOB7SNz4( zJ!5S#ahW{f&nCiG;v99d{Og>21)WcSR*0itKRG&dt>eeuQ`)t<*3vttUDAaZLiKdn zeSdwx+&G%Gl%g@=Q?{H_=JR^@BJIq@=6i9U_+Jj!h>x+T+rJwX%xce^k!}ol%8D)v zTj!pn7s>y8x-lJ>YdGwX>-ErqGuBgWnx7|?Uzp0^K?22di*ZHFAKgQF4j!u21R1UC z_?I3IJ7{{~x|zHq`&IO-?WZ@)1Hn+bgg1++YetbYUu=Xb@9XBO=l7F54<%F<<%t!| z>J3Tz$0C8<9M@vE*X*J1d_J@8lToWAABtJB%my1>h)f^D<55Dij8uMXQ)Ik|%!dan zYfXKY*BYdR9K)Y7j*4g$$AnVOtPb_eRICv$CC%G zMO8lf&Nm`-t3KbuAeS>#{Or=$i!sw<@~<)TVkrEF?*#Zie5xpNbUdF1dcN^Hydrp^kBKb$GdhZ1xL@GN?{Qb~f?gC#tAxFV zZW)2`GENXnCbb%jK`)Hpgh>GzG7$U@h*aEf#>Ix-R>Kg`3OEj(31KNg88u)WBF04n z+SmJayk=s}uIU?}-)0!~PGhI<{7`326v_X-vW)mFju zAk=1H=TZ#@n)+tY6XhDPmCypVm}I>8_MIyxq(u>-8cEqjIRcn}FL30R3M1gLn$0HYw_2L{A>g3!nr zAQl8?W{2)~0*MOSX3(>DT`(MMVL-T=&lUCn$e#0;a4K`JPw}} zuXFj$N!}s*#6lSC0+$bq$tIK+ae9-%fWM)9w|AJT@LJB>Qdf&1iFJM&b6V4KSAq8) zikx*J7QL^oXQ))zry+VRu*AY2H=~$}4SkATsGU-ex08)ENfx1BRHLd7@HT(iLZZ$? zNL(63D{xJOtb?*#<^fTAk^G0Ox<*@zEVuV|Y#$``&9;QjWZ8Xp+EBTIDIwrhJ~n@lwy~MoSX|f$ zlPXs>EKhHm&JRT^%eHCtu-^hZRm#+2l{&6zNo(iHQ2G7HTj3;5#8G{Em+ot$58m&q z95(YJ!X;a?t@^Igz>oh%Be-Dm5n~u%h~+BwKq=#}#D@l4!$}1HkhA>84hrv$Olmtl zJ_fSHyMp`{(_eXBJf==_5~j;kPd^w;o6J|JR^BaVz%X*UY>*;XDfu9-XT>E-ta_oX z@|j7@m!Vp%8}}0Ujmy8j@EMh)ajMKPw#g=IWojiUvMjWwMokZ;{#0yUkxT!9`h+E~ zwK*Wnvba<2ocb~e6X?p3AR|Mwga0J#H-mULV z&u-yO63PCipcLg&wqWn~l2wwC)pj;p@kPGY;Q8*xzzJ%(!(?K^}(QGEBFv??=#=44MV zy(N9|R9ZWVAv)50iw-M=1wzeRf6ry%S@}jp%~c}R_tVweFEB4Zs8(-C+xU{merAKJ zyG60rk3kbJNEJ`MWA`Y^P=NJ}rX0iFRx0f9N&b3%ID5;Qm7DnK@hiVY_cU)0%NMyfqy71O@S>-R+d<>%CXOA~F##-E~miU|~PCBUGMp;; z7lN37NC7XiVUR6vNn~>=!N9y#n=_93rej~HrqAs!A!w-@2**4P3@$fR{d)}4G213? zx}4Y>3BP0|1SQ75=iKkODfVrU_H1~rqNU0|6nFYP_dd0DjO262aigYYGzq~rYOk#% zahGAUK95^csIig+XxI3O*vM56Zn=jXNy*hwxZ)bU{C?L5H(Hl4vIHxoiAI~6ZX`d& z1JhSLuh@wr#0^oVws-eBA-ZF-zl|^#kM=0nrMC_^9DVzrZH&}v&7iqwO1v*-w0-uu zKDXGf_WWGUp-m&MbA$|?vg`uf>h*JiCVqX)`KX7=m5tjb!t{WR9{2Vs14m$7Ynw}> zZEnxkLTVC4B5u7!vDY%U-d?70vKWJl3K090#qkZ2(TG1WQqpch=NO5Scq?x1JtN16 zDp?S|?)|gkOTJftD=giyuhJ@kcAdURX=T6wpS_uATw}-wF+T(i15t?*jo^5PFPB^h znac#7Y`WGlQER<}Wal=`DWgevFYs2y;%~eKc&5%F&_D1NWQjw{@fX~J460x{ko72x zj~$3QU4$oufR?~{oB~V{`m^yn^dKZG0*#G=c*5PML{!y2bdl?NV)NeA%|wbc`pDIxJ1v%Ib5B{EUEtj3)SC#6e$ z0>gwz%K^wBn+0Ply*-=&I?W-Gfm~)`xPK}MBP#*=<3E)khFnYx$l4oU0J>!lhe4+m zK%;;m8v}<7$v2FoTow`nvRxpLC=4{Yph|24+Vk@m=Y#Wv&Vln}ou7vou0$>bB(j8n zv^jA2fn+Nf{(t;COXrta;tm&p zlIMV_#Uc!-Omejo?|I`dbR^PYQ!g6`yk3Q%Av1~#cAkZ1$p zI3XliOs0wgj@)dX}3%O4<`(_IrNnl8sBW+?T?sfbY|kLEmGCx zBd|`d!XP4O{5o;3Bao#c8He%4XUa$U&z`>;TANNwTKh#;X=e|+C5(TIvZefLkYwWh zI9|$+sdK3voJ`k@PIJB78ZAEf%=pe;$=uU4o2*m9aeJKe;Yas83GtR{ls&UzIG^SX|bM%NK*{u}UK;DU%oH{vOxc4v>%BfKQ zdL7=PRF%nt&4TsxoqH75Ge)?bWq6x^5Y@5LCin+(ED=>jI1=J21Vc`OsRb~E9HC(y zMfTO%xFl5fLwTxq1BOz3<@J3tGwkSmhpzIC0^04WDnHaLTF{7P=)5{(Hey~Im}TNxj4Z*58R^7)UTe#9>zY<#2;*kAGJcDG57Gd@89zRHvL zf|t0nSal+jgqDxdhUnZ}IDeJ)P9kEzp81!P-1ob5b!YKPBs1N0<0l14BO8XMfn+$- zezO>UbUnKsB2#ntW!5oNrpC4*vCEa&uf7iYJt0rI5NeiO1>rs?0qbIwvYg1YM zpt6sV8+V@$(ya*rjK$(9dAmmK*KW7j${l9q9I)foN*xvHQ#!fTPn%A88GCF6J#P`4 zYx^~5Oqca0_d$QY=!tiLiGqC_Siv1qWDsYp%SC!+PQ<`$n3&ClnW@WKY@W=-zda+S zfijX{@Q8#mS9a#>E_C|;a!(V#fiHHbd=oOoyi)Hf9X6U(VVgTX?5yB)`ya7m%~543+z4B(7!UDX%}N$!qy*e^i-0* zftxep^;rYoVj+R-2+9|#Ud+@dLG3rmu*BV4O5LuO9{V@dC$!8G7dY=`jkZK#c64|&%RNNi*W~WU=G~qwnogChKPpL5n26qC{LFahyT&~Y{`!KtT@laYx|4G+h z@E&C~GqksO4&D0;=A%_g3SaLlZl9i+YI?nG@$4szZl=&n(FjVc(hM5gkQjN_M8K;w zGB!BS%3jTJ_Yyl_QikD4vJ&G!@^Gw(?Fl1VihLEjf2zHG%16Fr#O1RWyDJ`o?oQSt zTk>`{8N#OH@P$0%8DfYCoa}R~x}QI^G`Rv1WE5~k?`h(X|2(MKue}jD;i`NpM1+p% zoY5M!yM|wf_Un+90sUa?(2U2#2yNwE?@Y#z&-?{s_clb1yLjj2MtPGqr{lJw?MQ2J zP9*Sz%zIQ!&~$x=!Zg~XyK#a(Q|aG3Xr}i6<(<9w;e`mV7B$;S`M|riSN)@e#TDcf zN&#yl;%3Py)tQ1M%6DA4DQ{5^N+`wlJ|9iN>Rb{%3z|Je|7QGo`~npJL}bD(kYEJ-JzEQG{0=7y{nmyBM}CJB0r-KMwqb7Q!eBu>+YXFT zK=>jd2ax7()h@8{r~2(WuQPY9BfjgrYgXMAl@VfmIjFdKm=%G@`)}WM_C?R097byL1M@<39%6y07#0B*P%%9x!$WFU ziHZs#Q;i@dC-@3L=fFAuvTpqV_{}jDgeu>)lPQAl4S*R);VS^ngcw8sk`#a}yMA|R z3V8y9?=TA>g$r5*Sxj)LK=Bp8W)Sd$EccMYScz~f=zB@97CP0WK!6kr0GRun8E?v7+!kD2@36(*|lKAb<(x-ypHS z2)IpP8}#W}E%C)guOST;egb3$4<*2$0N7I)^i3G>*dgP2V&KOY23Umg3m%{WM0PFj z7r5TTpCCM#^AHLDLE`|A|3Q_)!lF<+KNj8JF!swmm?kughl@=r{M%RvAYuVQL7<=V z+j#pJAV0E0(6H@3XxL(s1eX}}0SQ5Wqj#WD1_=oMqg|?Bf7%rSKM~Sc2l|+9)UpT*e~EG6%h~!{sFrY2QV#iAXFv>elH*#Cn^fu81R4q_zSrb5->ub zTVjynAxter3yw#4Venh3?Jol?sQd){R$|*A=sWC>AqQ020Com50mXp~F%rNF0?A?< zAOd%Ojlh;4IywZuE6Bp)kN}qiz~sL!Qcyw?lBEPl_LVn2$0o$2K;Des_Q>l6 z?k8|5F6_^*^DG2(!|52N4myiN`p5K-vfLljzj+79OgC6N%BY8WkrO5r2Ax3?Ab}qT z{OZUH5Qb1WFlhf-hXi6fK{}$m*cdcG+XmPJ*AnR*0PQ*HIpy(BU$e$h5K$6ch`x%FTrEbLlqleYwby{Ba4ykTQIbARR7H zok2S9|G@D7vIVS{eB#iKCSY2|!-Nyko)c~SyFno!S1kz^2i^vs&#S25L$sNQ^Uv(@ zKSRFYD|W7Sb56MNH!t9K_846h+@_##Ff|mcb<(NC=0uDe-*O+5f&)H{?YyfBH&yEV zzQh!gNnA=x4579Qd@E5m>b*YMo_edVT(%@?j73ADQIMBE=BsK+i{Gn50~y-A@^BAR zuL=)m%MFc{8)25P#{%63A%0Ol26H-!RMm;bD_=hi)bjNWJ|8@&aQ9q0qG05(iZyY z7KC0!;)hNC3^6S4wq?a?R-V{T;(l!zI<3!Gx3zK`F^EpS!5G)8rN;r8-ArPn++hM&_|}cthWnP|u4!FW z#C1|(xQ%E}?cE}`jYu%*%q^;Ahb7I2IuUY{aNKJMRw;MFtBfTV|KZi8N|hUr;oCJD z*p>Gx2=mGM7Up%{vQMb<8>8>4Ub!qj;|R84z5HDo5qFhjtuE^ej?v9mJeI^WtyrD8 z=`qiGU${z+v@ZCzQwGMoi?sK1OpW%5ns~)Un(Gfo6zpm<{J8&1>C7OOc5-H?!ar*q z#V-tQb(U3ONBkLOLq^McS(^=neGhu7B!>DI*|^IlnJLmVMJe>u)r0FAiKXgYmBg$ z6CaBdopG|E61ne%sf9tnY!OCgP7`t^C@c`s(t+Rzow}wIU3QygO;(8tyJX3uzZ>Pn z2~o<4(TxecgrlUI1=PM{AIh3~E{m0$ zBz5LAieuME2ruP{H|Y7v`anKYJ8wYgDXr>aM|pxW(<0OQgLanHT#uzk>eS)IDvElp zC*C>;ws+B#+7WWU9yy_%RF|uF9O~pQ-OM z=1n{ocm2J};Ox~-LA$tqA=v>Nv(AMlYI%su2#0T2DE#^?ckDA)Y=3MgQ}CN>OW#P^ zt$Gr-u()iLWbRm!JRn(Kb&%}X?|#RJ-p6xBI$L`mW!9FlDwi|!;qcM^$(rsJE(Q zpPBk)-fD}wa6H>L+1>{FwSI1u(&QRj9$r3-(bCk{V(cl4)|}a$8fCp@>3J2{o5?;r zV?WkrZsVta*d38b@o3`$1c!q&Ln|?PWic%}w(SvA` zo%6Ep2f>1lOWq1$g0BiBl2WSge$3hlW&Yab5|-JFcGgL>CFKTqs9J>_@N@F`C3#|r(P7P=Zs zoENC{+T}jy_ea{8Op)psH=oxREMah4)B=fg8B{^_2VeBE3g)2F-^m6vj+)VI8)MNF4l_df&SkU)G6AG_!Ez&>`MkZc{jkp~{JW}V_jjAb z=o7Fgw|>rzk6%%6Yfx8^EK*iamg!U?b$nA0gR#0Mk2renHjxJx_sKiVL5(nQ$2{J{ zq>o+7dk$H$gYhkh5RfaM`v}4pQxE>(BY=SmDVO(G=v*BPzP~*IWQg-TVh+IGpN-!U zbKwiE2Oz(DK3@w=SpfO%KQ_N7IsmC!Xqo{26x9^kKZ6;a;|7=*0MjDCdjK(fkcyXp zEIF|l&auEZbhscC-2|{Vp97H+q)mi}i**4Qq4;bf#{a=lXn-)oGMStds!+m}A_v%C zP=Y}WNC}C68SFw5ASMpVku}a+Jt6{NZ(iZGPVJ{ZN3>aAx5|kW3xE5}6nhbOIa%Xt#yHY<4ja z+ZKYpe1bEd&o~6wOfUrG0+7&&L{t)FqLFYC*diwg07!1`_$OxCP7DIEZXC$@7zfxm z#A2XTFx%h{bQTqdDi{CWUub*<8Cw@2kfjEITmT?`5bQm#0(>>#L;&UDiz?@R<|hUB zmFy6c&P zB!{y?*<`@xDI9(d2Qh%;1r7!@MGnV92WrTWRw)tF1=2Ji2WC>lpedvUOc=N!A|UrK z2<8h&g4qr^fRhCxq5w9`2DBv5Fe{-POc<;Pl|+J3U?U8k&eoSi3>S@cQGo8hAc3nx zIb2xSsZ?Pd76A0lW%~+v2 z5AYL(l7ns_=V}sy-Xftk@^*tK@Af6okO>W37?P!ct5bl4f&_?AgHxPaA?JdK0ha_= zu|mpTpq-z<>O7=Rh=l3@as%;XFhc?wpabo^rG<+?Wt4C=a^MyVf!hXLT%-~5s*v6} zFk*$4b1tsR5~`TP#>4|JFVbNE&L|{J584o?18rQU25lhyWsv$po@-qA)kMJKG@Rouo!zl(Sbc+KHqd~eN z|FIDOPd)hJC;_LCiV045p|JUHD9kRJn_^xwr`PB(D> z&YP-ZfRmB_t2S`uL@r8q{*R?RjBv7lHw`A$07ez0V+PqX!b$!Q#Uqns|9dj!?^@XZ zcD$e+J{)aml?hIX23}MhNinW=sUN0x#~qelYMfbDB48B9DSqB!b=@6Q!|IFQVR@`7Uo!ek>4@&Qh4I*OC?^~`m-bocn=5Nz-9cV z|8z*Mru>r_!J- zDGzclWuNZW<+ZiyF(9}ww=3f)7bF-c)*On)?-njOQ)sOCJWf+#zmAGU|0*^iYRT-rhQ_s_hFKm2TK{ zw{&->bV@hU-617xIs{2&5h@{|NT-A#pdf+>N|!W<#`=0MU&wcJ+ z?6u~YbIrBZX3uxN;~ir};2$Yoy;DS8=o!V0J{Oe5uKt8A$dn#mNlnL*n21a_v6CnL zgvj6(KJMllWhV?Z$H*r5gl^;~+vaR_r`@vc55Dn6Mwuq>)ozJxkx0J0*GnUsz&g}W z_NmLO$=YvI<3&e8w~zSy)9{v4Co|j_JeK?U-_p`%wIZcU1xVdG=;|TgJi^ zMu(zS$U{KCtsS7#bcLrv__?PtDsQU>eu zb>B~idUyh^Wn2*bes3gm=subYWep`$RmXU9fMJ`!L z?8mY8Rq2W}8Icz<6B#+RW`54forlgiM^-IEWgIM86;`)uUsETyeanvzeJ1*~@=oi$ z&wGW9AD^(k5=$_a6EFYP@j`*PG4J(U>sO`AxT7ONc62VE?wJd#!bj$N!s7d0wU^#} zf96~xjHwi^<={VheDqY=b6Pz2{s>Lq$b)s|*3ATJQW}2^LZg;%-k}jE-=9wQ+(j3E z^K2^kOEcYV%g5yy_evzWxCe^SGYSQ89zk;F>uYo;SngPir3IHZZsD)VO z_A!3ae-G~bSnXjDDF*@za>6i(0goq)D5ne%1`vw?vKw&NdWC2|dth^ciwpr{8UP6- z5ONAot5XcYjROEC4z>yB3W^^}i1S(XAUecx0&Elc79X8V5HQ+e2<0kROaY4u01QGC z3lZK0w;jR_z>+iz1W;M!f>}V9Z=#|T z3jj)D1w8yyf}j4MuCOL?6-iAbI5D|n;4B-pHn9+p6mr4vmGQlH; zV$Y8eLgydHPyRSQ5rlC-`=_WxkcbeB8AX_%ANqKTN(%W1!B|j$AQ!4@1*297!7ic# z!ujXnx)AING%N(8fHca1T-HMX>`39lK%{;}7-oTn*rkAs$_N^^Qv{~i{s#xv**#c_ zK^euP$kCVIPh=DqFR)Iswx8NC%b&cGD0rn!^QFQ6dbNLYp}W#Z%|uW#F}WrMaY=1L zOLFWW{~Ar4`_^LcPT^kPi3{z?MEBSMtkl%^Rr(Xf>Wik>NvOo8u(+>qmbON@Aypwb zzr*dR6>hrAb_?&Guv1?e$9f-p4MbhB{eHIn3*G7z}atOn+ zP?c{hF}yZ96xG3b&jWI>5U(*sTk)fju<#Dp9KU^PmURE~ke9P>Xn2bi9>pUQy+aEU z?yx2=ml_z0DWP)H;mh6>crjxje-M{s>ZoJM_+ycqm7=+nBv+V(Or7?$4dv!?+^xHW zRj0;Dgz%YjS}MuVlxJssaRgabsB?2jI%zT!4*HmmKYYZ25MAAmH1^6? zM+jEEXl>u|w2XwHcwTi4>7!X;?q%(hneUWP6b_cAs4opU@nqCAdK7FUS9aD&%MOjB zu4fcqWWxG@COh8HuE;R|z6w6x$I_Q`;W%AOEwq*Qmakw39=}oJ^V(3pti%@x^4NUl zdnhh^6-u>s*1myHa0Y*b>mc`Beuu7sP2YzTHJh&!byF~jtoQV&*ONBDc7!8;;qtj7bHn}P zw52nF|9lsjci(53>qed<@2f37_{{mB9wR@U{gUQaK{wZDHGCA16eu>&mXbM{J>kI| z#*#6sl^Dwy4p> zZWY|L2n%!<K4N{H!;ha-A%wA+ToIx+lQ zgT6+UO#C-XeaEps-{OnGU-d~ddUqM=7KwI%W&Zx)8wTU6S{rQ1@OlD^6zAt~9VeVG zLuqH?Lf#^TL9b8>Z?}~ms9_Lyx?}08(zCY5$x!L_)WL4lW0t#3%rINsw)vW#9BS4g%_PFrvI|l(txx4uyMmCMqZ2B6d^={8x zHf%^syN!rCgv3e?{NR)uCp;fK!&y5&&lj(eV?KN$CqA-uL2hZL?W@)Z5#1$BdsX#M z_ii_;T*h9?D{TGjo1h<2=;DrRYIyTh&+Xb(U$4eo)h&vd>^0HYn5%Cg)CV{z`qv*M zY!Oi3S1sV}bUaBXUkeGeL$w~d@IWZW%#aSrR-eikqj!{H9(`S!&OIfT_cgB55ggOk z5}JeHQkH*H!}xG zO2TlF1R+^Tum;_)2hpWDl7Oo~EQI)f(P{uxfb5$#9O#TtAtl&>r%8h~#?Ayu1A@)t zV-WmBlmW^Ih~0irYv(JQvJ4othY8>a5D0{WBMJhK1OaXU;knSR8``-8_1r(o2VqFV zw8#-lDI&aA6o@&`Z2=`A0Scg=+nx{DBnt-Yl7?xLAr?h|6#((UD**rxf+GWqHpD1` z%J^ed^^^mqK{7BPpb-({6$6(R0d#;Uf?WVgKY%x&bXmZ3u*idZ;gyAHQvYQJ_Mf5% z?k*aE+YJ|$kl(@0*(rlgmVYm-%(*05$V6$&k2U}c02{JG5_;Ye>ba(z=+8H)g8bK z;1>qlYhp+Z^e6qZ|3BJe!2m>m4gg34Ib3`)h+P9lLik7LUu~c=H3`w5ZNMW7L&hhC z?t+g0vvKCXdIFJaVIwHmN22*3J%2S`Rs&SRpVtI8Bk`mD`*qOw|Ipm`AH9XnCBX=e zBU|g=o&Royq}BhU8N5a@D1(TQ7`pmjz5j0B{;y_$m_SUK2PnlDp$o(RK@k1+RDM||EG2mw)0?s-+vB%fAR>x58M*x@_`TkHP~P6 zBtR)dfJcMi41b&PkFA3c1NccgXAP0TFOF{%n#OO~_Me$%{=~CjM|i%Ch;R7H1KF0!`jWUviUTE`2Y0v#L=NVti|3u0E9c!!!$eLb?Q)o?*G>J?%LN{q z8LGw#cy>?^@dm{=tEqfc-FWMA)i#fcvENo}^6?E7&lHcr32ykjBH2}8zA@Pw-X{K& z`C5VT&y$7oVA9`NzwZU?_lAY1OSbcCuxI76jNN?ilq53l=|H7qM~FeW>@CPbv9jmQ zc$QK}=O6iKd$0F>)f4l_dzwk#dpf>`ZJrEP93Rh?!eyUWwfK8)4tsaFpN;Rkaok-E zQ{vCHGHt2G;bkF)=X1W$kI~K?z)Fj0=aI`I_~IatB3Q?1n;(i)Y0JDlg%{`2uJ}li zAZW9dKK$eUydMj^_mS1LTvThdLiSGR=Dt$u*{t92q#kwQ+-S zL5-L@Gq7&Omu^*$NY2@bR-)NR^^(%k8fkWvvM^QfZKWmWm$k8io(eB*W3kzhqaJMG z%V%F!ToX;g_v3D#F?5LHCso@&AbI&XgYaWb%+4XNsjki1>tO{ZLVd@_#v0AOBZ2V@ zT&LnWiRMmCn{eL#mXV-qte=FpZqr4J&-uxD6N`voDwE{TkfOut5Fs!x-~)~ zZBy?Sg#MPR{<;!zb{_Q~zZ$aj*BcGUR+p$6iJyt{)!)yeTDYi$ zi(=N-P5SnkjeQ1BJNt0R3^!wtA{@!3UO-YoW=XWlM;X1k>RWLgl_<}>FnNC2FG=Qh4Hbb*99--6jl@JeC6q1Q0u6@*6%+NF zdq{mwmm{_BvuM~O6;gE&IbEp1u44A*WmP<~!I&Yi!MINuFZoTV{YGpshg{sG?W26G zxTmZ)_U|+H2^G#Zn!nr8j3u|w?Fp($k~^_qAot~YokR0lZ zd#oETgB~v-OYubo)sKgG{EqOp9REeF(KWeoj9fOE7a+Zf|CE2qDygJl5XhZ8xO`+E z2CL|8f3K3eXE~<|PvFA)a^m#CI+WgI@R8phfdp)>;vUmP)k@%$y$|l*{ZZ&46uZRd zs(jhq0nXF$dXkXi$pmb#3O)2nhVa(>yHWDWxZMMHOoyj+O&{0F?y&M@T6l_t!sR*S z)`iDWxRKe=4c1#ve3+9ezwnx~`I=TTPBqxqkKO<}14^{4r25<|1Z$U!;f$h&jV1BQy2!7B(&TMulN(A#qp{YJi7%>uvjCqB_ z3P}Nwfh;U?o(-QzMBqGJ?YA`x_~Z~g<2hm#0KOz~gcljOz{Md(Q?QEGYr%*?nTo${ zfTT}U1R!M)ozwY{fSFkMk46M+rZ*)dV-Yy7IsHGc1;!B(2IUZK(McgTZGi9o9OqZ# zAUy)j{@Dt)6QBxp6e>{WO=%g9cm@V#FgR1y2&m5P)VlFg!nL15QF+o@gXc8#qPr+tdJ8B?u@@A>bE< zY)=6OL<2sw^IpFASi~q2Kw+zg3kFjcgb|bfXHVdzUc|y7h44gR#8m%j0h9~^;y*lo z#6Xz{-fngW8Y$2wcV7W}ha_+cOj*DcMvMyhKEWRk0Yw)^^6RV^!Wn2QicSnYHU9f7 z;CF?fj9O5|WYgrYvj|TiED_9x-Sn?>s6v3wgqC7J|GC+pK~=xa6CwHpEHkJmE=)uM zy#Vte`Qz~mf&>LWnYu@FKdXR(SKw=nNsJ1_%piQ813Y68jQFQx_J<^N`LuuLI9a7X0;FFyLsy{9cqyn!!&_HDgVPQntcyS=01v5VX9{?cf ze@Qd{22#N1jS#^KBC4P1LYFSXNP%vc5QTsfK9pmHU<%_u9+O(;;c%&`U9)7Z8`W-~ zZfO?E%GF8GldsF&T|#~-x8gOg!S=()EKCjv>kLwCf{0?Qh{QK9gxOND0 zV6({wVfe(9EbEph2JJWC#Zf9>#5CTs8W>r3%pf1sSTm1Lx_a@d=?PbcY+0iIqgzgy zehnu-}18 z5ViASC)yw8-tcJglFYoj)!$|stE*0{(koaam&g-SCB3j=hkVmSJzV0kUm;@Fs+sF+&wk-!#EN{QO z{`~Q}E$63%nn`W(x<@S2UUN+#Xd+A)E>Y&}d`LBXA`JQA!Y{XCO1 z&g5F+UwBy4FHiGH#ARL*YZ7S`hQc>${|jpN2Ns%cSb?cu1{fsR0o z?JJcg`;P~VD@H;RH5%{iiRvh`DG@w|<71r0Bw}G+Wf{x!j77l@Wwot!8JXa^+4j_UX3AD z5=L@FxnG!|_@18SidBNaNjkHHOd4N21LrrY@N5eVO!|q?VQA%=_A_oc(@I54*pNb~{MjWFY7| zrA8IUY~IHRIVI4s%ZT^^2ZoGbw%~{SueDy+7$ymQH%9nkK7y>peU%`fq0$_9NPHb& zRFKXf0U=at4z}90h(lTn7+tnG3w7Bmq7giHky-wNqiB3w8UV$kj>HYrq%=nhI9 zgVuCsuutzpSPKK<4nPXTa41$VHZ)H7!bG- zp$t-7Vkin2Af}B9bV^`ZMXX#J4&a`4&B5w_xdROqi643mfsvAap2bDn5Qs(sE1H5m zSZ$Lmfg1^kiuj>!V=#gKzd9GXV^Bb{j=#oQaK|8n!v8viabQzGkN-Nf@BqdFPQQ$G z5v@Ld9YzM@Q9w0+9X>%EvN-=Tmd7R`haCPoj6^~shwlA#$hVJ54z*jsw7LIp7Ng%5 zAY?J&ETldXAPNMPN;u#h=NtO-+R~#ocp^_LcqtCkpZVr_dKx@O!Y)xRG)-Y1%eJ)2 zb9fR#udI1PSj;C%{Os74E#~c#4vOEa!6#{+^jW;nl^fUMG_#&sUP9 z3XzWzLY9f<&Z@s>wVpcetG2rzgb6dzZQ0?All9*lqa3*qlHxK_Bl3Rd%Vu~s$vzX0 z<6V=%eeN3{*8T9lxU8=5(t&uGo|qt!5mOzWRk|^0mDym<9%ChbbCdjNct^abq||4G0nCJkX}gRLp`~t>^CVA6?8|e<7@yEU2M* zuo1qpyx$#LoOR4!SNZZ;{L_<%4_~xgoX7XSQaUOa6+U?{fsoYgLBy-DMFA2_^!Q+# zbl*8Ma~=n}Te%(E&Fe^pf>9dr0rC+r50V8_mA!+lqUX41UGJMxhTLzax?i1ukeW)@ zzicwy9egdF2k&^5jJaA<|8!u>?#tw~LL+Y3jR^tG2=VTCdYE-WA%<{G^pQTv3MPX+}AkD54C0R91kW+ z2aP%}t{rqX!V7mzM8e8%8}XgldQlD2y)eJ?fl%F4bGkD#U_s68az(@}Nl`mTD1}n6 z=H8dUjA`2kMzm-$S~12n7!eNwWR@$rwxpTgtEjAA$fp04Fimn(6IPOY$~mMIPYMxd7E}=#8*n3ZG8Fqd@MNe&cP0KFqk(z9x$W#r zN1B(OH%8vT=~z+FB;8+Hvr%6r@%boUjaPE(edIBco#D|yy8qMC7h=?sN`d2{*XK$Z z2z5554e>hPc7B^}#Svzbmz7HO(a4m-(+F$S)ol4nIE>jgUdmrV5A7lDJ4L-+hx{Mhj$n+Tkb@-9U2);Dm8m{=hL|;Cf+RY zIoswi=Y~^b1+i`9N(#^o)twcd35I?uI<0n{+X-**sA+i)k#G4=L^rfuKY5(ieC@Fw zJ)Gf+fWV#H_{4mRm70V${1j&!X$5W)>bQu49KkCgTTYARS8JSZPY_3pR*e14(0?x^at9)US)FWv088?zt1zM+saT;FSg$K5@;Qg1QAxnV`7DfKAkz?{cj z$@GS&7uz0s#2K7GNzZ983w6T8_4we%xAXz7j&8d6P&u9>DYnmj%@4!IykAh?v3(LS z=S-*2H-1)als`?HP97L8x*5Keagxlhw-BSy{9x3z?cMyfZXMjTqAMulT_KfhJTy_` z86rW|p`P>>H&0^rPU_H1pC!1L`i`FJLT$sAFO-oT=`IBg@7tALkc_@5g!&u}(_rkH zOGHrUH`m!p9Gs?w=m#RfGN-11E`xmNWWqlJfq!>A0!P7HY)}aM9JA0XiXf7~oC})} z5}}_TeyaV2MSrUOg@K0iXVVWxT|^j=+&|6$gpdJN)l@eaZk7j)9OUH>G&u-$F(Ucz zudv2*;m?l{LXaFq05Y_P5ohg+h(mXSVd!KaS>g{>I0&*u2m<}?iyB#%$rU~H(!7xY!5K#e$ za7RRxA&~hC2ubi}MRk(@5Lf}Zw*aJ2jHF2;0Kkp}h(G*gFDMQY*Z_(BU-suI`FyUz z^bz$hv>}2Z7&<9Jd@BN!=zq-u`22v&5Ro(HTqy-q-~!OGBhZP>%VNsWAhPHHK=G^B zxl$MaBZ0qronOSV9poG=tfyr{21$w+oB&Szj)y0E{-{X7y#kdu&@Kr8c|T&~3&;l` zb^?*nh_oys(5ye~XU02SX>4|A89*9jAXwA2M(2PSU49%Cof2_CHa`y(5->QR0&qa` zGkpA)s}#hF0{mGd1XMqP0l(Z-f7*bUQUPcwh=7Dd@I1ZcZ|oBIW`qIK1LS1{Hl73| zP|5}Y5rV-uKYi{qJC{j6|Ho;B3|JQzixfh7hhTTW)b)r-h*5xK{X8WMB=Ziz1cB3g z`sfH+rRE|4xIw>c3Bhbp5#OaBl5Sl$z{VhKuLF>o{s#C;FNMHJ$o_c~KlveH;K!+3 z13uAcU_kL-1^{gX{t7|IbORH_sNJ||#3+b++qDFs8aWh(C{utmk3)8R{wZK< z8T=C`|H8vRZUEHj{JWn0Klz-0>cW9u62am82uT8^JrVzR(B2@3nYRiD|2>w3Xjmv9 zSy7fAAv?SZ5q+zIsAfNMZ@zo*E#J-vrJ^||tqWB?Wm%U4i8~4%&0s$fNksUtHHl{G zwyCHzLr7Em#_YkJt@XXt{pgGICT!xzIg6{uFCgM5i8s5c#4!#`!NuarN{J4kVf$ac zX0tdSzmQ|QpOy6-u8|9iPJs#M&Rw*$FS(^d0yk2#&C;r0onIXKvbp9UUW`oe>iTs0 zVzo7Da=^qn6K8?)6?Yk1q?-Z~Tr$jorGo^u<2 z{iN7iXu}7EM^yl$`sEkBXB>$J=s7ktl)W*B#=Ys~-JhnV3natCJ45&ErQ$FY-Z|AK z6)jmBbnQvhXPWd1hfZkQJ~6y15Jn1eDMlql3zc2^S*#P@`&>U-E4-*mnj^lh?SSDvQ0}Xa0ea$mF=hti7vM)b}d&O8{x~Cm5vRg581bi=j`?^cFgE~u8STiGF}?< zofEo&E5#e57IiZ~%I_kHrx3i8!jFGFKz8u%$<(L1w!pTTSKGFcl1ZM(Zc3$L91%Fv zuhE>R@zGCawp4vb!Vj zLa*kWTSA4a302<+7pJXIKgUk4W02v5`1pL@N^%IF2>Z;xV4}vj#of&~X+UIwJ#~{Y zY03vxVA71^Y0R~CPNveB>grdO28I+NX=rq*vFUrW4(xl2r*FvTM|$4HUbaC-7ECOr z38iDl^GQc-BE=cCJR6Nfm1L>EYh<#U4{u@gv3)zGna=NiueHcV4VRrtA)3WJb~;P9 zN$jm*@@8A}>3ZJ%0OJYz15G`)66wQV^VVZE>o6C3Ww8gZcebgk@mO$P6<^KDV-0^f<0zcxk1z>lh9~BkBNehc+p~IRzxy6!K6QC{`Ks?Jmq&?` zO|g>?iEy!cMY`aK^1SlPh4!0HuyH5akcw@h>*E!pr7?w@i%DU%Q5h6Zj(Hx-agzn4 z36Gu*T9x%ye*Mll>;c=mhV+<%k&2i`oHPiv>Ag( zf4=37W)P>!t z+QA>4;gz3evDqSgDj$0lR^a`*1BxYz({5T6^+W zf~;pdEJ14M?aJ=KI~*r|6)wXl3Bt&5-`XKm#aT|Y#O3(UPjX6eFV#zaU`^_g5Mh*C z!%rtdyT2Cp7Kx6%wZYs){ljAv=}*-)VgsU=c&jp=*4&s2)tK5-M#&)3oAyFdGC3s2 zO;w!G8+qjG=$x0WR*mxsmfF`WeZve**yF4o0mMYx_b zF=K{6kg_V;)oz#Yyc<^CnTdu&bEePcrdkgYvG{R)JU5ohL_6k*3cc)u@;-~bIjS}n z>t$c^R-u0wm#Cj^uH?*vs;qX8>`na=wrB)FZ+do6FDzw#-Av@s(!49PmXBoAfC|#8 z=j`OY@c}2_Q|W8v*|}mV_!+c;x_EE~!(*ez4ObSbOU2yTKDrF$4Q_e)SfTaJS3e2z z^a;d2xO}SXv4@p6iM9K{5-DP(U3#tkBEd04u~3IJ0K+(8q_w|G!aL)QZ>_kUa3Cno zXSQHDcXW?6#Rg?cmuaL_u}G9b>GFfgWxn9gXK%$VlBU@;C|j3pP~P|Li3;Jug_mBz zg5v2rJL^*00~U;k??33@*?L5-uwWl1g%b9X0e6FO*7Lj7O`mv**vm|M-%MVc48MJB zMRuteW8`D+7g^a;uE)|H;vm7vVPCv@@(CYC%^PL38~3C_#4o~#t$8g-9u;2l#Gf82 z_r4KnSD%}EhLMSN2Ky^OB1D@22htA)m>-B6iAc`FB7WaufTHu=e{V5R0Va`vVE&-P z)2kq+6ao2(K?s5b$aRB=dJq*7X8>RuMF08!%GCoh777r9ERuf!84xn}7RCm(0n`G~ zEWp^<5TO=-+Wf>2re@{{HxeRTLi~qz{U{7ZO7eRvBE^m<0BCMtKGvvj|3FMwiNHa9*BTWQpvovAG)@4RX8mSG&vO%&GY4{`24#jPXZ5K|CRwTH zz8HHEY>M41k*yX7iF@5Yd)pf)$T(Whvet{rXjj+nTo*&!M`Og( zOI)!LC3uxkP{zmB@Hz)u`kIv!b9BPOXL_U5&R1`1f_f9NWyaEoVKmFtivsuGsL_p5 zDBm=F;mphgDzkALRSgUfAD!ZEGxVVs^Q31e2?~?lhWB>UtS>xu;!cgWI2ad%nKJ3v zQSe1`Oify)snKBQ$E)@E<>P*lgew-7-LjD;>9e|oxrB+6PAd2;M`Yz=iM~pRuB+X( z&W~kxk1*3<_lh35V%)mL*)ZP3nH3inViz-4s0f!={(>LR^2sq@Y9Mp3=)PM%R5ClbptQXPnUB)8h!)^sVY?C!bfZbmK?5(*vP1@2SA@A z4nO!7kR@|q#~sWmjcaex?1d}AmvVThnq=aVa~Sq;8!nWn+Uq4^5)yd@aob;rn#z1C zppv6ti>p9!QO)TvJSed@VT8j34KU<$n(ydEXj+nn)7svoO{=W8_RcL zNQH>tqj9{?rBfobSmc285(P9?JEcoirLPxp`d&tqg%zYeRN8<~L`=Lnf@{YxpR{pr}`CEV$!*%rrPYDH}!9l49u|G{%KT#Ztzs0Nu`M-<5jw%3o1Tk_%}; z6_tuhZ6w2jzg=`KGGoax>wci|>fz3S0~N)^ z>ZoiwX+A|8o6~Lw1>(tijo{g=UQb4o!mA}>!g+Yb$jS*R*L@x^(2{w&C-UD`O%ad8 z^5k4sD5o4;UtM*$zU?`vIo6q2+14l9sj1e7{P5>8&(tbBI+-OW8;|>FJUj(U^hkhG}(J7PB!_~9u|%s<0f*)F3JCRPcs z*tmY`da{Mg;d7rTuQ8`|l6vlAfE{*m{E`>*l~9Q%vv;bV>}E;4XC_`THdBDH);(|S z!ML5Qh-P>BZGCH%wkUmv`Gb;eTdPJ#s~ow6K!xS>Biwg-6QuXV57o(Crr#9ey(d-V zwbHa4?UgO}VP((Wxj$Ia2HzxQC-k{@|H19n(!P<)$J<--8WN>_di~}CD`NVItj^#$W5%>Yo7lp-fZ0~b zGf|F>M?QACPb%s?{5qV6vsYi3KU%69%0s&miw{+8-jGxC{PrTH>xCUGwLbjoHK)D& zhmP4~$B)%5>K`Ac(e_Zgg2L3|1Xf`B+gzy-;F z)NBC?98k067wyRhsK8&`=8xi-04#v42tO#z^U9VW?TPSt=}N>0sAvioqzwk4^dFJ* zfruF>xDm@V;x8cMgb|r4KrXs#s8opDd!mrST`WyHz`lZTKT(EX)*$-;pzg%}ZT&ic z6r?beCP5_^N7NEQD5Vg!EP%UJ3?K#}0G_(&FlnLmaZp)AJ_|%sSj54IAe$BpNvJam zhEDXe!!J7VSBLYgARwPJKV%*O!_VRyk);6{R762`2oQH63RqtOko!X%q-y~M+yEFu zWP;O$?#Bc0@IeMmj`W8qB83Ks6#=eV5HA6gI)q1nn_L_PC3=si3HV7sW`{ok7;s4= zGC=!W11y<@FhsimQc%og!0^e>wLd>|Oa1~;0G>ewmoQ18bzn~PM?HW`;p|)eJ|JKt6SL;yf6kHq8C@A_)xhi~(zfn!qP zMS(pObsBpP0a^VinJ*oZmf|Vgvh*@6OX1#pckxueA(;rvxDUwQ+hjXi$@_l#daKD; zqh;Sf?lEe2d@~xs#Yu(zNQ+G0_{`x`t6TF4 zEaby0F@m-YtN!95+9cyjf;b22en{V&J`y{57B?}@yiaiG+DwVeWoKk?@R%j+yI2Ir zcA!*$U2?i zz{^-pu$aWdp39z)=4D^Zx0_~;=}9b!Y=Sl)Nz0F3`@T1;C!w?T;Xz~5EAnGI3Dr*v zdYt>SEv91v;sU}eNrTiT(y+Ws+S~DcaB7SedP=Gm@5HqPO9ITK%Q}s3kQn(A{7jid zUlJ)4;(o$N(WffpeEuz}kcjhop{o^HL@EEHngWw!j4LjzAB@mG7n!{x^OydX$~i;t z;EpBN=c2~0=ait`6@0j%KK06h*wcXEA(~d)@>Tl^OwwlsDWkVg%-SvS_ z^4VevRook_H^+?VnBpKU?&>{x$I0^X#pp9HTtn{b$aChY@>rx7Q94lA6^u2cBaDo* z>is?jC5@-Q53Ty@aNjV|w$E6#n*XBSlrfs~ZQ>U^hPx@X-0=YcCMRFBg*(iQSCq|6 zTKr(`Ny%`Y57%C4HdmX~nlvlEpF9q>go`Pg&G&JAo)|Y9b#|S1PUjqne$zwR@{y56 zmP>eQSu{*!g_`ffFnFNxb-k*juZ}t`*KwmFJdCw-uFd(3$1x%zr`=<``jN z(dDBtzNSXSwPdS?Db=XMnbmjHwKKFdXzfS(%#v>-q@l&S9ezVCFqHm5nw#|(bThb^ zOVmL!-*JGbFWVO#WR36iHwoA8Y0^+bX_=iJ|HO+=V{8NboCBk4U1tLKIVOy;UQ9v2CH%g*Hj4 z9Jsnd(Yj13T)j3{hVU#Cm+FP=*DbYDDXDOa&C%VOn5r#wv}JGl7f)i>Vr2=>h{&c^ zt${(6)V(*auWQSDisX=;^_Xv3pa&euP2ef?#upmnEp@%YKRV>yS^2s144Xog+9x(fU80&M&j>`*J96>%Vq7<@@_6?SOx$Sv zX`Hlo!p80sVHHfnWS_2Bh`IMT!`Rnw$KS-4-bwlQETs)&wi8Ro^&HF0i`HO}Fle*wm>V$-czDj4>);x@?bYTHR)+{>l zcZengtmJ1X_^*xBM>3bY$fcfLK&_%lnE3$))C<5`u-FNrF9rS`eFx{0mTm$kp+O-m5m8Q53(_uxfud+dump%G0yxvs`Eey7F-Sv&~7UrP|Q(5flpAt6*4UYM_$D+JPgF*j5w2pI74VbsQLfX z>It=$fxG@@0jksiml_f?3{h$8h2N|=tYeESxa1)dDi;D*Wy#%J1UO{3$zz^ic(cV!!j<&oG4&NkZ2YKwk}^^pVWV)0UQ@IzGau53 zj%z}9aa9c$cOPpdpe8(dnZ}zS$4YNvzMw5HP^Xb(q%KdgqOvwlK=ZQj%s!IPK(OUe z16foT;j)r~6b^59;fGMAo7has=_IdtDfum!YNym|Ev_2{X`;^102YFo_gglZ`sY#e zg@(K-14(1953XxO0#rxvCY|*eTKl}oHtu{!tJb47pJ6j|7O`BFr-U&m-!11#M=$zc zg5Q{LXbHTZTDxpRf^ok`!k+HtV!Y~|m7|!&f$Dazhb5IYCV4f1UWT77F6^O(7xQp2 zBHOtW29DXI`UMjo7;|FR1MyWX|>52Dj zl*ILKw{M!b-YsqYUZ?E=N8N<=RxO%Xl=kEfUhTUjCC67$0Ap5U(Z9gK98C0LHbpHQ zdrtJYQ73t><5rv3z~iA*QBTYMS96*ewr5;@P}nVW+Oj zL6j@dNCxjBhQ(wMc4eyCHR1G}&m`!&=tTJa)eMJW@`|F7v3=P6nMfY@Sv^V#X17GH z-zE*YvtBk&q8%7=c${Ui)}@5mgptF4L6vmRxm&3y=QUk+WRs`0Hv={e(v@MJ>mC(K z?8FX@(QF+vMhZ%SzLx;SUF6mOC%U&QI$dBYs_amPko+Y;W?j7 zc<{T{pOAjSq?1g`6^Lm!ojjH&xSSRa3D%m(HdB*dL&s*5g8IHZIUqZ74lQ3|tEsvZuQFh_ zWcHNS!Kg~DG(39l1^kgeaWJ0-xt7AS%+Ytzy|@C0f&txj40FK*ZQ}Zy#%=F7!ztUu zr`kFVY(#ALTy>%{1z0^Eq2aoqEpNEiblpm^A>v2sA+bVnYJMu9%K7#~ZuK&$O`^E8 z1xq`8`1+>q+e`}|qvcVT^~b{~G+R3z zTIF$RYQvJDB*Q4smg9XXVso^A$1&DS7hm&m#}V}cTP6=>vmrmo*}pOx~2`(?S-%&je0g%-Bw-*t-8)fEcJngE$KGUQRYQ>^5B3A|j~kb4BV zQWk~-KeQ3oG?;P=6^hC|D&6v|l+yhgxUI4^&Qqnk@V&kFM1KQUSZhaWVuIpbjo61E z(vixK8ssM&e(@LeQfRb>NgnDd-pk5~?83|2{i6IXSN=7fCcGX)j=nsQ3+Gc~C$0j$ zhxIT|I{hJ4V5UVe<_Ed=!jFO@SOt^`ox=7GGD06ol~p_{bq@QkeHu8zn#Sk&ZS-{w zR}l4+#b(+uD~?v(HvDggta6$y7~ahvolI%z;qGFCW?DM;EfkXi{nNar?+wEnFFjh{ zd0iFmw~0RE9dQj#A6-hl-L#T_<=J70X_Cvuf(=uwu~$c{rued}r`L2)<iGF%VDScSDSv-qOC(yD9&s?zg3I^?la5y>&6Ow`kP@)&<>Vo9V*rWb4eI zW{|HRvj(0KsEZrK|3D)xC4ZrjbK2oIe~Ad#R$Jq;qJpXgKqP_-qr(DqWY1BC6-0Fp zW(qy5fq4=GEz%#$IgqS;2CMZ=R2UJ+@Crmv1~@Fx90Viyv6_Q3MYW)PEYFoORuLaB$bAqix` zN;C|A+i(L_6e7nz0xW^;^8b4c$j=QoRAfP@3pL+|kwFhqNw^@h1{ed}Ei0B1*Q_gl z=*a>zD!!j7dF|;4^rcX3<8bq~ZuIExesu8B8gAGT-QnBe?{~3YW*}3BQK{?t@GX)M zGCp1ly?SCh^!VV~;l{SMxYRc*jWk$ET%mRFhHqR3_D%AU3uvZcO9SnDPb}|P#UG%N zPRa3QN_2mhTi3OG>HRd#0$xF(XRCB@Ku3bnQW4x;UMa%&K;=47#j%}EEIsLK$?E0e zfUyo7MtuF}@>;0+>K9`qqw_7ct8Bh9Q`nn_=zgwjVyjiU?UHWr5qo*7T2;wici@6L z&(UV&G<7768#Q_VLkCcH#MD0>B#Qg_8z z?-n;Bt-=MKB!%p(Tj39rSMn8l9QbZLg%7oSdSVx~fx{Rq#C%(GTTX7G9W}IQ9}~?7 zORSG_0u!ZWit6mTK*OjO^Nx6VkwN{{Y#y1~5W9`GJ^rMe8J(enMz{&%C+ps3sufRI zIF-aD!+mmkG*?5#SCVy+{Wm@C&tEjlbJ+7NP{itGe56qD$<4wiwdg}p?j@nI+hXQC zG&d&h9C|ljq%gf4m*}>LOP^UkJ(llb#uDOGa5qyp+zKXj+R0IzpzBGc&zdMG_ zf|lmdEo8OH!qmF*$d=CVZrcu>u53Y^R0a8H%veg=E_vdr!>f|d-}|z=G=}kV*Upq2 z!aztntpqxXFHbtrH5iJv&@4`}{o#F~LDT27T`%o$F}lj1^^o3n<&e5;Xx{mX+=AO&x|pdLFS9)MP%vC zq)2`pdrL=g=8N6>IGCOgN?ju4D|o-hGBTEcwBE+@wBUgAJDw8jx7BJhX|{;=&Nb%K zu@lFg8lCO387g;fHT$U3&kRl0m)?nl`95oH)a$XW-)yckXszfN6U#f!fvE{bTuzQ# z?UIG5+RCEuWO2&CBad0lcRcG-$5;3lT#hVFZA8fT`Asn`7Z2&(&<*JC;SwsM>n$j? zf9nd^`5y3rdZ#s+va&2HPb(rN=Mnpf&;C6mX}h(|f;kpBg|<~TmrI^-(j-@(z5ET% z<`ul-|A)1=42x^qqC{~GQnA^5vVn`aq90qPiglC%*&pCedJ9QEa;YciHj&n7!@;hdUmMu<+G%a> zBT-aMY&YSEqAVz6$?br3mGf<%GlAO}p9>M#ZWcuu4r@$n1lq`v=hY@G4D{Pwm+^nB z+lq(q>B(R#4hFMVB|$V$)E66kdX^lw9>=M{@WP+Th7+WrT~f)WqM~Uqg@jPVx>ThI zUQ8uiyXQ?W^B(Anpo_(OkA}nR0_u{Xy@~C@ce7ZS@|YNj4h?z>emCYBHlNAUDyORh zjep)Zu@Dz0Ux;&jr^QFnkK6nKxvVC8QA%=tWPNL3ajVfIAV@ixep$9rrG0KScZINE zW5TVne|8=|yrt8sn&wwO&s@If4S2S*z`5u>Q)Lm~IrK?WbL}t^!}H90Rq_TQt^7=^ z!p-}%69sZ=>_l(v9D0XiomJ9K$t{6*`5u?m6svl_Szr`l^(t=zy4M0I$s=y$z#aZc zUHz4q=78ND7i3%(lMI4ajVS_&Hz0lnxvK$E8Y?m?0VJjx(4c#00;KCkfaC&EuK}cn z`I~`D*cw2;&Z8L^V3jWVvw;bze7f|n!K8rfJgqdVfe`8zV8v96sr1hb@*g?l|Efd& zpBdyySUiarp#B2lLl6lGVis^dJ7(M2^H*^8?!s5Fo=$uVWi5MUS?Z;lhB~SIjGC{R z(~UP*e5!L*3QW3Yxq`UPY)tU3_WEt zBm%hMuyl11Yix%B)qecvve?S(n&|%ii5)s3stI*&LfnNP=MmHt@Vvhs{+Pw7PnT@2 zzeo$@5_LJRZvA?1%rRTfdhkHNNT^AIvVr8<>d8;yMw{Kl7C+Jho;e>g1lwl@?F>CL z(LZiDJ4@lNH2KBzk+elRO%@?cy`55v{9yceqkOI&9s96$mU>ADT-|PeJ;yDw@csIh zTCVAJ3QDZxT6R3P?ypUORG4POpWa15xHRfL`}NlOt9GE zwa53O%7Oa1XNAr!nnCl6TxcPysj!&pFLhTBFK;8I@PpA+RvNI!enR+Ik6c=7I1M*K zGdx?0&q?!T9$#p5p>3U081B!7`teUF`+9lVHfb^hJg;rGhUBWYCW+UVim4Bl z0%ilmZd_^D<%mXRx#ayr0^R_XmJ__J@##pkv&xO}?eT@{oxOLdWRzc#B-*YAHi)P{ zekv}JL?H&dw*P96?-*KE-hK!}5pGwd`mlPMa!;>(cYKx*@Px7wE;dArD%|eZk&8Jy z{3OvPQgQfJuB2l_X=cL=(hEksz{8a2$^GI^aGFXqI%J(Q$FqiA$6_HIHlHrDm7Ilx zY1ezc_#F;Yv^R@V_4#Tt(Zo5mz!vbp@Wl}SdIY!5m9OG)$qIHE87in_8zwMwtB@lK^@e3YnIG?GTvx#gg`% zA2$SHLOXmAL#dI2^H@q~iLL9*h&k4|W*OZ;fAu4{fj~)Q=`z&tYpIN$7D$CKt$8)G zYB`u@8K;;CeUJi`Ny2dMQUk^75S3#Dy3xP?r%j3^o`{A4E}XduFEK|HWporPw^M*- zRJ2>UIR~tK2vrM@n=jCaCheB$&>&$6avF{z%{PHb!#O@U_-s}hY zA*Grow$rOk4rbCZFGL2Ad`uhS3cF2sIw_cy=L~_6fyu}*0a-a#EK}H;7r8arxMzX; z`Q5zCg0kLtlM4f~bI!<53pVRc`n{&|2wcwz(- zqG@FH#WOsqWZmxFiO5G@Rp0(SYuQ(}01gp1R1kQEhd!J)ZKjVC4A)uxWBMTxV=<-r zSKa(GrKLS))Z`(Bpi`+lW>>=h+ z?y{iG17Atr(CJYZE`6_-x*a`V4&P?M-7eVqR^t{ggW8+)MAg9wLM5zz0`q}ACC#K* z`VUc08=-y3QynD(&SGD- zMzgkKzzR9{>isAM3%0F%?)f3w9m{D_CAH`lA`I&@5I*6r6PtQi`(Oj9qiu{yVK#bS zp|Z5(i7zvj7KEB>R$5(GuA~ZPIIuQELUFp++;u5Z!@}t^4?1S-IgUaeBScRP zZGLIPFVQI;w|2fetX>35IPl9U!s7N<*AF-%0)`uQ56K#dE6E>WUB$vN;Ot+Y<{R|| zblId-1zWbeV5-8&Nd=)WU^^RX8X7tymg;>`m7t{{|lAmto zYX~zcADX_-x-ufD6PBy7g4L{%5XTVJ31NE1KlvA~f^hE6A>+4?ex+4L&*tdsdHQDb z-bE>Q&O&6&9v7E!G={rWK1DSQF2T(6h*X%JqgX@%t$rLgfqJp~>&**}(`d48JBEco z?|UD2U(d7LS58uf61sLR4CVV;)k~eP8?K*+C?B=0vy0HI&U!Hzg5=Y~&ev9 zQSJXD;9|-2j1fpgo(uI@fbQ8UHIEi0lso0gER9N*_k43bCq0*a zzf??hZ;f&pjSl_&xvhJ?LS`DvX*NCx2KvCFqxYy`HEX(8AlfC1i)2Zb|K{}Im@A$z zd8{uqvw)$O z>BZ(a*COW0lB#cqN_sMmm#97M&%ST2Uj}ts`Vfv+Tx@ihx>Kh6bmC z_CD>1b)jO3PtV&?PpK{`3M@}k5}OuA+1E&XY2N8)#DJu(K-t39Q8%JqIVFA4l-R>Da$*hfEm-d??Ak<8;+Fke#tuNr!Mt{f+_>qD})AN zLt_?CsVJwu=obSj@j<-owX_Vq#?kYe+69Sx1HOak&YNU?L!~hpD~b&AKL{Y zu4c@Y{y6&*s?SuDjz|{y3E@-E4?N!Rr2(s@brZeY3n=emd(LQMwUp@)$-dEnt#-T2 zyq}Dp;hpbJxfJJQbt7QyXVt%7E$^4PV1)WstV>~<*YgYCT4VZwL*VQ<5v4-@2N0?|th`LU|bdE6RG|usm;b+#fwRWbWYPKuUe? z`l>|VaafuqAKyryE5pl7dq5WvD zOAK0lzi>1dR0KsTxw@0ZuY9xwwZ<|g*u7V*=!m-K+UNCgyJaICpyYDibd>ZZckbcH zhf!iP!KK8l+~&(l1#m?PrC;(mRK0MNFgE?zsZ>>WZan5l15P49Vnqek~xs}+9@5i53d)Gzp1 z2Q6_kc)0bfz$O=G@uD}qG6tVy>RBG9AG}(|kK(FyRZQ7Cb`idRT5de@+QM)8UJ08z zYCIbEZLFv~hTFKehQ$=P`3a+{o(Si%e(I&rF1H>ym4MN-|+xkXp-I3$w! z&II3VnI^2zBo~-)zZv*^p*3UNi&%EQ+N4^KY&N1ME+)`z zuc>r>c%z@IE(tEjZGpd`mkm>EX|Gv8|CFmM*Fn+bnLe1;MK~psVT_@2r*#UB-7Lzd zFBdB+J|TC_Ub6O*s#uY9i<%AuZx354BJI=6>b%uDz=@u{Hf|#$yDJ~>B1^hqm~|-C-%xW6MB^T;X~WqMHqL8Py)L7loag5 zS^^lDWlmo+;E^PlaY5G4kogbPCib`qijm(VF^`z`=EEV zOpqDys)5gvheTs;E;2gJd~2y!OO=w)haNv@FK<+ro~jic^-PZ7D(e~KEi5uoz~6T?=4{ljRgR^L+AX<{i8#wiFDqyB``a51w|aGybazgEpp) zGcJ4?$LB|Ef|rq%>?nCL=H@q3~nHF>~b<%L9j%a%&Ki>&QxiPCJh*F^e)74 zKb71a5LCs~&7jb###0Dh4a4yHF#1jsa?`G_XWeev@U}d^e`OgtKMKpt8z!9XxTF2{ zm!BS6RBW#3uZYH1kAo!-9b<_T2RTPnt1}ggQn~itN4&_0i#)7k&g{DHU<#F+n$Wxa zrzko-jLshMSCPwf{#2f#JAkul`wzf!7&uI0;ryP!_$L$slvA0o=zmwIp86)h+1va* zz|duC#l%Pvr4)tmPGBZt|5oVxM+XBCmwOsf+zQ9|t5pJMmElf4!JvP0XP?Ab)qx$X z|01IR$9xGunkRb_6A$ON^WHySfg92XJPmRpg{1UP7Qm4u)hs%8IWY5NnfhjJ9J}ITt;b`S%;e*DG_LxvCO0C-XR(Tq@ zgP>X08pL1T9)1#e3wv;K4WM|@?7ILeb~%*y?gdG)a0wQGybM+5@`qND7TfXL^&iJ6 zli$8Ah!w^nNxVQJQrQCO5tQo7aDd}9V!gOCWK0oF`;J-ZyFs|VC+$NKa2RC6axc(3 z)I?c717uh~Zc}n`x7d~tfDHTGPnt(FmH!*Q6cvI(#$vJ83*F#GF}i9Di%an#^nzsh zK5sNhbf=x+7}f5HH<*Mn%;9(7X>C7fj}q)f{x@G^>|{0#7PbBkga7)5&|=7WgRWE)RTN64Vi-e*NI$_XoFERikW`m!f-|5|Y0zqInT6~&b;!p0 zh0YGGAZ0LPvsiN?j{dOMD-yLhN?;Y5xx1F($0&n)7Nh zdiIfTnw5VJm{qQqgxGiq^@a70;eObMXK>U*jWQ|e06^awMl$QzQk-nn4~eh$fG zV%*=?z49XMVHd9s-xIkc;Y5o;rjO)A+n3-hzOBI62*7}qWiDdCTLxc27zy}&E`mrj zk$%O{Es^~Qr;|UZW?A*ihmA?6qTEe7m8L8ePlZXEaNh23d?I&{@l7&@O_7;?&(W`z zf(~1*So6VyIeD`^Y;n5i&%yt}=U9l`#zx`YOW_C6^0JDre9gJ@-0j%EMMz76)7_@JO|s+gvk=X*okML!Oo<7C{{Ud4R3%o|AjRZ?ygbPcTZlISNfW$ z7sg>xUdJ{jJYXnhUgTAG`j&wgWMuiv>mrthB>{z&z0#pQ!Sji|u0XZQMLww4lKZ^n z4)|f_)YK)G{FjyI+20q0tz5gqSi52sez~(sa=%Gf7O4XOs-Ga|{0vdyG;eY87jns*J&pgY4S&oX7 zI*;$g!k8*9BZsA@Fg!MUlm69PmoU@RNPI1Ryirsy3I$g(pXeq4r3-76WYatZ^pV!`TVXw?GG*L6*}w>`?DfHGr#iNY)6w^ zNkI$VwQ-5Rs73i~KA-Kzc1-!shHgh~={80d=5tM!g>;nM<>BEjOeHVE%O=0}) z{OgB1GVSM*#M(hO74qeGeAux2?Tb9;jANxM9Z`|t&dg>OtEkt5ZnxVqLCp+BVrGVP zA)k&W8Gn7u_QALPDj|@?Mrn}3=<0H%if5fU^nOVxYdioA?1Hyh{;|n^q;@adx=GAb z>CE?1zqx>qL0AR*_)EjC|M_evRSDy^wR=aqRe8)PC#Hc^C(}ZG!(;nb|Ie~7t}}OA zOD5g?9UX|MLwJVh5(l;25GX46-iBhMCSdj*xW7L3xYm08QXV1k?EFhM^jBJ$Bgm5Q zOlg)&DHn`pHF#}+lbw@I@zN~dcG2X^7nthL_RP6I1f*q@UD@m`jUanR;-w}b;2Tra@q;x1C79-|kP&!`wB++;5!Kj@qs!Sv1pWj} zx#e(tez=4i?Hy!sOQQtBuP3ww1T}m}uUxhSVi0gApv!3mj{36f_)BjZM~D>Z5xm%G zIbb$kqOzr=G#Kxbmb86HjlZesxMZK3B1JySL+BO$n&#kH|H+c|r1Mjj)y+mdbLOl1 z_c7)oU^oxeLJZfpYU|skqJbWc#^q=k!sW?X;WPWslg>}tICNaj^V&WV_=3ieRwH9d;Jkck98j_Nm98PK@+Co-i5?2O_F$?*n{Dxcjgavz7256_OC&>p^Uwl>=?DPv~M zk%Mp1zUOkeJdkjV)JTfgm{g@cBK97dA^hDv;K%_)0DpH60IQ7uD*impiu~0*ctSkn z05#(Ghy`FY_J^8aj3O%fMAdzQBRo7Q5^hS6o+V82-%hoV-TtTQKQfFc#C#bTDFOJg z|El@`0P3j)QC9;%3_wv8AaBq^`X+#35Kf3bu>Pa&{|}@CM5R1M2~Ylx8hKjpkN^K) zGXk*PnhP>63^yRy`(zXOQGdY&m=X=V)H~6D(Pci)BTKR2v-4^BD#(hN!vfQ5;{;uk zI$h{|vG2n$5&eTHns&Q4k6|R7E88<7s3F}{{Zpk4+((eab{*I@8L7ftSv>?d8KyVH zoQd{@i|w(flYroJo5vwl{5l^nLpVckbm}t}m!vVcef|9OUVb_-@{5Ww$m-eVw^txp z<>b|hAxXuMLKtl1W{Y?MpM^$+=aYgfo|*L=9~?g6i!gC-F&_~gT5T?; z&fWK=?!F6fy|+0~Q$F+JBcUk!XClOEKm64{IYx3SkvLruVHkGy4JR@I19cFJryRKA`WTgM0if1jR-Bkcp|6`@$M9 z^9md+EzU6!s#uA*J4v1oNfT&D%Bx#H&S{ucIz}l~7&lhp=h8`JY)yX*P4m`>LQM5vX6LK6)^ogKWe@~0D9;VOZe-V2cx#4x-*i3u!HsqN*xy-?9wa-aR}9?5AHny%W0 zpxc6b-Lo^7CSl)SAaoGQFRKz_xP^uIvJE>9y(@5LXW?aAe%n4hd;zXN<^HC6&6_rr znL+dT((dNvXz$3giO&Jg&=S0XPZ(;DlmCq4CUV&6PCZ0nhfL?gr_@NV>2R+W$Hbpk zhSOmCC2b*?St*C==P64AwuaV99>+07GL*yKOEt-e?4(*GFDL@#Eh~NnYZq6C8Se3y z#WZU3*AKfxx@FIIM+M;ysn~zyOSw@A1Z-tO;ZuknC09Fbh~gl`5&FHeCfrOv^-Z*a z-<@DqV?Mw3>knjq%eRn~MiuTl)r0Ncx$O^5`R?M8GEX;DQ)OxsJ9C>qwAUP1Q-;dd z^xQuEg;5iIHAPfaU73qYS6&w4W#QmCJKADBqb{2prCRd1lRQtq)y;i9yh)kU*%_Uc zyiSPDDlV)#l z0%iBO>iHz}gyN}!&p>}iLd%b@HLniI5l>^v)=(Maa-Cj=J9er2uncZ*W|Jy|<)01LdwEezoNe^#WJcZcIMZ9B{TJa?>K2-I zR9xGFRgI~e6l`#y=P(zAFuQVarGq+9iKF$!;E_CH5;-=)9i8?gbO^nWKUNKG*| z11t~V^pvClkCP^ig^I(&`(Lw%JP?Tu96AVYGoYCT{I4$rW)FXd@9Vu~AjBYev7p%FKZ)9={j9!Fu$&Jh}+tEQIMN zFMB2Fc4zgl{x=mMlu>#!Hlsl?kk(AJ9p&63U#c0S)Q$s11T~yh@SD2 z-Z&}L-l!an3YaMe@9mwNsB1wj_O z7uEbhtL4EaGVoOB3wXWqoc=~tvC#1A z)$X*&$*I!GmZ_L~7iagC-!khTE=pTJAc6F-VGNn}!YeCdO6Twn$P{Sv7}ugacWsum zv02wA_`h57m@8E5`}c@zy$Lh34JFUCL(%PySQUF4AJtqpwWw8BI?#_IPWnmP3!#j% zoc$e_H(@zAor3jB1_bNGl_bst)Uh1o_q;gP%!=liK6KP^i_|-c5GlfFZ9@~$mSODl zW$L{B=mi@*%c0{Fdph#==QT%{Dm>p0)T~(w)^#NYl;G6~q^d6;%u3-3t>kBng|bM! z3b-~C#wQ_<8hpzMB(G&!UbHp7w=sBoxWOrGcWg`wehY;QSCR{r69b!Qel*|P38$Gj za$jwVJ{k102=PIh`1lYmEK z`oE>RgYh22;omD$Hv4$e#+&la=W7OPKeJ>fzze$%=kV-SF8tmN7mlMQsElEN#tSRH z9J}^`GdFRSwW%PxMZo!81_AWNg>we(*<181au~or{#@`q&V-DujZ2$oPVSyWE=dgg zJd}Mq&)dPs4F*jFS_Uz+UNyD2@rx1@0{z$XFTmmtrZHEq$-X3Ey?-B(;~~odc13Lg z!6{NmG&rJgZgpv~Ql@F(sgz2>Ilu|s1ldapu@ke+TYUdvz4pFB8GGx4GUr&(kgy}? zjDw>sWNhdl70v^;C%tTmyOLOPq&Dms$J^M;o-or6RSmpJME3LJB@gI+VqZ8zu*p_k zAvRcj&SAs>idTri{kPAvc}(OnIy;_u;U&cO2$LH;`r58L!mqm5@$pc? zeXQRI9{!klttc1uL-{aKA+h$Z(^0r9gw)?+=MEZ?up`+pv)9Y!hkrh)ew5DzV!jy} zGXc@Vm2>7<89hyjyanE)ZE4Iq`*8F{;NzY1R_C6_!SXBe(#ZTm)c!AG4vg=zYYpG0 zwM6S)c4NaSyqJyyhj$0KWpjBRQ&OXwL%IWqxQUi;IPl)#neD{mIgzuc5kLD*XWsas zf5W@Z-BP-Bz@+P>5{U~VBR~YU0%Pu=W&c3t1y_$C<+FJ!uaEoHQIIv1H#JLWM!2ed z{NX(aw0tzu1?&k-o~p}-t@pmTfx%86n>oKvV>O6Xsgxa(tHw&em1GxjJ`CICe=;Cj zPxZUX_{jI%nfa}}Yw5|rem8fUC_@BN@p-Fv8(hnZn^dq=qtha6h4%I5+A#)H!zD?j z#&a^s#<~0jeydD>$j&h)8Cb##YQJ+`3m*!17g5{+h3@<2si|Bj4GxB}$m*+aifkd$ zSpq7Kj=ZvN@(~wr6~IDSVnp9m!X(}tEn>HljfL~%VU8V2gk9~xBWqG1Iy5$Hh_t|dM>cn%@1FpHX2C@J^I>v+v!n@!+@&(2)hw~nQvA9ZK%BfxZ-R~NXHpk$-W$99$bENJJ9 z6bF9>m9Hm3r#^y%l+v#>>BrlfXZeypLm1SpFv`i+Tge9bL}E54MoX7MVWH4ap@QCZ zUJCke7Q9n$Ub%nyRR+u+w_NRVuUDeB>Xx1@+onOQ8c0<;rb0#3^>tt4ldkzI!%m4p z+oSy-V^%O=H*XC0Pd@OI<43Nh5k7elFp41Zrf&j4$M&kR(FOQRrCR(!^n10$oRUNL z|uJ&z*WdptSa$SB57dI#uk8!*YB@cVquypONbs)_@e;vw!3 z#-Et{U^;olpbI^vJn^kZ=>6GCEErpu_hTI!0mupjRQm6)I)5lbS&}OwKpkC(rrz0k zmHQ}r60}mJq_#bHE&sA1yFT#xNfyD~<&-%#Xa{FnxEua~5tqs=PMSS+;@xQKTGwiz zVF$_DPhp&VqYI5i?Tdn|RLG(40rI=GASZo+7NrQr4>7GKdzR$vYRjF83h8lLK`1Gm z4GoWulWe1eSXDaPuUt!EJL!Uvb^^x)YITn!t$7Z!v#t$e<_aIV9#169Dh(F)tZ7 zgCE=L@Lv=tYw%t6()BF8tU~0^l;dwExg!9$rxCU!gaGuT?q#Hs zl%AYk9iDz8b9~lW+_~^=b@+p(EadhQlL}0TEqrJHSRiv3_;YP;j~ts_Ia_008B70- zyW`J&AClqKOAL_g(9RBo`12b;7*q>>6k{9>%3%Z$29+lY`IH#w_{A;`s>ZalsX%#> zmN79IE=@CPe|5Zr&stM=f1#XtYgOfEb+$1MhgP@WXT`dS>*=471OS36hUrof?*V@a z_>O?{x<~sN3jz5-K~yw);+T@Mq=j^;D4A9aosi+h=u!T+;bKX0u9e=fra;6rW90+M zAqwb@W?#%ug5;<@UupLGBy->NmM;Vwd_N9pzWeIa%-t*>_r%h>K9O)p!(>=PF(8D(jW_F@3_nli@8aZ`J=nG zByNvA%pk=$;j6|AgbGO&no)z`W>DJMU#}_*EL|sr6I_hBgPBWsNeMWs z&5I}2wos|#Ggep(j3mnnT3HO}3SQ1B?|wgwv#AI?*YLKnhZmO?sWseXoqVyryosCo zoSM#HOaPwIp<_g27>Z%xIfr;k*E)MCF^4LYpyS~9T5>zL{fon)43_dLY8$z3$4QvF!zTV1JeQn2NEMZh^6Po>B5V50!>vN| z3lc^lBCV`v=_=5}23G7)UFR8AukmLq;Zdq~b>sKxHn{7Sthk5c7wmUsJUpxYkp%Gc zvM|%*)T+KvBNXNd@4078?rda&!R;f!nFuWye8I}h?^EN!%#V*3OZ`xYg$QAqR<^WHjN`KKt`hu;)4uaTMDKu~F{(JF7U8`vJhtx(v9sxeuJds( z=6YU3c0&&@GD93GMSi}0DN5)O^^$fD=SeRumEwE3J#MIXzqp}|zB|AFEazq_A}l;@ z?VO}{)~m~Mccom!_Q`7@L?<-9tPQPaFX0vI5%`$$LBDFDr`w5DZKT^pR5S-v(=ex) zdM`fK3U1)M%bOmEnstZ1AJkFM+fegPe5R-N?JFgVu530cqLE0n8KRHD^%jiJ)myDe z*vtxjin5-^Ijbel`?J1!*Vl2GpFa&%q^-@;cx}{aC6m}b9AcCZ?Oj-x5PO?!64XAN zy9l+H+I2B4eSW6lMvNMM+0QmZHmuV8LiNl^S4D4d(e!ykhcak{!XhzZMHo9q@^Ymd|=rl8x|Ht2u!j(IByOyFv9LM0I1LLZ;!k3Rn2dF*$&0-FaAdHPqS9HK6aLJv{e z`K|E%S_}0_ae?pOVn{rIronFxX6OS@i2yFp9)TkIe<^f7g~>kxY3}9$6Z8}o3WP!4 zp92+jE=c>+I%)?HHM*BT5!3uXOMCx^iS9pCBPr0)ao=u(*unGSnC-WEL*t^=2L}NO z_V)P&iZY!^R5AjMvy}3)MRfL$LH#C@&R7}}tnBsP9oJ;<35)9(yF7^9^(93ev(SEY!YB6tG|RLnosvxG|W`v_l?U;w85y0LPoHco@Fd*1%sR+GVhuVmi7Xjj$&S>`!h9Qd<>W zyiu7t(l3Du3W(lB)jDR`=6&8MN96oJem!JnDjct>`INy8qiOAwnsjIXIzQ(6MHX8# zq?R8>fp8&+~V@jQ?+>W#aKzAh(YXzYi%`kk*B@|N4+>n0V%qg)SV6d}8LC2KA2 z@?ouUtNoM3)7>)W(JYG=Yu7n@DTV0CIW(!_u1geg2n||G1gv@CmQBIe?Y5ys`e+oJ`a=K0#SE8XJ0KxYf%zbJ^WtDk8$q zn8EuPtmT?xw_KA^JvK3wVRmxaVqg8zB(+?|c#|z=Td*RbJ22u60s^CFw@Jg?@b*HB zN=inbRXM1K>^NX7I_S1v4KKcj0R5*pSvBie+^91fwXH$Ct z)6Ey^0W6MV93}yKY8NLI@f!OG4Nz*Djw(GNZklmELKxlF>m32&hEiK%@*JqxkM159 z*V7?><^)y>SaT}so_6>8EBSMvM)%W)?n+!^aB)eX=k;@xj1EU!2XJEHjvYg>>D+(; z94x4_Y&U-4_f7yfA)rlhtUcRS%8IVm zB$Ffj?sUNj&XFKFwwdH7rrizGODv^wgH3sP6(r4)am1=3`W^wbt75uRXnjmv$_lK! zFK^{R(!|(u=Skaa8>OdRcJ-6FPSzR$1&zbe*^%r7$t`8TV~;c#N#e$aH+&FcWobv{ zdl{rBsXzu8&2a3}w3#W*jeifcWq@_~R4XF;_Rtg0!2@4rn>Bv0uQK?HLAd^*``1*K zuTyYk>#KH_O!phw5hAPdCg;3N6A@tL@1GfH3A6(X;}tgxtH*Wf=S2s~sCMgo1)tBz zjpMTl4SnM#W4x)yjT2?<#6qp+IU&T8zN2M+JzZaEl!zjNLKggng1`VvRNQJmBfr5lE^g<9nok&7|4KD@8v}hQ zG^J`d>ti|N$1(*A-C}TB9y(pf)RTWtRD&v3(23ZR`yc?%xu5nS64qR-mI0^t*sW2qhrSViPdml}meTdFQ>D$RoO=$g$AOcx1h}b(yrHi5)UlyFZMNf@KkHqDgU- z9G_pl%~CBot+Dr&%26%dWn1;9s~8Mj%kOo=4|dEVGk#yXVy2{c`6<(lXJy1}tgvNJ zvz3}+@5`Lnk&LJIsvIutfR6jI3&PMe)nLR&J9hZq@xs=(3y13NH)YszGjXarE*5gr z`{NQ57eWhRLWH9YkC$yIjNpq=g}`-x9*y4O6+5x2H5T$rpI1?8?jvi#cx%)T7uaWt zI|$^k?^)X9xJoZp*DxLjS_nmshwB~^k8)7Su9<5z0C5L=875w~ za>n|7=^jJX693wMHcb2yK@W6`E+ex=iqciS&qq_yBRf`5&WdrsOs-XkA%ED>*4|bA31w^lYPbUBpmH%$^02YP6rxSo9I?(AM zlLHzxe+y~-7V3VI*7~jF__P4jzn>1}z~XO3t-lpHdHz=92@$@;B!Rp~!w`ie zQp3SPl2Abo5cvl{H>$lCT@)hw4CD+^#sn#+02FixA{xjV(*Crm`Vx@RTEzgtK`?Ew z;2<4nAR7o(IGhs14IShHDSHG$j*fwl#6A=R2pa~lI}8hY28mNclYp#afE*!zbUFW@ z8{}XDcXmVr{l&Er_&q9t!}D8f^dBP;0)N<>(YjCFr@t-)^qxdI1UMleXmmiN1096% zhw~`_fyDwbLh3;vz~D##B8ml~2X6UaW2gcUKP(Ungc<8kSmU3try|V1Uis`PtOyAE z{bIKESagsQlK=UZow0#!3hY03slWyS8X*70{1Jd`V}qE1=W{%zbE5x*lK;v3;pK%; zI-sfpeKm>_z@-sT*5C(+m5VeAzpfX@T8MsOnq);Gj)n9|UP+oka}C7DaI+#bXrNf2 zxZt6lj}ekKxRl}A{?1Y0y;@a94U^oIKH-!v-wki_6s2fvDF|~WF@8-To1HOk(}w%K zQ3=aWyaOz_E3Ss;<);E#v4YE@^vmxq@6oCe?aQ|J6N}9RDoRMZ7 zt0f7u6hUCdW4o4!C^iM4Ex!HSufE%ldTdM79bPIp8%vdB&?Z&$%-ATHeUz`8xl006 z>^@uaIr!*n#LZixJwaKgU_U+EL8fnYSY*cVJl)p|1oU5` zkZj9H9#LlvUp1tIxzDkrr&{enr3TrCR16k#l2?ix?atFwEMW-o649_zTI*W<46lp9 zDyl1Sv1)N+F}tsz!<6&5gJOP)X(_Dr`*ZJ4e_-4+&@R(^gS3U&@17K;xCR=6{gj=# z!ooVtuF$>kDZ^W6hxSCsl%96vc$F_Jrm-wWxnn+B*^~ANEXrDLslA$6vAoz9PFq;2 zJpqNR<=RZN6af|RHHVR`lk$0e4rwHag1~&@;x)5P(bcPt__}4Rm5OAv*A81mFlJ?} zDNbJ1PFlq}7JBB_xTa1&84$QgOf#`rYCC#d(^~yc%(M@bSzT?4`NKSM*2;P!*{AQ; zH|W^r%?9hrz+b(Pwgyzj^-!}V&Zdy~r-TwKblslIPvWjk8dt(EPQ$-`?oFx6zI+3X z_MLyV+2|#e!Jhnk9djK>f=sC@!wh_vT3(}**KsH=83$EqlY>X-q6}3!+!#*}Y03wb6-*Vl{0tOyMfissKq{9Pol7H9)TXTF(OuXkHLs!t%sWrKFl+7!FE zXLhpQqqG$&5}Tef>J~f@y42gh3VU31%CB~~x_tR!{r$`v?_p;n8o#iV=8`Y9uRbd- zh^VlF@(7m}0u!!NQIPoNG+KE7?6>gu;vvV{g0ajqzFJ7h>ud1Sm)`W1Pd$mY zYI&>H?eBT+@6So|)x5{&N-O6g{f36E`7WMM#!DLvH9LeVzj`Hz{u09@t%Qqcz5_Ce z*at<(--!009Eef)YRiaB*M{DXQ&4T=!!9&MZtAWDtaW+G*Bj*5kr57I?|j9O%Q2;# zbaBtI2Ch+G81pg(jW~Srg_couZ+M}tmiz3Ptb#afk-A5u8v+~>yAgeKAnp{^zNDX8 zR2xDX7}cr=i%gc)PxK}9(xl8XNaE|+$WU<2&WAbsBL8Kg7b%#igG%r8o*B=ny-yQl z;;->aBg;-TIhpa?>@wF}I}a$VDkGjET?s)i1<-l&a;tx(=uK2vx6Q(xTHzV~Th<7> zo7-UNISi`AZ^GjVd1nPvD)K=-v&Kx|8B#g{a3lOh;Dk$eN-!ncUJCA2!QL)@*<+CX zXm;NMe+8@fBz0w}3)9OIz_X%v^EPuJV!v#!z^Kajd-ku}83?3!JEq}m_j-MO@5f7y z05O5A^8K+o5#(48_%mVxy-L9sqzUc2|0VJ++61~tJR{QLScN3unOiC;*>*Ew;T zU>Ltnciv{Xc{>qm3ugqC_YR-3(%-5@RJ0$jw_y=YuD$RQBo8F6**XJhkteLu(E9Fq zaNYb0Cju#Ve@u(|!grJgGe9G?n7?^mxoc~R?;N|>H zw}APp!fyg3^FK3(z%<8SQ;58O#5MuLFOENM8NlMVLCDi1e_;XMzr?M8NB)8Uyc~Z) z0A7wif>FR0Kg69Fgpc-*aYhKGB{2>phZ#u_B0>TreJxdDEXWuwnjnNC6d4KPOa@|u z=VYV+T=P`0K}0Dd)j)FJc!mUlCI|6@_?|ZYb2t)m8jgqq`ND`U2uUXgiNgO5fG}Hu zh#)R{Ptaii;~7MZ0%QTv6hha8oKb+HAeB}i05vEPBS6&qvBe=Hl%PmR1sHhja27!p zQbGlaNHPDU1o^@Nyq#cbU{jL{m=6)xg%gJu(f|l!A?fM)j&L#%Y+B%U1Y{s3$jJsG z66A;$6alGxx&&Hah>$)y;F6UZg!UUH0KfR(gq3t`(9G;IFV0=y+b=z#ed5)2OyM56|g z5xitz;JP4-88lO+pTmZOS#`O7o`|SdYfM|eFYiG_feaU6^z8{$tmUvgfeKneExEkG z-~~j9=MFwL-tDfoHj%{}Yb-K#6;fl*KriR6Cpeb@ zeSjIPncn!*O~3L79oLq~B*C}qqL z;wpuZu^dGqG84)?WNJ{Ph%%EhhRj9kw`q94d!Fa>`|tY?cb~oYI{WN%_FikRwO(uG zpRRl+iriAs^h=RlG(!CtH*A|-oH~zO_Uk85&LX+r6u;EfU)*T=l;+@JwNm>aBV)Zp z$6KlC7OmHlSy2z7yV;2&bC;>5E*B}EL)EKrHV+zncTbJBt9~OaW5yFT@MebZ3jP6; zAFEsa;bgr{tYr?xwM67-O3Niq=5*tv6K$LzRY`uSaiOc7#|L|RmsEz!KHh0%?fLo3 z1lkdW6|Y090&8xI{w-%S->Ts_vaYdSK4Rex37g6WR>zr&SS{*t99}JdLc2mg9q&Up zeyg-oJ5e{O;X2y`Ve+v4$NW`3?{dy8P#(fBXEVR7IBoWP=kyo8lS!u(qd1KEpS26w zOZqTt7R9lX)W%14vZ)!a^txv)W1xuAy@C&n~~Kh{)Mh4c6O_}&-Pu`AO{ zHDze6&vAHMqbaAox^iCpvrqe`DP+RnnxkvyqfobW=#j(oCfNcB$`H-#+Y)KqvgIp2LN}@CC%+XX3PoSa zloF1ND~})+id_)!6}($bC~n}B{#JbI3&+g1ZV9oxnM&Erb0vmptH28qJ{ded-|Glv zXEu_%<1|;s!HxzZlWhGR`1$ST3ly z^De2lT)l*m=*|Lhl65-GaJlR3WbNiaIXTq|Tju9MC-Fm20Z3 zSeRKxxA7%kwy;NqP!-lsGhGq46w5KIW{R;NN~BNDTs#hisWp*Ganhc}O& zT=9aPuRqH%SzEGYo?0tuvRU>)^m8xa?l;A6D6+6lRk>}>A8*{g<;AQoWEtu(nL!e* z944N-Caf=Kk(6!P7FUmO`A0XrSon}8x@jk2={fP{Q&EG5&MPl!7GKM!%{!Xv^%?HA z6q~W=8@}U7r3IhH6ra?SYG|%H=(}J#F3ScGOf9VvoK?P*etP)iE4B2ArjEJ=VuJE{ zN9Olp2>dayl`b>H<6U=^B2~$w;JhptPO4nGIOaJUDmpdy>@-= z#%4-1*7PNjo(sH{vA@7g!>3{s^{$2$2=zdEy_{Nm$}tXc~P)_A`x zIUwAxL+U4)SRnOLz`cLUD*wZb1G0MkOmmEZCNF^e5^x1Z8UhCSTPQ*P7D~{+g%b3y zNZ%OrZ=vJ>HwqLKm;+Sk!HmWz0{13O+kXg%@TCwIG3TGsg>rfj|^20eYGOga#FBGF8Y34Js-> zFql9LazK2jB%v^Y=H-BxQN_!`3{_}SsY9I@prt6W1U(YyC{-YTEKHsV0XdzgK|*tu zFa{{$7O55_hXb;RxD|{p)xbvun!&-;en~$zRzUhW0ElD@(b7VR@<9420PP@e3L0|A z(S%F|I;sHra#`;WDI2B&tt>GRg&ZK z_vs+(^XGEdVH**YA&NUnDaR%$2T32})J(FC;ClCt&0p~Mlb@X{r~(Cu2h_gI zeaxG6(K{!nldlzxS*_m)X|DNj(}p)jXvXcmb{z)_&Ki6!D9yfR#ti=b`kwIC3NkYD!;F+Red}=JZxtj;7Y^s`t~wW69QSB zl)eR1!$)bbw_n1G+qM!mMb1b)3Y=*#4RAs{d-j4N>jZ=Mv!NP_FN`SaQSn0d@0PU| zaTcozv!4pqcAQ%7joc|atS!IQ#U$X^BY5(JTI~8~o27-vvRlTBMXcx?@aC+$S#o{qc$yo+XE};z ztbcyc#e@KbY@0P}l1>qvkI>l7#<6b%ReZzI65nUI`xFypr1@m_-1ft8=lHp$Kr2C~(%jW`xwZ1**lCZu%YqBf3wY`jRyxe&vbI>) zuUX5*lbyLjO>g^ts`zCjYJkHfYstWKx2fb-f_P-jOa2g{=t8$MMXd=Zu7q3Px#jnnS)}ur>_dzIq zo#7v&D>=P1`H;Eqvy!1!miEIRuwy;meDr%Vjx(~amb5P+&(ocwobkQfvIWf?XPQnU z9*ZBBuHX1^wWfuMl$iUff5YD1_u-@Uuh+TUl4;%2ioMKX&E+glGfi}kktlt0Xi(VO z-Xq?@f0%bmxA%Jay((_vzU-3o-IeNQQo-wC0r#F84Dq*1P(?=C>D~FBFx~#mm$Fq} zk~BVwbBm;Lk0G?DO7^FI!`&C0G{fydKnbAZV8tBh+5e8Ng@G9DX+fH>e~AsM7@+q4 z#kYTR`9F$}-x zLDCqEoE2nLMFE?{{(TT2p$P_ql7ZtOetL3F$dLg?2639eWT9?n(8qp_NQDQ5kOOJP z0O?=-_HTtmV*c*8e;XP+=#p?Au=Cbna#BckmYR$OB;7*7!M!j@8F`?(0kKs2^ zqJKY=cQOnPsavz?bKgsD<=v5gRDWs-ENy>UKDj;?3rz`_A%ZCE_W6=;yoQ4ZJWe!6zWBw~a7zUaO01i5? z;xK0BgCu4DWNrmsoiY%SDHSu6B@UzKkloLHvY!@h{~iBMOeTlIf>FWf$(W%5a~Ko& zR{qcW)^Hf};s2~hgNH*Pp-OW`W(Y(09|R6k&qDlXDVU)X7XPbWPEJM+@-?GnhK4v` z4DA1FkSHYhET9}RAp7n7Pa6N!cd`6d7s*5XVH5z}i-ImPkg`H2L}4sMKd+IZKt8yG zXJ(bdp#IYah56M839{Nj7qL`8btVebQ3qF9Naew+gw%}~m?3#F7&FU%%oai(w`cI*G8+Oc z6a*GPo)J1QLn8P=C>9a~8U${&rV)o)bzn4vkq zULLM0(T(2Qr+OrFTb;d@g=Y zaC2?wih{A?nnt^etGk|ehV7t(2hyACoFg=0YNz8^5yH}z$K+Dt&%038MdP_Xz<*fW_k^NP89zlsuxqFo^EMB}) zu?T@o686tAjy#*L#=G(Fw=rq6PW?i5v&*rf88jI56<#Ll*? z{fTT3T&%vyHicE6NP8OABhNIMEBbXfi))E!xiT&=>2m}Q3j6lNY|GP)QCytUE%z+p z&dZcOmOHW6uB;|zT=^lrvdC~xF@lkQVY9@LnS9jQn3=qUu_mTXTOva#xp^dVB!*FX zSR#7^%vc`zJ2E4&RY!(o1=&aR?3t3cL(eV(d~e$KCBehz*{Jw6R!pvTJgUuq={WY% z@dO{|{L71&^WirJnh(P)AM;<{tZK}I6Vf!ll-|#?j2H9bbI&Lh+x3XnVbL8c=eDFK zo%6HaLJSyjTPt4^_qGa33RB`I6Fx&k?RTQmkG$t%s#kT(*!6r>euYiT?U=4GYxjlq z4CC8X+Zi5m+r2>n#V=C^p1+w$@smw-g2AScJymEMo@bjbjdnu~r-qHq&~X`>Nd&R) zPIn44B>0*S)t&_IQLQ=)%!rF(Ip2?A15c)io*}tqUDclD zwOKy!=#~42G9N2^c~NFfL9E+(wQSk!GrhHUsH%W37yJ})&9_9yRu4L!`!L&e@}bkZ zlwypBBZS4B)2o?At5zyRym)YL7w+T{JUR;(tiw*0Jx6n3@0zK^d>Z^Pzs1ARR!rYm zqIP8Ts}fdoHN7mUyvopR0yC3_K^OdkLE&8Ol#$Gz%p2>go@$|wn z!@$k_UHkwh?166>gLCV2#psubV)$2v8fWSs<8Mqg-h|0KZ@P8EjGkGi(}yeJ@DhRP zGTC+){p8+C>gx|*8{dB4d^xYEWC@@4C${e^wg2|i)kWE<5%?ds)HQ5z72=|ov z?KV|C-igTtlDdIJH+3Hk_sjdKcBA_66UpTH?jq?Uz4Z#Nk7#^rSr0Lq!S`s!Jsxg2 z<~F0}<;&8D^YcUlD{iwWFH{jzjr9|n6N03R)x0T524;*_Ke-I#`$)fjziXQDp6&GJ zN+Cvgl6;M2K&9W~K9hgxchTZ705WwBPXR-=n2Un#;Y}n^8KEvvK@NPdFKqnGIog>WSj&ZL0#GHF?@YocF7De~7@? zP;5kFmom%o8#9l08AdJ~_ouv7S#(jxVP%Z_iJQ~6yJu@S7g9@!S1U!gqe%^S*rQiB z+jQlQ(Q8RljfXm_T^(Pc`Eh8ehS2v@0z6>?c!y6K494;^$J<~2j#yxRU=Rb|D?nw$ z3pJel#WJyQ$jKa-TqdnR--E#1PqknS2YJ}A(3BhC;2ac8?5vmr56XZ0V_@M(hz}3c z0;$e07%?bVg96ik`A_~Ll8*=KlQE-TDu!PdKjLBRkQN@swBMb{1$c)5neJ~Mw|^IX zPy_wI|GWz*2t%YW7&FO!Rr`LGzst|6ZwD0ibzc8DPOK|vHvg-cFDMYh5Bxs}|JG*w zUmWu{U;HUTY5kjlB7o8hu*{!=Gth_ph1B`aAmzCIoH0KIEnusYwKR10Nb6=$hqMfV z?0GGUl?u|(qmh6M5x8RW1>OwtPK6Kk@Xyd!-O?4$U-!eCmUt54@RfsDt&Wi{kl+@xCyu6N-tCD9WM4Sd!Hp#h6itR-7B)Qbv?H@ zaiNXa@Mu?Xy*noP{I`|30F}!x@<`f~rcTJerWMdqQ`t&s+wS&0hpo&PAZ2H+AKGFp zD?RD`E&sjvqLRE8v0l-Z%=&wkaDyY;nv&B=RIzMn>c>fa3h5U~&xhtpP=(T;l(}<0 zw8}y=gGWz5Fu*QRfM7NJEu1P`A)Ah$iK@D~f9Y&0!(qRiAOq&S_ad5hMA=Cpiz6dK zc0xtXXYgX`W?mS@iHClX(jJW;2YKTZvl0_rpZt9wAB_SY;9NVrA4EdJ;eF)#zy9|smTbM zC?PjFAHJ1IXq&K{4q#E%HQJ~G3i*t_>_p4CCtEp zgi@xTXikBSPb_GvW-XCFGD)!j)gQrpMlCy@XHu3j#ic;+;SQnXnrvZwP~D{V{V&&# z-iwaxuYHdqF-Z0>y5s!(>(Q)xA5b5W6v{atwyzK0D&R1Aq}#Jn>WMm`*0Tw>eZp5w z>6dV;msE}MrQ!Xrd&g?Ei)BLZ-|Y+_O)7^NKEHQVQYd~yOTmaj(ncZl z&w781;}VXXLZc69LclQFgo=g4dNOF)eJU*-uue$TB1>s$>Nu67B|W*T^5Iy=a$ZO? zyP&F6Re_Mq$`PsXtQ|z?#&lmpcKI!axNDAz{4$?>l!M0jzPLpT(M|XmStOBLW;NP2 z{O}2pr}kyH9Xmc93s+8lzfL`R$%~|G)F7j; z2IC>0@-x9mp?Psaqq1qh6bW3%{q`*wk;mQW04_?B<)c{?*BNt?-F8Tg`Yg_dq8FT% z#f%?Pop7|f#KbmRu63jEvUt6^uopp2EBsv!ljZe7(kDz2t#um0)zOc&s<=sYxOP!T zQ|-9hjjxf|pxGvE7K#J4NDgoDp`;t*4{WMf62@XNY~j|t_*NN;DR>NcShTu+6b z6Hx}56dcPM7oQRhI&n0AYLYo*&f>&k7E40mS6{}?8SGL{3+aVBIy*Z$QzIv+8BI7gvsmm72X> zn|W`c`t|EhZkFDXWbS50UtRHI3*&}_3vz2(?YFm6=U-Cn7NedeoT&TZsz@h>*oD5S zn)twfDu0NukZ%mNz!5p@PiK|CIX{SFG7Q_tmj1C831NX|<3R0${q4(w{hj<3`^%}t z4stUE_8LJiU`fa{htb_AXHdp_5mv$;OM~u@J64H6HT4p`uI+yI{BfOohHQK4`U_7mpzu>K+{ZocN^c< zQn2fm)$w_x($*Fh5xJg9FeIHh-oZsd-lg_g%{MlNQN2n*KJ1ZmTjLrCR_bs>w7}hj z{4$>)Dc#{9B6qgaOk%}J?IM;^ktLr?#Zwd{TE72)}`FX6|1mj4wu>Hoz_!xNkdo$~Tfe@jkTCy+dnGBsFeAUNH9B=N%V#Nwg@A+Km=wtCe#LMU*G}vr9>uoq zHTq=n4ZAAxR$V%8u|JDayPUJ>wd?li;MxXOtcM4&%$AqZ*algVf4$GYV!6lN3944evNIXta5VZi&cG7GKiO9 z@iQQ{(&IWxe**r{W{jG0tQe7Y0OuQu(8416eu0*r{(E+XaLMfJraky(tp_nqid*JAn^$FynX}-y)NOIlcuz(MOG-bAoRyFv5OX&$pql1dMBgj(v$3!@ z!&V?O?bLAE0;#jQ=DP?jEp>s?^XCx`qnQPp+I*)5-Gh|`aZL`P&t)wqt%T}*P2+BM zPHk&jd^m*kS7Srkik~?&O-!wTn+qVcr3V9dIuZ^3&Gd|fZb-=JOa2Y|UZQfG8Dyt5brBCq*z#t$hs?}BSS8yV zcBL|2W#dYg(yx$1H>NI43V(Au(X% z)@rXKdfDdI8^Yydy&6G2K9?~#t^w;i%P%w_^i2Wp=2` zx^V64+aG#eWT}-YKaRY*=M%;{iehNE;Qi{}R$b*Zj|PiB%E_M0U;Oe!x}AL~g~#pE z4}xW?Wd7SVftkWj#s+W_x<2|bBKL_Jt`AQ*00j{tG<$j8mhJ{GQDf)``Xyzi#k$gV z96QmHkRdaB*qjE0e7R?87tVCa9~0%FY|Ghj@l1N{=h-6x^G`e5 z2lQeXjWSU~Ik*e+Pu?+b(neqD@L}V&?Wk+}+~zjM?u59Y)!yMW>T#%(<5AF+piQf@ zSqfge1nn^YaVbUS=-S|8_-AAGtevi5yUNtrWD#ux+3NgtOGe~&S6`QpeA%eGjBKbl zve6fQdCjUOYow;L5E@~sD@-r3+hNiST+u}{H*O2-CEwm&U^JD*Z+0kN z-1M(?y=fSm8{yjEEmAcgVds`~MfLf4dEo)IM+Cul{o(G7uZ_sXJvixN>oActy>Ny& ze=W@~J+dvs!I{`)&QET^%c`R_Z<_VL20coS^xP^yD9V=bR?-!{A3iy7o9y)K)rqf_ zKT^w>svt&b1?l?zjE4ZnX_#q8M%QqyZJu4QG(HY0fZ z&C;$vuF_fPt4$ptJY%HSym+Yn_?tc6bE{kZvrj#={3$(+hp0og8?(OoeH}NPnYUZG z8k=|Rv8FA$(p$JG)#&b?OSsB;zl+f*Ln`3gJ-sxE+Q>8>!^R9Znb2ptnc6;GJ+g$+# zmIE2&FOCkHwGzMq--iI?0#Mim&4>}0eXPd+v-EjJP@NBO7CG?I1o1cbeKbE>jwArQ zCWyZ|_nLf=c7P|?Ou?a| z&po@Tp=u*jezmq-2I=+%5PG~luBz(+mVMoxB~?}Qk+f*9 zz0N%B(sjl+Hswk$;nXp+gp<5qErVaYYrNg!u~ujhdjV5GZ0NvZoI2k`KVp%U<{jh| z^eBcJg;u@Ke<`sMHq#A>bY}W>YC38(NqQ(RyddEtjp!Cv51({Vrst2~ z3tu$+s5H3yNP^~3t-spRE7ZkwzQcRftFSbu{4;ju&1R2miG%V!USk^+BH_4!EFBAP zx2Ij1xO6U`c;$?ugCwZ<+9bIdW@@YKqAD%?d@cN1wt?*>-DhRF-FLyB5?nV-MwwP)N4~ zvOMuED)HbgO;Te~<~W-r0N)aqj}yodx0R4y0F;QW)u3lfcYlw@$jcJj~?x{4$q20OM`d9 z9v!1wviZ(lC{4W=PaZ|^>15qtaAQ7>NQp@r%5;n`xRGoL)K6^#4=-MahfowbCuNm9 zUR$m%m-XC96Y+&S?M}~zcM|u`m=pz$`-!Y>jO2R`@NE$%ra%1JLe32#r*D)HiURU`pcfv?-Y-Ct{-2k4jbmKjSJ^zxSaua zP&`sn_3RVn_LVcZ;=8eIo7LwX-n17LP!~-;k{%lw9L#u2Fjer|>{9RU&dB0hWWOFJ zJa5&USj|{YUR`V1#(r5A>M06y_A-^Qifi`PwqJXVB1~gz@>=$7=~RHI``3W%40WGa{40? z!asX%yJW(kh=I^JQf^B1N;1|zfSh}Qw!KX`at-R->Y9trP(Z8kb@n+sSFC4tX17f< zk>Kk{uRfI{*NQDHI894`eaU)vdidxU|6?|`@osTCyj$+CPRc4S$;~(<)kICTEqTqQ zGm~o1Pn1}ziDlqVo5d;4^jZWoQq+6C4y5txzh@)Y!TW{~597-m)?ZY)heB3E6yxRn)zTRyR~+);hSFZTgy#S?wgBd(i9W({TZ5<(Z3#b0kF zu9Upk=EZ!BCp~Gz?xl~F4X=%yee3+a_vdUo(03?5LE+k~E z1k~uu2byV+{*omI01D;^fZKtBVGK+Ja%0**n1a&P{VJuHpH-bPfGet*(fq$Vm>NNq zl{73Q2!J{vVxGe2Fj45q;|C5xsMA}5Z&a91Hq+Fx4~~P z-FwhB|1myy-S6|;N$BR5qC)3Y+X1ybCQ2XF_DMp@BKD;vU)QQyQ#v86H$yd(8 z-o<0*v%dJ>Yk8BeV_f$wtdM@anZ3At-MHIzBi3;E$?H*;IKq(NH-6X46Va{{tveN8 zlK5t|+lXDgOW*Mj%`%pb`US>3CO)O5`ACAGj&Zcnc-P1%aamEka>kYd>0bD{uO29YtX zEYE_}fIV^*di|?TYQ5*bv?iIhe$UrTfR(~Ot|l=Zy<6|CDgGg0ZZ5Q&sJOf1xT${t zDx|LL#jJ50QtFGA(ADVJwV7Vy(&HNw=Tg1rE|VK4l)V~OB)F;xJn@MsS^avAktgjd zW|%7BXorh)y%bzp__fZNlL4O8m&C1ZW}0nkC`hkGhvYixSG;noXPb-jpIg+A6%x7L zLN0mw%*igVLmEW&D2@jBjnDAXmKU)~lB0VfjY)x$pZb=&v~nws-A@}O!&&3c2p)3v zp}%*@D#FQ0FO;58Fw|5wGoFp0_%N(`>)gY^X%RE|Ged89J4(+}7i6nm`Es(eb!dD# z3U2iE67S=iM|DcCe@XJyI7Kbm^$G6HH5VZ0wAJ+e`bGT8sa>&)m~ROhI<3UHD$bLP zOpNdFb&FStxgy(%U|a}BTA&%SGI>S9ap6J_JnuGB)PxUzr!a2OBK~zIN=2~!JQA@U;J*HLXanb*99J?C0MlMc zp*g%Cd>J|%_6rb>MegT|1b#E{|HO-7kyvOY8NkE;%h(7iBvQb^1hsF``+IxGLar%b z+dh)Azinfo>=dx0Ct18NN`oLlza*{cWZa)e$H< zEtw--b@=UJj<@60Ej3bJNQzVC_1%L~Cl}cdGY1-Gl-Z264ZnJsGUz?9vlfOt9nec> z!>l~R(KjeUI5+Asf=Nv%(kEUrSGC(Y&Eqx9J#@}rd^k(=k?F|P>*T`^Y~u#)>10Gz zUp+4o6LDtjSnk2}%=agfRA+nojP*L1NMBf)eNZ#GlcLg#dCeVD78m+SUxKY04SU9A zd~vPDM2%X6W9U>ZH$RsyZ%!ut@s_yunu?9xCj(>aJcPHG9m`#Hgpc7IMRZI=UNHLD z*b)dKVX0p2Qo3`y9Ygnz`?;pD3*isNj{c?de?<0H6R&Oi438E;$f^E0ojLmdb zOa*5=FGz@X&EmXG$(Ikl0?A#+yQ@(H3 z%B!FY$WZRAQ*W)<2bBbMY!g@}t3vj19 z?OfFku3j0VRf^$5IS%671Kb;MpX(_5($`MyLac;9@gpT~*9Hd8Gge;VU^$v{vGO+Z zvMp_)9i=+eW$LG2bEk-n^*g(Jk2>$PUWsza&rMJ02(~I&`*0}uq`G9)DDQU#yBo1D zQ;HeOQ*LHK1aX&~tK4KH=O}l6P;Z1RW@MZguVr|vSB8NCT-`mT75xN(UE}?&<(Okx2s`9G! zy`i%o@4*6d+UhqN=g^7Yfo4+YPvSD#Uq!z zHA0GC>&D%kb*<0xen7!mGecs*oLN80dPQ40dMJv@0M5s}yntO*+O#MsQq?#|81g>k zFjx_wN65Ywd4B$BId391_Vlk_E@y9OwWn8&$b%^-& z_1capNp*RhHR1MW_Lrtz(Dmnqxiof^c?Q2HTF4SEqPg~#L4LyBj+H1EW%79eYpKL1 z=Md+E)FV#4zyIXiMApNWnq$6JqMuuOA|ATvHWfAQbypvXnmUrsUYN1t9+%};XLTIREIYm~V!rHl1 zU&+PVBHWsrISL<*+->gATrF)PTAwa6oDmRiqdFGP+Z!AuZp9E0-Sn|Kk^i3yc1(BRoigyx-<$7C~ePj5YPMoEmgE3nl~fgbdGMDDZE! zg2)7Vkp)A5->*}6grV|j;G7Z_4*tjz0mRj$5(_1CIUCfYAt)4P3o+%uaG-5VGHC0Q z0{}j+!ho9b`OmAblfc#N&!F={41iRHV~JEDzGz?{i@ginps`Ry7Yqd*jox>7-3Oc> z%{{o9lmmLD=K^Q(szCZ*aC5G_f2!#M!N%ql6nGwv2W!aJj?-wifkSM==M`ixKFj#0w?X*6d3CPsy!_g#tNowKSs`B;HCXvQVGb$ zs9FScpXUpKL-5anDD>Ti^OsE&TnQYziCja9{s0z|J%puZ>OeTfpPW|PpDsIWFf(!5s+~R$kmu`W0p1d+};kFskC~2O> z#W0SrUb;Ia=gjt`o?EEsp>W`9f=~QQj%L2IHHEF$ywbnwAQMqx^3+coUY}vAdp_xw z8l#0ck9pq2IX0?8Ecf1k+fi@)$m4M2^Gjl9?P(eArUF?R$H-0y*DW zk5`Om*=f-3IQmTT#Ga48+-87cXiS)Jzx^Q+%15-v;`}n0-dvKA$(zYMDRG#e9Z+ENvb@9l7&h<}7{U!-o z_f@k($`3dBl}zWn*rwIrd$vcIcXjHC3?vub)GHB0p>CRK&$uCFUznSBwd)-oC>uhg z-d=dtrlg?sAa5?l2a>Qj63=+CiBZiMA(O>eZ} zEj^6Vj~DJSB^Ssz4H-sAt~{2@qtGuu^+;k|q@SZNQslw@A8!{zW15u5afVx;-X!s$ zgYmmlhUp*P1|3}qsq)2+-YUM-6=rW6e7ppqnJY(E)!Jwl#<0h?dFGvhD$TnWD|!WT z?>;<;SrTg;;x_#Dko?6rc0GcZ$LALl#;Md_$gwMsc8kD7k-cis6Sn-9c z9vSv5ckp_m>&F&NZ=8$_Y6%?YwkAlWKv7pEXi=};8<`zZX>2`3J4V4MHWT;(J90R$Ka|8I>H4t@Xu{(17@BY^0vzxf2_ zH=p<&p&SdG9Dni&%&!0>*x%4x>@R4p9QHQ~2#fvAfv~?h5cW6apGaiDLJk7P?(>p^ zn6Ti7B=#We>%pa8Fk&p&H-6p~_nQU%48jR{R>De2_d}mRk8Z=b(;mQl_nFOaRzZx# zK(MFe#*h&`H5oMk93$kgGV(Ya5C(#UxH5sA9b%$_lmnP4 zsDHKu=pJxB`$WVJMVurfBl+C}>Ew(-x+3sM7ZlzFk9T#0%ekWEoLuGMNF3f3@9d2J z&loD${UGip&?5l~GAa;T{nt1$)+qip4wMrPA&)>gW9$;pE~b4+tUQ zE(kX#j1!n!7bgrJ4Wj1Ug0pf&sH89=2ZecKCl0(YNz!5k;BzOfluoC6a&}0cI4>1l4!R`S0 zX1W^4H%^00CaiJ*Oa&Cm|FlHzgR@>QPy!d2pKY6KKwId`J}5nvN)uq<-~fGv{ig$X z>p1B35Ar`9nlivx>T7{$L-!T*YSt&!V!;8B5?Fx0$AboM69rX%V*1m`Ba=sf7`!5t zufS7Ve~CPz5Q7l!={f)O{N4cWMY#hy zg+pK#&|sRt*Y!^aG#o(6U^&eH^my9{dT8(WNa&!_WI`jrdjlH^65I*_lu2k{ByVE) z=atZdS=*n0$tvLWmK{P$$s!NlA@GX((*c11K(7M?;Qr3?^Yv&$WzFDe@*e;kJ!3Kz z1q=nYNhClx?&Ba)I1K2Kvqo_+DD@VAG^~6~f07vk0Diz|fAFwi4@F^-G7xNn;`bMt z4CaXY5!l}ps$nLFQD`|Cd9bSgv<3?h`|ni^n)5rsT~N+$a3?u9SffZeCp`GVF&I|_ z7KxX~p{!k?1P+CBk(0yYoB+yG z-We=4G*(^??E;nsR9g$fgLq6}+X5$_KeP~NC|Q7(``@F&!||>tH>8_09?Y#X9tC!6 z3=WURA~7hiCE&sSQ3pna1PDq5h`srT5?Ct0`LUSvuTdfJPB<*y3G3pFamCAl%^E9* z$GBqQPR@9=oQo42I#UnBvmmi3nf+I}zktCzLV^VZ5{S+*asAw-4(1Anal*K|GD%xCO#?QL%u12Ymft{{bLd0Q5kjG5h4e`rqG&RNDb_ z+Hn*pP5A>V4(}<-q=GCk(B00>a~yskrYU2N@-!r-%`gf(K!b=P4D6RE&zBa*NDw_2ZWd z6ZN>K7JK8|z9POfip&&>E7lV>01Yz9p~z96Fq!ay1;(E_gc`K55c`9elLYse&7VMTBEaX9`sPmPdlr-^`m_9H}*aFMIe!u(NmbDHqvg1=3}v z^F#c1Z_9;mq)dUZ9J0jy@1wt7#LPb!8g)3Y1CLXf*jcf->o5jSispY=4PWyYw2AvV-KzN7)B@UofActoSpS6exAn&_xPOQRV$2x zqir#f#0ZmgMVeDhs}<0e!KUwSwlA>1tAGD7!-}-{Zt8nez50(E1{b$a zyg-IPvYX$FcZhMN7kG|!k$h81|KW1d<8{=LIQpkc4a{GXv6OqB=juFn%hzb_POzJF z`@}@HHJEeG$9J^N=tXI1$kI}bf1c<=UrD~|vMAM~ZZD&tSF>#-7n(*0t>ANiE^lNR zv5cY)I=*}J@yfT`#}41SDkbLJ)IcI1;a)zf?IO6@M4tNA?cMVa@7Mb4)LCt2-NdE2 zPSI`4zpklxBzBuA24URQWm=P2?#wttP0y1akY4|8Wa`1kn}Z^xS&`gjN6YER9CfYG zlt;3dWv!l!*c!`AI&+*?9G$CLbkYD$?RF35*^^c6Em!PTF56lnZwvq1 z)$^`-3{khy+t%&R*?P=uBJq;ic93JNpzUkcOx8AAqLZ(da zPP#-R(jGrzH$vu;x@c(=lf|z+5s{wKpVOy*s)_tS9Z)Z0N!eLc$Ugm4GK7un{7rd> z>sNWA={UA%VsAT7yrJdHBg7TH`$+Qs+%)kA7gdF0*adbEdCz-EZeFPdHqJs$$^*Gu zUKQ_kyY3{CF?Vou`PJW0VieI&%5Dfbt1N%w+PjmoF?+X+2Q|6;gDb<$#6FiaxLvo4 z;4qxEO7yyaJOC0&;U$)tvnZ1wG4~!y`$t zvOWJFZEpcp)z*c9(%oGWN`vH~k?uyiRHVC<5;%l(OB^HxNkIicx=|465&>xe>5>lL zI;j7D_5Sa@@!oiEjC+Udv)9_I_Fi*-bI$cmeTVmYGKqtE$}#k_)?25F(R=1^%>(WI zl-os--v_XvmpsQWq;D=Qw-8M((_(*2DH4g!#J>NmFcqKH@{}i{<6dn+jFR;J;WS5U z$OrO^Li~>1dP3#tmQ^kQgau44Ub$j2=_7XSqD5%eq-#vl4hDdw*l3998 zZ(5$IGYoxa>k`5v%*e`5P%^NR=*h^k^srJ8oXeljVB|hqGF`;cHY!G-;&NW0=C24g zFt%oD*Vfq|IqPvVG4c%Fo2m#IVkTWrRe`7#X*L9X?vPCsYho9{JQm3H+?n(Gh94~6 zqxN)mgM2DXSVc%;$Y>7FVwq2u%R;yqPfO0vv?&WXAS(nTQ?9AZiEZUb;%i?UDv)$tMs9Ej zuqR>h&I{UU)7+53Ua93PIl2z%*LakDa9JDp;UU3z$UCMFDY2p=(Ei~nTihq68$Xzs zQ(c<4U4E3Lgd~g88uzC(ZPql`DEZ#bNb{Iv#(r2LQt1B!SL}xOIW1XNm3#KELVR2+ zvodbhz)Q_i?ctCwsD!n$jP2KZ9!Un&^|a_npd~2ArFK|WM^p;buPeq=-hV9oPW}XO z2jAuLk}o`8=k0}XwiQ3J*$+GJJG0Z1(bjs?nB&2CyRp?^^f%E_sQ3Wi3gDOpm4GfRzy~UU zYd(H1LBPdu3zZtKD1C%KKOL~V_Yh(Lxc{>(P&>HA6GzoyDIu;iG^#!7E*nrP1f0ppd}_0B1mf0NW1$6@cSJfFATK_crmB zF8SZ#lwuI!!Cr;nN?a`+NG`++z%tMh0chp{ErE9p*4Bb|4ZeJWFtB_lePH=`pMj+g zEX6}1hr^=Zbs(UZyl_+WKkEEJ|gbOgs{IlABo8uKk0eo$*QP2Uj2SWny zE?ljLgFQet60n7OH4V?;BbpopBVxb>!PD@LQSbxL3j{uM0`&ag<%9rjR)7c4*T9C< zexh4MQ0Xs!hOhvvt&K#B5RSkh;G5(FHVgo^Ai}T;W0b48yNCxZDGq~{sHwqtW;F4@ zc!U661seJ9S`hHU2?L^?e^mSLc;u#mrVXc=BZ9zZ4Y-itFbpg)JwzZWp7uXVZf`1R z$o~sy=xmx$m5Lu=ViB-LzbnD{ZUn&^{aNY1%~Z`0Y6Gkeh5-k7A^ zugM|hhffZOxaSAE13+c;{NNqofiWndT(wX#2U^fr04+eky6*wEmWspz+V4!vpqpJ_uL_*u3n|$-zzm zZw~De_~f1~6ROe(fainm{;mUon-Sr!_8)bwS}G0Rfr=YYGfPa9qv{P@D8a zqHAEbzpQ`<2d;wGt2_%BfPke40=_%=6bpcHg5&<66AYI>%{h)pA6SkFd3VmFd=+!z$ysG!$M%!=j8#(-3hO@ z5NHx8$g&0s=C6Zp0M;vX0mafc!r(j;v%g83E(#+Z%6Wj12Ms(*9 zMFVCF)mn60NG4G(eyp5;^Ogc{ zgaGfI?64BXyz`{{D3QbL0P|auM8w4h7POyEj^@o#&voJolt!{-pxY=kLErOlt5n%a z)S!iUmhRU|j>(z7YVyiYhuR01biqpQa2~`(x4qdr&NU44MTomO*kKn|p?P3ptA93( z6P0&vz<#>8=ZG{Xg0s-HT0q-zJMt*s0He3jcOS4EHld&^YHA}tT*$*v#nB(=CNH|o3 zVVWpk*0%aXa>Gafo7Jl?^yQrG+XHl_opDcGx}}DWe~8W_ktA_(uWkvx|8jH5qljiw zZED@_sW2(evX&*yuPt({@A^Q){pQ#I|h8!v`~`G#mh zsW!9plpHSJw%;A$lth!1c~(GCjCr%Z?C2wek|wR&QuK~k<;!QBHiza2dh<>lM)=ot zHV@s)Ww1Xc_m&5A2c^>99GOa@$7;`}rrt@$7JrzEeBPMvv@hZfMfNrvP2WVnY)fVF zp5R4V;bjS~)c#WQ?K#b{R^{U@^cGyM<=W?dbNw)6t{TJ^ij*|Y%Q_xSPcfkonsfRI zN6l08ejQ))4f!>GNs<*o7IY|j)1}GcPQ|nPEyAaB)+Pj{?nYt$Sf@0)sr1Q@xg(gT z?n1`JdhqkpzUnQcK*I}!N^_jlk{W}R`W#=P`=Sth*CN=!p#Pjpe@rN~BP$(oBak3G zI6)DC!3(KE+N0@4;Ev$Y$(X{tR}k}Sl4AedR-gN2{G4BYTrbA1X$h8ceL0w8IZU8@ zpVT$b8B5Yy|A`PD2a82eaeSnjNK<`-r?7J`&$pQNtt#Z}M19%^4iz^S(sZ!gqbr3- zVL3nQs5|E6N!3XToQBnxGN}E6N7B!7s(pBzZ+}+SJ9$H@VWKf+mf*_j5psIi!5rS) zt$A7~g4CIsgwS|_F0@VG{WD5P1f*6Vh7q6<5s_Shp+Alvz%x(X!TAl`j6r6KUm-vu zKykQovI2|q?>~O|Uqyartq}R0wL;|gNq^v~{8jk(Q9`&z2Y(Lio*EG~Y^D}P26pEZ z6AK-#67uu&!+3VkuK<@6kYf0MhTZ^2L?@vRz93vLf~$DI^C18Z-`}&sy{h2=*ND|O z2=M@yp0+xsS}F%N1|d-YfTt0Z1u74yBM8X#9{t1-^BW){C_)!Ni0o_vNqAvAxOxK| zX}oag0toM>OMha&7jS{v3{0os!O|AQKanCSfC`{ATq6N=jDSoC4p{_Y+RsqoE-URV z5aR?+U6q5vTZ9<@DGUK7es~hpKMMa=)$m{nrbKvG+y`(hN(%CV06hliYruyi45%dG zVC7GzkW?Db3zoMD@j=hv)Ckx30Z`xts?P7K080Va6%e)ms`}qXS&u+e6yUs;A_0C` zfSiEh1iWt`(U<^Gz4&2?MTGDXv4RjSxXWs45BTh^GI#8FVT-_;M6uCHf7jy&^@RSc z_>(f56ePj=I|vE9X%zYowNBEQm@{D(slU>R^c^%8{yHl2rvM+T>G{JRVQ z9-F2FeKS%sY2>E|eW@?v^nDk%wfmI)TD40YfU}hMO z0a4@6kK=cb`9*-g7I>DZ01+eDD@gwL?I08aV%Uf0fE@E`L@?O>qoYy8`%!GeV2_GL1Y+DbFlmys<;CKGrEtrWTIt45O*jWBC zA23ZeEDCs?|2-41KyO?M*vW@K>$HF$L7jiGgZvx{ObUyH9A^LV&pLsKsO0cEe+TMc z)ggiL?4gjsN{#^SEp@)+AMBTZds+ZvEf^kPK>dTh>z``yZ8h9kNoDvkBfeaVQUAX+Ywc_ zCJ?vI>s}9dMV%#05z6m>xo#VW;p=iy#Yp%$L_}J`Gm%6IGpr<;HR9zZ&+@nHZ=K8b zBgg1!x$;QPZu3Fv6u;c~ylkbWXo`7|W;#C?E7DSF%Jo!wlh93 zOoyU^%f|F^{<*q!Rjs{62g9YTY=x!X`Y6$M$z`A3Lk4KpCfce#lOge!6!{*DWoB#g zkRpu+PCxN8`3`xcH=H%x-l_e$mR2rb+(p$$k>HVjph7n(!8!$bhoyX{QMrK=yIHT9 zUYGc&8&&B3gDg}%GJ^B)B*~ga&ynXyQ0#d@`sdRLLtEX3LY8HZ_-1e^CF9ft>4~Qk zBDVyRrW3liy1zJo__EclD`fcsbwU_t#&noue6z?&p8rmq%=4^gL)TvRky{;IHoT40 zf4QmH922|)UA0@WP*=sXWT`q+ch6RQ+d28hO_IY5BF={vRsA@xDDeKx+|O*!yj7vB z0a&aOc~PMsaks^Ds?w=zvxJnq-r%>YOx-3ciaK#*rH!he;N3Z_;H=?$%*4h zao2=SfRtTSK}+JPV@a%FYQgCCaQ=rpIy~fwsB&M!d}~vy)oIbnL^f^ zVTz8Xa!X(5R|P+ITxi!}sWz{EncQoG<_-t&J-A25y*w{H_|dEb2a0x0xVg%v zX9>|TGUfAo(LoPaH%8ahJ=>Z6DnrxY9~UAW7;W!#)JH>UppQrnL~%Qg3Hr#-Ldjg+ z^9?*;voM~XLG$6u0?LjhX(tYD+!BeR$)m*po>%38w{?TYm|gCb(g;{K;Kj~vKm#3# z-auu&E|7{Rr{^q5^Nj25h@F$0bqRY*?sj=ll17UrY^01faYxV3jK1vZi98s|TQZ{? z6Y|f5d09w1`k!eGUK78>$O=BA_2ra|N@P5p;~x54KjF>x8mT6+ja)D7gT3PGmN=Y` z!Z9}p?yhH8pLnP~s`H@0ZN z?eW^W+t=FuDJEaW4gNa+79A%p3L5gJ&;gVfryA(srjWHZx6jW*o*#&b@RB4b(BO&| zIK~4oG650sUq^^=h=G~sudVRvC=u*S&o9nIkm+&=11?3*@W^2)y@dEwSF!?_NU!!7 zLnC82)CSIb5OM=!xDHAV!Nt+v_d%c%2tmOlA|<}!ZTMsJ<%3CM5D}vSgBcJDxk5-J zFpU0RDXl>3@Ovmgu7j{=3ru35$Xuls`yUTLfd@mua@lZ+VV(p3?fq4K0T3MatNDKq z_^JscV0a6{$0UWV0F&mGfBRS4NPGgYR&WEfe3f#;|$Pt{<8<*)devfFkVb-QrHVn4G>k&X=9MUXdOW&)YV_l z=0zfAgRx%xY{(UUVe{*v0+WOdR`~k@5M9Gu34uzq^y?QubIl54y!=&>ii8!G4lY0& zS6>v6=;W|_mxRQGfAvU!2PS2OP6|&Wn`nfs%Jxrd)^E}MbKMm{DC>WuJ-;AqmkUD+ zUX5W2hYXP?iBSIP1hmTnI03z4a7>ub_muz0XM?$q-DES0S=X4YA&O>^fTL3j{r20I zW*c$liZ(Z#LT+<*sf&X&Qp}35s@8;6cQj4Xcq_7pFKGGZG z^uHn#uQkNGr0`3}>*q?rLWoFtf;ti|<*I^%l5Uq*f(tFIob&Xq>&|=lrdPp&d3EPL zr4yN3HC}X1fJRGW`t*f(!`I>rVurE@^Y{M%wCY zK4PF*X6i*91{OQ<^wS0nQLq+tzzB;vtoZipSqx?iy$p=r9NvX$DJxpArTpl6kpFEb zM{gtM{-+pdbT8J+EZi`MC_-(QMf8F%E*@;JkCBown5w6?I#zC*Oj~e8LQd76qO_=v zu;0cAV;bdA=QOG4v6zrcR6^a%w@-aruSgg&1tV5~YcI}V+JRN$Q-!`mLNL!`ezTg>ZleS5UTdFo)An+|Z-F-^ybRdo zBCl;Z<3gEPa1RZWwP+%$T_gOO+Ns=EM%k5dNF|)ccYTv!?|<;!Hw;{5cIK9dL}e7K zeg8mpcYa>4^^`Q{fmL8V3ITI+{K^zx^t6b5AW7N!wR6|020e4%5`xewTbQ%zJqAr_ zn(KG-U(ZNrC-S6Yd}P0tv-!{uZ&K(`nJ?JfGsPV0@lmMs;DoQ^vTr#coDL~!KRz6x zC|wCMX^vrNZZC`APkniNO;;}q2_0=`b4KsU%k7;z(QVtzIYAzq}Dc?}RCL-=9HkOe*iptIFc$?E_J@=RE4$9k%bj z?UdLVLsjI{mgzK9`^zzEHwAA#e}g7?;<*-jX^~y4zqf#Q-*oG}VfBsbvS-8ta?(uw za^D1q>$E>_O(O?j3_m!Uc;3eqF5$Rf>wdmd zm1%AQ%{yf*%d|U!ToGk0qu1r(=sn{eB)yJW-!XnvrdW+3Y`80`g<+oVE^>5$7 zf7{aBJ_{+MT1^{x4#uuI$0w3DDe?Z0KBuXK)U=lkK+rddgwAi%CuXo}3VZ z8_y5)AeQ5haWYxXh?-Z8`;5jedznfHZ9X#wV_zl_jbL9SwES|8IlsJ%M&TEkl3Opp zcREU>L}8&RmuG+DpL*eYxpJf@fy6H-*uqI_cD_a4qVei{K{O!ZqL7oF_l(LqgXbpE zw-B1%m7u$(2D6XXUwP1cr@BUGAl|CcfZYMz8Bn$OsVL>n;*q5C17p;crRYy%6#rEe z0enjhVnHJXhpusmuMVmGA>jFcx*x>1e+x){Uf4ZeRAyKmoY?B;1ry9GhwvBHzY=r* z$WQ?{k-!p3L4t@?3?dSuzi$H}`$Gqllo_PjfSbbpZ2IR3hTpKC515yRf`Gid3oHY~ ze?5o~#MWMk=BwenAXWVXMg9{X{?j$`H|_I31kNwu2}hAyFkAs}ApJ`c1}6O27cxEv zOk9wN5PF!aUM*j5JEz_#6$HT`F;R~B2>a+ses&~AvLxsJHJWjS>4{T+=DewQTyo|7 z0m6roHys~{&A+Oy7%1{8-<;uJC}vh6x*>vE@WFOcq#+Z_op<|u`UJ9(>HjGmL92*_ zr9<&L>T|M)`7o;G53Xv?4LReLL-u?*2dAbLTkoNMRoR`DdLXro(D^CS*$P1-gKQ`c z@gOXLcK<9Y&tWr+~MU6--uKQIaLh1N0E2=z1d1e!Xu4vV_VlwHXUOKrl{u2?@KEDBw+e>C9@Jf{;#jgj@Nw!`W1JGW<1AMemn>}Sy@OtDyOU|aBOv?$)M`=a&H=gG{o7-Q~^ zZOOFzP0hDO%V-WE>z6ejH16u!r3SXTCfYy=NWwAkRYTpZo=H*=n?Hqlht6pQD&G|9 z;p+}bd?@)<;$e5u^UOBumn+kc&ONqYm#VCgVLw+vvokc%AkcU%utW7Cxcr5*L9w@?Gcc%c$I4w zTbuV~FDb(GN9K|g-3@o@c70e0hKb_WPk4Em{#Dl172YzBl;7$V6}nax9eaODYY#n$ z_k2SditSW#e~poZ3+I$%%NFZ(>_uw(R4p{&>Dzntyx?ZBMd7Vb?0#2jtvS~ekJ^>! z?&5Ls51kG)ByEX!yTpx4``o+s9V-D3=R9Z*ZybLSwFfCzJw9aLja%4nZe1ZQMO_Wa z%Sbx8u)9{pt`I~v+02?S)y?^|ccFnje6q9x*5qIOJxsN?=gE{-dV`8cTZ;6(#2zSS z&0UTBiEk}Q*#!M#`Xr`?>{CsN^K-6?u0--}yT@o+imXv##VMwGGX8YR+G1baQwC2F zSZKM3Ws#XR^4Nqsq{){l)Q1SF>ow#*i>Bv!5-e>z7bx#^fhD3`6Hv=B)(IpW4Rk7F zliHVk?5m{{NTTaDZLFhoV-WV7x{U(bUq9`e$KYI7s+Hu_c1cL|p?%4|`G=Io;@vb0 z$A)Gp`(7soQ)d;$(|ax9=e5$=J1J+In%mws{8OhH+^}meQC(g=J~tI}p5kB#A4a#? z*TYy%=jNASR=zl*Y}eu`>^_TOw+l7_Z!9&sxx9WYnG21eiwl+-iPhBWje%IG$_Ezc ziVo^wqC_kE-htZ3rVY{9gw}oD`csL|YHbhr(g!-%hwNz|e>j99Ryw25II0W%Ksook z95|AnM4po0>r>oWUU==g@8(MB{A#gFm@_?gkI_HcdY!e~lUDGlM53$5MS95QAZm4G zTkBaUhOpNZ%gT?{??F0PR?e0lFi&-SJQyVh@G)G9VW3?}x&PsE;lFaZ{3+%BW$pwg zXyDdz;eSyRivZE_PZSC=^Z+yL{CyzL#()?i`TI7ANBIf!8HM3}`BR?#`6zx6cJ)je z*oi9$|NS6vasWK&D*cn-Bq2We6*m2=F_47-#-M^q24f{6A}0F#COp!<1EfykWCErV zU{?K;-vq?q@&Ag}`!oF`c+4+n(w`>QzeMG)oCvU76GBax#4QlHg!2dy6C{M3Cn02q zwT6+R!th9lsG;l}aR`c@R&~)AM`DatihCT@8(ih&(N9D=e0DS98!2Y+=&1(8AXJKw z`mOVCO6(DBys@0xi6n_+F@ee2d&70LKJ!CMRr@w87v&yq1-d9wWyzdW67s=1_vwe# zh}E4SH1bE&rSjRy6OiqLr}@)8c5l3u_xTQUXv1>!d!V=5^Qr5*1nfUmy{a2{>;B@b zm#BljnD)Dr=i>DM<({fEp(=lxJi0l5gq0w^DAvtewa{ln7%kBDx3vO8vjLw}8B4As zamKL>T{|^^vD|aYPiFr3!e7+2nqg9x%I|U2%QHlJjFe(kk9Hr|#Yf_rO}n_y+qxPz z^J3?M0D6H=8-8yx87*R@jg5LBfzLfsw8NQG3Pl&X8)gnsjdncDB-?%LXv{&%9Cyvd ziC>xZW|=bx#?^G&Bi!qIF-0U+q>m8v;;ZwBySDDz^UALBbLQypvBMrrj{*(So=q2^ zCmTY}@`saNVP$g3pR=qC!0fW?&8nCR?oswHcD-(b-XUFPByCq+zZgD4`nDu;zmsrJ z!jGn+FBIV#Mkqb$qxS-6azxYX$OTnmUV*9KPcx&puJ@?+)AsBv9}Xn>mSRi8`ff+~DKm?vCudX{a?V5y3rmluX0ix9El+BNMSUzYF?3PY$=k z%W~+vKM9q7S$GrCdYb7~#M^Vdr;k;hJ~@y-LplqRd+48V%-h>Mu&10_gg^D2eUxM4 z_O^>j$^uDKx;x#10h@_zK&z4m$(o14$Ngxay8lCTB6`W1pXA#PcNI^VPJMkU`Xjc4ilxV7ycA$6J-SX)rzMPgQ!E ze&|tE*9Xb1Du3A!Ph3RSKkbhKLt%B94nUFpP|os@&Y6u+WecBUB@MBzn zQa9K?gbr95-@_azWPK9PVKt$miOQZo4*T)|il0-mKOv3ftjsX_hH_gbpQ-ku)lp2H zrg-G5gv?v*!yes7xQWAheVXBBtNs3##_yW6Jv1`e#_BCRLXuYzTKy_5JRb8h>%Fwd zcpKM;b5B@UMpF>Wh5FiAM}F-Z?=0^tW;c9UNjxaO?4pAHj_2a?XX3iR8fu|Wtf2~v z3Xfp7MzgBzMQ@sa;#|2ulpKG&|1En-f64z9&aj6q#GS>!(F)xgV|0gEObXNL-HW6j zUR4PG6`9u*u%9SXkyDq^XXPtDjXQLyXMydI8o5SBciAkX_il;`Z@PZmNoYUz!7Eam zGcuurO5q!G)u+&ol3xgH%-K3*D9hM=Jg`%4N6H<}Ok)&(JZBfi>oscakm3C(ee!0* zE%gH{p^X0QZ*&fF{HGW*3e|;!ckUE>c<`4KiQqc)^|fN?La9V#5tw>2m#h)0#0sNB zT+rgoe3NW4pGkY0+dmWNL0~F>STSOR7RanC3yrn9p;9 zWz1-J^|W(gbe((&5QL#O3plPk6Fg~xm=8Vopq7+s*T0w-BlYmSZsBkvE*vK+R!eqe-ng=Fy5s^<*zuxY+<^^e<^7vIfBXNh(rL92PqYbZ3m>vwY+ zcdQgOX5`_{1$jbOW#X@}0$AcH5l9Ol@{|7KZy+~RfX@665r<3kFGm|NAG+fM8vW7V zI1ZSES#$yYZw?U=prPP_(VG$hYQtTS_fF&&*T`?+#SbjEg0P@CA`+NA6%jGX-?u^T zMvxZI30TLU0e3FZ-_>~qVA--5WUv`30Nehm%L}}kunJ~O5@Zp6k^f)Gfi;mp>OTfz zOj0C>V3IZ<0Q0Rt$A+>ZJA`!UZ z6#m{bag98MwgOddn)8}h*HFepvkWe!ENduZ8&h-V~~TB^>`K6>q47gofuUj3!!TB zRSH)ZLqN$Ts(`R$LdE#A^Y|(MDXRLghy@`9esI)P#+&GO^DbhgEE zt8~5h^E?MS4U%f=Zx^d1M2aQYqQ>6%cAS|B4IMUX$G_TK^-qS5Gg_Lxn^FvjSHxlO zFt{VO$W@{*zZu^Wj)VWhFNH?rSSnJYjs5#sTjmo6f}8!SY@xZ@G`W!Z2&=vmWw!V* zsf&s1Ml8z2Cr6{x{iOsgE+veACC_ETaYzL|xQM{+Om3o~YsAOwQXRx)$8)7tfk_!KNpiT z7%(IAe<##%$&!NcLdKw(jzw1f0t!pDOn8HgFN_)b$y!zX9hAl(Y*UDBHI=g2#qruc zrbXkO>cTQ7pYAV@Gyj-A}|fI`pt$p$=bW4}%wo znvC4HGmS!=rkMhBJxg4vVm%V6o!NhHE zYz8&k(%l)h@_{y-&-W|Fm@9;4aSO>H?kyWtu_bgewl;imLLtJ$@M&KvEc(<`HZgqa z;4G9?GZjaq;&HF(L=OW(b^M69j7Kq{dj^H0+-Q&`?SQOpPwKkt?*1Fiba5%pvQbkz zn*pb6re&JM4wNaQBQE|dWQMY;uX#cOlsXD&;#TlXqh$jv`@jNILNdyEghj=s9@UKfML7w*G}0$KPFj;wg77m8I>gcjY2(KE1+o7Xp8Uu|u= zex<6T3UBBQNLdcZBu_i)q1ol1I!xaSM%Tr7dopkzI@$f~q{D&{$=p|ue|n9h@9~I} zLiKA1@>Hoi)hFD-r}p1pplyU?geLJEZM@ksT~f|+IFhR7r+iPkoz<IYh#OR03 z<>AQyon+7bh#DNuQXkw0Ck@noG_$pBW_y{n@&KggEvLGDk-m~botZ9NG=W_So2r>pWawfa6SScravXr6*(5`Z#yF{ELxW6 z=Q&%jDPNH-O6?=gp5yCiipdza?>W z`bD|g6k*~a;tjF(53)OA37olT77DjtM5sk*2&1woSKu@6H_?wU9~1iZ1$V2lAxY`5 ziFD5>U#Ad|f{g1{CR>`k!LsS9|JG9ojl0ifBKgcxmdWxHA z#o))p_g}P_T9e$KJwb}Ue=jC9>p5GVAuH)+Bnozh`?^>3iAS|j@78yf~QMl1r2)U0DnR-EOfI4F_Q3%Qyi$q!rF20C9}^ohmf8fS02V!rG$JJJ+x z+bJ<@Z!P>1Lu#%j~%-ZW~BN zk-QQ&&RE5^la4sXNmBZz<~4tq`wvb)Kaw>~pI$p`Lti@n8ZebQ9q1LGy8SI>we!Fv ztU5etsg3du2D>)RssCGR%jmB7$J&Whdq*gf#F>Pq9DBh@Ja00Y7wR>>BB?*s1*W0# zQ1bozM^;0lQx-!)h7%LCIZqQX*by*TMdOA?E(XSBse>?`#s!dvzBk}Eb1q95-kkJW zmww2be3?An)hMdB747Kt4!tKl8nH2TYokQl+b=f|J77$5V+zV3gh}>Gw*x1^u0lo$ z;Z9x)B4Y5*Ri3B+8s=e!>O?r`KO@FKkmVu?Gb{#t7@%N~4fqr|CBPThsEj5l_fL1~ z|FbvxC%F&Y81VBnd!s6NW|klUItn;#58|}w&^VwjlS=<_ww^7S(@k0v7nJHdepE>r zW_350;SB1gsw}-Ydeq6!Sj~$#_24b{?p$wOj>xQ#D{oU)f^7xmoGsz}H{YEVse5sd zGB?YF7?k*{h%@Z`ZaWD*F26-K%uK=R;7kUZF&SRJ}DG`(Y= z(Z6Sz71yadH^1atZ8iakk2W|O(d4$~&1=6oy+{S&F#RNMts**RVV_X=t~5I)f}+!? z@l9QyAgNP}1_R#hcT%P(w^bSS2-~J_#Vzk#5`Vt0pa`6l`iZSXZujps#Wc(KS^3Dt zMCR)%QJtjXeSVoZEG?VJVD%B|M$k@3_Dq%cT^jzPVq-<e z&?A_xR3c%scJ(yVDxdPEf07KF^oI@4W`|KUlhK}3vAfTnm7%Tcx-&9`a%#PM_zY*H zh_Q9ZB2?V+{qF22fxQLHGj+;Gs-($>(lY7VOx=t3*wEz{#2DhVI#|dH=W@=m(Sy&x64%T>7G8 zGU10vjG549!a0Z>!DwRAsDPcw;8?vs(u)IhJ7!CpxUW027-Km%cwG}WJ72FT`NiL$ zv~@tU5JqgYq}le!kMAk#O`PF|-EmY*>UB#;d37UXRT+Yr*Q%E`X~Bb4h<5Aay-u7- zBM;+w?H|_?8F+Ne$i1&apU*P$RTjinipH1lC)3yVBSqEAK=?EJs4c^43i-5%-tlas zK1psB2#>0CrMx%1)1TRDC2H*S?nBgAPKT9ogUL-|C1Q55=WI*}k92dW)g#+%y;?RA z3gsvni`P&KQHD8cC3^g`T9fJ1v;FS6I6b0^JuRLKg)C;ZYzMaDLK$-4VQ{?7Co`i+<>5j+Kg24TdQT4aW2pMRk9tUV}o zR(L-BP7E=|^X@v`{XU!zYl#^Y&@6H3o}j1C5m+sS!Qf_cUwfwXep>Yt>lvrrU2D-N zz^kFGRc)vLa$&f8vM5D2n_WpbBulZk{_NYHP>Z)@9p;x23F8*FtWZY(xDlsD&g)0g z*3loho$q>Rd1WCwvKbUUK~JtY4Lm2O9qIA@jpe~H*`FIeJi)!WD%kPCDM~qfW)Nup zA6M+ZL#+X8O%H(Zx*VXq0Iahfoe74-2!wzD><9esZVsR+fjDBD8$_(c|8k~+8+qUc ztdt)F%z=w(_ys!W&kG7hpj?Tm65;&YQ2hU?zBH}_WXh`?91LU#4?m2zl7IsmzaV(U zkHV|;esQsZ?sTxpzGjj%D|c9>p;Q}CSj_q_{m+>~)|~f)Z%R zX2e&oiBj}VD(@+C`lKZUs@z<-{VCebEIIlzP&vJst!v$!@yGZzQ&u?lErA$3}SkCC+37)u9gkUUM4uez8A{2q(vC3$H$h`Pt zH`q(}ZNIOO<4i9BDkl8L44JDRzH!)$%05wN)@wEn z=|+(Fkv=<0+}k5TG|Ym~F*E7CJrewyg!oct&8t5Nv}0G)jVZiPc3ZjMZDTzF5m>jiE)ccWCgT?y*_qRbZ(L;7 zU#ovvrtpm{=Z){iN2u>%z3IK!N;~1hSe3`O*{M+!{N+cWsR8E;R@OwVWQ4|I>zTP7 z!?96a3YcAA)N7ZRw64Ej@=X5CaldOVQYsct%FYW zDKePzqy0<$jH%Q$6f8H|A@9r~9OQ4WZ& zDImUZh*f$#9ij6gYM+I%Hhg%rWsBQvTkoT4h;jrDB>JgeRe~6o?X(r z`|&$!+N3Bo0MNl%p+q%XAi!Izy(gUGLHKQ%w=sn?cOpcortycWL5I4PM?{v)9kbC2 zpp&9FS`G#`0y81&aReV1Nx6>;jKsRa5pP)8O!hNLKzXwXKB-n^c~}}oHnpE=%% z#OoZ}{AcyKiD+LjAw-Wp8wX@+GMLx6QpG;N=a*9^-EB*nV05Lil95b5ZP`)sNLF<3 z7&7poiieh+s~K+cBxL1M?kE4C7_uZ0!#OtcxCT1j!F zSKgahLpd+#+RD>wv0LG`Q{R?+tQ`z29zSMM@Ctz5+26~X&gsZ7AdYuYxb7>HFDiro zo?q{*j@|a|qo7HD%CM@LulqVnTXqRvkYub=^TW-eUxUlG-Cc4;7%u3V?cSFDaS_$2TMAy|{?e}IU*)!j;4h^pXhj$^LqRm)2GVRUKrp%f1Oc|!oM1}wSpz?aQg@@ zo5qo?U3-hY{2hA6_=GP@2P~6&^{Z{YFCf7egZA8`n{w~5ILtP`UIsnGZ2W=6TNgPr zJ60z0M9#bo5@YiX@6f2GYm_2Cx$|T3xL@lA#k#OJw3x3z-Ct6-GmU?zKxFxg%L?;= zW6nnm12NmOOscSguSManZr+i$H}Xrx);7X^-mv`o4GYf+${G73n$z9_1VMxekGSHt z>-rKNG4~_wzR+pODCyR2Q5~V1ey~lkX0nMdctkX`)6@6O?ehww%Ew`@%JqDjBeuvw zgX%`)Qa$MW%VuKbQ)H`%8j1tb$N`(~v=X?a3ATmB`% zmD9wUsN${^JjD+-ZYqpa?Vw-Q1#$FXVYS~~8a@id)`g!-!V>`Yp9 z=QJ`CwHc!iI)tGQQr6!{=S->##q+)9$cQe+jwdK4aMq^4hg7lTa}W6`s&#r<_g|I+^NAU+VUshnf{emzXhEl9_&g=6UL# zVTI(-H|Aq?oy4JJfP*-aH_}{4E`(wFR`X`)8d*(~)pyez)i47V|GH`5K-?rq^5M?Gip&?QmSi z4Y7wVoMMd038b2ol;0IVeC05trGB$MB&3k#^jQKWhHH`Z<1wo-Xps#oa#{-eH_Cl| zUuL(}ACxK4LZA3(*cIXlE?*}yhE({7DFBqO=P({9k+)kRoh)h|`ujZ+iNUthf*Ttfk z8WfxtyA|d%BUuD0CC-?QzslDu5E2(mFkWLRxOr~zO{sFsy%3G9(~B$cQ24Hl7`JwmZt`}O;`H+M|f#$4BfVT0*-oalG1o!r4{U) zc5EJSL;XxR&z>O$P43No+cS_n<~d(LuQ{AJRh~Fy_s+5`wbbAI;^KZ1d_L!y5i(ui zLGQ3Rs_ldt(_^N8j4szCx_c#ckiaouh5}LsfM9lOP>JCVOu}gbR+%2OQDKmBk>2#emyH zf8Pc?mV>ycq%e6oA`;TSZo*GD^MT5M%^!wx3xv}CeIHPjfKXZ+aEL>*4fX%OJVKf< zA8R0_aci+LVT6K2?9lgVsxFIy1cBR^q~af?`gSgaH*%*e$tUuHG9E@FOgz)FWFow$ z`ijY`;zzUWZ575YPsfS2Y|f!f^l<+DM9~b>cs5^7bd+1ygC+2X^{LDk88y~K#u*;WSq*u==Hp#_Y zj<+^0HI0?5ZD`jLJS7%f$a9J6=;ko!CFu~ysBNf)J;48+^wW51*QhaEIK40vy;t2f zISLQGfjYeofv12ogQtMw+gjv1==aJjlvwtU^$b=FZOdGZ8;b8Qo_*piYF21Pc_%7- z$K=-7k2vnv%=31MT$S&2>SJt<-`3o2>#XQ#+H@vT!Y(~?egXp`4B^E2lT$>N4hL)D z!;fY~WA;WXdr(=lRUwlCm;7wiiCg-p)Kvn|gZxm;^zAr~m2y;f#VyRZSfudc_J9vJ zZF#wKDGZjvP^xeWcW0^_*lf*5e8#`aXNuP;O@5OoZSTlqtt>S9%-U0AL}Xw`d1pPd zYDoMQRrjRNj|~rlL{_%cgu!T}{QWKg%2Hw2N;5BGxM1~w1z2f`#w(4-f**AB5n%aE_lg!LyVm~Ca+SW$~NBE}Ow z3RL7(OP+`p*LvcT*mZE3BSq_FX}KMeU4p%(X@l>RYtpBwT1}p9Q^-sk{T#Z|>CA+;SEh7y3VF`^vDY zx-LMv^Ip0Hq)|$wmF`YKKmkDkB_)))gdnZtp+QPIRTQKZ1f)wEL`6VCT0oh7FXAWf z`_0TV^UVB#v-XO;_CDwCwRWvVjd<9BF4g(&QWNF^uIertsX?ySL1&I{Mm=^$j3k!I z*reW9J2Wi)tmE+GBN)Sdj1 zs#m=_VxFSyDaENS&R=dz^v1Ggmc~s8UlTidhFDK*t+j>BU_J3w8-~w3>AqP|kMtF+f85K@; z4Z-CDaHx|-0bH;12WSvU@OV$~_rwI8`GjODa48{v#eehubvR~60Zdx{!F%C9hhuis z|1DY&nIypjT+FlwqACBuD+pp=fBm$(YDs=9!Q}L)@V|?*-Vr_la*fsvm z(`&)8w?Zy_T0eS|rdiHOamffXkcnJ26ZeROja)2HP!@-m4SOKal7~IzJg_b5$F_xZ z@V9LNlbVCh z7A41!G?n=;;$JC|`M0D5%06m7rVx7Ht`CnM=W$H&?TcL=Az>0kg7;7T>j* zY&ZNEyT+zDm2yVH?$-B$P=Q;u7Z!0P3nyalUFMG~+8})!bY#lkQXbb;t}lI+-KO>` zn@!r>!k8L1efv)Di#pZW^O2X`;?kti=Pw=BnU7X`h?Ha{g(TK#E#(u{AI^lz9@uDZ zC@qgAPCeoIkOi4Og56<8tmItP9_Op5r0^)ebLo17ROQoxTk3_D7VW#4-gUg$IdXUl zrPp`;9MIFHal1dX&YVAk>rkzZhP|isINZT4O81-~JV%iI0TFMpZFPUrT}#SNx#OAZ`wG}S)GAF)YI}I~oY&n$!;|B;$1IN0g4i>(8&(c4s8UxpUbe+2X$q*y3$RbbMZcOe z#uv_qP2ZoN5bhn2dbLbgOp$ac*msm3uCW!@QF^_D><%GDyey$Qwu!*G>U+oy^XDbJamlGwk$@TjT==_MxV*L|b2EFImY+Z%*1VXI zGPz2V=#wW~Y*;YncY5#A@t^HZ_Tsx~;LW|hXagAb@A5=*l7*fjLxeuZXTuB-8M2hn zSzx`6`Q=8M&F=R*Z{Dpx6kX4X(W@t>!-?hZCdpzk=K959%a$19N0PF#=1by9`ZeZq zA$8IDZ8?%SpVF=MxKU!7T=4?ev67`(z4+<u$Q;7mShh@LM=FFso-PiTB+Iz@RK6r<*^i1Y*jYq7tpB0_Z%kE&p&&|Ttu*)V} zc4aq+8osgCViJ+2J);g;QALmv?)HF>bigl}(T}nEmtN+fM4MP2qLtyjoD%Vu{g=x$ zXQ$^V1gr&U=jyJv?_?Z^6FtIbNvkn`Aa9A+AjZo1GFlg3S!l_ueW**|j(JUV?vpk) zSY5x)HwF1^5n}B2_=wANt}ItOyU|5{eY}v}n!CZD=4D(*MV_9@Zq;rfuW<0`ZCkX2 zLVQR~s4arzS9B-~dpm-U8m1EWW6n4B%OhXrJA*K-jrytN{L3L8jP|8Rt$Ts2&U>$Q zoqQ(4q7;I;etuMVB5qxLT( zeGXNsh%zL{NI#mxUE_r2MpC5MrnxeM-D|@)IeN@KH@w>*|Ixfnb(8po{(`@#gVRVU z5zXj?+P?HS6Kv>Y5649s+(bv&qT`f1rw_b{K!R_HI8HB}Io<**YUp5Cfj+=sBzVWW z`M|b-W0+i!um+4A+%7+32A=E4V8k@26aT-{`kWZ53m}XD>MlN%r-3vk$8mfAU_oRX z^gRM_nGP8u^B=ROf6#(3i_k3cVmQ4f3tkjs=#{M4X=x-n;WfH2l>j{mXaX)&`B;yQmpq1Iza# zk(N%iiRYExdZb-2R^ia?Yx(TT)I#)C65VY>QUlRs&Ox{29%@R5Ga)p%4``Z{vr6;F zAK*0{Sz8BgX7(pKCmvE8X@*&)s5y)EbX(Z4NyhoJ!MIS%oUf4;IA{EdbBvYt^P&~* z86RsG;htOb4?pM*{|xrqYS!hX%X#4zEp+4RlNZmvoxfKQH9o*&k5!I7p?r0UaDuYf z-NjoUlE9n(FlC<{*)HKJ9O!-D-Tp99O$YlBVT%SCtE`&wqCpJ!P$pI*dyN?9?RiiA zLH@bAU>w>9PiXg7-<|#H+Rs!UtgA9#5jDMo?L}xLxAl;fcH7^5bIUh&A;)?WTr_n4 z<)*74*MfNaoczPZ^_l>46^1&AmyS=um%m!?niuLh70184(p}xzUSd2w3vN>J?eeS< zA}C_SMsb6$TAaNn_~ZE_X|j9F);j_Sv`yBcPo&;S(gRizm)ivENWVL58L%1}m350O zMwds}q-YeWP;c41HOCLR|EuK2cRGAa?T$8vH|bd#bw&(th&LVv#W|BHR|wM#Ofb0R z4%agnRC;yR$=~2Ce%nkR<=$5&w&%t?PWce=BaUJ}NS3?s`kjiO+u}XzzxKb;Opht! zZp;jY+5gfV_ZLg~QUBUM?ymH}m3N($lfBK%cJGWPNl9c43gRA1-wLE#v5!OCnBX64 zj3Njd!0A2Mx82{}P)pj`B8BlY-JT5JTHEPEsD8pkwBL_A`jK*!T-17mBbhKNjz;6K zj9^5=YRfgO#zbQZN#G_$YkYV_KXpdi87-86Gy`oUlj{1|7mT>((GwrXo%x-h?PNR`2650px+zqgvsMEzxA+Gh8C@BS)F74_6b)iW{|=k-4&LDumG;oN?7Hon1lqL8_1g`(<69C5>D_jh4VyKi43m4Kg zB0Pu4Gt|60EhgV&(^K`a{SlDJO04A@0kp_P(?#kcMg z4}IiNLL6Sl2Ae3d#BX@ra1T8;1ux=o=T!$ z-w_w4jAwkx?UpV|KeyGE;<0+4yh>T`rdZEzmoe&5jn6+UC6)Sgb$NWGYdfxVkkW4| z$H0PGvZ1}<;$tv}=%usZvh&Hfh=s-3_o&rPT`PaP>JG<`&54~fqrHkt-oZiWT|zaE zJ()B8M;|!$_v<#8SHt$@8`?q`9&lbI4Py z{?kQit5)D&$cGC9X1_j{C~>CCV_2CTWbysW213!8jIT?x$;)P|y}w-76ezT988zkRRe{Y%)ikk7MQBlV?R;3m z^RvC3=X&=A_64o3%_{ZnlqAz%Jy(%``L3$i^H?k4WaG$9DSD2&_bS8I0Oij=WCr3t1hiRAU{ZfDlbtvhKXciklNg(6aC`dr4u zhN_E8!Xj83JVS3SFnCiLa=`9P$IGpD?+)-=ZA~%j@Hz}tk(t|)=`F>^4I0hZbj-=<87PCY1~;ZC1B1*>^zM~Eq8C77-8`U&R_0& z^r0YC?fg2I-ZT$k<&D8+dR?dc@C92f`Z*nEgXt8r^)Ultk50cro52eOV?kvZm%a{t zv%8_2XYLqcK>rNAvywnRSQf*A?~MRiZA-UQ5Ir6DsI5Ya=`OZwG-VbaVNN>MRteTx zols0RkM15(H6o{Y0#W*4?FY}4K@d}Ap~OU4S+UZKJ$4tO=W!wJcnZmsrsJZWvkMU-$?(UGnMRmSRgQ_dt@)3h!LLGGm@nhVAS9OQ3 ziapLss1)vI)q0UFtjE0BbTKD}H3uEBAL040;$k5smY=%Fv}m^e$5! z{Pfy6TO>QmoI)JO#O&bp^VzjBQNnX*%`}IPUN_mxygWOv)>W<)q~l32A^Pb4dQGEg z6e+)9V$I96Z==JoVx`zC9hf45thO>7ckc_J?FLR%`@Rl(*gOl{+@4~mmcKWiA^sjm zwdbq^Z@TqA>T ze1LhzbKCk_3uW1&ed`xRLp7+3;_&lx!rtr9GL4wc2 z<!;E6-a#NIvJg#>lVe*|}mP;h6)+!hW{%j#^zOx&# zPHws8d~+Y2n?{38{E{pml3TY=Twr`3M(arvB6Qh7(MrSx0i&O&PkwUyz#5*V(lL zOA|z*OS{_b&$i&w8a4W}_XZnIgX=^iKm8uvS?xzU84VnbrmgysUvJUq@^jBTloT>_ zs+d^INC>2*az^|#vA$&Yv?b7!{_xAl_N;sUb(;fI*q1=jly(gXpI^1YqW7-h^<7$l zy{vfgGP%`REEcO_8EzFrw!|3SMjeT1;{B0({lGOkigL!;jpra}Mc>S$l8+Xw(C2(G zuJ`fY#az-t-@E7Uk2B4LXqu3&wi(y5YK)CY?!xT0&uZ^ z9c2OeJV5*a3UQFM;~(>GG01WaixVO=1BW($KL>zk3^B0q3lfM!w>)9LW8sNG8J&2% z5dK^AKLt~rcx+S`#X(|$e@YdBN^D`glmZ|n#J^GBY=K+JcprA0a9kWz#c78k{@1Bc zfVyP|h1YgGei*3TdOPUxd6p5uN-R~9mq{uz$pWtO%@}NcYKN#(1Itma|_E)K*7H)huroio4(Z7Bw9U;Z4YtxcnS`E zi^x}_P#1dXq|dwXmmQsQXL8{>tE2a-yS)>~UiG#iKFjGe7ZTz0Q5({U($G9;iQ3NT z+4t;EB>ifW;C|mtdf~HgqHVQGDnH!9(ZqKMSFoc|j@I+%Dr*Dw zhn??ko7=$4CVS24B%kVPZAV+yU)U6CrWi4=GWTHqKFFPJKKT`fCBTQC!lXPp{rTXA zA^~?h27;JLbn{B_+hIdDqRv*m@bvjdGY?XHx#sQ7d!uE;{CV(>{EU0cGtPf$_h;*y zRh3=2;&YL2=T2%(^><3|!|7-V9J#Dc!u{0z*K_&llv9RwqISb)hi7bCe)^-IZOcz` zRYDJWbZ}w8o)pVeJLJ7)ghx&*_D1S%&02md0Ja3Z_#eizP+6)d?Mk1ODTOa@bMhAEN z2sJd0v)pKox?>tvXjH>!8m?$m+h`hrXjGKw8nI{@imY*5{!ZV8pvR7|vxqwP$y}nz zY$4}3A?N2qZEKG-mi#_MWwQ`IIaADzeVsWb3mj$KR}}r>`o(Wp=5x9qtNU(d%IX|b z^rAi=H|`rujW+3yB)@UhpC7vs7fa4qO7y>u*5ITYi&<3AmlR6I-9^l>PrN8RI&(fS zrfjLIGy8UX_3piz=sMrtcXW@gvzFpvZsd86lLfN~#KzGt ztYE4&R!o$mSp{e((>08xdXComh%R!dcUnzxXqpUrIaD?-VR9FsG0*l->3!Rd_+003 zF`!9vxt78IOZl_!-}dF`y=I2QDLX1~eHf`7(sq-V*($Tgjinkw$YS-+kYQ#gm_Fn`kBtrGyMiHSy%h}8dG}!~5bYSS z0(5tQ->w@3Gl0DB0yY#jxQ|I5VtN3xgql>bupkq+6E^H2COPQ68_*g%7;rq&gJVM% z++n=OY>K0RZ6Fx1;d-2iLRECl1DK3gA%J7-$1z}9)5Vw0KxSc_2D zRaD|+DDfU>%zw169|c$vFfKzJVSu;K2b26)Wb;tyb*pUSkOzX(0N*BW~e^_W(8RzP7o+HY7wB0x@+eY3Z{!7?Ai&FK zg>?FW_TUR3g$`2y+pHzfCHTRKE}(&W&`2uyV-kGAhU(p-5y18&4amC?ataX<0yGFu z2OPu?PY|F*tdPw^fUwR0h=soqEYRgpKzBJ4q`gOd(=A94<=%Yag$qDbS>T)Y3Of;H z9RWUP>jt3s$1G5}LHH>IF%kjZIVsfj1XRQq@fTJP%F702c#(gVu|U_6pl=R9d_0Y) zLy9tu0-_9ak5$8m8lr%mN2w+c!I&Y^Xc#$!y#;nFKr$~W0xn2?3kJg!0IONZuz-|; zAOYDaj|BY0Q7Cpu2{{}f*O$cqo^k)rd;w%Wrwb=L;z}ps_ylKRTF?|aoCG2vgyCX} zfo9PQj2RY~tHgTvYus^rzx3LO zQ^s~(dh4(K;`48*;>{gW?yq^l>OEIii%l!zhGbmwgz>R42#!djRJmX}Ti6L$2K#|L z7)3s^h>PgMlGFpWQZ;AP8ou{HUfOwEw_odWqjOFd#0Tm-8K|`|zqaHi$GoTSqG8!T zVNV>KFkOKkY&c!P9X$N&bzl|O`d8ggk4nDh+hpA#IQ&7OqlEn{jex6I4q=2He}!dl zUhih`ulEF8HFAsEz47Kxr0Xy`%lk5~vwXhtwYMDcc!PIquRL6enr+%GIR5$*=|;KE z@?6y4PpdzR`t4uPmu`yOS9tvOk@}*E8p2GiS8v6vm(*;dpxC=?uRh(yVAQKMmk3K+ zkzg6Cb)!k8E5Yo_hai>Z^$81MCLh`>F&(Z(e5`gYuZ?R1#-i%RSIq=ddb%iQmXdPA~5Ri%o}HZe`RdQ(_(d1Yr59kk^akkl{D{_7pZtHzicJWvG&b9`n8mI>0sL^ zWJ;W+n{cCI&HUiZ!%vJhQj~V2&031%@+tZ;J7jYPxtBhPfFesx#qjI!KB>x}M<&kH zO&o5)?w@!{iQl}`GAl2`rXQb!6GC<^4NeHA6afc%@;mQqo8PvcOV#i=u&KElFei6K z($!p-n@|`NZXnjQm$wY!Diem0#xP45l5vg$TUZ3S+)o!wSloW-fh> zwb}ZU6su3{uKgHUw75#rmYPtfIPUANxUg4#nVr)027URZCA8rkQ;Xdd(jaQCUSK4l zp)d+EC?Ff$dqp}B4>mEcOv?DTNRXmTx=+m;P1RQBFF@Ize|4CA^1D*4M6>_L-2Q+6HxNI3PDto z6g}oseg(vv2S(bAK{!5iBLPfdfc?dR+A_g7SCIh5NUVIAUcxLfJ|vY0_GSNrpu$df~-@t+5=` zdnNUh(7aS2bQy`|N&`>-X%+$rww3?{M*1m)2@*^#1qgvjQY>gT9hijwumvM~!!QF> zdAAIZG&usNsy5?#~h$Wj5>)P)SGh( zJKY4ZO1S_#;skc-1@6OSpn}|!CZIkD^EZHmF%OV{6rv#WP$1}7|GX0tlxjnHKsDxQ z;1q$YG>TB>C!pW8{8OJ*QzMYloe!j#BIz_&u$3VGr!c<1G{x-)BzaE(NxwGG6sO>n zrltj;fz<1OH=2a#GRXdbj}OfjoT!1)^chl9!$Kf{`~uk5Syv^IgZovJm0;m&#B;gx?DMFf+r%3vcKfAU{K+=gMS-XI*2ys@Okbv)< z1wvGTF9ClOV1E%4D?;eiCsd;U3FMh~y3#5%b1%=28gx`>}^>S1akt~W$f^MaZMHGAjZ(_gl`NAW&i z#?dt*hQ8%p^7e47hK}}F0(ifzO$bLo*IuYX}m(fvZ$QlMiT>80^F zeShv@$5^tQ$C&&lmR)g0+zzr!OU`|L*Yo2P?^WXEM=-mYs(h-NZeH^`yDInS=!NHC zBtaKvQ$ep!L4}Ntvp6lrQ$1l>9_AG*eX5D7zMUe(`*62Zc1-J?>m6F!t2mR-;{q&p zG*hVUsglwMsn$uGpJd??kQu?2lZoU^5qxX{Dw*U-o9y8hS^BRr$7f!Kw;Ji^(4oli@YPs*anjf9vYp zOY~?@QUoWFbGEmboqya^t2mC>(}PZ7Uc-g{`#56d-eNOo3!bF7946=^m|42UUOH3) zs)QD#opvzlud?P%Z2Wye4gRVYS-8RIac}$wF|$;yn^vCKY6xLo`bw1a0LM#*PMDi` zCrj8?kM7$%W7{5Vu+@|(B_8Mgu3^E@(OT+Kx|v2)`S{l@Ec+6p z8cv-XZ@n%B&=rpyeZIDNk2%kmKF?%J{I=mijo-5t8ph?_VTdtf41pX?Kd>{M2X5Lyx@>E2W?>T-LDrKWi+ks z8&!@w2)E1lPvOHId@cQV4(F_?ih6x~zOM>8mL*yDhE(=Gn#o{Tzv}(w3i0P|Jo~C& zQei*pGLF!{pTR-15WRw9xcb~XoZ_0?oq6}yS`osX1C2Ry2L@Qm%XuP+s^h<2@z**P ztH)~A`p`}c3zmEoQ{fv@4-9Ar-&t*Lw3eZb^dzDLtux{1W@@BMeGy}9Zo~4_`F)1Z z9dSPxFkA`V-`4l4`gKG`jo|QpDAFV1k^u4V7vKE+i-cP{yk5FYq)YFCx+4#-}Z*xKb%a*8o5HaL~l^As{P7!*}~n|>ql5j zUW#81Lg=mUBcEdG(ok!|MH_3!`o>+o>lLfPTzD_zJHCA1T%9*qyOmKgaIgAWqD$r2 zXHAlHkNbB!(ecE#R;_jpw?EDsg@rv;f zwO)=QKJi9DI_}@76K@pc4g6j5+u;=w_$^roWCuo}geXDgL*&ybmlY%&A179i2fkuV z^Is#T9;Dn4Q%|f0*3-TP4D2W~qEG+^*`^j?sr0Ie;E6NXG7xL)$*BMNEwCcm707|T z6;K=u0H^7o_1I8hLnthBHNdtF0ta*W%_+pWw*V|30vzSYCzMqJ9C}dbF!&SJ_E#AT zG>Zf+M*!kR`(FrFsIDF8A~^~Wm)@NqhF^dxwQ7LPdhrfuMfm|>sXI=v+kIeB#i;|Z ztsxQL{~%E6s&oRnjxm7f>O9p-$#NhxejKzTx9b$52iZV|_n;!*3Bcz2{-h$5ydflb zWfFKLI^8g_<5mVEJFL7LNFkd7i1lpX)?Da0F@yjs4;A$Qc^ybBvnyCRBkDb=5~ckO zBp5h-g8lLbi>gwp4^$cY1z=GQW^><(WWcKj$t;|(rSzW&MX{~-1GXPXENZdNZQvAk z>KV}a+W^qYZV@Tj2j~nA(WBhs-)~w4+3ny>1EcAs`gY7#n;DX)yaDqj(w*d(%BeA_(=$E0aHt^ZYkDX|RJqf-N zL@D@&YR7>1dO#QFckMD%K|_WQF^->*p(OE^FtH+2Z?2KR=^&CNLPcnM{8UvM69AMX zMOKv$ys*BBlO}>%^dK*QNQfqohAhN^k5e=WXi>ksnMpwQk`%a8*I0mKW;z8E2ab;A zJwhR9hZIfVuDj* z3JQTxE^Ii-&9Q};W zz0(yQCpMSF8M701$gtKmuPQ-jo~G|sO-JSygtvcV{VsD@6Wur#ZQ5Dx$1oy&?*1(g zXE)cbewT}T!uKTU80vfPDsYQ{B#O04X7CdCXbOFVF?o>E1-FFPyr~mb;)M%_$u~7( zt>bxO4!y7JO}ktSKI$5$_hIz?;J;O_ye9s>t&&V>d`zgvRn)vqxJP_@kT`-4$4DX> z^3?P-xOma*kiWRdBtMdEuw|F?^LtUHLXM8A!bZ>9I45rQj_i9Fsxhk=IzhC9XbJ*( z1DL*+2#r;uz%n6Am8vQ}ZA+z|*y~iqDZQ^5Ih2?Ku}j2CILU4CMW+wGw?tX5U6!tA z2%31R>1*Z76qq`gEzLeJ^+S%WvaLs!9=#%fW~q#j$m;ydfC;HfER(4#UObh$UJhFh zRXl#)_}&?l=Tp9C7q)M@8s!>r97Qf4d^%@hJcGCzF`N7%&c=fak9sso{zCzp%X7<# zR&;xJ23adBE=QY`oV zHy%)=Z%L@Va$8XFmOHnq+BbeC(T0*^>mmhLm=s&b)%7X1<<&c1Y`STaB!kBH8>lGL z;7S8V2Cr^SmUKn9oz>75%Q5KOe)X+3#$ zCMWn&@>V*?x#a2-ur=oITZ%s(6*uAk5RKqtH)IiQym$T@O0Z$fjg zb%}guBT32&hwFopS;^0sF(1?6G^621C9h05Bis?;9#g-vE@hQ4dT^#XgxV6Z(#gHC zBC8~0WeN&?NESw;@KIc$N}25jS;ymxoj1rnvncT3#1OCy2!^`h#e~UP2MT?fS2b;w z)n44x|D6Y%sJ*T1V?J3*!yE0SCtoG96 zF83bvCjXRk+fK-$Jv>CuV0+d7)#;X71Y70B4h&-4Op%({`)MBUO**l_ zE&eQp-U0*YZ{JNw;P+~!(C^&bB0?t%qC&rubc=vwL%$s~;ookZknnG}4w+c+*mo2D z?cWIrpZIqm^<4}P91gjtf#r~)FEG*LasA}53NeO=5EQdUs18Lez`%_|UaG@$2;z+*WU@_XBc3`)WR zw&mALU@-kf@US44C7AG^(=dEc5gxEh{9k~z@-HUAhIp1?LdOD7ixK|IVBaTz7;M5$ zE}y7!;$D(KIXrL;NCpcyr5WE&4Ay_JtyFLd$U+ZW0@7YNX*M`#BN!*^tPbI^kXyVbM{mF?84LUpl!)Ady37hEgTmHebWjl3fP$o1f%3oB zVARmfHE<;91f+#peq)KCbGN{n#iex^ErzH##Lo(6fEa!O_sj>BKr-9MGKh}L>X2o= zr_1({Wp$^^#J__wt%Fm%_mE{#r^{-PW$*u#y{DqUhjzciXdt=66W+)ppv%P#pq-fL zv395uw++ywroXB1p{flS4F(96gK7hcY8~)Fmw%p;#lH#4d`_1+BFn<6fkP(23MYrW z?SMa2wh5$(UpSWbX%ox|=%1euEbHRoL+7@T1Q(A9thQh*$hZxtx+O8d8fXzfLxnXb zEOq#xZHu4`oZ;eQg)>5Dwt+~KS0{KWIto1K<~EE9l4=IaQ7Ao9w_#_X+qHm1oD~j( z?(BoEnA-+oZCT;}^GsfUdoq7#^*Zg+osDsa!GkbR(_rc_(=fS#a@ zD?1rS6F$HgdnT&2gy!ksufxl|DS~l`XZ;TL{dQ0hz^?<~H+w?sg^zZpUKj2=_{rlB2x*^lqj^&J*AZAq5?CCu z%QzCuN)pW0_4t3#_4kMLDd2rAZ5aXqRQ2f=QIwK8*M*o+d zO%6=fe&{C7{*(O7q$E0f*c8p^YK{IvVltnpb+Bu~?Hm1nUALh6Y$@s$Spl*`Z=8#~ z`#3y7*;3k1Pv}{VHa>O+%|@NQFh^|S85*TrxH(^`!9$zn$Pp_0tSXY=h&6MEr#W%a zYo)7}f=LU5V}rXh31j38Vyv>*5%MoK`A26shab+ki4=27;$AoZtbg#vuxwyr=wc_% zHc|R+b+&ZTJH}62(JwoPzZb901qQrmnWx0oDB;XYuR&y7`wsPw62c+)IXLC<&ZN-s z*5T&qjj!g}z5uflj(gCa~FBtlfL9+i3at^b=;Chg*C&*7Cc0m|<(u4nDkeg-({6>sOzEZ$5kLmp!)j6U>%hn95}2qo37& z5VJWeuA`wBs)D@|e_RmuF+#F^1&1?RaJ1vwfW?_1T~7`)a3LGpex z{cfuP#>aJ?CK6{j`AMrS6OH7{5XO0?!&MvJu^);DOCI$OCi~7ccvr%z?%Z|{eX~FF zAdow}M$WMU{XkUOv^(|MX8y!&vu%l$xYq}a2n&($k)@Q<(ZD4AR^iKC#cr{>1DPf^ z#?2m8Kkf+eJ6gSZoo@5ur>@0TweZ`R4vS5}5v~II#iBM|-?NM7XY>s4V$~3ZBxr4) zG1@XoXzEGdnH2gP_lU}HQIoyK{PY>abq!7Nm4Az9++3BJ%;FS5kt=!vzyJ2l9788R zr)`o~TspLy=KkCL&ae^XF>Cwsr!TKii)G%(Ga75>n!}5qWn`DeVBd|_V+g1czEwJm zee=4OZdAvZ*fm=_l>#hE#huS-2v*yRSZ&7as>Mw_o0W|9Dm{U1ddqKZ&vHMq>=2^u z_8!snYIS@3dgo?pbCT=xuY4&h_wjEi$*NI2STqmoCd;V*7Wu*Z3Q>@h#baf$q9_wn z{;l^^d}HYPmKenL!NK$2h{l_PgBcEQhO1MR+ogU zv)nL@L5HNfh5o@%V=SSrb2h|8h zRM>OlkHr&-l!K~m@)S}@yMkO=Mz`BkU$ zmxio7i)(+=5Wf>q_{v9Rfix#=Qm#RYe8Mhs&77P?e3(nhOuy-KK4Sj$PxL3~?NFsF zF&tAwNCa|WfuE&1J|J@15`gnug6AnXFaQmUFq{QaI}1l8ia;`18WC_np~xRK%RUwb z#C$+P4YmIP1<=87e2yPrS@%H%Nhbip1uy>Ne&_MQd(cZl&?+IE3wrqzm=*wV6Tvx;qn(}R?f(gS z@Yh+;3q0@tGyzq3i4ECtsCV;USbUDL|G0H<+=FnamW_fIdfD?wAga+nj#-fN;?n|A z*m7c^ze694_&2)Vxaz3ujxxH=A9?D&c9&CIUgt|g`K+LBDy={X(NdRcSV2S z{evAA?eVpIr~Hb?mKhIM2*ZIXQRa`aK|XzrUyg zKTlUI^XBrfkGbD9o40$d)bm{G`tjfAmm2${9ZYI(yyZ($mUNcOGvE&(N4PhI9^pp% z;YXHWDv1z97GWw~B#JDjnwi)b%xjV{i+OQ20xkob=-qTLIU@Sh&GY4qHFh1gY7ynr#WR`2gMXg$5C zS^cAGul`NLG~!0M;34J_cP(O|0JE=otY0>$?|XI5Jh2>SPqbDV^;Dc4hEog1cQHeM zw|ft9f@`ik)9q@J>nR-P)pC?XGjh0|7g!&x>$sfl_S?3 z0?1-ro=Ds~5YjYyTRxlQq0F6*IP>D-?VlHREtOx-P55`I-e|!|v+L|TK;(5b3UY+o z%-y%>BC{}HU2+|JbkD@TIE8oJ%4s`J$gtZ`=@r4CfYhxs;&T)RGbnQ9nbJ(q#%eQCV65g@{s zUuDU?k5dBsl(N4{Fz0lY1ws@;*9-0*q$6`x`*#Lce_Hbyj^wg7l~bwUrd7Dkvq6z| zb`rx-=~pU=nM414UdUnpyO(NIH)t(*z6!-(FpWwo8h+d;pI*D%Bk1rQaZh;IT$JMd zPu67VJw>VWsw7`145Hf!8_h1)Xxg!boN+P@j$=L_DUkq=zcpl;&%}~>ke`w$OP+ZC z$*>XQ9RElRVP=}1st#|f)qNdya$G~$=Ihwkda}>*nB`*HTInOBx}WiDf9@}^9S3}_m%F^AKAn&f)(P; zduBiOrpK+1&m36kO7Ofopm}=RT3F|bznO-L;48l)D~Lnj6A|6?Se3szes!vGBf6;L zmz&2coDCP?R^SL1Fr%hW1_Ky=V(_q!ttN$tkPvdc^7L?wLZhtc*wUX7994+2r9wjg zwm<;O3xr)jZe0HtVMv1t)vlZt#tiHxT<8LFWBb3bpsecNHjEet86F3W@Sutlvnq7q z-)0AeMw(Tm8vmZOq0mSJ3-KaX1ySI!K^6MfIrZOl0fR~lseb!gX*l!^Wut{I{MRmk zL%fGb6E1{GZg{#;vP7WIZy#b&L!&!?%s#5H2w6ye0JK7Zvsj?7O2CfYNyAa4XRs-# zA%7A$h;AwhVKBhKRlI+_IDnC205)-r9%&O%06WA5)^c%CJqSvwkZ5a$WAq9GoD&iU z7dQT(xfnr-k1$-_@b7)XlXWxjp7faiuW|(cb!J~1x+Dc=Um(6VIJ19240UE7@?(ON zBED09E4>Zz+%~cyPT_|! zU`=iN?PAS|1tw+DsI!UbNxd<^i!b&5IhXQeqG-2z_u}FWr^S+UxG%54vw(AHKXo=NT zrfRu-(@zGU<(h+ukhH}EZ-kH1Yo5#r=`T^r+SOqqIc-E;nm0HMtGDGp+KdNW4`uda z4zmvk;}6^Q%qgYg;*yJ>OX9Ur6v!92l2zXQOAhZY*X_12Beja~PfRStRnwqi`6rj<0R#ZIiey$z7>nHdKZNh3>u=iKBG9vltGUMF`Ko61OeS?s#EnMg*U9Dc@0zAxG^ zTwfJ^fnifjV)J$iq(I8#;Zfo=h`YBKS?(pyb}1Of@ni9sO-b@tc!u%xHA}< z7Ktk+Z)&qg5Bcs55w6JCjJ(=Cd!F7FZu*MXwN8QW-fKzPCkq3#QvB@SCdGL0 z?z??Cv$sPkXy{jcJOT$vg4qb_Jid@9>LAbQxsK@Zd3@y51`N{7FjQ!k)5AyqJ_#o@ z$^gVL=i_if9TH&wMw6K2|52VVa!0r>q^tpCA_L#Y_2=>;hE2>t)O!lw-SWO1Y=d)+ z(mNy1)ni0fs@`A|nl76myj5a7(_M!_nqv1;aa*3iZM3r1(P(LfQL?B;yyOt{PDrlm$BZo?rkW+sGGD? zb5)Cb7ry>LvQ~j?O031c6o-}AwdgZ<9HHWn=QBzbBp*j*CJAyARd^Z$i_67QbwR#rr& ze(&6$rI&?gZpx>N#45j3iYy8l+^p6{k3j}t_pW2;ZK;0LR?{}PI8bI}D=ET)&M|)J z1qYizD*Z4i%s833mYPk8mi$(!tF_(LWB0#%keOqr-FkcR+_IO$ri9bCBv<2K zyDeHP4$ih0K9s08UTGE`Ykp8xXe-prj&8B~7K@80a+fs_hn34e(8ZdfoZOO>hv8Fw zI==hvMUHdfhqq!sa(>UgaV_PUf5(G3zh}%e(&3DOAVc~{yC7t^G= z!&=R1;<8ukqbQ2+SEhZ)5yH509k*K9Mq#u>m^*-2D3(zTU;4Vrv`vF@aKQT~cryJY zlmcwIn1v;y6u;!8wD8*e9}J4X--U~`ItjM6-j^WOcK&qj`uO24tLL|X_q8b>WrL&{ zZCzO7cUlojm2c$Vm8+i(J;z8MLiF$>)^q@As3mol=P&FVR3YNQMiDUBdBNh4;2Y_) za}fjicRoCLhNl5UiQCy|B)N>E>E=d7_g~_q z0X|jML=f1WIQP7HQe#9B4__$46-#PeU+dBP z=kECj&fq>8Cr#UCV?SG5cVf^kOSbPD(Hn-lqn)w=F$FX0OONtA>R#w4JroUJB`q_G zXP;`!VnQyIG%7rVql(!R+xzXZjCviTMR#V#0s?MP$C=bOQ#r)*o;5KjZF_!|${~8t z_g09A-v{%w6#Jx@PM+rVq@TJJ=YPDZH@FLTy!M0l6{3jZX$qI@^Ets+3Vczw>yKrq zl)fbCJ%)V$!TntP#R7faU8dkPXT|(83OI7wJjHn%{zF6Gu3fT3ZKb>_JzvT+9$|+| zNmX?|%a1ZjJ*XLWgN3LtobC94z5~sGJ+-+{?%=@Ehs9tD^FGBoA^{IM`YKK#eTO3Z z_BzJ`z0GIE-MdgCv65%|y&pS!0UaL{>2(EK+#dz136S6>Fzy_qcojxzrtnl(H~$t3 z*$dwqha8UYO!kcF|t8ebi;jMyzi`1~Gi`B$G_`rYO~Dkd597Q#yylW!#3-rd~f{P<@|N zcuzgm^OEQDg8Nu6x%k<9>)0N+c<zy{WS(1(5g`@59I?W%h53bIHlbV?br2 z3(J z_#OR#s|_UH{X_U2-|$3xze!>!RRY9c{1dtX!=RcRGU*D?_y~<4y`th@O9=&O=(r?s z)WM-SK;Dps!X;t+&@_tfz>fzt4U)i7cbA0Gp~-82%pfO)i4{KF0@y;80(!nm?(1=v zf(ZiX5d&~{;{Uf)xO(XK)_NKa$47uj0?duvEaOg(Pf|3Ev!ZJkICXrq)8Hu=GYPcs zJoAHdsi#Kq$UeqBeQEUqs#(-fTfChlHM2^fu2Qzmyt}WT&aUqmn_SDd%qF`qM`g4t zRl1A5^er$hn29p)p%?zz&@)F!M^Fo4xZkp3wbOgK z)o@}@mr-woHU1iz@7>#S)~#*4F~}^NJ~0>AuUSv}+&X7zi-!STr#Gp#m@UXHSnrjD zQAc?QzTv}<40!cHlRZ}YYkXOvqahLV8S}5GBOA0XE7>UjsaHo5VFFi}DXqeq$t5cS zR{i%n`#(x-ueo2oSu$Ad#X}qD6 zc5-3hb+wgMGVj_F;VEUqq4Rj!E_5ti_4W5|5%bzSeO&K9N*O~r-(tIx^B|XPL8)Dj zO2MP+(ixqEb-#8`M9$f`Z+X2D%PCtmV9)PcC@z9tWEdRK}_KmanqPql)p1k`?0IZ8Zl42%J_P75-P}=Dkmb3ZF)}~t@%_-QM#bdPzWVp5hOo2} zM%VNCAmLTl{iC+VZxU6#M1Aj(<}7txe6nJU z(r}%SgvG_-_U$|LkB#Jm#r%El_l-Mm#on1mIbFjY>hY4L4e1={ws+n}ge~IalD|u- z+0c+djQYwrCG{Gayko>sN{q~vNz7^EvZie7s8YvQFNAx;xb-xf`l*kh!WCqleaHQ4 zal$NSc}m};vr7{(Mk;u`FpF)uQ?mTPJla`5ogn(1`l(+p#klxC_(%nvSD}9<|5}jO z#DAvv-{x5xdt|D~xBk&!7?0F_sDx8e&uRd3U9$dd-)-E-4ydw9z$ z4%q`DZJgAj-q!LBmpQD5zo6bf6mqNe$sT_n^|*oQdMQNx@KGv%b-r%AE@oHb<&|pRhZhh| z^ZIP0Iqm$=c>miMZ#QB_C762$ZMeJ!wM$``(o4o7 z@jDX)vz?Bmkvd9^V{+tr`cAJq2n@+!4qTUWv?P?-R0NSYeR-^A10!Qx<>#tSlAK4_ zk=9m`2&G)^8E@lG6zLK*qJTsK{3txw1G9Qk>h%~EE_kRDU3d0a(|Lo+x<>qcZdh$C zpO8PDj7rN(U0}1ePWZ{?^^VF?^QAJoso9l8zNEVW!G?LErlA5*AdpmT87xCuW&u~a1>a;ty>H#j=?zA50c%FBRw=pf-r-3B6NrS zAgJ>MObuTa#E%l3gvmew@o-AW-T=59sV_l|1OL>)R6#Gp&VsrWRV-h$8UQ^}SOzn?BnQoL=nT4uQ2+?ikeMm$G^4bX zq67k*=gJ_ZK~@a#e|dn83$n-p*d}ln7Cip{{w&;PFiS>R1*C*D;^VoCX+Us%ex7&$*=ps_E6E}&sf7d1&vBmO6Rmj5~8 z5}>$6Xo9#Iv9*IOUp#qfs2rjfK|Q@StoKQs<_gFu5;A@At+O+#(ZA5Ts?fMcL)Xig zPo3csMN<5Hssfowf53tOmCzl-RQ@BT%q4Rh&dDdbeoUzM>v}+$r)|T9#5$ThT?#e>`8dhw9z8n$5mVY< zK~ID-e5lgwTW*>V29m_Tox8HfMm2~EcpRK5nXrPVzS7gYkhkQ+n%L)pEbf~w(3!RA zJ5mymR?Ld3oyb#3zq)E*zACq~T}92E)STQK^)>K@I?Fi^_j2iiMfi%}vTxR~`*;eD4SY#dt%{clK6MKVC8EchxpLbUF3Z>5eX?(lOT8 zxB<}3a6_+tXYDAecia=v4I%M)D7asmay zWBUu`W+u{_B;MF;64CQxwr^&Q(d*Vlh&u%x&my~@#SX-?>|>uCp3l)K?SRt@n9>Xg zIUu={u%{?FS($??p0fKuEnJ}H-~xiI%)tc&S($@1udK|$npYNcY6tF>%E}yEE&wwJ z=6ps@7IOxNCdpzoV~*G`HCWA<^D|JRE{i!T!_cs*F~?z;8mwx}VHdgvbF78=1FIUc z%cg=s&^eec=Dw+kHcS#d1rz-<7t%nNEMZ0v#VIgfN%hkaK`#u!EPaxWlLu0@g4sde z4--^Q13rFhAkl*U3nNiT0+^X}faX0kAwvgCz^D>u0Mt$!pvqSlOYo!P)PUx6fmy1w z1rmCCK=yaz-RxZ8f}E}pjs1b`$*0+H&21@v!OPjp{`^?|Iq z17P{2e@J$k3{WW?L0>R%a!(9kV*9ey^qiWIgdq@HaRg!jPVV<;7(iW4pw7kUP$!#> z085cGAZQq4nOCWR`V3>h7kM5K*i5hlOvkec0af<`XngH3fr}l0%Xpjxqk(V%VgNtx zpz$jdpjXRGDU2W^n?sG0 z!GRd~sHmYt4WJn%gm-YWRKoU<`hHTtS7;0Pc2r@;5Q*I%R36CM4z%_z2N*yAEBE__ zb3^j>pxtsKRv6S1N}vuk*#9BM3w@*EIifX*(TaQAZC^ixXfux(P1dayuVtBY_4` zGn@hS(M1rNRB}yiA6jJF`HunPfsD=rsiS^WM$o`{tai-rmV=a&8~s&MgOA|oJfQ*f z_`)B8+z^2acm_Ka5<@7)1=}#@J8z-l3b5#C!bg`)e@UNA z_?b1oeXAIFKXL~d4fv0F%hnX2KT@>9T`h1=#4hD<4o2}Z59yGq|U(Ik{y|I8t z#Yw$Bb;lyjmIsEq8P{rN8GSz|#U_BKO617Xtbu&Vi(7$alJ^7d_$yk!wVZVITA_LV zBP2krgFxu^0}2+y0uzx|KAvaBFIhPB=VKG5FPoY4-PtHK44izqao2L-$->3v?9zC* z+BqC`q1rNze2z0h8oac}zBMH3TD;=FJ6x}Ac}&14Z#lna#}MVDC4`DuEqx$kKP|bP zU)kJLNqWCteCasxGu_0X9f$FyVCbFY#&RJ}j!a=_ddo=%cjbsuRo$TOw&3i3qbI@f zEBD^sPzqT!EEwwfa^FS0Cp_ya0p&-Rj9eH04DJh8vz$pYc0z_^-DCtVNN;_ni%c); zGmK2H=#z;||G8I>622X7ZzHHa6Fn-ZPD=PI3Gaqs_^7bDHsP-lyc=g*mX?Le)!#I$ z3RmMap9{Z6zW9ky=qXOKP3jW)(!yEr-`%JkvL|*&*H+_h*rbk1p4=eZ*opk-&j1N* zh17&i4yHO|DdGEi!oBUgw|9C2Zla1Gw2cfGM9F1lR&%0Mf_O$%;zC&XhK#DK1U{+6 z>@Bqac+J@`{3dDUvX$^uIOVW{R>b7WVEU zf{do85<}P1sE?h0P-kUhOfnl~XfL1_bv?#lp!()~=C5+DwAUUSbN81=?iGEBx127@ zOfk)`w>+z>(_q3ZW#Nj0Ti#gO!Pcy%Z1YPn?ds!)uivVNaDICEX~gc+R70GbWJb#8 z(2vedEL{V#o`TappXD}*yH)ir>IR6v3YwR=IAN5b%fI^||DOIWaac;`b35H%40 zahU^wxy&K(+vE9h31$K9+yFb(!q~tps+0n=OBV!P zu?WUKf!|da4^$EiI>3_(b^&NQ0Gxw#2zI6eiVdKQn?N1uWvn_(W47p`PdXrcJxo~S z1C3dRf?nuCfciA_kQh7+6a{Yq0)+kx(|JtydRRgCXiz{5_cqKZ{UW$C>W{+q5!2!; z6cG3Xh|uZqL&J4~AGCNs1B^0X#34d(1dvF+10;6PfBhb*T_zym9|=gr;qw@e^`7j|H{cP^Bpr=2}z{On*9!FQ~p{LwKvuMSkS!ihtq5E-% zH1Ea%%^0g35r0VREe{X_-zGpEOa(UV@wEf@#4-Ua>wgbbSptwx+yaJ6&yVUjB%63h zdwe2H`erBa9xnROYe7^=hgxt<0-|KNfa;+_q6JMIrm7|bsy3Qx)d`%gXUT_T^*BNA zz}HF*#iCiVH-X!aada|M4)KYCp9C7RMS+Q^6(?5J4WG-r>XaZYU74*B;5>o?lB<|eh&Jwv#jS6 zqH21_dIwn^W=cO}Hokp=E!m>Yl%Hp2H2JPx59gH?0>bMW&y`EAB#%rDH#6j3Gd7^% z{>IP1V)koKY?3a4+3b-cgM3+FhnSsh+%@ywmTMEMTHT+o=mpiz+zvtYh~IRaQ7<*V z_F!+@Q2X8wO$(9N?=Qy|`sX-klpA_ZWavBXh$-FMc-RXHL$Lm^M!eK(x2mN@&6S!6lqkhL zC>4Kh=GD!|wnf{|rB9EG>dod}$~#?jq%lzH2PehxOtJIkN~2wJAC*S+-8P5Qa>t^1 z@sz$zov0to|M|5(GMjxe$p7T~z|yGT=KQFm+cdM9(_*R?>AuQV^zws{v0r~{?oPYl zx7SKfP6e*s#1lBPC;ZSu4Tsx}TVa1+w_*Ssex=@k(bDgmu0z`ZAjcN1HAo1F-3LI) zArJ?!Q3wRq8X^u>{$SJg!1iJB1;CCVwgzhrv9JONpl3b zcco)j$|iVVT2+HUUHLa^3?}Nq{EZRS+XJG^x6o94VgQ?S3p*)csJ-}{|Ar1kT=(|C zAO`|KLwrhN$nrL}A&f-vZ7>&M;0Q3N15z1S^9QH^cQpehb=^DTG$eHsz+;l#!Oo8u zQR_QEv;~)hq!4_@`Qs`;sAMF5uquqV* zAV~r+mpzW6RE20Z0LVak7IwzNH24+|%#2joKwAg_(59M83;57RoAWbhIw%{sEZ8wN zgzC{O*{8w8jlogX&B5*_U{vMifWB{_S*X6lj;B|_$RP1t5GR0@=Y|#uKx21uL1PMp z=*Hv-Fr6ZWc5@GPy$lyvZ{Iwy%N>B`!vL1*dV`DiBYB60f)m~OGk1YL(x+g1o5lrB z0af1xW**~Zfz5m=I8qkH{^^ZeI7?iRb%v!ufovF&^S3dKhAiyA)xgemv&4| zhTvH@x3In2S+|i!XF{X}<;l^wjVC|VS0aqwBi*!)xWY>@g=u#8y&j;_HRZvg#H8a>IzOTD`YP$9j$Ez=U-4&yDg`_QXMPi(e+Qw(U z#0cW(ptzs?%Kp|9+tIqt7n3wIn9Zf6P{v0!FiDtZdCc&=E*{Q=-QCCnaub<03foIe zG9)j(dlyMxax)ozHIq-$%G^4^-h+(O#Sb<5fWUo+U=epM2;p^c=xaHtq#(SZgU>r{ zza_xUV#rAv?fJUoW4MIN)wm^1k}E4e-!0}VEI6ZtqcvQ)zmt8!zouaRAvwx}!?$<4 zrGWUk<_zb!`7O3;!>DKxiwo{7#_yiJEWCXkKg*}bJ2yZ=@7^tquUoDxrJ;)|Nb$6x z6Ku}2_T)+eC%D)Q$)y9%XRPPVrQ%&D;J^e0enRRzQW2rxQEpHvYWR#FxPz`i@)YJQX>{6NBG;olT3e^FG0H zufRg(jMXXFb@Q8*uTzRkzux_92LEbb#`gA}Z~L!1it+l!UJf@{Co_AeuFz~&yHAv# zlD5*_MQRncC2VOo6)nBiG74+G6nlxE>UEuM=-ND_jw5RxsK=7Tl5KZtpf$rxp{IE6$hB3kuu5$W)6wn;6`FMxWSV64AOz+1O%{k_8inTWCEm> zyJi3M0Nu%jGQb!60#GlN{~^H*U0eWd%$5Vk*Zw7-Vj#QqE3kG1(^@C`0VUc&H!xO4 zr1B6ov=ZoJcm)=9RoFg)K{J3BK-E52_jncdsa~|hcp-~%4lbyn1E{O4KGYSm8c@uC z4a6{D-8XBnVwhf}{sg@sss%Pe`ZGX?u7%0(8!`;BsTQ0lBcXTtq!_erQs`9Op>Y=e z288SDU{rvqm4_Ovw9xR~yic%9pkq8x@fZhy-&6fFl?)OZKMgiTF%aLVLOK#?=N}PF zyQk}6Qg}!JO8kMy01e?y3Jum{bz&HFzJrEOG@u*CU?F2xcmTtj7%FQx#JJl49^Q%+ zP6esXl7Y1vI*m$XBX&d!r+}J|=wc?Cr8$d+DE%tfs0Co&M3BSNLjzUu6lnT{W*Zrz zR85}(X$KUWuuE-BrxKa~2MZbCc$*3~KB<~P5#tnq7sm^QErRzG(+s`{Gc=2YD{wES z{$ar=P-_7a>1ZnTFz{n~76CM&+9U8#oh^qRDjYrR;Nz!)46*?JLoPnaQ8cVKcKaPY z=w;&od6E7Z`1MfH0*elI=#NA5C19k(o*jCsAG1Ie_$^RFx@f*VVd4XbM#DDj=jb#6 zSjeV;zVL4-XA&QT|tB{?5FAnpt77yvCAP|yqXi9UMY z+|w6~5Ww^%fxf=Lb_nw}WM2XaZ8XbB4(vEojV@va5i>%%%b+jdHv<;9XqF};RwDG) zy5~L%_}mPkuukA2VL-TDUtxy?BisB6bWNuZuxNA~Qkppjl!9LlHN^iOP}yD|qWYqL zrZ-;$>K>Z9_74>^hv{_!X6ZDr$$(iwzv~1|V!SdCCm;>oV}jFypN9S^5L!3I2s(`3 z#{OSp>yB;%N36V@9F8X~4cS_di$E@KVP2@u>!di8H^1<0Xhl04yX6oZ$;Bntmf@DS z4%wCJOs}1twzu~5deK&?jV1nH)n(u*;r9qp7P`C>Ps4dOBkB(6KgNm6l8 zsdAlYsZnG(LQ&L>%bKx?C-zFMt=M|Vef3LKU&;y6IU!zo#kV;OuU8V4cl?_-nm#$d zKyjT6c{~0>S$WP;?#2j?cA@bJ;W|-56#Es_4UIa(*xKCG$M6cJ_;His5byfk#*mvs z`CWwx{&UvDu548?^988-;7`rhYZW2Jkq;O7T246Tfzx=2oCGD0W1txBi=TQEUq~LuL@|7UXv~y~rVf|j z<^tY4QLbpM`VR0&a&fRwjfj?Qov}w6Hz$wNebTsobU}+!XNe*OB^F)6+?#_9?2@yYLF5f^p4mc|wAwp@XU@+ka}^nn z+pE)jHexHHP9cELP_`tMSCd`(I8aP1@pkm4UR6KQQB7uoC)~)~KAk)s#^#0UP$nsI z&Ahp%KY2yMmo_6IyB?SgUbrOmtOurpGWH?kB#^{S@6E=I#&3B&N8Fz8qWoZ|=&1EB zfzdXcsVLOLHAmit`S#e?l)9DUMIEJ$eThOx6q%mzwhi4+y3wdq-+8s7hfjxodCsQ& zcu%);-%oqhAf~TtW-16`j zy5HZZE2>@dn53=^*myI~_mitCskLviAvKc-B%0?LbCoyIXxO0!7xne_chBXnOEZBx zt>~0!a{GSHp{)XRB(*cWAo>Uj9Wj8CLt+>0NbH&&iOtA@#Aakc?(f8N$sw^BS&-O_ zEXe(+1T+cj++j1aAh8))kYFQi--DCGI(OKFEGQ&4BMTCnkp+p($b#Iz)&^8#?yi9t z0VFnJABm0FM`9!Pk=TfRBsO9n3AXGot%9qw*gy7N!ap`6pby_+CTQ0Vdzk+bxIP?f zU|wWE?>|&e!VEF~UHE&fnREZhyquHo#&CHK3`FHGts)t{@rYGxP@) zJnaxru!&}29|!xk$37iud~OMhMdK&%n2K<~(&a?=d;bAzx6xzf`x$5nM6*0Ti`{?N z`iwQjn4anl1D0Ae3;P}bL+%|u^c-XBpdsxM(9pOR0ocON1VAVhpKz40PfrC8Z&xFn)-TGLDhKWGw*+UPvI8 zFMqsmZb%fpf0ghB^zYdlkd^{Cj8xF_VHS(c|L7kEyF3i-E57}TRd{?AECN)&0bP$7 z;FQ-FR=_?s8tpsx8+Ppbzk&BQ4~S3>Bh`WXhj9}lCa_8{kJB>&9!Csqp+Pp76OhUG zKgJYzu;0NWx}m95+OXgGUWg#%H0Sj1tR0s~omAPq0B>=t90HK8F zt2R0_;Ny=Jg45xFLd*>4isB47H{UNPqW`~x=8#$i!HS@uFbgp%QzA4}QSX{6B$ck^-+M;#Uw6YiKXp{K^=IZ?v zf;pV~K5f_@ag9M09T#9|b z>o-I-Y>ihWo@J-zXXbeQGVq+6+Uc8S=S0iv@mMa@KX(nDNbL&v@HxQDr`tfK{PA?+ zuywMQZ(P#UO--dyJD<^xjD{qOnYiG%c4ickDN$}@f~SHeIH41{UPQ0KydnJ>W`8Ld+I>n5zWEC z?-_d`DR!=5>_vqfJpjmjq2pOd*5kxmD56c0nh)CC9O%w?e(6nTW##$sK$ztxQHl>m}CM#Go&r|_WfYY$a)BJblcI5EFU zkm;4&;C_%v7Jq%$wX);8Ly%G)q^i^qPky7* zU12KaL_l|_3rJ2G=QlXBL&!k%)r>9Jqt7kCmEdIVgMWjO-DOy|Ds1wfMLKOicI>#Fqy*TfE1<{4Jm?_Li=vjj?!!bEh4k<&* zLw0r)FozuLo`%`aJ1Op4=)d?p&8Bq1~599Oe}}>LV;Ak0IZ{aYlK>f;Ue_1m>eyK zdF9hd;3Ck&2pAOxKLz~+>WpxDNcl1`XdZ#d;qosDC}Nuy1_fRwW@Sewfr&VioU-5|xb9W(RU0!OobV$9p zYI|X*w$vRrfzVUe zcG1o#I9{yi>7oYwW}?|J4fD z(%O^r*Is>Ua7pW06Kmw5%B?lhE&e_mu2;|FR^vFu^F{APFb97fqJ@P;LFj8gTmF}d z5ghJg;%{fUT$kU-OUn20rQDEq@+nr(DvK7%t|&Np!Ucs&zs!h-DvaV|g_2O|YEK&s zGTe#y6=o_d7)mwWk3HiSZoWd~>T}=Y$Z$P%t?W;amr-7)Pp_{b2Oi(w=`^_%Jn|%d zJxRBf{t-j{MMlWqJMZzAr6)}}lRJ~*&#lXu7>cRZQYc5;)62`>hihu$;qq~?(2;UY z_m*!T??}3nWPlr^A%c0Ld(n$3I06bg|^0 zVhOr9_fK(b30O%Hk^@Z;C)#Mb{8Qv&2F0R(ifB+w$P%0&V;~;o_M5{%VFo-nT)7X0 ziNNeC9Ga%~Wzfi7kO(-H`BM=ZuM392Y&-mi$Ublv0<#(MA7nIe7gSdQmP8)M;Y5%| zBOx8+iUIRNV0J71LnIe{)PJ$`;Og?h(~QC31kl_zfS^2HcDQ>Sgm4x*g?|I29f(;0 zBD7h4SXU~rpfJSWuEG8obOS0>QVH8fF2(2pAk1j1dbR3srQSM>5$O5L9-{TYp%hBs zv?$j6*;zQ$1uEfki2v{fFfx=>`{aM0_8;^@2auumy2C0H_GSL|2=*VRg9>~b!S#R2 zz@T3t!~jkWfyt}(A8$aP1Ptll?!mso6cPYIy10VI3YCVQlE8&ve~mpL{33x1(*1?z z2J)RYgQ2l>2awwIL;v&%RMJD4?!*khN5w>(9_|xHMuL`<5$XV2EC-(^x-uOf#souR zqI(WYpnrW3K&)n_!$kc22N8o`eHLt_{Q0E=6l-QkR0YIepm*~BZ&;xQke(J;@_xQf zLW(B^pmZ(Bg;6hVoBIqY!P`eS;K2xL5_a+Qos$#=9v|a%J)M=n8cZ+aNo#@F{AW)T zzaw1{Igv7l^&+0q-e{UH!<@4M2X3%sKS?lvV;cw?AQ=B(FiG&_gH|MOhcFR$kGr+o zrq9)(grUhHT{AcTi>$b|rX=yth@Z1XvbSqpJ0%vE@C+5Q(m%s@C#W&=aSns_)1!pt z&+5Mopd`zmxJo+DY&l*GTNK~^MOL9K*I-*2dYgzBulOA0Oc6fQZB!I$^IegA+|}ze zRC9=iYjf1ZUu->mR)_sOiaYHTj`C+nH6ed>3V+EJH^@cZKs;B7@`5S(1&E5yTs&7yI%+qUo?v&(zh`wp zX}(BpySIMY?`~@Wr=K9cq=I%A$?%YJj-^x2!p{nc=xQeq|J$b;;G!YP9Wgf^Tt01} zi9;ljWaW3pd+;V}w3)XdvHivG&UIZw6b+WAR6dX2sxL#eneSwuDE_6GU6Q|{LA_b1 zhA^*E)#<)P7W$CdT#!}5KQ9>1S!ePl)6SVbRq{7_zVIE zRiB!^x1=#;_#oggH98xjI~ajOGl8@IH+kq8*GD|rP?#fmw;$irWtQPSUt)a)Rn)@mA& zRZJu(be|ef+RbEv(?tp&Fx!`-zbz-v|9asE^SED<**3TOX4M@AA37u4 zD$F@nuiE0I8r!_7UOI~(n&M|WxEevf-g-a#b?^LHJ*3H=ZywHGS8$m4Fd19ha_|#& zn8G8eoI&OLD2HA$^Op2M&gm1(PmaScq}43jM7Dx1jRm1B45pXFfF^7 zsNqlKd16;;iP+9JKXQ5naVeQuvVxi#*>$_qSR~=oRxi1#ucniDDSw*T5>HZJwnkW% zEYE$Zyh}PlDG%24tyRv_XO+`sTJWfyVYzudS6@ZQk_aV2B`aW@(Ua${5#tI|a)fRE z_(1BW=2jM3LbO@P;$Zf{xY=lHGbuO3Z;O}b))T7Dr#tW1Nbjm1-kKq~OfczCT{UfLh@oQUe-JhC09?9PRF^Ra!jDyFzFfy?Ev}3rlf-0H5^lZX= z{VgL48m%TpN#=Iy@(lx-gn;>@6}MGARpj*~IMWoFM>$aNF5z?y(ZM$2nz zI-bLx`%Z_s#(~qpAh&;1aCl`ecM#@y$OmCi{_TUH!@}snJo?v4L=I~81FIR#0-tOQ zyBq|yTyQa9#{RuEGEHVK3w?-evKryTT&4ji#gkiY@_rW^!P9f2(iCXja&z?=tx zCkk)|=7ftGte_4mYS0xne^jiZE3*Hn;DUqpMwx%>ut8UFuwW|~pz8C)aL9t?x6)jG zGDhgue#K7@wOI1CLv3o1ZX2`0$-kFqW(CrDl7d zj#?J>_MMIS2lNA|5a!bDVQ2)>t$Twsv@WHj` zL1mwSrdSmO35iXf9o1H_;AayjZGRZ;z&{>%)2orjaz_2g%F-C$B=k&Wr7JvPxKU=uVsI9nZt9f zm}#0bemM7Ji1Lrw=Z4Qj+ND1ad@a>}_g)@~w7l&&W$e?eJ0A@qZOyy~lblZ{KZs<1 zyV-rjET(ka7q+cQvcuXHnIoBC>vM}yivFDgqF~U}lt6QZ_JN*BB=c~MwPsz0dwOv` z^Y?;2zahM=ni+VRXn%J1oswPs_Py~BF_{SbTO`%zY$hi>zy0t!JJ|Vp2o|!rq4@IF zNfhUU09p%aK5?RHwkwYGniYHa*GwroBxBfyrz`kiXGC-!i0LqrPkQqYwU;&i(3MM1 zC^TlYbs4u5%g=gQe=%h!%s;7!;NCI#cbV5up1h3Z)Q&c|cza$_ef) z`i3rI{AXp39(EPSl-2NAHV~iXyZZ!-)aSiWau#PMi)&F^OwG_4TL7&p?+W2K?DVNt4ELlQ&-a6hHSl zonvXvpDi}8V#1#-!kNC@d$s9qc)fx|PMUmTL|?eRFxL&7%f%0mzc6X2Yjj=DC>e8} zo4ky2y6Z;%j11=b^Q3Zfnat8O@8{`}+NX8_`ngYVX104*G~LEuUCDegjMr6NG>xpg zMILI&)b@)2F>`L51;$htjBgLP#&z5MU%UjrhYHzy@y zZSV1I!kQ5$B99e*7iBTG88!a0_A9TsDt+YXvGaVa_Fif_kLAXo5G5SVEqO zU0O|i(>6bOJtxCE-ip?5X@s=Mr!J{_bQk|y@f(+eEp@PmdX0#i5g1-fr2oGy@4G@` zaKQjfYrj|jnW|XJD-Zn?CKP~r!K4IERqeLuL?K#!FehRD2G#<-Yp(xC1!fyP<&O%C zDed~B0)y^NDezkd8rd5<{YM4ZOJ{=OK?NSTjf1h6uYk=!7YssZgrSS8VB!UZdLCdt zLCPXpbYYbTE(pVfcpfs(V4oPBbx8wctVkCIX7HdK&I|0~>n#`sF$OMN?x4CERKxy4 z3&&DH+6zGaYh8RG3-VtuL(xCMiNHYxNO=L+(P0t)>QO5?wNnFz`j>kG4zAJEO?2*y zzt@=u)G|u6+4~DM9P|?-ya341APb!GpNGR#O8|=sr2Y+{j+I*F2mQZ84nOc<4s;;| z!27*H1CDFK7=z7i803Sl2@-}=kQ@vhz_eim^%bBV{0yPmJ zcPJz!2oxc>4pi@fd>x+XnmKFM-;8$zfv5=BH2&S#kRULj>tetA`4bmp<`5AAy;l?Y zSFH=WHb@-v-T@mNdLRVG6%+jXuXln`G6~pWn4p>eq6!G3-{)ViQwlN^h6_Le%P<)2 zL76Yo#1^h~$Q|XSJwSMA5+~)=Y%_3?@*iLj%{Nhc>BHCFm6l;i!_`ySq z*!cD9SaAA?WcZv#N*cS}x0hR!+6?cQE^5&|j7^M9Rv;ACwhpTNbSky@<<>-~_S28m zh6LdPhL^5+X!9{8MO=9Nz?oEA{@c4|)qxN4TSz1)G%u&;iouKl8j>(=g1;uAM!@5Y<@YDNXqD3U6-5D zgJNo6zdK;=CU$hM59fhEsx;Yy_77{uB}3Um4_(DRGKM(L_CBho7QGUQKM^0zMcW(U zZZMYOCY(|9t74!@(YH9%pj2t@mm0r12|%+wL$1ClK|kRTma{;2f8pj>EJ^6VsmO%h zxl0-vxQUnaYhWxd$`kbV7)tJ>%sX1>9XCfQbv{54IQ^(N7Tne}^|I>4<1VF2@21t_ zh6L$pXYVbaSDN%hxxtANL}x-D4SereTM0QasN}@%zI87C6aLQk@L|>)hIen& z$)$8By7z>hV4~O7(F@f-?$y62(Lu-PdTg4}O@!4x;+~Gk{lS!&EBTcOlQj9&@3#Wp z<{79^w<^Lw?mZmbfyMcl(t4?+JI6tEzZIoLlI1Ry2o4aK`G`FiDpng=64`z=$d_J=%Jpr#{2O z)&p!Q1Jtp@)&q_P4*P4?!@y4Mpj}bm+o+)f#}0z<564^l2@fR=ev8ub2iMcksRZ_~ zW(J-DN`9!}5kpTt!o{E#Mw;hUHaS#c9*+LF4H zIaY2hguHZ!jZq-@WIS{Fh=R}K(M%uJ?vGO*>hHeRYxeN+Og&sabAm2{@)2o;8MkmM z13I<1<2%I$YcJnyzumdZY<^u5H#Kh9i}Gvc6Z0vwUgdd<7&1n^1p!NLH zuhio&9jS1E1K*EUD8H;Xh`^?a7I9@gr-h-GNM*8t;UwJ^!s@c@eD3F_>Fw_=3U0i7 z{ZLcMwzhQ$&ay<|axOW_Fd_~`V3py}70R^!PN^%4I`dvo*ButhYbUO>W=^+EEiSO8 zypplz?=)|W|GpdWL$@jZUY|*$_1D2BZ9=K?&891<;Zf6Uzn@nhA=7(F#*)QI2i`1sOWWcrXFhKRNn1M?zYV-y;Mq3t${*?K^cB~&E8_Cc zBc}yqn=_9S>ZhSBDIf0%`A2mVHl0b>3N&#)egC+)z&NUKjsvIGIgq=CONa1cy!fjq z=hm55lhYGYYD{AtiKiunu3fc9S*!@XEPwuJ@P0In!bqlXC4o$vxZk|nkwkf@BZY&1 zI57D7>a9=ssJkKSt{iQ?Qv%ac+3g@pz~(8w>DB6=U-GkghI2X_SD$go#$Iy2GSEf) zSRwlBmrnMLQzYx3KEuTnDNeM769^+OE!J$E&NI5{x2E_lRwQAw$IE?s>@muRvE4R$ zC-%OB>01f-sU=qq&xhh}aokql3aw07CTiGXDr=CH;?Pf`ON&?2RPjx3vo<(E!!GNq zL@}_DyP1q5N_5Sl$MsX^ zGfMOq-wTe5YTz#Ld?9((`{sfZM46Z!S@wQa{1`!SWPcSq58n5yPG}aoN4JzBOA%ET zN!lN0P;X6Ll{xT;dWV+$!=*`c*af-8B8|kN9~?DfVJUrC;=Y4=X6D+CVthv48!xw8 z&^f_uGA~R%xX4^}(Ma+9c&P%p>=ONX=EgGEx@WgufofzHje>z?Q@0+8*o5~S!Br`? zG#P^r`TU9|Mb4yb6tr*g()I26?4H#*>F?MpQx(l;vD_fwfV8lwJ&RJAl-NDURKH4NFPj=*g1mvq5s7c z7s5=P%xER4w%o2awD0rs`d^1JnyC;56wO`9RokVCL$W2Z0ih}lpSIkwd zVrgOKiznGnU8%ieO)OPBdpVZ*q-^hx$aru3ruB*)^UNFjorAaF-dKU0?Vp%=Jt-ykv1LW9R!|v#O-2ifet(i+IWR;~Sn~ zKkdBc83TT5&dC>E$9sN9D3m*XiP@6iN${~S@AYfpp@WK=)dFxDpVZ z*F?e(tt`Xf^anw^Sf2<1&=92%kn}e2_XNJ;QZeuQg!|XFuuK31Q9=g7?ZvLD13XAVv@(3vZs&xz!s5IH?98I+BLixW_Fd>n?lk#J@dmxHMK zWB$4c>4?}_^LKas-rGnOU$5T%e`tHpcsSdxeKdOSqjwQP^fn`E5+!ZYB;p)i9 zj9ftmgDdy9G;j40n(l>rBacaTtAt+H3!Tk5q|4P>P_-QVzFI4))&L^^OrV!s?F z;BAf}`ceOIYOC)0ynnn}$uAm<=l8$I2(5HC!7>kQD`SV5F{& z!)*^Uq^?2+PPvZ48nGGDZcuW?eUt?qvi55Ixqa<)xUIQYn%9NY7LFBF zb)}N5su(nfhnEm`?olf5tK3t%OOY(P6Y(3IPZ2U-hc@gDiAM?Jj+vA#$}CAgV>}wi zzdan&`Ce;oH@`#pM6v7*>&~~Zx*Okg@cUjh0CCI?7S4==jiZq>_9=V&;71}CY3We&N4L9P$ zK zZzy!o&34osX4KU!ho+@(U_33-0z+>Dx!? zRYBBO6kEvn!@j>u>%b%y>}e!Lyu`b2Qk}a86PW#}A!}Ivapw7}*(-}I_wYO=kRz(r zOc9z%8%)IAQ;mM4OEs@BZmsj-^Bdg7%`UCgkMB-+Dqrw{J6)2G_#n*tmb!E9pK9k_ zYJ>aF@UvACMl0$#-uYj9t7vBD=x;DXKe!+8sqS^>2O`~sp1D5*4Y1&6QCIK>IDOm5 zRSZacaG4FvzukT;RFx6FO!#v>z;%Q7=i3kdX5t}b8Z?Q1t7EJMT^zT?aAbAxnwx8I z=3(xp&t7z*u53JF{Isu_$wbF zazP(v0xf5!WI*Z=L0r-^&e3(}E$3&5v#!6y5s`Dyz} z?u*0tF!(r_fcy)eJitCo^AJlxvsVd$0KQ!)z8s9q zXX-U`S};Rs>lRG-V&$VVwME6-Fk&cM8>R#~&JbZk1-D`Rmk@eseb{9#-;4^2om@oZ zTz2DM@d;6gISZ2ws+qquzkeIF7f>#0K!}I}f(Z&CDElDt-zY}VM=(;3w-ZaDg0 zklQs*sD6_R3Rduc!m@m8Hu#OG^^=~iHDhJR!l>?wt38XMA7|uF!-RBZA9m{z2H1Sg zC-v6mXLL7S+WTLGq%(}E%7ISh*D~eoHESsMk?9t@h@v?B zV0tapeD#iLJ8xfQJ<;dJ^ofa$cq{JDMiSWXF|e8UvpOFw-XCm-D25Xpb66EshkdPb zmaW{zyrxv&Z-;xmbBaDiC&4Ai*^`M_yz|rGTPA!)Bb{GgZ@Qpf`8t~Z!(gP6_oIS` zF`pmNzTd3V3E9zPmtCK5+HLwGt9iXJ`@QWUZ;K*I(>oR%qP!3Hs8B7Z9~lPHktdn2 z+trWlDXxy-l)*&SU#2Cx72fJA%t>^VdL)vu?}V+r81CtqKdkJEhU-^)LnKRk^$?9T zJInZO>oY>Xe0tpx7NKt29mf)4B)PMSuIiPiCHG~S4~+*$f1T#$O9b5$jcnS}GZ87H zB{lAPKwIx{Eq5a|YO&ENo(Qj=Lwn1!VLVdx+i&lq+ffx?e=KKmV~1F;$PnJ87DRni zDCWTNZ2P-Sv1$eb#d{^s<%-=BE{3Be^&suSydi-`*#mHlqJPKP8(;&zI6O>R^6cCg~)w z#N^T-;P$(my{{(Eo^TCCg`WtCl_#EZRKzu@N1XRlPAHo#^3VL^MeNh&5Mv@WjilF% z%6Pl7#wjdMfPxhmJ9$NZjA|)T|WbBvf zfni%x4~6O+&MXTksksRd+2>qFIM7J{-*F`n>bZz3ffvQsa2OzK6WWV?5DxL96SG6( zh8NiZejjo+s6_EUZ_Wav>eS@_J~8}rtNG{l@?Qa_|6&9|XB!IG7Evnk$f2+h3{GU3 zj)UtP5#kfCdfy1Xfo4*sjkb!xLP1Biu+NPj#g{l#~~0iRSen3 zs5h9`J3saoe%14E?JlS_;JZqBf5y=s7(e`MFi8`DOj|8LyU~+xaP)`A=K0u7C8x4-i8+rjBtu2B z#WIeLjPJ15bH@5Ke_B09D5Cw7At6C`%V)w;<)^97W8~{-(Q}@L$T7v=J3`z0tu8#g z9=%gmBqjN_;>{S5zguMyV??23jUj)CE1a}xKu&z|QQ_5~&CHMZ((QpeGm`LvHDvYc z)})YqRw0M5amHZfCqgl^ArpEA2nLUa4@)>+J9ct^ID&HqD)* zWTTqJw=8$VbyTY4!S0J~RLu0IpJ@OfD#gAZTiErx?A^YF&z4KJpiCwIH zEWYLPuv({i11CV4WsX?o&1yha?nE6jLw%}EWI2R0+ssLGZN>h2*_)-ZAY-(x=-A;q z{%aIX+DpV##C2Q447o2)2r8ZjF=~n(g)X=Bf#tT`HT0)wZZltnS;Nh{^UYmUOe5-;kxyOj zJK5iuRe#2HC>C8Um-U&lRXkDD_Nl%OqAO6_AG20J;L0rW8}jWRB>T~KegTuV0?x#= zwcawa?51Dn6|M@ba{=V{l3x%A6%)12?NLSadft(9qznuSs9I0i6}-0nb+&CmLM_|J z<{O&cJ3C3bf>$Gcd8^A4{^<)gwQrF{htx;B*{Y+XOBti1EgxZ`->*%|KJpT(&WR_JXHo1rHRW0XJy z@vPY}XNHIFw}!YqG|*!vzZzDQBL8`C!1R|lH}MdfAes}#E!fm8*!XR!(xDb)YZNIe zZ^!pK6q=+g%5YD&(gU=x5ZmF~6PXNe6DOvQKFzd6QmWS<@3x4yPX(u^jz{ z!+Avu%jgN(%WLgaDC(3nu!2=@*H0vd2sJhYxKA^Bcc0u_3Vgp8Y#&< zGAG&g0KI@7xvzDbnUZ+zA?}Xep3BLD!3i3K*-@(RZKMY9f0Q)Yzvd1a9;I-1bQ8HV z);?AqH)ZxiigbQ7?Q8b)C4vf+*(VQw5$ji_E}T9UliN5EGf;K=e%0#e8wD+L?R_3& zN&$&c$jC>NDVtwYwX<`z5(vhA4Yu`MvUgXp*b?dwDTk1Il)_JLKR6wN<%-H<-boNz zUI^j2CiU65{o9J~=bzyVN2W8)6GQ7d53KkI3|)>NI!NTnis3n0Zt)a!FHFDNFmTPk zMLAiQ@D=^|`;IV5NmLE0*Nqb9b+uJ(YYzNuG56UT$sZI%J~pLE8|Z{46K=fsg11_I zn8R7%mE_Z$5M*n)5V5LbYPY!@R-J; zi>f3L@dHwH$W|9-1sNp32;&NgB_Ucpm;;z>rUR5L&MKEcqk1qKXzu|`1q#=P*+VaE z{${bk2T1z^V<(57D-ZqCd4}@?MaWrnHptlkMvC@7@7`a&J9C@KLEij-bIibshXyc- zvkDcWhQK?!L70A};VrHHZ@U01* z{xfsoZwIR}Sn|t1I!uf&W~3U!*xBIcdPx6X^Wwa98CZjh@CZXB_W)oC?=cKU_E)3n zzk7qNVT5NTwP^lnIsfIP11zu|sXBQ2Ok_CZ(8)Fq(681ra2mgRmWRHA?i!sB zDXk$TU{<{I8g|zpHcrC?_>Y9)qEqQi zm+^*v-KPG>^Dq3&2oj50qy622Y@*ZDWvF5%Z89DUYQ0mPd^Yn;(7v>~nuo-ft2IZ6 zPT_UhRiqq2`&&$bqH6>a%OAxx@l{Sl@eJ8DU&orcryQEk-7Gp3@Tj>rwUWma!9r~P z$Xz9@-_SJX^uE(=~OZq^}cIuq*RaVxYb{(TxlCE ztP>Ok)DMiwnOjNQ!rIu&*(^+b?58gqxl&z*|NG;)oDWLr z{_B^9;t&_=lUwQVxjg8%xOxZY?aW@4?xtOt!TG`@#x@V zZI7JI!+PmFRe@g)fj+B_6cjw4))0wT740Lhxgz07?AC5KyS3;Rg#x47%4y}r-7Lf= ztlnKC3FWdUalAG}e9bg7I6-?$_lw#T>+jbP!;PT8kGg4QDO<{qN|?f1!b-6t(`nL! z)jicTeZSW{lSRM1OFU>=piNvG3?sgodZ^&Idhm58&5Hc*IUvZCx@EGqGP&Cba8&$ zy%A);ugak+qUMnEY=}CWy+iS*Zn(UdTeJ<_4cYqPZNt71;gLkml->Sj^{2fu&k=^n zVV?(R^}DFfyp$vn!wv19zUT9+_Idr7-z*(a@U`w6NDwf&lFyOd;ac3wn9^$_dULC5 zjqv&27XxqFoHXRlT%J?2wX^G~BN>lc!rZv+Le1X#5Jhg4%yadx$}aD=uFj?2Avt+V zeES*F0_Sd4h($0<=u%_Rw9G9EgxS`6@!wab)8$31dWrHXdNK9{v%?Wvgw3x<$o4I6 zlpb)I5|NWNGu_9BRqOG`n`M$^*bBHf+S|%dm*9V#{6*fH8X`*+^+5hjTvF1Tnb1sh z{8Kac3EOeoS7-K=doL9+PdeInoV9!~vnb$Bg_Ddtf%-RB@( z>1SrFu$Lk`Q1{p}Va)u;!e%`5emlFB^)4|Ze^Z099Y-W0s5P>X7FCsv)etRSEKS_# z%|KWCqwB&U5e^hBbmmWFMn4?q&qp8#Ztn2aa7C}Vbs1-{aSRZP{PMga6rQ6H*BDtl z9E{}7If|>!7n>NAl0K}v|AykT9WhyHPlkQpjV;SZO``RIR&K3&u8EdAOdXoG*78=P z@3wB!Rac>54I_q_WtE?|(q!M8XM6uZ-UrXx;!3sUNL<%-eqY|+suy1@8=2-E%B24U z;4z5W`L&(W*qKQ>O$_*{KOm01=KX@?mP)J-68*|DXP4=p;T1Kdd&aaVs<+qdT@o1N z*Pygb*6b^20vQg9Kit8Ef}WOcvDIN#W*gKNq(5aEkncAvO15 zU+Zh;{gWrBJCt1RTRR4?3UMVSmFjFb`Ckde-?sVstUfZj{?sHSkc|Y*Ogna_MvbT` z-A7-FVD|dY*|t|PrP$BDr=p>v=-~KxVaA=(P8vyiz4jSl`{ik4h`j>4?|3vP$JMgx zR>$!uXd5Mn{Wz6d3fio$tB0lA4E)XD-k zAp)v{L36_Bv={>C(M1;%5JOZw!~{XSd3+plPz8+-92oh5-4q4R3((KufdkTX9T6== z^bm#xv6B!JLvd~(`j87AQvMHP{%6- z6g@ke2I8A8a1@}zZUe&sL@yoz;6MrR^YQRA0Rj^kZB|W02QfK>B^=%f-wlJ@LI&WZ~Q zfG_N9k|20YXA#N≠zjFSy2;5OKUT87`SH7%KpNMEpF00P`Xs0t&}LjRTioZ>lZ~ z09z!%Pr+LR8x#12U}@mU2?z`G2!Q(+5E6uHdTJ~X!uOaQ9!0HITvai!k`>@xu`y(RwTV@?JVS z=s{fpSMW?ZyudXY+%eH1qFxdV$kP=#-m_jXW$3LT1_mVP2D5~Gy}_a39M)6g4I?MH zi1lCkl7ax3#(SNJ9K!MdXq~BWQmNbj4A}nP`IY|;@%}sf3lamwPr#3)ArZunREx2= zke_rNT$2#QCmSY>@(H=*pHL4ITK80VY*xqJ#uH2DnWgF2M1JG5K0Q6rzH7>)+P^S< z1jA7}+FslE`2G!gh-mTfXKVvIJOgCt2@OtH6p@|1!h*i90YmmHY%|%3zV?H7y%>*Q z?!P3dlDiB?SkM)ibI2!k-c1N2^P$wJ73jQba8{izM1JY?CalbdiT7 zf5!6YPb3ogBfE)zXj~t&Sgca~op9J@C4)!Q?@)r(p5nyYd9R?zXvH((b2rh!28Ig5 z#`9aO>4R9ZR3t2=>6@{^Da5O`UDwL-zAK;ySC($le@)3QmJBRfppc_8MZ3pWvFD8Z z+4n`3TY-6JmqnL@v7^DPsqmO)>3(*dh0joX!_VU5ULJL8CS0!(FWj6en4?W6|ARx# z86}_0AHso!%`EQOS2f@1wJ+rj)?EKkLK+*Lf$ghoLlVjwpBYXPg~~tUhjGU@2|wo( zXKC%W2tOWKm7M(R0(utv!2!WiYEwBFQsauG%iM8&RQuC79+k0$ap}w*Q^A+Hv`y@?8g()mNM@o%(}HwIiJ=S(P`d1dnT!_w*|J za-)-CQ394cv{p1drq7=$l##q%4;=7_9JU)L+6z--&t;L|Ge=UcGLO`c8 zGKcKspX5(hDQUSbBy3#6BwEBVxr2g~@gC50Vz0)f_%*(;roOIUwtuMKdd5c{8Q&xQ zm6`cwJMp#R>B+U7b??A$gK15LajQh8x8>W4Xz~A0!zn^>0_h%!F&Hf>ZZ%JmAe)Aj zzH5~r?) z?;eI9DPyyw6RJItQrx{+jKU8`a&0CK!l)}2V?LU?rd(e|%$c0i4` zoZDp!GC^hNXe3+1-XJim#kIZ_wTax8>|wA&@%@fs9RWu)TZaj95h9wiLR@w5;fN7R zyEjQDm=Gfa6 zQM-4U4ExeoB_|wiZYG)x>Q0syPl_q`=9bxGw7JK>wvi)9=+VU<8Meq0qY@-?(bGW_g?)793v;shp3E_g4u_@7(un6Hzv{ zvAMdPko=~3{;L!=9br%J4}>)xQaR(8^)vzc@*^k1hK>sJ%ii*zNukDx+VPg-^oXYJ ztYvpI(WT�O1nl?(Y&$Yp()GH!5rYd9jL8j zOQ{o`Rtw}j-#0mK(8fkVR;AkmakTBAqjq0GeL?Q)g87vrmMR6HzLO{` z^->SR;{CRv7*0&7F;~jT(<}3^*U7fkSa>&muGGB-qgBv$yARZIP*@ z7?8IY%oOsB2j+we3A2SVlR;Xx7YVj?t`v|8-9f^r&w$Ny$uK5}#~VPv&zr$3N=W4$ zFiva9U}%OnaMiH0fN4H|JM9fptzaAxMC}8kK^5UUo6ZPn`dl`HS5)W#nhF$plfnIR zSp&iSULODuuX%Z~1_?xW0ur}Gz5s=O7y|SSz-stsZP<{CFBpd(cX_Qq-^)dte9x{0 zq=Crqi{k;FJbs7Hz90)7z=qLF=^ys?9cC$z5B`Ybjm%d z9Mzoikd!}+5}DQ6*I08+UjATdzppo@ud!I;m4^L0%ZCE;zGU{-`;{$JxL$XCm31@x zkfjy>p_M;|^=3!*u7HtNQ2nmecAc;iU(Cjmm#XwfHluF-k&fK(#LoeJZx7v+tzu#A z0tNl)Yc@w#s4n*y{{mhmeM5NByJt^c6n!qZwSR&vxE3vAc8mKB{5cB_@-O;Huko_*nQB?fX#*Q^(Er-ytv_f0L&<|qs&)~hXl#EWsMu&J%uNS#4${%?&$|0ezfda{ z-Saper)f{(aSeLcN7TVeV7V*)ou8ZEhbN*6!VQGcBTH|-Doh!In|@06TpdBr#Gn$ZHe|3I9r1ceb?_$a;Y6BD+Ms?Hp+S0B5qv(v+HB0O$Sz~QXYTC3z?Bl$s zHF99sWk^u9;BC3CbQ(bm{e2ub?K|eOuzrG4G-2GihMpq6?hT=;9`B!3!+o_=>6395 zrCfTJr&%Y(L3yh};y(#C2v+qFb%Z3{AE{rY+%_jx#!<;p!uv^^`Y`9j z;6t?jlHn5d((|!+WQx&eDOJjYUyI@&LO2j8cUTV7=JZgnJv_vvWw|$}`*dey{`^5q*U3iq{8tB3;<0e*tlgbGx0k3Ull0wcoju3LHodx~^>NGK2V(A=PxKQ5 z>kBbUa8?qF6AIFvq=<+f*{Co2-JL?w+LE|C_k!;MOu!=LH99@v5}9LdJhXLeE_PK( zoJLP1?<0ShHn}yVRoSOp&|Lc@`DeDlA)SdoGO%0j;qGu)q*76KcL*j~h5`IG2FWq+ z9UM%gVC>R-ZmGjHhd|hu)i_(Mp7eWC)2Z31RU!!0TRpxe2=^2Smf4dok<_4LD*kdpKS-OLk zHNf+9&N;qkV5{GD{K~pTNuC+~V;uZjsBfC)^5G0G3Xk}&gnywYN)Sl0`c2f{O$oW| z5Cf7d9CCqhE<+0!aH4;Q768lOoTK(Pv_K{Tztn_<|Am(tLAKd2J*Y1j77p>{fTIgC z5Fg|aLcm${{EYs*5TpXm2}>YfKtsq5Oxbw}+`2mC0ZFxtZ)*R9%T1O2xT3Kbgp#f6(q>Z1woq z5T1+uV9j~zIe&5X(8fTG`L`ZH8$!`dkLI~}e*8lIVR$^r2(jt%R1>SVt}2TnHucD! z?Yo#)G0$pyLIOS}wR%yneoETOuR`~I>{+hN78^=gmi^}zYobi>^Sm*csGplJD9tLr zVXB8)q?M8PATiKi;(qOIKe>gyZz&>mQ*nIahC$w5wY@yPtQM=x+)LTTg z#~JGr?un}AS;h(LX>E4dJ3uTLK^Qle}@g#)_%&erDYrW5#>~ zDYpKsf%nA}JvZ_#+}jTJp8wh$_x{55qLn`b1wC8uV`1;%`KaM52E6!PeAkuAs~|{Ss%f_4|F*PsJH@Pn6E;Cr;VO!Es(uMCy}SbqPOt zey;@QuQyXCc$d95Ek)DjxpFc2G|H(I_8RUI9{Ww=T@{`jFpHL z5wU?n0UP%+XD*V!+$mIegx8$+tyQSS>Hv>^9eU$WM{Fb}=_h7U^yeIg_JrarTYP9E z^tg4C((giNhBaN@6{l|-H9&T4_Qh)VcNKH}W+!3}31?pvIUXlmpHR=K_>6Arf{#;w zAMLG~bHNjIfAd=nLk+PH$JJ8j-qvcYIcrv%OFX1KKp&SYd%F&Q-Orn)bR|D1)}rB& zvqi}Fq8O|W=w3987{wZpZ(-y}u{qDJLi)As6DvP%`ugglM?XxK1ou{F8*fAsO_9E< zP>Ov!MT%ikz9DUs$8`PP18dQi7RRD;A?iJI8}nkH!I=!9vB2Qzk3#CoVdEc!_?=ah z6606XsV&>y3bjK@WY6ebn@>~wOEV~nv)NYyCt5K-r?V~4JS=&blwSU^8cA@97Ty#P zk?vF$?ari#iuZ#V?wP>Xw($L?*or;Iin|S*v6&YW3!g7KLq$rvl)%)Th}-0Y>)Mb( zQgZoZ($@qecanXCoZ#q5!IOAte$|-tWG?R6uM|qAHd70owFm1`+6ww{WvMed@34Y+3Lv;mm3|yX9dhSJkKF8QR3@BNI^E8^tQs7PloQ-qwPp=C$y68_g>{8rMs4E2R4C-gq2&nW*GY`%yO2*NY#ou`}{W9<~eBbc?G;1yp({1Pc#J ztV%nv!fr?Zm350^`=4V-SKzcZrL;trG zgIs)x0CM)P5d&G}OPnnH5+@6GZf9d;d0I|1@p?-;5cQWX=S}rN~G4mZbJw+)RvSO+}bhMoedD z#z*sCR==33lHc3_)~hZSAA{(wvoC?{Yn%L_2w$r1PdkqpkV7_65$wvOoB6MX^`b}8 zJRdU}O7wZ*dnf8^+lq3Sy7W2sY~lpZ9h0*p9AO@pt5csHT|9Mp`|vqeepsv=-93QO z=I)tEmo|=xWlMbVrcF! z3L7o<@15Zc)x~KUk=(N%uF{tsEWCAle@+WzvLmYoaxrN4)@8iYO&ec+>=wdd80aV)Yc`ch<%ucEFRva*;lA-m z&~m#x>;^GXti-YVp>roFACY~~)P3!t!I<*#;tz9l+5|pK?tx)phv$-9&0Hu=wwv_K z*WTQDHzO3zy3Y?^te+&&xT`#Sx6VtRuD#lo21%fMdK~`D!W2mkAqqpLI_99n%e@@%{V@=9Jql)_AoY}qd zx42IkWm8q_rwcpyg&C;&rqMrsw5xa~^~o(HX@S}0miyrIeU7e?*@qU%6P8udjxHZb zOl#ao2_>@AwiG^~X0`A29pZ8Pl6!rz(cl$;v%T>)3g+M4=3Mda-^^T~^a^nLkXjjt znVjh)lfnN>`3`u(08$Pd-1GX%|JoM-RJ+#?2)I(ETwuC+Qovt7G9QFP&Lrgi|NH-= zf*Aj;AYyV5vmg;6<%C|Q!pM*zs5LHRnX)3e8L||2DFRsf%I}RmdK@(2yNyGu`*mu5UkQsYW`%sgBr}}>CCR*x~iV`QnXh`#lbUgEosalJwuQ)Jb|gq z-(Sg3E=^B`&6|WS8r5|uMmRscDtO~3)sq;uUF;{6dZO@tgvpbni1&YRT0PM|coIBh zg%LXI=(cGxJ#wb~;dS?J+mxM^H8vvUQ7%8jAVV_NC^Ne3oBMVzU%qm-yJmd1 zp}X?M*Y*x`vCd5hN3nwT^|31g4;P+6YkNtj8e5G0{=6(=b~ zaMtGL_uYuCU7)|}D16-a*?iJau}5W#c_ z;4@z{F5G5Q3;t8T;C=)u*73$1YzXO!5gVqmP{@6n#ciC_3)H_?9xc2ssUXeDZeH2wVBAW_*s8inT|Etre}KgjI*E+G2MT_G+2(4pwL` zr?7Bb{EgkG)7s-E*o%?Q8%Vnkhd(J>$2=c9En!iazLUkHMnS$RHz*HFbl1>}h7}|a zh~GGPz>MjO@8$_DmgK5LC72Hml}t5a8}~bg-4Df{JGSsUedT0Z){~DcR$a3w`dF*| zYxB+w!OQ3b^W{~0HHzRCwU`*7ai{)ot#4+^$++vN(c%e~}_r`A$pBph!}z8k?X zBXZDh%N$25%;C?_zlsPDd-c5Gc-;(DL9c9e(b^pTn6Ct7O#by#wp9tN3Z85St#++} z8LkPFqiJmZ)uo;|``(TXq3>!Oa*SWW%>q{DIXa&sb4w=>6q2jvw76Bj@g+tvB>D}`cO%9rqwV( znn;Y?HNV4{(M$q`8F7D)260rX@jrog)WhEXn6Q;r;!8F(`4T8Xh9rJbJ@jX{`;%OR zm4?;s(pO`zSBL{b!_-14)z&}}b9Dc%Q^I>SLTX3&5h6+X?mBF@tWb(|M_-ogFS{2u zx#dSp1!8&<_}fmkM`0-*c%2BoDylZeb=ME;UNOf#ez#4;JuO}N!v?F^M07q=L790{ zL@PJ zE2~W}<|ns%JQm1uj8G(B4=q0a7WK6(;(D3-KHI@FR~yX5E=|SvgA7MH?Rox!di8peX7^7vfw*T!RVfn+Nzy z9xae{FOtQ%3B7p-z>+reAc^i}ECp$1!R#Q>TA1=V43jJyxR_CJJY{J5fB+ln%m%LL z3NATdI-vrl(>dxAATu$bmpPy#ngv@0V)Dbmgv4`U{1`&#kP7<&5C%5})%4CF71&UJ zE{MrcJcN-z{N*72Zi<{Fe;RWmjAf z>V?AkS;HB66Z%pOyPze5bxYra7(6=)>V?P+XxMoVV}l{i(X9V8fS`vvAAmIft3d5V z2T&qJoWorI*PM)e825k6_JBF_`M`dLefrM_WGH~~K&qcXr8PdtxBzr=*8Qh5u>i&m zl>(q4=o|x`FvK}<_J3UrRtQE_4xf+GECfrelmo9|J)T$!6pID{q08XVKgyi^KW5i2 z5S^h5^k8--Q9U;C*+cQ*4=y zw})JQiQ_ktHQ%vF#lEqH_Rd`8)lOaaowdA7!%_E_cQ#C0 zP#8l|yOQyZYvD*yzSBV^23}~u{LPCNe=X?XH(D##>+kdFg>vULeK>zRuc`u|?;1OCaJ?*cu-mXW# zyq8|jxKIghk;+AF=}4x0p3%Z2wVGW)A#psZytBFCS+7h^rAk(ogtr%f4E<2pfQGWr z^Y*k{jH=msw7zy!rX7pR*+OFDV7YSxO(RU^u!~eW&l)>M23gLM@JOcpW_>bl^q*nB zwTIO#Sgiqc_QRxwR4x`Z+p% zr9U|#p84H7FaSR)>f1qB(&9ZaD>lhW{E{hFHq&o+B;@Ly?M|iq_+=rVzqJ03Ttdqi z?~)q6`OTw=qBGT4LzKzuQ805})DLo-Kyer+qge6E|&4g_|3bZC!u3=C8=Zc>~W*x!-QJ*ouBT; z;<0+-)Q|Z$hiA@w@MFDq-ET+ZOK{^}vyANiaJ!67ch z_dfTb_f_)DNFoA%EgbaSFD52&{!f!Jawv&$eC4=2f*w0n~(>1wWJg7vZJ*z<4I zS%p~<_))QCp>_q+RCgYf-p&60ry=(-{WDV3En>PC{yJ|qu_Uj(y4C9?zQysN=+)a{ z7Tu3XhC{12u+Z?3<6tOl+2k=+@@9|sFA_|{@HX$r>r5y5UTE_-wlWTC2~V^g4%DV~ zdP<*Gsy`vdMJC8d&V_O0aE8;Gl%&vpH=%Jxb5Fu3<7OD9uzIZF81!sK)Fvlb?1z$* z#BJ{!_bT~lUxL@@_9Ma0$*J#_g>AEhnL-2KZW&waiYK(kYwJ8-F>`))_-9HqT^t)p z>axbjR)=dhtf$W=D>%MEFLUy$T(SE!r2IyN1za^NS03qKBTno}8q&;HF=?tNh*_1n zs&&}SQ_itfTK&BbTfBiGOO4mZ%~PY))fFbd>iMK=<1Q|%F83UPBT<#ty_RLF4*a1P zLW;2J`mj^X1Z?Hy{uLbwd&PVuW-FQunxY~_d$t(JczqDouy;-bG`mG}#tcWWrE(r*eK8c#m ze8Tsvve@zs3y0h8Gdq+xHv&M+kfPV9M8UOkv~+cYMuK1jD2Nz-=D(38#0B*6-#!B5 z!UzOOfs1ezd09yrammL(Tvk#>2>hjGBQC4vAq4)ivCr^k=gtG-vXC<3qQD?NHAut6M! zLj;AD!;GPq-5|ULs^x()vK6oZP^cK3Ivrxku^?|2tXmLuC1{&t16AU-D`5{%1Y*qS z6rqeN*#8tAd))#c04a_nQjn=AIu>M64SN8Mv;fN}B@fQaz~7OE=xSgOKrKa3$c+^r z2O6#c9?nl8d?m%cOF8(_>y5%7%8uzZkEJ-9f@9N{@?4ij3dhgm?0n_x)9O>C)i zL>`ph0EV>x0c~EI7;=z7BXFaBiedkqLD~dneAB}C3%hG=0)x{K094V?1mc2T&0w&2 z4`4iheT|L|iL`*;-oC%A5d?scY6Hj7zyH$x5rRl`K;_+&7N9!!UqXEdApwZ(Gw>pK zMgZqq05Us!&D9Jepg0p~0lgRQ#9w7Quz2xZfGo5gfsqscpSyCw!8e9BQwqRU{}U|y zzjI9f9YnkU`l&-53*fPwOV0}nK|Y-XoDdx<9uAV(w1EkQyqQv+v_@@;&Pgvx=y7e9 zv;kF#ve<2Vjy|PNYa^S#Sq{pKhfAeVS<|Ve=r^l=go~$y20$%|IudJL^lN{nYc^fz z-cq%Wl3aItHYT^rb!N?0a++Pw@6X;Mlp@cRy7g`Q(8bCcc|GdY<{aPHXj?*aIOs=Bskl z1nS+48Mg3PYv1XM+~r>zT{7iuzN>cdKk(~B6xK|ZKcISyluf;e_c0X zD~nx*33qiXSKn`Uf$W`!Qrp227HUmQx^9B|OIhTsDeQ{o6?DQkq>__KbhZlq0bMce zw~c{&^4%$S+Oz5KUxZGfU&$Frvf*d!P?V&`e(UeCd=Tp0obuLDBb#B4XKCNm*70r5$9G(> z$7}PEC7+u@`!jPhso9>$bv}5ySnx}CVMTUjM6V>LZcN*F@N{HJkYK_}Z&2WPvEgSy zC5BMBp-5%n9-ozN<>t`cC% zejt!IR8pEQ8$q+S|HzEu|Pg z{Hpc|L%pjm(FquCg(^OTCyj5f!Dvc?^iBgr_Qfi_G6UE zzCUWraxve9Gv*H`+KM}_2;OCnc=U94`s&Nd*ZXR$U&_8z)g)zcw(@qp2u#L_VbELH znn63Zm@d&XSdJ4=VUsXgZ+J6?A14^mvVo6$L?c1=($2rA@P=C82*rMs+|v)*x6H*U zvvoL!BkweDzZ%Fx%XisALUaW}&5B4>b>q zKoV0>O^GFln16tLhJ4&Axwmj!m1or^%G|ISRt${mN>MyE{VOeI92?&Lx*v|}t!Geq zQzIe2-a#yK!oBmcN*r3syeH+-ywTUT3KRr|twnqq6S2oSM4WH8_xqNpDH${W)83d; zO*vdzqTKjM>+%V4SlS0Jv#G~<*N9<-6G)ZmvLq>$FfW^=w)b$0A+@lh=8yZ?&HR6i zlRtgpJJozeZF*-t4LkjAj4pA@9oz?{Cp$VH)WjNj2v-3GNpbwcV=7}Rv=&K!+z`TU ziz|C*__aYfS>>;qdpftS_heV4<1y*6+1bknrx88fu3@Hsa#zW2lh~I()<>AtLZuFg z+a)qYmEIifZWTOW9QDz+V}~hxJ!|k%@?nyA=O3L$FAM)IORX7Hp``s(Zk2A)GFLWCnkH8aVU{vwC z^{N(WH>QLx>&|?|RVE(uX*m>`-RX^|$fOz{%l+BX>Zlss9PT1RqKS!+2Tq;iKbxJP z*_bO!-Eaw?#n_2&d)R8IFMaeXr_{d{@;ExBMf|c`SMB5)Vq1w>3IAa-xbQr?e#4}m z#`uj9U1jQltw@ab)z*YXAtG5wp|8(=x-#;G=C=(=HTh3R41FVhGDd^~SxWlVYe>&O zp~)P|cU*lFUM0t!JY050pqpCH8SYL$*8jCW_k>HDGKhGwoXg0gQs}E;yA>vms6RS) zhpiDzB%O+{1>5EBt8u$8J2NMTSEeZG@~yPSj5hO5$MKDgQW6{Q^<*Agck0Fbp)k#w z+n0<9b`<-qcfHj7o!7%q@;mfka{9k%+s9pgjKeKM|DwLu~<&xgs&f zKaZeXy>-bcL0lFV8pS}$#734W92q**xD?&aS7_{f36}|#e!8727K$vrXr@({+&#%od zDg?L@U~NFO0mWLC2`^?448Zuw&Jm{nig}2fr#UHrcF_N(<060@(Tx)FYY^8wjGXMk zc@0Z2S1&JO2ED4V$aR8Uae}2v!{Ol||fDWKEa01924%YuPp0k02jrngc2Oz}9 z=UBKe_SFi9!WYy#@rNwR`_>?~@m}d!O!q2;jGY z4-uXO%LlwEJ&3F@${;O9_UL58@vR2Usoh|K<9mZQznBjpH+0 zzFHV4VA+zvR5LkJqk8DPkW<7wo9xU%3d0yi4}+)@e=&Q_5^f$H&1~+zkH>tt64l~< zoo1%=0%B%5{1Lj&61Q#yF#{3CWwQfcPvk9g2lG=EPkHaJ$Ey3$dR@?b_pFVBR)YHutHX7LM?K8uDf!gO&|9B*nxz7>kM zDqNY+(V)r1^a$}j1q5>=qDhvL!xgD_?t#O6Ze$%v=+$UzBZ1=ah$g?NYcdOMLu9trc|Iqp<7S4dabl1@H1Vm_m9F7IhD;@5-*EFncIAyyoyvFp(q zL0|=z4IxI&n;-KR3_~Y6H`ioR_%GyCEPp<}PS#A5o(J!Y9w7X{N)g!7%5q-krl<0!tD^d`f-3GF_`A4vLQ#i%?KopBAz0$;itm|T2TN}8=u0loU|`kN8yPjRyIJL8 z+_2z2v_K_Oq8)uOi}Va85M<@{^7}!aDMT!v$+2Px*8N^CFoOmcxXh!8xLhv4;^#;t z(1qE_jUAU2e&l#v^h!fKN6T6e*x+;)RRpyRZGAZo)&kKJsHt2&&|e5HvQk4}XgjcCt6L zoJ4pyIe2xlr0X+26C3`;ExB&?ZCi*B(Db{rm4Tt^_jvie;{Mh$XZ;IKWM+jgn z0|n|pYbSq`qxpWD9{&@K0X$5foU0)!Ko^hZ55gI!sQoRN_(Z(@QxW?c2nNh|8U~`? z%Ud8}0-(%@peI7^6XTYhn-j>Gc*vi!GeGAL7x5`$Li$s%BEAC}YS9uPM8F7xhvi9s z1(3^Q0iq~5Kn?{m!Dmmo>0fgrU_gK7f}Tjq0GAj56mzivIV3qJJHQczP&@$C-^@Fp zMHroj!wO+|0FuW~HJD<=0DYH-4PYMwJZfIx4Y2b7X$NrGoNPQmjHHl-`;)=_&Jgi# zaIoV}x%qxy6NrP44Bip^8@^4NLCuc@AJSz3|fGskMBQn4Z!es5)eH} zX~RLq`(29q1Fa+fJH6xLhDTz=tp_0QXM-%)bWL{{gf8ugJLHfNdaQc>2--_%`sZ zasvLzPhl5Q_Y8yvNjHQ;g5d%P)gV-QNE8YP34C2Xmmk2?IlLkhC{mMPMlGc_`#J_n z31e(RmzE)>Otz=L?c`8gCw@y(MCBrEaO+TC@Z5(=m@I=>oy!g#DTN{+677LMTGANS zE`aH8BpjCxu@Ja+)q0Bfm*?rh&Farrxfr=~^8I5sFwc}KvSc|waaURkNmpV25Vsko(j>{XT|`lhmGtLr2iR?C;tiR0S!?*DsV654zFiZj ze}lMy)`x+J%C17@&+(j*gp%)0b`0mrD3I9!wFylPnL4a9Kv#QooW8r>lT_U14LFiN$|Ma^iaY{{+f(eIa|_7+=K3H?e{UiBw2`3S zXyN9zm$)U=is;^1l%@nJvv<6s1?giqw>fq(`cHQ5hZxH7DU02Z?!0v1i)ZSJCfsn@ z0I7xttFp5ym^d-A(os;``FvI)Cs;7ga8attOX=m!XjKkz^GsKd9X0?j{Su?@N2b^O zB{Tx+Z#4{V4pw6SEfl}PRE?#+A&mT56r=M?l4CXMHC=~9m|;nI{1JIWHx`UGV;mQ~ z`rAo50OnnKSW>j3c_eZSaAk;f-(SDU=fN1ai>Xd3%6U`l4!`OjGxjx2JX=wSy|rZF z>#BwYo?X!D*y!wF5!mIdYbQ+{JgT)(_=O9q_{j z@%t>x!)yA;p$xW16V;#IT6m*6;3x{q<&P8}!iLH|kJN<_0V(NPdsX#2ThWuhyr%a(7FV9|n%UztCJvGUl~ zd;hYBHoxOuVkvOu0Xa?XzeP-%D)`-hsb5lLH8qXtXKO^pXIevyqf7xv&$s3oRbO<=i~4aSs0aL^{L~ zy9!3rwd~lWu9=uzK#^|Q1rR5WWhdk4*>;`>eSa+lBk_fDtA3R@-78KB)&vFNSTf(Y zQ9QpRtI6sMk-#U1Hxqlbc8WeH!^^k_7v8ts7ah4B@u@Orh&#AY%OcOfP81b&cM*r^ zHBE7%2(L510iwr8OQ&i=`NfEnA)+j8iiUUNh}G1_Tc|z-_P1=5dg5U&SB2q@Sp!k} z?$5D`ueQEkFmaqKnwgJM4tQrsHB=?hSEGl^c3c@_GT(rQD1$Lhkw4psaqU^v2upk1 zsKV*GE1cF-SZz1e7+q0W5xpgy6*1W==@BmA2%uL4V^6F>-a1cHDM;=YR21)XO+>n| zaN^sgY6d7b^v^51pT&w3;>W3w!99B<4c)wt>JS;?#QA~nbvA!3&l+cs1dQp-`|M>_ z$0))3kQ5v_vP!KVE)u;yVFaIp&FY`O0&`8S7`(X* z&EsRF4;Tac$lftkiQj}xO{b3PMZLoYZo3QH?Ppbu66eg>Dp*EwylKDju%z#;qLIPQ z`?%9Nh55yDvyxTw=^h2E_?9q|pyS6fuk%HtlgakUy0B{{nYO+j*1q!f^=^X(I-C%s zIWP4YMnDhR69p@l1n-5rvOF>V%fOAE4~Pnb_K2px@CxR$HvWqCacARy+Hp91e-JtU zXvaa=LXhZwcjK^r+ZR8z%77UBC&ELr=72zR0S&A)jq#wxA)gL$SfM!~Er&Q%5V}Vo zz5uXJ5eVZE&~T!IeS+Bt!;322TSq`)h>n1nA_vzkgEi<$}C@+Q^&&OqYO-(h~sU^3<^V$HEgB*nOHMzJT)T z{~y{CL=gpq2g3`j^q=CL!Wj-Ry_yuA{9I>&=_^T@v|o2e$%$|J>3R{;xA*tn-`dTG zY*ee`4t<0r9D;$woZ;YtaS=mKa4GplfEah<;_c*-?beQ8DSu|{O(ZKW_YI~Cm3<0f z!^Vl9uW&*x*?iBb8$?2E6=#c?Z?Rcl)_bC5O20OsxO|GsN3^glge5IFL**d%ji3rp zbm0#DVT}oKHgs)hAqXTHT5L~)7nqVHa_rawq2^UQlA1pwLU;vMu0_I`mgGP__jE$L zsy)HBw9l-iSlG80@)Mw@E#db-Cs?ctWS1*|F_gxj7>h_P2yOX6lAC<}Q$6@yTA#Tr z94|>09$udrO21Fqi)(jh(qZSL>9cBUf#Hp8kAl<*4BFN_24suqb?Y=76zw>U^UF)=N!b( zr2VN2uTv}Eunbr0aM-M$T@yskGe?GdIB!g}R5vye=AX9ym^p_bldI6^JP+=ZvxpY# z;^iG}k9;+{>eZN*I9qV#R(j?(U-|1)vb}l-E)8_sH}4?^7WbVVO}B%QGUhXlpXj*G zg3^qmFR+%#@S2r5=NlMpiI4N-f&&jd7kZEYkwnH%$nufe3fy>}7$HrivKa?x+eBb9 z@db?qpwdm+gqxRX*W#EP7vZ83(DKQ82X`Bo1<|Da_)sT5q2^Tc2(8x>YMDo2AlWl( z#Fisn?xukPF64Qh7Q~yI;3wY~hGHKlt?01yZeX|Dvs^@{Z8M}%I*krP7)FM@fHr+E zm27<7*KlXhKCxb#b`M4C6Ib=BQU|;>l~fb|Wf<{(+LV zDQ^)s<-m#rYZVBReCK{hsib$t`mmJ5JgF96y3e67`Mku4xr1@u8p(h9;2_iA`eyO_ z-e*^rSsH9*hvX%nflZNXpRs1YZG30wyA6k>89CUjyoO*}}vPx~c+x$|uLw4GdVl{ywOPrL8U99Hb z$DV5nEjMX$a7aNn@}Rj$UyDv!)3v_3NI?S%dUmb^VoJ-IdNYF&OIQ z2Ju&#nF%^>vmcb+LTPsyZIsx2`cPk>cNd;j;PCNA4-8MXj^i89 zyODi~B>gAI(=<~gtEw$jlw{6P%J!UkzFX7Q6dprTu z$bxxthDe4fiBJ??KlQ8p>71bo*tuV#esa(j7$0kChrdIUMLpbmXQ1owL0J#lO81dD z{kXj#Q5soa#1He%ZYYgX)rFQ87#x?qdDGRevjb3Q_hD2z{no!EA}s9=J8XebGWRk5 zG@Q1vKqfH!fWm|~K(#&1$3})&V*@(WSSpxUkW6flB82285Wn?sK(Br;eId&~0iXsZ z2v~7P-U0;W{-^(}?*Q>qpkS}~4A21kfPw&_`vpwm#{&sM7J&E+Il>2FK=k@B@FAto zL4e2d(gUBE=Ph*vMAaV%i1E5`@ zLI6@Q1&YT1<+=8c<>;RU=wHjx|LuDAiFEv5Ygj;7>WPv37l{j?k3heN#)btvDj}*~ z@butM8mf+qjS#3(l8O(}Tqc^9N()_Y`& zIxd=>6w&PLo~&xXgD&@6E-FT&HCDu}=SJcoZ|a}&qqjF*AIxpxly z$kzWwef{9;LX%JeOZxRbi@cn6(%X8QV$E4X&082|E7i{s%O>&p_nGBfz3kZ5(%5O# zOUo+n+1dKBB%NSP1LLX1Nea#p8(LAuV3DXgyG+c|2VQq2IA5BN;E))YdkB5%^&`ci zA08{@tr(Tmwl3aPcGt%Ll7goP-<>=I_TMTSOZYKI$LjZ5^#xs~;b2FGaIyVj3mTuK zt8LnfkZyv^X)V1dE=GohltI{ozhEgint{AtbnFQG9EoU!kSJFNx6khh7->>Lc zuwSH?@mYLUgUeBG;V5@Vil$F(mU{5qQ^*$*iCb%E9W|-hJIMd((duN zOp;VT7PR-BtZ%O$+`qyb=hE=`?v>qB%ehikmR9*%Z!67puh~g`7kYdC_3_6b$U(&A zmZ&HJ0$J+jJ6vBYj8~pBqPvT%aImP-4}X5SxC9`yxRHuK#3@|{NnyaH2;egYYiGcRi&Cx(g+MaU6{6U1I35l4loz8Ll<5u zW$AepzH&qMnnkk1>$(DL<)+luh2-2I#(LK%Nap-l*>)J)LSg!8l4M%e{sFtXNtM#; zy1Ij+{G)RE?hbF1A*oC%#hNqBrIm4V*jvU5nsvH4uQJWd0@~P~3PS`k^42mT6K7&; z`_RbC$33Xy(4>O#RZjDD2QYDjoCIi>BLKC0gLTQVu-IqCy`Md$I)dTI>GQq=wp2*eXhpU`O8@m#l%&}Bz~ge zZ4WJpG92*WhwdNX^mduQ{n0VQ>lu?!miR_AlKYZg`opM(KW-?dPQJtScSNvG)`b)_ zHg#ezA6d|c6gl{YJp=HKLZKLs7O!9{%$3HEMAQ?)FKh4|*Bi0%)&%umQ@<9#KdPY@ zeMTC77HlhI^4wb@>_|)>){;^xenqfM+f2OJ!H+zXYQAcDyN84Ia5-9}RGiM1pB14N zdN6VW7t_|2WXcE4SVAKJn}GXN39n4V8ER{Pcx#cUo@Z^LNo)t~31153&N&Nuy=opz z?8aF4Z3kIz`iA2^w7GdOc+uC>X1Iwi)FVtkWK34H zgZBj(XBks5`P4Lmv^aBKu;_kkha(J#C#16LE<|Cxvo{N$@_ebOowsL+YRTYj?+wkP zMK;rLhyW$DA`-m=wo9F2R&J5i?U3r4#f~#sIpi(;!G(&%L9P8-A z4Ku-G#Nr$r$q0(ga?0O8a-eb|1X+3}EU|vZljcR7%@t+c9`O%-DQ&yCeiOl?uWl9Vi-buUo)LQDXT;bK0y4_O!+kl29{5?a_!=lp9G6 z>l;a%8_MIJS$#;`=rO|z-;g0}kZV;*pA^mg7`myY_mclImAbr zWO&heKg^BAz#;&|d^AH%5@p%w^fL)ZB-o-3Cd20#&NuAm&Y^b-)Uxpn9dyLi-yC&_qZr?fk%7H9`!5Rnu3lmZlDz2 z=xr2R<`*3{y%Y9r=yZXSl$6o(fF{6I%g|Ku7z!KPmXjBXqLQG$`-BC~p6+0nsJ_MF zez4y0%H*@jQ+8-39}OLs@nJuDpq#&dIVnsHlXq=2#q%?+$lp7VrJ-AcJO6Pw-%eo% zv3X!pyXqT{jlsIV@UO)XIcPGVI7fTbCQ_d9c)kZMJwpdaJs>^4^}XR{vF1j3esLFgzvyvBbQB>stv{a@y0|C)`# z@$hqS0l*KS;1u8V{C7J66+Cxf5IYDfO=kW|X^AiL3#{w`9Gp}cH6>2rX+iMqX{odYK@k);zw788w} z4*shDK=SaJ2uC$aet}&N4YfqePR{3Zu2dr1PbWM`NtgG&7NI%i;JT@nK4OC@qYDaR zj3N8N20sPMw5X7?*|V7pQyyv!@NsTygL8#6(hoZBcq~{diFm4WMSZ_{oCA{$5h2ME zq;rq{DV;`>x0Qp{0I~l~y>n9{k@It*VhU}{ppUeABy3Wp#?DfT6*oGh=%_`a&WK|C zxdQ7B#Hsyziq%wuT)I`O5xE^4n6zDTA!Ac<3eInIRN?I8EcPvE-68UluM?V!;@>Mf zXNKmyeaZTcDMHljO@(awp*HCW4--0;+$k}H$g^r-zW!q_#q|NWStzK1ZZs60zdqMW zt--?0qQfOd)3actlNz~gz#{tXa$+HCpu}ec)%ZZI{jB)5PfKOv6_qFqwF7~%S!<19 z20l1a&IDFA(bV5Vc&11B;HS-KP=AaFdw!(Ky8s@sINF_x`)4I#G&!D{xfFOt8}xB) zQusMPOapmB>r|K2EW-e9cy$u1C#O<( zcj$pbzt|VQ0Z`Z6%)P3{1se@mk+n#W`1qGIkh?ynH07$Zhc%`*7!^>0XhHq=p=Mfl~ug z68u~Wli3ARQD(%|!LQI9LfkR5`$RI(yZcSWH2JSNV zUCb^i81Z=*W9-_!GEA_2P~p74$!G&;$lJ$r>20af;3p3f5}t6p<}#NX(ibc6k0^D` zc{YWl*(1~H*OX;tpNib?Z)#)KwTy`TU6;Lf1m7fUs2I3d&{|FUR)HaY?K67GI}d&=#8Jm4KIcmW-aQ&<>ndk4CUOzC%ljy+tC2) zV%1Rm87%vm+cL`5d{@k8RiUt3nFu@g`H0C?1dF}VgGfITw}QJl^l^35x;bLxLZvfP zgrxiLAH2?NAn0MmUCUzrt~|ak@VM5vpqM14iTsN0U*r8h zDmMQpz5rOmCo>ipK)F1I2Ll_zKnP+5yDakjuD8@o64=^yGenlSKh|f%PaIgw%DTp|18Bs_TNWGhsfHy>zRH57nbyPH zQ$5`u-1LT0zB19O6F7lY6(tWLM|tT>-ybSKUT&qvH2ua|_*yBp{YPr*1l20fbe|_C zC@AFxxE!~Aqoq6*RiM6}G_~Z=7X5f{7sq37;dEAf5PI$2*HRd((8tdFu9>SQcSvZJ z@+d&W%0d;w$Xiz^&qy<>pcoJSI#+}0Hc9zKX`V-KeTigN(NW&^Ku7n`*}mxOZqk75 zXP!^aR5}YeZ!*c0sTBfj^9pb=$DTbzbKs9OrS1paoh%IJl^EMVlhJd(?v%WV)O8$U zdJJ^2DLUeFTUq(~bL*;!s_gZaB*KH4AyeoS(|L+lW=h^05qlILU#VeUuzN+3Jl^H~ zvROL!;GJMyq1bCwhQ>C%WK-yx^ow$qGr08Ch~jUl&xdQ!_B^5nz3W`%-50p5Bcf6@ zQ}8~Qkwz?LPj?sVwU<}SfkKy5pv>1XV&1!twxH~adZZOnUy&Y_)FN7kyxVGlRmcd3 zgi)UbP+NZN>$@>|H8E=Lyp|a5)FuRfC`o z%}Xe#W|0wJV(3--hUmVYgV12VDppEz3?>zp5uf)^&^yufQesA{+=MkHmiX)mIT)eL zz0h$4GWtzQ7Pb}0mzZFhsaGU}MQ-87z(GIb*$eymhvT}nwnGiRY*%S->v1q|jOKz* zA&(_6Mnl};V*J5tfr`oD=v9&9pKTzTRD?h`Kz-VFCpkr0!qWFn1GiWiuD!Fjw1@h1 zvZcp48rQvFyjD@Dq>4C4j{O}Bw>m_#Ju6E5)|?2Pf>{C=`4Vg{d!X$Pi5%IO0-SA3 zrr_KCW>Z})OLSz`d~oOWJg$S=k^^O)*BZsoF(3-%WNwHLm?gYq(b8ASGlr_cM-wTT zSZCc|D4ogj9$iULhN2F|iACpsF~F7j$bI$e z=J}a@NdZ$)F$kt?C9S{V?{-5V`*v5_YlkoC-~2&5=N>D92qEinymnW@srNnMF--%v zYRQ^Y?~Kz$a+E;z$`QJS``yd6^H*V3VA9;BXR020u1|9Sq*@yDk&*nO4Urb2D4K73>sl!7a+t;ZU@mV6aH9M>12rfrx zyN>4l9Mnm`)}K4^pT~@d0p2c%mP`fEa1q~pk7{1sLKc%EBpFS4kseqKnr#Y+-l~<9 z*_$~dU>lCVNSOWnteDHlgrC0(9d6#2oJn4bO3PBQwt?H?UH8f7!)FKptGH`psc)H7 zQjtEOn))rnD%1Wi4AIr=5sGpbZ&-hxgzq^7h99~c!E6b1kV=g4M!z7TAjO|p7O-6T zX~-euP29A@yI<0x@*MH8?SfixReloL`GW4qMXICF)o6mG$d8xoq+xj|*dlupQ6(th-BoDcJg; za~EOy6Kr0YA!?XrwzIs^V|?(qceYM|C{`>LOY_499byY~5!NEYnBB5T^8T)xQdd+1Gu=J%qyW*(4m)_EyKJZ7H= zbAkBs`&AYm6|UqC{y|7!V^rkb&#b91J;rx-J>X?sF6(;=j01~jJujum;;U7oXsMUW zxUX5!k(IkyPG7|0A`*HTGh*DbOmr16T1Cs25vfz;E*E=E46@6(lzFi>@M`J}O&r1A z7{)|K37ogUST|3vgpV@Rg7I*jrl8`gyJj!Uhfq$CD-Jf?F30aEjrSxo2rIihHk(4J zO~C>v?&w_`kWCw`zLRfa)bm8-Gg8YV#GwLq2D>O5+%WaKde}%8u-(HBP#fW|uD_nV z?Zd=Sy$$O@sDtA9hBGFpj_Ri)^YVn{$`a)j>!6IW&FlyH;PwOq#MnvZA6GYr>}s=m zdG8;6NQYL)(y0oB=4D}3D0%Z79x>yWMZbWT9ou{tU=qM|$_BP@oDJonXYG5ZkZVUd zl9M5U5K0z1D@C2@i+Tsv_hTRF{pC%TGrBX{9*^#O8NN@|PM$PFMAdeB7I~){*k*6V%Rg*tS@x>Y<^`LD!r03=fJ z7cLc#{|`(1pNSbKVC~0@1C$|tH?v3~w-TT~Vktl_@tZ!3;RO^mI3er_AUd4?5MANt z&Ob|1Q7zt27P` z@S)5RuwgmafDA_$nI2rL`zj7FUu``pU;U=xY0*Lx=}erTrlA(;Zs4pL3oF<)q{0o0 zZEPyoCQ^!s@}twsV;`uz6B}1+q3NxB7gn~l|Iz~b83$q zJ}JpiYoD*4Kd-)J&u$Gn@|GVv8n(u8P{PqjjP3doIrm_WGpT_!D1zg5eX;K`+xYPg z0BPH4W)RL+3ccE%+mwX;`GlylNj( zP0$aFWq+1e{gkoPF$zc%5+XF{U%1s4M%mioDuVf3`z0yLgt2$c@iI!!7fwxP$VyU8 z_(_B?LYxP8N7=zr0mUkhvpOwhKh!x3zG6JLQ7F@anj4WF%+HlM@-1ZodDV?NDfzKJ zTolnOt4L^PxCP&pyoy#?g_Wn04s}mi_xAo)Wa6lUkcf%om@Bcw%SgFirMt|bwDHxN zV{*l!^^1r<-eVa1aM8l$uQ2$PuYOH9fQt<&q}AtY%gPAs8`NR$#>gF|tjS6&Hm}!- zxzoAlBo2)s?!0u!sl~r7J8y7)z}*dVS*`uaUR3J4y<-ddzxQ_?Z2>D)GAeqP6Yr*x= z!v)X7hn?}UJ@4X5TB(_2a7h@c%X4N)Swe0YegYW zvyE;r&fd>NercxRyCKv)XWk&2;qbU5@{O*AqqVVjT>h){#XsI|2rPC8^Ua!EP5fAD zkk$qB$-UqA5h3~ECW5rQD4_7J+3jL&kkF`s=Up%r*=x1goi7x=T%S^$s}P@kim}ok zQnJ?WjDCG+<6Rc5v6=c4C4Yf7k0pcM?t`oPHKJO)SZvrb65DekC3jraqTzQirH7St zGzWtDHiUu66QtpJSPh?oh+i1RG&oJ?eTG|F1t+21zFHQOJtKSa-}D_-D!AD%b?pEiJb!T#lrkq zG@v5<4dCLYTX!d?pb-saCTmMNKX;)~3rYcRAQbM5;}W9f1mm8gw)qK-?+f&qIK}m- zJDvuK)idr2dmtw%#jfrDo$ot9Fqbigj7 z5sWzBvrxFCiHjhug&4+r^VL39zr?W?A80TR-D3%!m=+V%p=Az%oaOv40i9qhf$s*Z z4aVMKez_mNNd636Zs4=89`NqAC_{9N%Z9o~b1+ZV%4(L<74{1I)ik(qpvr&+5(H;F$H!4b;s(ZvWX8=a4KDB97bD5BQ%fF>WXeO_nv!vAQDu4=rI*mIEOK29`vaZyu28Sc2j1kR@v<_#aE;NRCAiV|XVjMsFr}gfaCwRf>A* z?)-Ka{6}|(69Nr}r-f)GfUtn>2`4|~gc-NFdKreut$k`uW{{PwBXHfwG zH{j-Tpai8_iO2xfS&ReWf6`#Mtenp)mzNW?vehgY#?|P$dUnONG+MEh za&4`g!~?i3b-Y(i-zHCaHltm(tz``&MSO5NY8qENxK=w-!@(?EQQ9yTq&Y)y0?*fF zIkMc?4E6O}4GLB*+|K%X%D;pU&i2AdRA|@{L>vduMJ9n|H82K~dW2A=<5mT{TNwK6 zRTBcUri9XFU}J)&ZRb%Oq)%qT-~IUEHgv~{Mm182g<{befyK3jM_-#ys*nC9K18c{ ztEyN($gluNJLncOpt z4ff_R$&L5V@(Lx1oj3a}k}NHXheP>`3#K4yLHToni#TKQ{Kr?{P@oM%f5ArBk>bQa zXi?{76X9V?FliF}e7t+l+!t#jHZ>mZ#hLTNyt-v9Cd@=1>H9Inld~*5_fvWZ>14l6 z$o6~G8Z!#z>sx}01Qq4LPoc>Q>s>E0abRb51>4cP(0Cav2fAG!Mq_GX=}JnFtN3R$ z=4y17!MYCZttB?+P1X;2x~fVaooBXDQSGf{h3Cdc-ZCgu`6De3p*re2N^LkUX9|qc zYBUuWOYuSu-stWcs{DLM?%7~#BgxKOERa#r!G=ZYy-0xmu{Ia&n6Ha(1Rsg?^<|Fd zcIcPOXE`PMFDKV)$|leFbYO#>HD^7mON=+JWWkyA)x@F(%s;9u5dGfQEP8MH2`IKg4XI2Ym?`nU6(3{&RO>5WNbP7xG)(JpNbWtAQugj@ z3qMOY7QJPTRJ#5d=L+J~7eZy#O@R9wwoVUn-orh_OaV=E|U4o|ICm+EZCQkdxZnSvM%qklYq{SJPF zCuZHXtw^S=Eff6CV1fTuv;Rd;7C^xNzy~KX-Rna{ckk`7`7w0^?{dmy$@4IhZ_`or zaPb}q+|A^Vhga2;$e!E(j zkAE;gzI~cA@*X7fjp$>(VV*eiRrZG}I7;0a&+xEtl8+?Or{)^=@SH#To%?;J{>TB z4G8RQA$SEA- zOfA$wIh$FcJL^*%^>%{Kk^B^H`YA#qHo346;e6rCeytD$FZ0Fe$v*sAa#u`ChFZ2o z5ZV}#vxzt&y&pWu4D&9IQzbkJ?yP;l)yG&k{iwGLmmb7!rMb&~B;nT|CVLvdhA)cV zL3|OGX*xGbE3DCiy3g>>Bw)T1$K6~|i|2*b+%QpOQ95Mw(NSM@ckAFDns(}q_Xcq- zQh#E;stT+k!+uwA(7r=pMqE&7qJE23mspj-Vmw^9)b zMAh537P(c<0d60kVV7=n7bvEF0%ONd9k0U?-a4CJvBRKVmjyiPr4dIFu_~zu&-Cv( zV@WB{ZZkDTL|`W(UE6J33!A)+yli4S!_8rf>3^3bJCIx1hmI}RSfiO`8?r~8rcu1; zsZ@HvloN$>y;3m}4T_?tSnF+_&?0ClF}m-W9cl6 z3(Y3M_c@o9fBQ@Pt^vl)_eSxYtt`hfCDldfRF)vGA0>ZO}%A z{~gM`2%{E(Pn=EMC0Ax={yo@CliHsfRdT8qCRz4Gi>cvE^Z@-_>QDE_BMs>O0N$v7 zBkKOx@BTC7azWT#kZ6JS51=xFhx|L{{s%aM3zGE<=fw+tfPngMu!+B%egEcr0*Z7` zq7zSiOmSrp4(2}%?s?d_A?1zFL6G;#Ake>!0WP!~8k|+m| zKc7wg+&3(#l1tc}H~yBhGZGGsy5wEUgxD4Z)@2kX3p6ZpQ!9<}qF#mJ_Pt+r$zywn z+rT7682R#GyXKcLmN$a*X3vf#%VhV4g2w;CeK@2XHXDX9iwZ0LmfBDDCX14?B8Cof zM*Va6TwC9IM^A~fHxy9O3MQy z76W&cr0f)DrJvC^43Bbf)r6-HVsobTZDmAn*8RBIorP4@ap zdJAab9#!uhz7%7>uX-c#c-~Qp$onDjUjZerWjwYB@OK&_*#ODfuKYL`)jES z9EOtfkO*OO7D10w^pm3@SJ0nhgj}ielJ`Bv6?s>`M{jfD4FbD zYzJHXPz#LEvy5g;o=zWyyy?I_rMP)NId@zxY7+b+`X4V3}y^+-X)K|LVuc3o1;+gg)%mWH`m&o<{inPu; zw7c`X5%DC-=W;>s+y*A!E9tOSV1uMCf|kb&q%8=PkDPScdgHnd6(?v;1^RlPFTY91 z%d6KRyibbH`;<7ymuma-W~#BMmmw4GCiYE!g9ygJ%M2*CMQ|z%lT2y5g*ftXnk*S_ z;luN>_WH@Gj=I`i7_0N;YWGQaM0dXB($6lPN!|&pIVhn8FXIkZ!$n+Yg2vUn5;Rj_ zwo_y~B*7CN2t*(+4TjyAj-=iu>T|vqfAK&O6d2gKa|c=;J)= zV10aU?AB%@E5U1dJG) z(n3BjgJCPlV>Sj$iOy3BFPp~feQPQ9b_;XsI!5?eZYUf$zjkn3rkdeJ-6#9^4vWe5 ztO)))3lB?mkj2WSz*_Ejy=}uBesj1cUzH()?TV75cXF-J{H@>WV7y|jG~>;6CFwvg zyz#AqrB)kBxObHY8jcQ#d!C<;$c6V;7RSE{`LZeN@dhI0&ZCTB(*|~C<)L}A4^DFR z4ehe0zmt;ymu8OyR+te9J*yDrRiuJ+$b3N)G~k$BYd;)Am^9C?AXK@7!X>So06foV zn?KBni5s_N5vjl^4O3B@N@1C)(Xb1L5AUitOVhoPybpT!PF5qRO8ZTI4C$$r zP7b^%b*Rw3ITkHc>041+{;4M1nAOet1g8%&)N{ndU~LTdA4ulzDMG_oC#wP1b|sCO zxj)WxChiQ3sJTXJjxs&kC~$F@1-NA)WqiTQ9p4J#CN z+LTDE?YFaS3N5Jah8a5iw7`~CL2JKg4dqPr6RCrqt-_+4%>0{)ukV$8E3b^S*T9^g zlPk(J1l?a@GHj!d{k)|4LS0ZPIPn%*gi9X4)3ZZVz#P{uuY>)`;ed*J-4PeLi<~Km zZoiCRf!fCFAdo3Vq0;F2(_!A(FODl_A927{^K6TR*Drh81M?~t6;@>`R*rDjkygQ| z%f?;rMV_5|i=O(&&e-)D2m4epMRnFtXlJA`JsY>_bbno+~32eXlWLbdqdQR+rj zd2Se3Bgc-Yg3s-?G6eoSYh0n0QCpR8-7@0ASkzl!BIE0~Z11bX%r?*@>E*t9gqL(q zp1s?9QbHh85#&+bpY5!1w!eeaICibS3k3&Ix_)$37Go+~(vLTys4lyoV{?9T9vtE5 z#jzW2GVAxEsCq|5X}rbwr-)fG7)0$TcWUPNUZdaKV02Ww+ghk(yj@kpD?4ye?u=Jx zsSgr8-R7Zxya;~Le$&jf^r7x@Vq<5Xb=@IfR{OdwR?5~)P#mFqm@M&a0E|_upy2(< z>e0#Z>BjN*b&Hd4WJ)u>t{(2(0+(=xbp(1_re!?27NWw}TBZrcS*7pA!6kNiT%(&h zrWZ`l@_mGAop>@WJySP#?Y?tlN(uM02(?SjBAmEiToja4!R`9^p^`5zFu$yrJO09z zEpB1&+tSq9Ols(>8I|L#r*OM*vT{{5{A=?Da=6+4!r|WWmtpq(>nq#)0f}`gCoQ4Ohp@0UnwiF?JU<=F=!x9KIf0ks(Q$k_Y7sGq6OyZ56=m0X>#^53JMP z!IaI}?%GM;WF;H-xDTLL;v4)Gnjz!1YP$D%{@Bs<5&g9_{_wM;U=;l_RMi`@tND;G zzr8Y`uV~m6nUVnTxPDjE{L>u+fX=3||KpDRX<)L}0#QMDB>-p6PW!QKi5Dn}*9$LOSb=5srGU|M%RjBl zfEy^jj7J9p{H&2fkbm~~gwWrMT>cKG@gIsqh=w8XG;>Kvh%g-NsUUPlh?xwC5ZV>u zCj)u{rq_<0)Wbk8^?RG;<-YcIipDxl_FK^Xho_Gjhy)u+0^|XMAK!tR zwK#gYl3kS~hg~EG?f^WjS`l@JW`ot+`7qIQaErU*dVmWI4g#rkJ#3|Kg<}WaAwRdb z=yPoh8{{o#I66{9Xn9Zifg905IAlCmn=jA1E9c62 z0ppI&nPvJCD^_GTlV#srU$~E`Z<~A|w7lmu)X%J6ZVqpITKUs(m?L`%Df^B?K-k9v z?Cj$A+T-?aAo}hkDMUNt$y|1p!LRHrwC7)JFFbBZ-Ce!vk$tE_vXpfoLp)(2BG~e8 zPm)hh&?4Y`>lZ&+JyAk|H7oGC%imn-VFk|^ye*#oHG8Gzp*AR9j0a7HQz|RW0R&CO zIU2YnIhK5G?kg;UNmV&!t1QafG9(hfqGQIV!YRR*n&Sa6vo8t{cPgxVLB<@DjEsi( zXvqx9RWYmIFR3sGbMjp=c+q6ddz0HxRE8o@D5^r9!!>H6eRN>^d=0x*kI#!w?*YzK zR9ap=*#PPd!!H(oE{?HsE*KBJv(qO2BAsju=eHHB^u;x*NF*fDREF$Z64Rj4r-F{a zkKNV$b43owUy5^Hxs?aeRrCjydP2c4@t~Z#6WFj zqojI1nCHv0NR_U$^sxg!%WbIPtKXg=qF~)yVuKbuIeBA9uLfKvTt~9fYDbIv@~ksA zlVFR==Zg^=KX(Xx2RkF3AnLgg>@KlFeGM%}D0CKiqb2i_&&(66+1WTOUrq=d<1*r< z(*^t<@n>>jvixJTQ@3KYI60{_lqSvs^*<5V+D&R=<+xdo6V|;Zova{#6-{bHS6CqF zA2a2hC}h~2dy(E9lr=;}d=bXF)<{nQQuX3t$iKSeo78{F)Q=2RM#q`$1%a$%8>fpuvc%C6Xm`*uG+hC9H z=a79Fs*&f^^nx)=(O~0w4+S`S(?~KT>t&5)RNK4{v~!cw@=6JvYi60x`Pn1jaJm(k ze`OUWAau=9GY|aqh5riOsRZseN^CY9aKayqcB!0t2NWtqK5IcOZ?RZIbi2hAgV}pF zXh$+ibi~Yn#ve(qApi9H*zCz`F4#q!+J(y3qCJ>}@~!f(Qp67JZ#tem1*r=_r>ZZq zFY{y<6LJ_Cy@a|pM_I^ILlt0ou_nfb&w*Y5Z%r!P9mT~e_5+WBcu@!J_XP>}njDIb zaP|ukU0MW-r&%hCy$i8d*A2UTJekipBr=^~`wJv-a!|UJlY-K8~U7V`- zmQ*_2n*B)^#ostE?8LJJzMaayDwR8|$5u&GF>L3#I{CD&XnbSYvfg>RE2khVpym~< zs#oi_=iTn!oc)8%Ee!=({f^}+dBsAv4R>A3_>s8C!QwLwtdA28tysM0%97GTSYS^7 zLX=!9Iv=BK^0rrkysG*k8G?(E)?{SWxUUv{=A}7S-sanYwH7$8hQu9y3P;ntnr{$m8F1a+< zoX8_s%eR#cE80&R4KISLh5J8sg!A{AO~PIJLKYQ4LeSd~QY8>SOxOfOTM6U}Zfy~K z)41(ii)sa;*q%IFFePYU6d1QRELf#Oy)mgtN!FjN;?r(y{}kCaWfv4zt+Quv%kVTn zUhUw;22!DKx1gyhL61Docu@^e^GcClliy!0#L1;AP9#wdx*XFqUQUOgyx_|w z5qWk`zx$>4_FKx`ORgI!HNSn1nB@$Gk&k1Jd#dzrC(m=px_iA_M%#*3&tL1j(Ffnz z+y+V?;9)}@h@(Tl%sb3Qqr5bvoWiyL%d5!M_``6C&;P$5?eae&?fxgw14%Fhx=wym zQw{)E0I+O=GKe1c-&m*oK>g}~GLT_GHUi3Dc_4@?Ai5;X_X_m?a18!Y@%qoY5lF5I z&_?;j3239t;sKCS?7Q)pz^mHZF9G`F`|S45khiHTh1iMAQyf{>V%8hWmC2!Qg}en{ zJ~l|qUL{Opgcx77&-W!Np9>^q~7u@bvuIwIe#1j^IArcoM@MOPkb0aJa zhmAoem_o=8l~e+%D{ns5-cmM17g?_>t@nPcZFQVMpy)9l;OfQ=0t@h%6q@oC29fL# zEq8d%6Q4hw{*)HdMsSKq`=!;31#i(u%#X0WT-p%qu&>)OFOl4=ctoZ$!UT_|7;6_r z4^2K`V{Y0)hV}W0mC-UII!Prrlt~GKJO`2~EhLdWoVusdPC(vtQ2YdWJIm*g3Fne~ z?dvPtFvo8`-#wmheFICLdh+NIW(?rABaN6vFktYKtO%sNO6LnVer~;_d<{#y{L64F zcZ$?BbTLjSm1LEXC6DK&qm}&%4p@iPhCR(hs^ZfVA#Z24U+vb!X@LaG@WEQNd6~8p zA)4V@)FCKw+W556l~!Mg!dv`@UrHGzx`EZpRK@N+WurI7>)Ue+8i$ zV03);5?{Kb*e#p%OL$r$@l6sAJ~*zgeh#r|n%FZ{q?+LClt0rmHJ1MXz!O1DY%#kFjnBM&rIZE)4{qq4r7*9 za7~Z912i|gzEpUt04=Y~YaWCwhLp9G^t*M2Q!$eqdJYV5KK(#Q4y}0ER(yQgwQ$p! zu+81cX=imv6mnV5_mhQC{uugmgkN7dcAPUz^hUy2Dq3Nqw8I5cSvqf6XfCWA&yBz# zdnQ!Ly&}Q$WPOQw^48hzYlF!}roLXg#m!GkTWN2r4Vo}g?H9gOr+x^XuWU5T5GB2#^f zg~e2I%Lp4V_XKHO6anev9v*IE*^PkC-Umx|qFw?voptYM9+!w$9?$uynPmPCJ{nSs z(&eDMq#; zVng$5p9BmLhPdzyOv$$)1`5X6D2Kw|WZ-D`7dV7VFq)$A)$$Epr_?1BRGAW+s z(pHA2oLx$zVA7p`&977k})D#Q3#AxE0ELRR~|XWphZu&IaQv>`0QMD#dv^98X-hiH`-m75}yH~;a? z_g^I!v|zZ^Cs#}-(gs7T$8k;ceI;JlGRD}Y$UkgUq|pO7`B@t?)chLXb342w95DTe zu|lcNYe29mRJJ$rQ~9%@i;pmgN1L1MC2}aZ2a_#ch;Y$lYDVCeUJhfSmdH&)|7!M#bdYeJ+~@$P{rj}JcH3h zCrZoYzCnC*jhRf${}KQ?5>tNy@t4De2VRmB9D$c)X=~usxtazB8HP$ji0`2&l@^jg4D+XA_4fchq{sz?{u`8?{|~_@ZCUXv;#_8nf79EfG{$K;JL@gAhkKunZ5p#}#WeROfk|wS8@)=i?w!hljJX8IY&yja> z$ty9+CL2W0Bu~kzd(8IwIB)+rZbrquicS*!YNWbyM)71~m@Cy|QkB`xs&0ers1~G5 zYSl|U)>9IrJ{IVXRG8fB-d4g;#v2$>K^6GgKhP*@gFY1T9Hq#T*==mtK5yVc;A2E0 zxK1gBTu;Qo%3LZv@~yG@yH^o7w}?TE5_f};8>htE+n{WW-Sh``UvT} z{#fxAd*+YQLB?!zJ6!JLEn+31;K!x& z)odFv!l!i!2PVfbia(RNm0mILgIhvKw#vcq0?d1XTWBcQ1H4J0IkZMGvb;fpL$JR~ zpGGVBA$Zhsf04&YF)~Z>`7%Eir(5Vc!CVt3;Xdw;Lb?sI6?8n)r)Q(6DfKt+vcOH*uGpS$&i!`#nJM2>-vna! zkszGMv&By~bFt+JEm9$;MnE)7Gn$7ygBA*fTr+f8TZl=_E z9-5t^VP+Op3Cc9_R2C6QB0)A~GWCRutxcB59v0NF9n8<6P~gRp{JxlBFX*OHW?S1_ z9!wA3lw|aU(H;=P+Qg<=y|_9Lg^c zJ9TBn8_U!-j^D|lDMl{xD1U;zHzFlUg!+XXn-dOWpt6QLQUasuv|_Zt%vr6tni;&1#$iF6m5BTv1l+!t9r!Vy+qJ; zM+$~2!XsXVjzxkVaSy{$0}qayEnkal&H3$o-|jVY*A$>8D|6uXeL3{ip|Dx{Y|3lr&%l3!57r1x?5CtwC>DGXYN6>KK;&;O>IdJh<3jtg_LQ4Y|kEFoB z#Utk)aPd1KK+cQI_M5==0nsSd9zZk#2=|a6@>W0)p93P{05XHjTY=aC6OL^ScqLcv z4<_9DcjIlvLrP%Z8VJIF@OT_WhN#*64*v7A0aL{`z?7BeUsI^Izo&$3fn~_NfYDF3 z|5`@L8>o=<1Bi!#4Ui#zcE9y2?Ew973*gK8&Dh6n|NCJgA2x`#2R2xQ0Z8!TseotR zv;TbqnFDacgclG3AOqt7RKpKbg$}>(+F=6jx^)1iW(t5?AE}5R<_#Tx&jXGZ2MjM8 z#906p@TZ)9Ki*%74|IrT0B}zwHIg*shtqHM-%ZAVdd(QJ5+ukO^pGb3nv6Lh&jSI? z?0r;eh`7r?G>1RJDMEgrAR|Jq9w(SwK^)KkSQ;&+A|%EggoFV=kn;mnJP(XK0R0f8 z(-lPV=oIa-kWoD(V9+5&K@ZQage>xS2M^$o0j?AW8$iwjv=48;22n!DMDgGufgT_h z2yrl=e7B77TNx9D18}$ipc4*mE}%6U?vK9}2;^}fZ({((7pAz6t3s4KKmb_x!&5x0 z%>hsqL5x5Ab|its&cm0K)LE?nx%B`lVF6!?2ZH4Zq5?iXkl*0|0e|uT^4@@v$0j0P z5*W+}e+L-&Cs`mnFF)j+I8d%b;`8WY{kI0!1Fg-X6Fe?GJLm7e;=eg<{}*jYp+5)& z`rB?CfIr6tIGsS&X*d86NcRKKbosan|7Qp5Z@kI>1YrT1lYTo`Zy_Lj2=gd56F5tA zCNYB#%kNxMrJnVpO?d(q&cVh-;?bU2w=Jh`iM$#M!pajKLK2pw!Ffjo7&Ouy`J>>` z;<#9yxv;hI`SOTEz3A#gJEP^>jhS#`;wHB4(6Eo4c3*0kfgsc8FB|T!-*pQS-wJ!L z$2mYUiG#`G*!vI8LzUQFA{MnUT)@?q7YT>GdK%VR-P!`#T4))^OywAq%&_KHOZQU_ zKA+zEn^X9^(2NHQ=mjb<5je|p5kk%M5Gez^C^u9%IqVH;&_7~rhY0pb=f9KgHDY>1 z%~`M;+T(MA*F|?4j@wUAj_5st=V+5DzZX1JyuwX89&^9ibI6_7g4FC7GlAdLY%j@y zpNc_MKYdO*ok#kC{D;;Tec?nG(J1ZZ`&2w)+WKGRd$+3&UgvD59#PjLmj|oIhp6ir zO8a2!-X?h)fqP4DQQZMB{$OkOwq|FG?PzFa>?B@dc7x{miBSJfN`)?mtZ&v$6CxOU z|(}_{0EGWe_65ml1!mI5xEafh6 zx=ON1LAAs&D#7B-&zF(E{=y28!)1VZ;^3-OzqndM${J^DU__fv5bfP!^y?^atXZn_ zLV$Jv&np)m7L8dtGAosGr|Q|~zySKwNwjJQ6ANnxwk_u%FFb7%eWCiEI5mfcrp$d`S>DQX(}4Oit&ZJ>fHG0ElX1{Agf1*DOP zps3oG2u0g*ZScns$%ak~SerGsz;@;^-dR+dt9r|~_II-u-5IUcKX?(=IN+?1#CVc_XWypl>xYujx} z<)m^_5-C-1F&+x63bT$6KEk8RNy7;?}`yJ4oxVJRBjglQIg!rPylf_NWJMLFIi;P%^uu?#B1- zs}!Zow!~T!)1RJTtA>uDT&@dMv42MGPB$eS8@@`ITA+~6DtH7Zye7r{slC;;Y9M@< z(z~s`3MV{1_N4cT8LLnR5!4ros8XqSV+P8)g5l9);n8j?a`0zWx-@#*OspPp;s$*! z4Hvyt?OA7^*OuMD%b2S=?RK-{x&_=-n9#^&H)D|y^0>A*xvbEmIsQ;QwObj2Kvc^B zE`cs1hOQ1!U*&$YD==Ah*V7T44Y3-RomB#;lmor`JVI&5ZN#7caA zro9mAnB92VR42r*fiJd>Sg)ew_D zYPZV8@&XmfTh}2vXh^GF)j_x-VJ~*@jkN8MZZRq84JKRhM48hWaWOP}{47bZ_6QqT zZ`;Z4D+NM|Mr>*IKwWJ1y<$HYmj2ZSMCF+E*7)q=FfA^^_OoWmxZ9k-7o%o_%}$w} z5QF!Ly6GZt*6;+BK~~Krba>!1li$;=TtDQV||OI5I%4|C7w< z&HxDl2#stI(M$kU)sP*75Du_Ed=Rlr5E(2$=?6Ki!2rRrbF#Dja|jmDdc5ac1CbC? zMhb%oQOE=$Q4b=p1jnO3JP*Lx0&vE0qToVg$o}a3eXuDNc%PTg1Z)nm79#$`Mw|~D zkw1#SIvkH;oE9R%!z|bTh>(ytz{~@if*^gsEF?7m*j^)Zm6QuP2L`KH`-~jP*Kl-_UV?_xCDMP+zfj}@oY~(jUe1{nV68L-7k9_n|rmDP? zNp7WKho>L-o}$!Acl6XzNu{dkCz`jv2_u*)WE8B8f%ZZ9no0cqJnM^Ods|yG^>7~l zmto4!!`HQBmN2f#9YoKcMN)NAJ&=$|O&#r=hS5X~mDP$4y=fBh@x%UxO+gO|l$kgV z=Zwa|kz+{cvUU@WY6HizG;`PQiARK=PeE*o2TPAL< zy;X}w;w_|JiVz*C*s{(U+A*f()#8(!oG-X@+vgDYQGMwu%gK8RbjdJrE6KE zKBBMECFgLa#eQ~6e8ORPqnyEVV|_z98oMCuIT@5?-SX`;E9|!?T3`wo7FDKRhZQ7z zdIZ**U@4p>9KI1#N|`vX2E-PsEX@4I&6`FW#jhK^xhhu2hgP45r7=tAI69~H&n`Qw z)>6Jz>f*!_JK=C{aE!=ZcWfk6+);uR!6?9B|ggPossk}_EO5fcp7elc~j#>C_ zUG;^&%ZH^mI&p7F%n3{>WZX-@I~LQ<#%Q?qoUu_l_Zx0+!B1R-!#X4hddT+NDumY4 zOUr!P%%xN2_M!KFI7!ZmW$aJ<3d|Yt8z4X(gV5X8K z!+(vj`OB)zf=h-94B{yYS)X>c`1Wbm+2#vLzcD|mAzk|D8^`)Kgq&`;xMYY!Gt-C? z=e`i7UkN0I6`aTR=3s})2v7A%@D3iC5`S6V$lxzx4V8fRvW5fn@wS!}PoTYYo?>Ud z{DYhKB_urnM*bO2;L}Y}rgwOE-zDz%?>^Y`Z;!`Us4`@GsLQ%I z2~m*0W5RL4Ar}hhj@Yyg|3OIOM3~JXrA;E?!k#Kt*)j=^=}n+O3&4?LgX)_dS2b{} z{}t2Qc?kE8&^~sm=AD*b^jC^29x^8zlOtqAr>Bv%c+Q*1D9mEyHbXlWPN8Zn1zDXG zdJf+2Qfx`ADw5FbkMIiA&Jx!fqZ%DTKk`o$T8f97b6kbOlPU*?=a#W;DDk7Ev!!PE zEk%cRC=JwsuhOET+_j!cvD)?#Z2R6 zIA`U!Q$VNkr5d4mX+o^+~)IQjD^ zbPeNjeZ?yXYt6)Xk{bb+9L`tgT97Ltd+|Z{7x?V94BTne}ODg8@(D_c#5)BAMebFQt0g?E>t|;4ze7 z#@$%YtyuJ5zg$rgv;v_-Ll3m7Q`v7D+w|TSx<4UvlJsxa`$?e76t>Jx_%+NT{9Yky zE)RU-zHX+n&OT>oeN)6RqppdBDr$FgxB3&FbF6>%CUU~uezp1HEUpGlg}YrSf$+5b z>l^Ru%YN8}r09j%bHtqN`z?G&7SWGiQ?hO8UY&`e`Kp;0&GzhLXtPo_e$-hv5_Ch5 zO2E1>qk>7Np5Yx5{Xj4K@_)iG5c~p=EhK;r0R&kfgGYh9D*y>YmXkp^kcC3P{&4Z~ z1KhU>sQ?(3T@YZ+9tL5#fH!MQ6{LqA4;~16F^B?&gAYO;05pUw{zvD*dXw1!e5AU@ z#F&uiA`l)tJ0~Y#^%77}aUmMPf7U`|2iR60`bn^B;cy`Vr62{FzX$67`M&t~;QZf1 z_qLQ5u^u$2d+=EcLbV7zs+C2JSc!yM9U#FaPP&blMG`z z^oP+`rRUfss(!DSr?xY*FVz>j+V{(jwMwyf<#6xr8`<}^bm7^Tb#}CH%-G@{N$s?W zNOnew@n2Eo0_u#?+R{4;glXw_(VykRkVL=~Rv7!D9R{;RC&B{^@zf}&HpVS7aai+v z-ij5{^48_$0v50q#N|qk6lStBb9`WWnl$>DF)sy(VE zBI*O_y~-NgQ&OQ?Sz)Ip-^KBV>yoFIFX@md=G)fKauU`j)!0=$?>3(~buEzLa9P@LUDa z63*$1f$_@sgI~p`@RciLmu-LoXGi}Gp&ztDqLL||y!&*gNwsfUx07{f{ps7~(=yxK zzPBDi_M{seuD_`}if*%SZh4!6EBcNbVm}+qxpXi~F}y`qnT4Z5#=orz)x_ziE(rFY z`oM@|U&yQ6zg=oqT7T_5epqj4AkkzzLpNw#A z5QloOVgNd>6jCfodP*!0QwDFguE+O!JHm^R2f383;N}(2l&Re`woXn?;;0k*SIf4?zwFCw_NZotvsYHW8bh_sIn4|?y6yHkdKy49%2Y-7 z%^s`aq^h~R>X%kVYRwvcrjSe_JE`EFH z>5iiT4n}s>S^{sFip0>af&m4`uhbSCGdPlqp14IQ^q8gwN3RICy=B#mYwAD)0|xgN zm#>)Wf(Au+l?GGy#Wq*FBYtkH!yv}uOs|Y<1~upV90Z2uJy@vxgSEKOxCyYYH->2F zJ2)RXQpYzjK_2J(%L|>%dYP}*{76MC%rhz^SJ->JBbF8xxe>1`UCA>LzKuM^Oslzu zyGK0RL8SfLv3g$!B7ocpA|d>aYy&Yp&fgK_e?+z+HLPg#K={(14gvr7>5B`f{i|({ z7osYFMi1m!e{-n+BV-30fxmeVwX}5}=qR{(03t~UwjLfHWH1PIH2gw9 zc>lf|;Kzh?#sRt6fx^e!tmHqA{QqOP5ZL80JpfQW{&mbhengo+kwoAP5l9UCK;r>l zDzrB`!*BfmWLYfme0$(DT|*xY8k_HhlRCR9qm81X27rLY2vi_F692Mxd;&- zse5IQls+{3ZT8*O>vN~N=4P|*%Jix3q3Re&?LMc2j|P(IOgPj0LN>N%>{mWsp39vL zCXbjAlQV}iJ8nVm#cKppjjkrs@`g|X=cr?F4^n0a%1)0KO*h@K&dR2e>R8Dvb6dH= z>-FgQ=d5~0YdWM(;uZ?uO(SQ1A~VmMccJrLC)q_SD|AcT%`C?nz_}Y*5MRvTo8DB` zDTFz5RvhfUW%pY%amDSg>x*b+md_(I%)%{*?T1Gl%qnI~FG2Noj1+P-YmTrLXMEWN zcHGL(D_I08z7AWl)hm=|Q>9;=c(#9cGS!hypT>(2+g0&i3+Syo*#gp7pRZ=6q{E@Y zzC6o&U-cm&5ieMpeHf?4fUjX{$Gtkzbwr0rpERwE*c?jh#{@}(y@}jO{X37B5i7_T zRQ8~E5%9A29+~@`xkWn2A<+|We>K^!fDvAog}X8!mR{=A6YD+YTSIP3dt0#0!Qx0z z4XuqBpv|2@tb?O@@(WT@-k4+W@a2n@Aro$Txmb|Hi&~YRog^C)uzn0dV+B}hwT{}p zx@;M4E&2q`Z-4wW5uDtvbMPbU>dCkO%7I8ikHFjK7=YS-KMZQw4Qz7t6ZSw52M5;nLH14(P{12-aciC~# zT$p#(%1%C?YPnan47%4-G zWh17RVrtl&yvK;aGO9lKaazEUGZ0dasBLRU^b}hGQK;L^jLo(h9w(PzYRv{4=G%~g zX+g45YY$K#=%sA+#`Ps$N<){q_6-dWw>9a9HarY`BywwlTlUqi9+%I>`p)7-V51iS z{=&rH);Cp{@Zf5xgHJ2@#7KJM5!z#Tf&x;s)ibMxGpT%xy6d#q29k%~4_8WuGDxNg ztf^BuFOBl`C57;DBArY=_WcmCRF#x^30j;h z$f#l5cf3(*`{$W|6b>pbLNUPyD{vb3^r!$&@2HN4K&+17{%fJ)YE^0I@DE~ex9{WB zx_T?lf@53ie%r#ZQ^G5>kjre88<=B zUCs7K-}+E*3%ux|$5^jMz&YSeWp6p3H|W+QJ1;I!QanYlOZ!Wl%o0qc9h@BbB#NNq z>lD@ms=n_p_6bLY#hFZ3A)Gs(>GykFnDVvjv<1ZTd)MnR>uoeF$E3yOE_(-nLiQxz zjWh~skh(yn0hOWG14D~acl4-Pcxosq0BF-PoiY-Y%Bm`&-o2OiHxBTRw>k;;@rlDk z-C@};iSf0E_BDqO#P}mUPyN;P`TRrc3lfMra`HT=5y2Z9HZ79$UpUp0` zxO+LhAx51Sa<~!};+XM_dszWrf^6sV3vFJQd5;AJ)Ex&dd+8p(F>9_D#;+IhN}A8G z5hseO+OtK#XLqZ;UuU+#QM}*V+eF)RhVjNVef#qY#VJ*uJi`%Y-E0+o1ra1bun{T? zOMc_nO#GQt3Xw4Q^#0`up%m4%5*J3~uV?jy9E?k5qf;Jlmttd8kv!5=tB>aM(u7#> zV=rZ26qv3&JzGGC%zJ*sI9BA~4i1*$rMXaPzX- zPDt!tZ$F9N4AexfsB@7ksZWtlMW0#v@PsA(8#1-A$~#EZuXhV*L+2#QLH>>82T+pN zeyZbAUwb5%E;qMV563QX^LTYB$n2G3fl+Mzm}g@PvBrI(Wk_- zEp?^ew4V5KUyGP-t%IL@F|@3-HSVwOwajUolz}mWDqWRGx_-&rhwk8WFciH#^(i*$ zs*$G-bYK!-?#kRX69?HnrBmh&QA}6TPaaF=OEd0lTcz?ThZEs4fiUQel6< zMvrCvZskPN5QyI!6WemE#e>yf%>PV#4~Xygd~l(*E5+fmjyL-w8 z=k%LSNE6pIm2!Qxw3{)q0{oj4pRM$(p0gVp#GdIxE=;e`4n`>}Jme{wc(>43FPr3x zKlC)ok(g$^H&mQpCz1i{@O%t(<5uvJl4%~p9YH}INHLJ3jL0>4scqO*MvbyU_?Z>a z?^Leg6WbCxl-{?Z`LAR}z7Sf41dS3rk~Lo+u~Mpn53p(>vIIDGPV8i8-yNRcW^8W` zt{%|fuYJtMuI!pn9d?|N>&t43gxU#DtQR_d7ERgY_H9+ZFNiG#?B2noyi&D9O;309 zlj_YJabs)mzz)ZgCW|>O9_sLIu~Sc^YlB*EJ9S}8X+rqxqbbPXi*bdNobQYT>sn34 z7k%{1CMoc$g!8v{3t_??ohu6HcD@VOgR!;SC<*ZtylQpx% zdRu4CBT$~%QJ&>OXcE$WQI^HLjpxNkCCyBw7%<1v{5jy|p0ML5Y}}j3`A31Knmoxh zkCoE#ww1V5eNGysKej-kN=wX}GbO@UR|?r2G9xb-G_~Zw*>t-6mBi3*@>sav`x+}# zae>mu#v7inB&|*96JLe6t-Q;NNl(&cM^XvezK7y|)uvgKnwRrx0@t06MOr@&deF41 z_&#`UD(-`iqYL-ec^tO)xhT2YI$tj5H+r>{!-%Ub$MXK;ZB*^<{7!9YyUieSYXn~|RhDwMnrUp z75c_Cmv@1GYMQ^~OeS7N-2gqJQTeVy3M0xDfLLydg+5!{O^h>`=9jdYL!vbf%_oDex zm`vIlM3M~CN*tlmp3yilI+D=6^l>)rY}be$yuS~hzkYH zso`CvBdjL3#~XVpjDD00hU*W0{5h7NpByvYM15apEf{R>Y1^7SR%c|*C|sS{(fzuW z(blJ*I#xJyFIJ0gHmt0=Q}p~e+~T^gxb4dmp*gurupQmf@QY_Sx-V`d?awLlbrloJL7SXiF_#liw5H2<=&K&0Tm-}HGN3@#lc zDFxs@;Q3=+>FWUN3J8oJ0Gnc72iO!quy_#W{|LDc0#W_r%wN5Gd;g&9zMxG%qB1cTla9!e;HI@Mg*9F3^YGJhgTgy z;((I+D13aFLHzV696tyWe*~8Lht(d!3jf~68km6~c09r)178*!}m(^L@U2fD(}0pcoH>d!kFGGwEg&wy(4xNnnKN84Hiy zO+KXie7y<%MgREBc<;y8Zfng@6dR^?0f%UOBB6xW9pGA_jkh9%bPF292|^i=WOM1# z`M7zZ6@G!*g4!tkO*=k}#9yIf0hKW}jxwpu#$Hbc1;){5oa>U*8)T)}E>j01rkNP$ zIO>m{MohgR`tBQz&Huw$FS{!cs4jr(WF~O3}mv9ZjisoAEM?Qt$BaSLy9OlQRih~JwXb;EQp)YYJ5(a2FKfJ=Jdu3 zJ2Ku!#Uk0Qf>Onr@FbtKIdpT487o^4;M-@6xu9#9~~|^O7rR>Q;%s= z1!Gxjh0tGAUN z!~I29NNqBVs&!_V6N%NACo%i#IwXkrj_0K_?~zZE;pealrJ=PlUvDF{VUEJE-QGb; z#?eObdjUJzkZ)0k6YU>NYy8_L(*>;Kou%T3x1?T!Giy=qGyEtpR4@ zS49f>@GG@~ts44Gf@XxKC*NZVk!Nf&7r&^hf?f5&FiCO}7xbcI3Ez)9N(i^Lb)OtY zXjEc0oKkBErvTmyp=)RGdo2kGhAAFA?@-2q86RBg8*WFmx?7A3>KK=fF_0mFQ5W~9 zK;)>}49#jyJff1`$fuSjHKX9n$bL%gujS=dwRhFIG4W|#6GV2CpW3whva#fC6Z1z< zh3hvBvdWZXEu@}+wkbRbL1k7HZy6KSQATNZL>U3Pa{^(ES{n(veXXG=c78h zxfkH&;eAr$SgA;GqDlQJ%~M;W1lC&#*>Lu)O0voTs;nGn=Udthb~5!3Eou`>r|gi$ zGv@GJtC#BL^ta}^Od2@X)^Vdyp*i1RaGK4M^C)~(#pU5Yi9=xuhJi&>V2FW^^wIs1 zPou}tHbAQwoAZf)c3}SNN90(V~$BVTo6R<-;NY0QmrnQt*Uok=6 zE=t#D+OLF+Sn@!1Jso)O$MN%Y*K&Vv?=7jM8XZ+clmbo8Vk+m+-Ay^dKK!f_%jiD! zlziCtBkADVn^@Fr1DXj*xHV`?LM%eW#&jgFs(LG ziuSMF@|<_DH2m+}wE72wU)-vf&wsv~Z*bA2DZ6InwU9a+iJEWlb>)qs%4%4DbL_c2 z$=V=;cKV&(%JgLTW-TZAV{?J)hxz?y#0Ou6R;oxYMf8WNEIG@UPiKbh=YGKpe=&@p zHd7v;Gm@G|=|WYj5sZ}r3%tkf#1QKFSXn{hxjbQoY&Pb>*Q3U#UJzHK6o*<7>qr=H z@@>p69<69wZJikv2HD{hb$Lwu=1a7@3YhuW&%b=@1{R^M&}`@RRaD>c9sAPDZ>l zt$T3SDuc3#3gE<-Vebj&Bz;D5x$Iwvy7^1nD=tLX1iD{Wvki@AVXTms!^pZn7b>0i zcN%ymjpx~be(8I5X$(;=<_x_sXa2EDN2N%>E2Fhl&m(XBBh+-SD20rdjyEj$i0$){ z=QzKc3lE>eQ_l{)U${|ZUAv+zetx@uJ5LUVfFdm>F=YIQGva^ApEw0#{?}3R@B9fH z-(%yvz|bR=6tEJ{?*j#o|Gyj@VCMg0?5)G1Y`*_-Nl6La`(8>=K*gZj0L3n_TQEQo z1QZ1k5$r-iS2&6a*h!e!Vz*#mqF}dRixS`0%(4&q{#?K7`u*|jgF9zV&6#t~oQY+Q zg&vME;lIMbVf&uOH*wb`Iuy4=&+Gr+G3S5ol+|rc;58xm8x==KxHn6E8(MfqGFW-l zczSY1;)&})WPDcAJpPs4T`R}l-BzBS)TihEORoy5c7;YC9lqlGT*H-neoZ&BPLFBS z{?nqWlOK1K#a7kqJacf|u&N_1FW%L?`=F)f^Dc)*SFE*-zuoS%bWfLOAD2Ekbp1=q zW~+)0l?-owVpp3*8-iyhDu-|R)b?bi-66*mzmX%>{T?_` zPMz~QvEdo@?7L5MEFMpHS#6YdWt!s)nSOX+{QAevokFIs$k{RDPTZAEZf8a~yFdQA zXVZb3HxC_eH8T6aD376z+DVPI-TIb3^f+_K*edF-)A*qA2U||~mRPi;rE&f&>(39H z8)ZCI&ed9I-+uUs=QleP-1+_F<(HOAEOVR=8?L(csFgv>F;9b9G}~<4WO~qmX(^Lk zrRuZRcoa0%ZWNz%=+FV99#%eH8?U!>)zOgsET8D8I-~1mZGWXvm#$CtxYK3(Ii;Un zSKeGT#L#Z%2K5M+4LQS~cNTg(ZT5d!TyM|PO_jN3>IXO6S<$qAm-c5q&pBP#;6zmV zmY46xd&GVzHVm>V2wlBXHh9zPiA9&UO@aJUp?G1>imU7jeR#dl?{+~)w`Oo{#T6eg+0Nh z%Mwop%w{m^~y)Yapkdf3g|DRYT1n_L<R>AA^PpJiVTW~s(? z^sJ|;yJP-?o;g-M2Cko0A|0%;-aW&2Sk2zvEtV|RjrEz^V#mgh>pP`AO6*j$+Hk+a zs5AGBWKF-k*!FR&&&s*W&)?mp{HA`yrO3-iNM_Ht`%HGKP2{cG+6?p>KxZ%Sj`4JKMU<{F5r0?ICru?cKZv}4|v zv~TOKboN=>dcZK<+{LyF#>j>bxno&+vE!$>$HR;5gY@SX&x!0TYp$aq=qTwo_s<5k4I=bcsw zS+A_BjvgFYxZqunH=)|iH~1VJthsTAl~s#hDbIR)yfL%fKF7*_z&D=>7k(uU@W0j3 zwDG8SiJlE}7v4;3v;3}ZQjJTXoyy6mNsAV)Oy9ezmBpqwqjz1E<~3ZgA=7J9)A;XQ zRSGnn#N;>0X|axEw)>IM!EHNyAv8c+2(qBy{wn~I^gIYxYkME*WRz+A6<8YkDc$W9Xj^<{x=5# zw)ZM{K5Koib4T_qzA|s0bL{#C0~;j|jTtBz;~u}(WS-`p+|tT=s%ZsZf6bfGdHCyY z4N6z`OnrWBT+3{YP2=+Fo!acUCHug7X_f!BOZuaZ4GDj3*m&UgxpXUX{ma+EI#xq2 z1#VQ%USIfYYnP8VTnB!sR0~{wBlMtC>avXKnni0T2V1uvljx{*!o_Z5^Czu6GiI7! ziMM&Uukyo9m;LdAX!K&_g)XNxqN|60)$jK%>bC8;z)O1H{ybWj6rFcw*4OIC#~!U) zgc?<#$jba{j@j!uKu*$JauhoqpeTg2ljq@K{}+deY>7# z_Rj7wq4dk2p+mlW%RA(7V*2f8`F{!zT5mkK`oYfV*h{BdRxPM(dU#Jse0$}NZ(8_% zFG?GbZn$X2wZ0kkGB!j+G`k{m$l3nnr~CVNqG5~fT_Z=DJ2*&$jX4M?kZ&sJ~4j*5BVEr+V7e5n|KcqC< z*{fn!#O+-ZcTQgYTgV#|nsqkAyw7xx`0Z_)m0u}1{^;%g&eL}q9lE*G|IIM903UxZ z@r9i<-Ti*hom(n*jyA5>_SeeOwfug_a&uh?=_dF85g z`%}!!=Uy-vlG$!~Zg+<+^OJsdlA7yeu6;Nq_-BgO^27(W=eHY<`P3V~AHQhTz$n)? zYIB+u$A|9^-{Ze1zvb)2dPS`#+7aF0|NX-Lrqqo{4Iwd(SyNdc@nMKb!8`Gw9WUdr9t79Exr& z@GIIrcO0$V|7F&W<^y(Qgsy9T`}?MxLGDd1Hf*`Uc&JxOO>oordpZ~NF5Q2f;Ny2Q zWyG?pCZ1gq#FIsF$I>tCKIHSjxPGV5eu3SlyxFkcsaoNYh~v8{qIzIR5XA6I;YUiw3Fk6!%Ocy-MJ~FQ-iSK zCciiSc=6O{!+(WGE*y8wf3Hz%2?EgLV{_Fz--sLCmOwN$)(W2$#t9q_!R z^~h5X7A!M=xGes5S*2xlO}%wt!vg=xn0$AcN@ek*)fA^r7T`@$cJE0P|HE0VQ0EdPGY0jsJxGn9;} z#8ugvg0D$}==d(v2IO^5T}S?1gX@xT8Y@-jAeMCWx@0b;-IQq4^23ID45TT|xFMOV z}H5+ zw60Ln6YUX>qN%|&TSpstW*VkAo*ra|GiakT$e5iS;F z-j=i@{E(6S`zrQ*&0YLvsduHIK^dtat=mPaMbqy{Tqx_F#ENd*0ZOF-Qi1Y|C0eQX z@!xtumjZS`8hca0nEKw8)F;m($x_O=548FwTCxwoRaS(XpEvJIELayR^FklHyOQ-Z z;(;89KHUZFQ>Ssx<}+E|QBlL?O{8Z=u;+^FJ)s}=ps1Ph9IDN!Sz#}aoc zTZN9vCj^lqItps!_XH|2BreU9VLfacx{43X_09M4VuDj3QI_kD@h z;OA(a{}QdbmAK@ZwL)r2g-k8#uh44p0hlJqN4*1S z+s7c?PLzsrnO1rUO_)ik($_aINUQg_aZ~sfk`&AVoYz}PTl(@I(HI{hgF1-(gNgZED=2QR)yfUfmu459fatULFW*UsL)pCHeR za%eXG6I|(%&k{=&7jT*L8M6*wX;FR{SjrCpJ&tW=nPf1n?2Z{7;G`<6cH-nTY2_C= z3N6yxg3+jBZDcv%UBA{ks@vsINyt|yl23)?a3Pxg!g3Q`OlbRW(0=x3i0JbTA|^O8 z0sg=_T78jt(4B9X2#)mo8|*sw8)NBS+;(UNk0 z3im3dnfi8@ONe6SykAq2IBeY$!A#hu3#W90mSDXIEPG3!VE; z`>S&!8u>%ghfFHa@x~8y^s7KeJ#BPMtiTQK&OaqSRL)xW{6wquZ?u;D-P(v;f58^7 z{07L{$kmB7R& zc-aiOd#lyL%HL4m&?<}o;Hm64CdSe#$Qw}sf|&TRkZrGlSUUhW{-AwawW1wUe=2>b zmNb>=x7Q@023F4d6~2X#WtEa(+EY_IwlP&OrP3OR!hKj?m1G90rZ>wZO;y}%9a0hn zE6S__$x(??LrSZb^rMcsm~?*C7=JyDihvlRB($Ub zszAj;>0>3py;gUR17uPII*dYuU-Z z>eO0O(4<4!fZ!2Q&lp6Kb-{CaL&#yTEi{&Q=%xcIuQb8iEFHmy1IZi16&a(5%qP2U|h)0^3MBYXE}g zdT9N{TEjNO2DyCU`uNV`nW#o-dV&uvF%;ND%QX}*(VhZDUwt8yMnfQ(?Lc)}+7L2g zlJU;XsQjkTh|(GAPK_Y)TLa*VZY1z{s!Iwb*1|;^!fs6^fXgw2{`yN`LOim9%O+&f z5Y&I56`C{?K%IwGXfl#62*^VDI8JDUj$Z}fN!Gx3Jizkax$zh!i(!~LCnQkdVo{Lt z9?A}`v|EHZad?E=XcDBgZDb+(JFg^p(&3Sdr-8gU_$mrFlRes=y|uPyoborT{W6%EOaJ6 zGd@SPU=mSgP_Mp;kV|{JNt?*3M`+P|6By8l#*nu_gr?9~J3|#oa1XLF$55o1f}z3Y z3b>rzV3*A)$~BjBphb~p0D18rNVo^si5q_<$xD*hW@DvlKnJiMYigQIVBk!aAxr!KtZPL)qx4 zCwEJJfkG?twu6eXPi#+XtRY*u72H?WUGw_X+Y)fstpy21Si7E@unm0(Xxo5K=-$&!vYhxELEVhaq6MF7mx8hGm5Cam8WfqeSXjm)3>93^e zgbb3`w1A&iX$^D%HX!EK8uK0bl7uywQbBJli%ztJ>@|!c)*;Abrl`sS!Z-;{GH3&M zcyC=wYAIyU=e8mT096%ROn&yOer}^+LkP4V>AkEF|%8=#*|XCgJ=9JZDKlx zjE)NZ+lMk;R3*ww|Vg7t)3JMRu6Ji+5Vs^5x@DIHHp(GCv`S*a_!m3RO% zPw@D91I}qU&Gi(TBl+0viQYWB<4ykhVDVIjs72v!&~2!P(18ZFg)klz+ZK>W^0G*~ z9TaOH(~#`iVNw@(V&WjXGb9U7VLaWGH|o+ZPf%82YZ*W+0D^v4E`w)nN@xpZ@l{Sn zTOpLjbN~WguCQr`E-4)p&L^gwFqA&OlQfZK#%j>-b})q1CV$bv^mD$hI zr-|N}Wl2xqyje!1j3%ZavhNK;Thsvt(WE1D8!RhYRtAID^b!`)%Z{+-@Hllk?gh&= z>I4WB3Vi8LC&3Et%fbipqA;LK84`HvEN`JBaxxyXBNA9uz_~L3GDiXcZdQ#(cZ92K z-36ILhj?`w(gicb{0!)0@@r7L4M=Koy9m>%q!T<=m#)kheIRX2R}4+fWw2QA4#2ZJ z3rpzOS^$sfhVgNurQJXzw6l=URxj2vy*cW1qB~G8?IIkb+#WzPsVgS$s~)hbODuWF z?JDf2J3S%fl5W7S*$YvSrv!B@jO{MiLBKi{<#h*S=iY$inRp#!au3+KRUaU`+XEoO z`Y4iwI*=Sjie)@YO)BC;vcIgce3txcnWFet9Qqk?cFsaP> zy414|8Xx!qowqOk;<-W{63f0&UW}h$sfv8pl`{M=>>O^^dkjNPUyu;`!EUdxzTNu) zOU+JEjYk%gX!J<07X~WfmN<%p{?KNAvIhC|hvopKMTO~@a5ab07FX0Cd8*$^ zee&uD!_yvsFvR`7{TTTDqyY#~ne(-%ZGSkfvjadWw7)QkCJsczS+PokWCMW~UunrR z)5=wXud<6PUr*D%0q|Iz2LU0sjq(9P3}vx@E*}WCO9#Os>Q>Y|%Eu2vkGKwC@+kAj z)dN8^b}%Fuy;_~N4Te4Nr8P=iY6RFeWIP0O7O!~>f%WjHV7~}HgrPxs2}KE3N^&0z zXV)ng;g?Uo!Vw_;Wr$+(S&S48(%PXI7FN<4ou)z6BQb5S4+Vh51jse}D~y)qN;Gs> zZAL$T6ihL37}Uri(kjIOWjFjLiwY*V{b&~Khb!9p1OP=qm3R>o*+cFKlh>)r?AEK3 z$q3AGjvy-^RT!R+X8Q|0Y3~T203ID`8~~5~WW-;{Lg>y&Me7sRsy+%)OLvU0j>e9H zYOjrfbSa}SPT}JOwbZdfAc>=4DT~KKmAyvGzvnifONC>FUZjLYjLa@Wi-P?zlIS#> zD*WZzpa$d7sZ9Vnd5ssg(UAZk(g?(NA8Wr;qYMp<7?PC?+BpWj@;7P8YBDwG))*i| zCyWI_w&YAOnGggw7dW;ScSR8JtQ`y7`Jr;}v71cBfk4#+H298_H$Zp))?7*^0aGqB z4g_OkN}4EiK;3~)@#IM;Vmgw+cnlSAYRPTIbP}fGKOO4Rl3<|)y&Ml>cY;C8C{RHR zCKNzrfw1k$%^GAJ1R*#ko>nkSsUgBBx*r4?GP4XxX#z-jZN@4RZQV#O6parjz#?5! zCo+R%wH8jlDile;^@;FeOD1D{l!9UUiew>%_6DP2au^z3vIZmthNO_w0T0?DyoFDa zt4)PHESEBgxr6D@nj+56fSdjtDOkyJbJb~a2-r@T4Rk!sN4=pLjZznlXi_NX+=~zl zlw7FEbO6b(W!Qcg2PDppAFoe}QSl&{)SCy8`1QCNM#N6^XuoL^2pp-iw{PNUg zTa?gTw)}8?njMSa`G|pWQiZkk8x)*t2L|q3PdbP;MZ`cvu7>sAqY=q@;GhuLE@#wR5 zCW05Q-=Ysr@y1CV$uAZh=p~?aQ7l^fB*3z}%z{21B)}=ooCVc!v*Hz8P6{f~qEn9` zxSuGfQ@|WSo4UoJNAeu>m=&k!fm-eqYBd`Tfpei++54qk_vT1d%AK9@_kw)+@!7xFhi3&C~2zLV`({g z0RlsE7IdbUjGjh)-2zS;>-WO%Y;ERUae(mPqGPd%1Fl*!59 z#bbFb$4`^Map-bzTvzF{PZpx+&vKBvmJDvOD5}$5Bl5om#1U=&L2SDcT6nxdA;H#_ z;LfrwH}+kc2BLmKk=U2EB!fnT48V_)(a>@L8nDFeOY>INve#xc z*gLcm>=hKliD6}o3aY1wh24E5cMesG&%TZQ z#1t6992v+wNrigqf-m%^Pe<0kX#7*rs=XQ}wIdanyjRPCSJSK2a&}}1MXI!h0B@Xv zAY%>=G>Ju)aO%_f8UighLeA9tQU1nMNNtzI6PNc zOF+s)w0$pdi19bH@!_!SBZ7Uzun#`>`DCBW{yC6+gwvQL=(Eil!2$Exe+@A4a9%RG z7L#!o2FB_0u957Y+=0mXQqkIcl5s7j_Q{NrS@qpmW5iFBo#MC7l>J_iVg(82e`knZ6awYSpP=x8N^?%6Ran z=3k85TzFvuUPw=MBp9aE&yY&2TnD+B$AVWsvknHs{nhU&m?QJo3TLR_KBOni8_~ox z*wn%G07*|1+5wzbC;y}&CEBe0jX!fG`l6m06MaxFI2_DoJk&j}k9J$w3t05aWX zl(-EJ;zS_E9_wO}?Yj=aiu~AVb8Tw42~44;7D3-PfvFCeK+$S5{z5&j6UB#NS(daN z^LNQs;6YZ3f946TmEE0KRnn6BZUkdZw}2$a@nZ{8T&@=VO+eIoD-ii@W`3M)B=EwV z(KaA5-i%hiZSvL(YP7vpJehg126=Bs3dMIcEp`B%{#Gpf2JQf?uM<{^*v&kK8Rig) z?5W*0I3K5-Xv%1hCi`Hx5y-ljcJG88l|SaVwCE}R#X4)rXEoQxw(UYJjoqbCtIrNF zM7!XS6d7tX_1ulQ>_Ag@W3nL0(WUU6SjIZ_#1n@E(1&fV@$yf}9wCVBbTy-pEWkzO0uCuCUu3H>KDLteUhdblW!IiTAKBnw zz+Smg81d9J^&$A=9CNhDG8>b54w92Y`{W%}T=BNbqkWisx!KS#&slHeVC$ggemTIZ z7aBA<7yfqkevA+YLh6dZbW?%3EC^Ps$FCMpUix?UkT26i_G1nh96}pEKa#Uw7)_54 zVbL&oji~JIcG40-_Aq)y7x>91n>4=Y?!KehFM;SKuJdC2+yxncW4Pu-I`K zWzB%^x>S7uogQ9>+9FP27VyG1XCmOD(3R$2!Ejx<2qQgmMGnHN-+QR}RWy`dLc_?b ziUz(&L)xT9HD{m-mr!7KIR}w*gTQC}Wh`FqTxBM48Kb9p?H>=d?;3_<*A?`5a}5G; zCslGAefjD+4Tx7(rJi~XycgVnD!FsQJQTTeQg-v;yIka_ zr=&&?t^vd50)~P4DGp_R11`g>0Qt{=LI`r=IzSc`0)%_4llO!kWN{npw<&~%aTowk z+!w$qPu&2V>?YvqJeK!ks3Tt)^5+)`kxCtD&@I6sWweqyEx!fjv!yirl}HMb2+I$E zs`V|wnI_%_`Wb~lntmHdxu4?vc~1vEzJFPI2UZSVdQj&(yjmV|2j-}7Rzu0{4rE$o zFG|T?O{oDbVk?p{MS`omH2Z&%^-^}n)htWcIFy6^7G->d-UUiNj$HW)VG^kOJ@7Y) zQHZ?Po_&Vf3s6zgrGZ7T?uGZ^Z+MmV|I%+kI``SW@&k-~&|OG= z>H!o{$d=z6`0;(LCC@zs-LQLTt$2u5zUaoFh=f*0>hTbv@6jWGMBN97elb84Wm;?m zvGD*LUJZVNLH_<5AAS$OBP{MPAxC@f7jy#}l-E zXRUk%4^F4aj|T=Af)TM4(l>h!v{|DQ0}! zbF6)GU!b1reHH75SBQ7?c^HmPauB|b=cA*u5(Sg;mxwMbQquJo@XgI$!IAS=d1)pF zru-GAtk+B704;h2!xmlv)sa^i82=Su2vM>P-F^)%?0N-GHQ#`^mlYcL2}SgM#kPf; z(9hREb(*!dd4p9v&sekH;JdkR87j6Cy}I`n*=z87h@Mc6sJ`MI*h+s7Me{TZJ1c3_;{%*3TV*eO z52IW70rKXSqyMSr@aNp6@vY^TpTVE)5kW6iA~q%MkMM>(vW9+ubM*Qsk96T=_zCLc zwCq2ER?knMl~aY}vnoxxk(A2d5-ZHmf4vlp>s%3{RNU?5+jOLF4wvlx z6%u^?3JGR^6}&0r8$27{E&T>J^etY2l(#B-@3nP>CliAHL*xL1kK7}?{!ibWqVX!%EFzt0KG2o9XF^o749fZ?vFoLU< zz<8=kutkD7o2FNzL%ExhHf2>|((bAT#3=_XzZ5BD3`Ny|8Q?Ub-8H}z;3@KSa!txW z%pcx$Z4G9dOq5W5jgU&lN{C6^!XH~%;IO0;tR8Eua57g$C4@8AUP;uaA<80(=e6gV zx6rfE7!Q4W7_6^@k_PorhDml-ffbxA#lb`_A{MZsJmO|6i{r>h6@(Z*WO`nzBG2@8 z(hpTo;cT^26%DDi8c^`_oB!o}DLRl3N!KvCt5%DF%$=YvC3O)ytn6rVW`Y<^(duGz z`lAL`v(-f$n`0{}eykZuiV0cQ$HYlj7cuVj#o8nVM=`Oyz{zKrZ!=QU5Ur_Kef0O# zK!2X9@cvjw4W%m9y21ry?KL2(qb5Ljo`T`kqgk5JGS3`#X@~>pmZoS8DSv5-?OCQW zg?4L!z)wx!eyW9zJS*Yby`R(}^gl61i;`zz?>x!m?%HDJSw zR?KKUJq!n5)uJdlfEwzHEy&*!vUb!*12*X{QHegZ+g2ZV1OrIG7ev^Y6KS%67)H5z&Ny!)^Y$>(^m@hc(L=qqUtm+)KGJG`hPnrv3m6h|W#4mAPm@3oX#f9pp@BaDXbK9&Ioe z57H|OC_Tyo|1@d>VgEUi*M_z=fyLszNCVPmXO5mUfdcsy#&NR|^t>sI_C^yBH?b6% zx8&QnsMK15frU-Q0P0}{oZ6Pa9%lv7V8S@Dq6`TD@`e(uA(|I1?b04=i~zq7a?(mX zOI6Lle8eD_+!kvXhJADJ$WQ!n!#!dpP9!~R@PoryI3B(Mb@CO>#Bh1CW7Zr!ZZ#KM z%1vZ=WDDrDaFN)S{A?g<<^UyRN;Viley}CG zg}8-o7J+&|Yf+VE+5q*^mdpUzsg^f3;sk2m3I-VAfGHmT0GBCdwZvZ|TR~P-^VO(i zJ^V4q8xoEwdXr~sISn1^(+XOPY7K9i+zK;6rws(Q=qEOy96O8p6x(XlLl!+eLx-gNs5-wk}}T#uZxP0sWt|ETc(q0|yv)JrZ5P zL2owT z&VYA&C?1$oB@#uW$QrCYXjPVc$_#Jn4@wCD~jyvm@^>nQr&9r}=VN2^N@wDPh7 z_O<@jDn?6>4s}N|P}Bp!);;h~y`G?kV_?{a?S}Eq+Q#%2FR0U_qxfrQPq9sEPvCsj z6F7N&feW706BDE98;MbB2iSX2Pkg-X1qfbVKs=dEx7rEZi5b%UUg-b6H^}gl;@F9* zwSP-0pQ$E>`nfDn3cx;#a2kYy7tEx3H`)0G8+J$ zbmaS2)Kv{1G-CtF?GKVfzK)(gsGDd!HeNo_pluycxZQu8-kCSLlvGbn|ZqJLlx_c zMZ=i$Vwg4!1*S{G{>DTlm*5kCWfG?A>1aS=OQ`{w1*2MhbQqlF;^A;#+zkhfP~eFk z4m{gO{DX(*rEtURXv#uqQ_7kM1gjXx#F6;m2wX=g5DXrP(T*Ag%nFfsTv$d;l%YxP zt|5dv4wj*>(`W^PlF_y98duR!So0S<$?w|ykGED0fXV6jW9+;F*i2`!6pk9h76@mX z>ArRBrnTy?EY9rcKrDVQmE+3_!bJNz0S3ooh3y0kukA!|fa`r4gwvBz^lKt! zVB?7(U0yssKsHHqQ1pa+kC_u7903r(o$UpI- z7H*Is0*?0vQA^pGpNRa62`o02O=iK0?J>Z?)%MVsjQBS+449Wr2If^^z^sTIG1Mzu zYzc9q!v6{ywH@n+!@C7VAaHv8Q)qJ`gFKWDGA&>}-v0OipM2k8J0(nk2eYT_DR5YI z5d?bcNE;4|?Xlj)iOJgZ6MDme=_z38*i`tkx@f}H488TB<&n_aZl=MDk?_rR(L@2F z$L<87PR3d{Ps6Ysjs(7vX~6eSaB0Oxwhq{crcJ{P!g8(^6-+~q$J5aR5oRIfMB%&Z z)A8NAD14^~CtM{RDLxA3hdW`lBIG|a$61jmQHMT7!x0otg*R1%m)ah1u1@SHQX_yVaK(1Jh;qXUJwIo8hytAV`UU z2-qLPZeT3_%BU1aQprp#xj&79ch-p&-LaxEq!lJuO-_szyYhOWax5@kjz#Rmm73a9 zMSM*T2pr0tg?M^|VOu&2Zr(OcI*|s%$qAa!vN!~Rx|OrXY+PkvHOQkAk&TU?js7`t zVj}s(v&9eNaP(~GZALtL@eD0vwz!$vCg6e(>s1zvUSaWAHHIaC7FLaaACcjOpeym> zR5F^QK>`>Qn6Nlm(b#d=!ZV-yosQFUh%sdFHUQ2*8a4F(Z z`A+6v*{ZK@wRC(53Md~KFppqQf)T;|7;v*?wGm7iKRFq23p2oe35;1-2KGB7LeX{D z_!8-HI;)K?ffK4*&D5>E&azu%brf1AijvgjiV%5wIV3Dk1h4ulAe7%sJ;E33i9`*9Ph0Jk|mMkMX><*+Y1!XKR7p zW-VG9r!l@+c%Qu%iRI=rAZ?I_hR3XdhjQL+W*Xe++;z+kr-9`0bs$-HixwcJ)L|VG zhXLz>AZr~EEME@XHgf zaqOFCh+gz36MPzFf{gPb#~1dw|Sq%M&t`wtFzj4=(_0+JUu zgSAy#z#1}#o+R0djKGb&w<06pc|uJVaF=ai>rXV1*H)l)+6J_IkqKYP<>WVw+tbT! zScG~|!|mwJk0PAPK|3C~7(x%XqsgA??@%NM8M$)A#;HRD&D1@DBi-Bqca9%J!TT{g z5q)`107XudFV!&D4XK*7KHRBD2kI0Vu@l3$W)}pyx)UIGb^(Dr3HVosSQnxmx=Zw= zNxNZV=XL>j>uvz^i$+jnZ(8MrCEa!qOWBRawR_OW69H&%GR?@sG+nX>D~$bF7+oIk z`MW24B935tP<>f9%Ph#;H5(+hX5pWXYZ3P0N?QE&_J{5u6l7awV@y4Bu+np;Tu%M>f!>h4Xjr}v4SZXf zTO!P-E7dJEr|gFiar-dvS^J?%EH3}{CL9s`3X_{7$Kv-39(2}0q)0beSrXHwKC-~8hs55ghs1O&*s!n1bZtPF4*|vR zJP_rFzZEJ)9+f91Q1M|oN72fUe{;h`E6>`n z{yqvx{N5v1mmGuhKvu6twjHIq1cjQCUo;}9f>&+&bqu4(%uN}!KWcfp0=y9=wk~}N8asn02;W=r{D>+1BwxHQ ze=>vRJy9s$7oWzkc0P;Qz(eQBa|oE-&tQLM__^BbC<>Wa+q0mt{2YrIXYdyE=``pl-_zCY_vQN0uRoE04qepR|I53q{3)mEj$lg zZ!f@CDsqoCINAg@?VkWw{s*M|#TQ^}uP#DientN`0l9t>2=pFeNN_f)6-~M%PZX?a z+a)9!ERw3YyVWkgG9Q7DluOWL_T_)j)ny7JjufHC0Vh#rDA`F_OoW%~gjcbYxh5m79v;lQZ& zZR7^Lc5t2L6}U3#PakeWbdFQwHgIAW%~l>$d0ydv8%1BXi^kLeKhU}e7!Xx=(v2c$ zpj8nxpnVq_P{dI@p@cXNx_r?Eyax2A2n<);RYX$1yJ7@wy$5gk?k;+l+(U03I=${8 z$=G%u4F&hmPQRk~5_zGk4D@4AaxTI}Mx1MnG zsbN65|1E;xJaFd08W(k_1gfuo0@-huKz6>VjmggO=&&=y$WKZEa;^jnTq}iid{Z0t z@i(dT_F^|?A6~57{~Qt>F9rDSrvT>%@i;wxQ~d;L#DHWs+}Lb0q;*e0d(AV@=9}K- zPsK1A{2ba|@(d_eK4;p-(K~wb3?lo!;024BFCYy+WXBQLU1;y|60F9&0LFzcfsvoC zyYWJdqfxIA0HR+4QSZ98Ie4L1EZCQ1E&W3Op65qwob!T*^~fu6En^fHV34 zGRREE(t!^kt;M!(%RitmFK>D4!`^8VlnP6pV?A{s{O)vhzVi7LdAo0U-#M<#c{0Tqpzo}RWbp0W^QOI|6OZ)-iJd?pA zaJY550fmHs-$)`jQvNDWFIL%FT>&-D{R!>I{Qw&$egZwRo5QsF7rx8-iSG)2;X9tw zC`fjugB4)tNl{qMNEp^>Ho<3-;2LNR{wfftviZNs$`dAsEoc zx?D*kRUKmZsYw^Hna;Z!;43X^R3ANA7*uihaHG?q(k7|(A@#ZX09Qmp4f3ro^`-y~ z$nHoBH6S~$OxM(x22fv3X)~I=9Fi>40KWN}=*WX$p@uY`T55q$&cswr$TLa{^6*Pc zJRF|Vl!j1!ZG|X(w4kWA+S1zFC;Zsd4sB_3YOM_!OSFNRM;fjMZv)`tL1dtgv;`F~ zkVGATaC_v%HvSGF9uwiWQ5utzF5qVA04_uqa6H(c1cKW~>`@T}k*;Le03a4CA&hqe zX>G9KSa|d(jKoC0t1I=QZw)Y-o(+J?Mh~cXltIZ6M-mxViiqG(MtT6z)dvUqM6E{B zp=2Wgs>O`}=Pv;q4=)OBsIgncPV`m+IeaAm!81vcqE+i*J8&>w^Px0DJyPQj0cxI zALOUZ9tHv&(@2v_USM0QdOR%HFbFe(ANIgoEgR`}5I`bc;$T}%5ENZg33~ih)XW=T zBsfSqnN{4tI2zbSpKDz|3h84oX8JpiV3kkI=ygs43w+TxGWi4Cy-tQg`-l89eiU zepQQROo5n%tVhliaKm2<#17^t>NXXA6wk)DL7JUKtrd27aY{9b(^58O+BW8`*G9Jjc@Sz zy_akx^(e?&PzHIOqabgc=S-iD`TQaZL(N%h9R;L9G?3!(UhPX4#gP~(tOo|j_is6k z`q7~ABN{Zkqos3b{0#JX#lBlR17dM62#K)6Y7K4Z#b7>reF5>L85o2vF&Kn8FX$Ny z^xO-U&%g!-Y5=ura*n}}TF(SN?g{~iBdE*^UYrSGBr`#+hPCpPJ7A`C63sP-CFI9S zRjG`%-ibvk_kG;Dyke#P6gLZ4a=(F>owJ|_?)LO%!7s6w6-a-kR1J&vz&Lm??(@o% zVV3BKBmFD!7kr8f{fSd(Yit}EY-UR(sZ(GVSoYu$=~vh+cZfN0(%#qr0xUOrquBtN z9?z~5uqUF!W=rqT-FO&tP&`=GO2A+L9@v9z<5$?(^Kd`o;V&*EumNR9Zn4B2i0Tl3 zMpIu&S(}b0K&xNo)S5Q+n=54(x@OLW5ZthNA@HB@uSGxSVodrfV>ZUm#iX;H2UYQ! z2tPA{+jUm-ajsN{uFZo0xvHRIIuF9u%mbfw$M>LhH42+29fkB3)hZshu{SdhM%3{D zj&YYKL1;dDm;$3IaRHEVca}3B$TqcP89+S9^T+mhYQT&flA~mRP)}J4;8AlH!ohG% z@(1@8NavF4B4EO6b9mlwlDQs@Tm%Qr_Y&GJ#{A$UhAaY!$i-5d)Eme<5dAhPd*Dai z*&@AM4f2dw0+D?OA;IVF^88|WO3$SV2A8WVYcLRO$t{J?^mYXfGZXks^JO5w6*6im z2n<>Vg&5C(3G;R7zNOL#wu-M6(`Ok#oDtr9Wl(&gZQpH&S{ zlt$9hPy>_cyf3x35HR3G8J>9D?c3qeOn}A?u2QBh26=R9sGbQUs7X= zsHLuelsp&V5q|7S@Uk@-ysTXb3OK2XcjJfYhOYeGEiNQaW1g&n1H_5gX4G8<5ckyxOMFSH0BJ;*WZ3?Fnl0xTCy*O} zW82j*q>roRwqiu}*>j!Ht10no6Y1=P?Y0!SV9Z7xDol}@%O@f)mwKfj;I&Ofkhziq zl3}SBR18de`kuD1K`VmK^bl*QW5*2wIy4 z?tZTY!K-P|FZQD+$xpx5@^?H9{M}s#{^~AqUtSL;KN&+g*VaKf*e#q?kU z&zEa9Ku&&C1@DBdr@VA1zIp@bd`O321=T2%^-YI!otFW!kJCZra0aB}yHz871(839 z1s0uXU?v_B*^vQ=luSTi+scpkR*Z8n0lP6$brYNKCFJCykHub5Q^kXw z#~2N)xA(w&JMDpz^s}Uyv~Lf%EXo4@*Lwh47rk+W{xn+>TTo#Z$OW{3tU9a!^fC)@ z-1RTXg@P~TNd2fZ8`5;hg*1{JNW%j+TGu0b?A!{RT-;ooI1t%58EDhxyO9O3svsWr8%MqBWxVT{40j}(b!W--6 zNYMjpj? zjZaHmm6h!C2A!22P^R@KrJ8w$7o>5@q}*E#dn+%cs(D*4NpG0Ys$LBYN&l9SF=f1v z?lI)Q#GzI9!xbLbt+i5Wn1>$c%;-!r6M>RKjBo^7#i+Ou|B;S=fL&bk{8Ww7jg?*8 zQf*Bna##~{qk=~KcQpQm#gjv-Mv}aS7Dj=+Xifv2hMbSQtszD_$`Tj$18e>N>ELS5 zItY2ilZ|{`l^p&fkT+zD(QzdW`ENjW=s;m1Mk0++HPXV<5=ISFobBC6zk{(v)xpsj zPfoPKFWfHQW~34S>2#Zk&-IHplzs|3orWI^xiBx*;_$I!YSD8;5=xDoyUV;I7G3>$ zeS_14>+Mh7*kB%;Q+0R1_ths4-rcsj|Glv(xzqO5yX@)FX{g5o_xbg91=QD$c54&a zEpTv;=CQrh79VL}Dk<%uz8yPuboNYG zE!&^h>i$D@<$yak?~EH86jVVk>?8>betNAO(#tLPpk+e!_p>VF%U*n_H+BB;{*^hp z2fZ!ool{rMRem(DUjIq&)U|d^t=H9KkXeH1C4(2g)8-#NwxGRK!%tnM zR{7QD_3IU0zG+xFWKvjY&Pczp(+X1l1wD0RFM-?4SQ3CX)v?qC1--K1WW z%FttJZ99z)sW(MA!EDZtPpR>0g9|s z+8S76(EQv5(=T|~w7iv0#J-tD2c{_>tx366a=+E}R$31A+a6W2u&}%2f3mfAy z=bardF8-XecFauOGsU+q-+W>cpt-NpxFOT$9zUvTUtJb|^xBV%kT3C>=WAA~*K~XM zr{-R9eA&(TW9Mp4{j4b28Tk0)$3fT4M%OPj@17hspX)l;f`KxydON2i4M z;YU_%w!T!<_=CpG$?xJzzRz-b_M+0Q_ak+k1Cf=xf6tm5?_<&JYJ5`FLErdpH?lY9 zY`5HcwbCo=?kSfd|8Dg*ef+4STv<~1ZQ%OWHSf#Cq%B)yVm_tFGR*U@TyLUL`r<`t zeT^?u|5WL9sA=}B^4_rXrq8r~C`+g0PKk(69$iv1t9ttH@^ELz72eIS#-Cc)ReS8G zi4~p`YOH^0s~XV+gfKDbOet+hE+P3-Wk4}i0H+*B4md#!<+xozSH+|GL zG)k_xY5!gFEVMBCi&KqGkef}cY|0)n{&}ot&haGymo9Gn6B*mz=k}Iw21{n`l0A~V z{I<|0`NC#<{fr+Ejc%K-xvSr;aKU5Mc_aK)+i&yrJboldYHDKC_+5LS505MZZ!GuO z+R(N67vDMkt$mJPA8BVY$2Wb?!A>4M#+2+xsM_2;GJw}4PI&hJ06{>$zui623&4`t;4TG^<`EFWPocmE#;44Rn?^vV9vn?!^fOEu2$dLD zMIPhljN9b)Wn*x&h`QIBcVUW_I1#mUC3J3f_w$HIMnL8Jpnt2FBp!^6{B%0ZYv2Vz zY|;Mu^r@<{`+5ToCEKFUTLy+t<3)c6%UN29zCn)^@!L*F-=1zvR9Sg;!F<}l!Pow( zYNAA&R%Z_R`5ctHopY+>2u>7BaB}kI$Q2RqtDEfcD3kw|rqx$3@_)BpU(3}Z0PPJdMW z>%J;GgJ#k#$@6#rY5_#*KP^#Ij)O`oRz9_UjEs*}GPZ5va1rj2vGtW61V7{WH>M_b$I^#S z*ex!g-G2#Eh#>v*UMwj!By488iyTTW7eWcx@!0O~(;7us!%KA(Ti4n3v4yQe*|8T0Dp`5qlOT%TzDr<%Qt}^)(npldKb_ z@dkcAFY8AWH5tu>y8VtEoSS< zO_Y5HM4(iqR3rD0vGzG(y)zWVxsdcBn~ds4dMZH3x*915CZnXY>5P3P{trg9Ku-Tq ziTg69?$P_y7w@cH`{f0ol6wXp`+C+@e1GkM9@5ma8f6mZ*pRP4h{|nEqgzRH_m_nL z2{i!}?1*pRH!jkeE2XEI!=EEn395@aY|q-1W7|ut6Jy@QA-%_1A-3+OVs;0voZ4{Z z7}w@Lx~~&dD5yr5BRrlmtu^aQ&MT|f2Mif4Fs&Sn951AoPRHu$&_x-n@X@|(XMfCP zik6wwCp?O5bu;1%S;B4`jnI+H8ls4GYqtFv_>Q+JBOhZibFQzi(|M3ARUCCQzLa{G zqr~s^6Ap5n)ke}m@rzX9r!HxinMT2%pQq6Bk=f-R2X$b_t9G-Task_zC0u2kG^DhR ziET|n2B04s6K5C_!W@#6?Ow8Eh<{4r!NOi!>VlDV$o(V$51EwJu96LoDl!!R?K0{j zeiyPjLyAba_jJ=jz})VSq?=%9UxvrfJFIf)CMPas`Zvvn$%C>6FKqy9!AI<^ojtkV z^&tA?7}y*Z@(}68=8cxZeeRwus$GAEk-%y==?q#QY|L=u-w+2n4pwnfgnz_tpH&!F zgYd*{lyn&c3j?S(sw}oB2g?F)KsUhPc|vi3^g85jS5Uew#*Z@^^&>uq=X17ugf-^q zy-cI3MX+JZp7@i?hQr6z&?!K$Brk99%ICM6THvX`_K z9z${yQhOQ*@)|qzc`tZU6n_?37P6~KL}KO<+49$oDo9^&h`!j4>124?L_H1fFt1Z2 zs**V|ZrmHgkxi)gS^u8)-jJ)P`{Klj>~+SVqGIT1)v;|?=ArUAEO>JkMnHAQIZJa< zBhqn{-*a6l*~%;^wgCPNlFnNDmS@j>ptjjQ^?O>}2q?4jP^JhOvVV1)8t+BhL>)yJ zXbEOMrTpOdVm(sy0yGyKb9Tt(&LKb%HSXu78u~b=rfNrG+}2STzO^R!qcZ@4_`gn?h(GN5%yj z3$Y)D?^t@8;zEXjziCHZtkVIwZLPu-+ux|Qgl1d%vt)}>I4E478uH1mJ%1o{h7C{sQ7dI=ng3Z0ApY(t_QlOx*#e!0Vm} z?0~Emek3v?A3hLOzn;m>gI2z?F>>{ob#iI2RdRh2saN~?o!wy!c}h!pRTTA8;nNzo zJqneHiGTxZb$@Glkq9Y)M3ND!841f+E0yvH=Ja&3^cfYYWX>IR+Sh?M@@ap zWE+i-keBPC$TixHqJ-yjlw^uiFVFn-3DOwZ#W2z=KIBX$qM3eO1pF>%DGK5mHX{v0 z9(<^$m>MFR150;9>GYz0@#1+XCpM}*Sh$_4!TNbE5Sf0wdl&i}WuYyJsTVI`pOsrA zJaEq-YJb^6kaee)z&GCl#a>H*+08%4l$x!#U8jK}I@l@3xk8L&ZF#hxjGxg|GUm^^ z=-Lkl8{LuO3v_D6^RVUhRt_4>PdUGkyv1rphORr&vVHd9w6T^4v9s8}Eq?vcJRcz9 z__bf~_vf@E3u~y@7G`MnxZ51!hO_!SwN{YapMQ2^{V#1l!^Mc`5HG+_$pAdq^B`OfRSOkoq~A%nOKJ{p+OPdK*U3pUYd`{ z5`d$Ul-~*3q|y>R>t+zm@v0Q3q7e&w=P$O1JSk`7{j~_+bmG5>!APQ#S4`>~{#i>6 z6@U01Ls4d=OgRu}@vd1B4s$0IGwAovYxKxu_K9eQ(Y_Bnt?r)1=i`|G1&72Tz6>L4 zF`6)g9Vgic{%zkHe?}C<+z4Mqbu%v}sx~zgK_PMb=^?Ci(6??&iRv>SQ68Uk?Ik6F z^&lSFxev(WQgXH_JoD|-C9EZ` z9|B6ZNQCy{WzhE-Mdx1{e9R&E<cn=VSKS`c*EfWq+x( zs3*<)MUgJDXP(U8u-4Bl24cUuGF*~+20xq6KYB-?4F}v(_?z8eyggta zt<4EB+ReEE)l`r*8|lfr16pr9Z4|VUJ*R@t+^%#(y$lFg3{GvTs#o~+ ziVHb^J_TcIFg|1$)iDYH6@biVq}q$t5xSr zGxpHpg{Vjl8%4y)HF=D|T#z2j-0wg>;{hwVw_v=lj%HT5d{cxXh4T?Qx-oBjfP?-}UFMivj-qL(l!ns;{jiVf}cK2jwaer74b(JM* z@L^8QD}vycLR*nGX%rH`*3zsiy&=&0=uD`8^Yl?s{?Z6LVb8FBau154bA}&Fam`PD(o0$%rb<wZr)G!a7dg80rhzrT$l zU`a9>r@W~5_ZCgyad$rhmr|r3S@_J6n4L>O0q*+$kb7@aS$Ap-W3%3+JoVD!6p_Km<<&GON61O>xsrBwsVR5$8+{oY~xzd}iB0*UNb$At&8D=Jgo zAnhrJQ$_18>wF3eMbeM2p!e#7pH%0eO_;qw(+s8uy%C^`+kvihH+*bnAz`oBr#$3s zxP38vuJ&ClvwC8HG=J}5o*cc*854?3Zls|NB<#*xBMr4#i0FHT5i@zHe*5@}mQ1Jm z-+2DMy8QB|bd$I1o!q2{;Cne25UQ|O{*QK?tomyLOnou5W-`|7U2f~%&@W_A=s(%4c3AP#u^!B)GUeWBl z<%2Kx%(;0-18xEC+-M@!-b>k$_OQGTRWr4%$iCw()7o-wgHpo?$2~!10i1Kc+6M^zqD-mv5Hx^BT z8TT+Qm7&d1(tmxz{=qUsVymCv{{-~vD(xk+l+2aHJ5r%+QZ+^z8NnfTof#jeXURMe zBkvry8-Ib55xp1i?R>z*{U%R|XC$^hG5y`WXL?Fwq;{a8VcGnCFO;o@qK)EMt9D|_ ze2<5%fH3j$B1TRG=aV;1DNrP~cQ`wQOy;Fqw6_tZvwtjw1;|5yjF;46U&R%>)R&9G zFgiCXr^#?R7(UgDt2)0|7hV5-!6VQ-CQ-Pm?QXT$qy}x7dL1F1b$kwYO0_4*cy>)I zLrN6|8jSx{oDqPJU=)pa0TAV^e1s5m7!MZh*z=`*@Pv6LK8Bdik+J+}S}1fkV8K z%_U|34pH*xCxHTtcaSjvrcJj`v5(bXs>t*^&2;wUb-{*~ntQ2I%w%c;*?@?9m4uUUOw-$3KN%Hs5LZt0VO!24m7wz_3e%pR=+cH$1>Cg*r>ra)!y`K&iOQV!{|Je(?HQPK;`NCB|JGNV@ON-yMJ6 z*~}6`!uooWZhzMACN!$V0<>(gM9AW4=wP}r-E`9?ai-=$O_3=1&F)d7JRR9T{)tHB zC=1%s8ClU>VxDo*A9QjiMKFz(=YJx}luQLGJ^Wczd*3FQBB7ci2$Sekj^bl3AO^dy zmd>hLXjouC7~9Fh@6n=+z;|{XFm*Sxccx2s>><5f#e2_7aMeQ?+w)dS08tL!WMqc{x^R;hV1Lw(rJ8pO4=<=f!@tbfUa#Oqzl zcN9e9x~d|!3D+Y-(OpP92}WBHzs}NqdyIXM3&Y^O0h)08^L>o}U4wMEb_@Hh3dCxY z)xg%aN`Sydw7BbWpLm#Xq7-lH8fu6c&HP}^m{WO+fn}mCuykU=+{154N^s^K;>_^+ z^IZaKCukc3cdT)Q!k>3Y=zl*z6}t2@26H2-iC&Q&Y(Bv*+|p7>%EMbUJtxr%1N6Yu zJ!aK*w&4%#8W3R>yy%=gjsfeKBXaO+Stm@6&32CA?(yA8x8>K}^OH`&gmZe?o`_+) zcA%}SLO3qVYRQgz*Km+2-Q!dw)7D*3dnRoi~t4 zrJstZgyFMcO5gWWA{|I_Rm4jtk5OFMQ+ZV)Zs)or!hpUuf3mk;=&EA&>S z1_mdlZRM8cxvcd>x8Lv6k5w|nDsLM>1y^6Zt~o};6-KMiWu+L>V6#aj_o?V%eK+N{ zoHbNLVaqqVIJG23bANsS=9V-;0P`HhY5`zM`}dmzXx(l~l-^YtTKnAy*8;A=?44=p z>zb9zDfMDaMV~*K=SL%S`uV}&OHRAo_>YFx-x5nz{van=$f!Y3{=yzJ5)ul;|@Po{H%noCt zu!L34X8SYvGNGY{I9yYX-5sbOdrk~dt9T^oZr!aXseh@%Nxd-I?>8EXj=}?#0*MSg zIev!2)H!5*74LJM^>9g9MkPJO?w4i<3mde$YUob&+H~j#4B1AkvErv>ac^tZt`dU0 zt5s%EZ4aE7HB&&;q))uLCiP_)GZc25CcCBn_iC>*rZ~CRX>;H`D!;Lw9P6!AUrTJzIDfjuc0-{Sqc4<0`Q5Nh;-J#XZLhzR z8?rbk4KqJ0QWBw@S>P4rLa>TF10l2Day?;>-t@h)f=6^*fX?b5!oWYw|K{A< z6Yewv+zCIu6_-95Jxus6gm;Nz(Bpnt7c$5|43OCxo>M2HCaYceg?VFTR4x!s=e$=Z z%75kCsz<5?rH)aR?T!w{x^ipWazvAN@Gfd$^b%$1yvB9NSjNO(-eMu2M4F*TCXDbf z`E@1E(5KG?gtXr;t*mROl7ei2d7@|s#^h-st2BU1k&;EZRzLvsUlx*tO;cD#FqSW{k(p*|+pSQq_@qf%s z=~1wX6QiN{qM5uyq7Vo&`|y=vE1{swbn-vwxDqxi(qFrHE z!pHaLZme&J(pv8YNL)PlN73QK^M+&Xu9PVRR1EUZ)zY4!V<{KCj0X}3My~Bn9X*TQ zz&v0v`E8)0QnA`7I$!=sD+}JjTP49;R*cWG3?b*69?V z$_yO6KpxtfU9VAY6GIy5Z@DxyJWr!-_2Rb(;WL&knK>A~`$rp{GF#xGh z(kJW#d}G6v3zioQGlA7c)*E5F;%`6lAHy=)z2HiEl@ob)umYKA_iki3dx4`^u(#NU zxqiWx>I0DF2%d*oE|dkqQVvZ_mjnNlW+ArH%uxqmPL4CyGnaqYJ# z6q%6!fmg!>B!rl5)c$z)ks z>Ff%I%Aa_?;dmnvDK^g7W!x+DtzZ^RnD9hIGooA794zdj*?)H2!L{X3NOPCuM0ZAw zzz26HR!_xYhP<*84tx$7T0QOVK|2n|6LPPnrk52-)d&duSM~>nL0DobeOzBVO@#*n zF9&R4rrilb!Tnod_m6o*l0JTY$YNe@5CcR~S1N9?Jps1!h@Mw5zIK$;}7Jm(-q2pAEFj*?6A*pv9Z^3N}zeZBkt#R?K{~+(Iqkjk$z)F=Wv3dD%^w#e&ESGo5;`@Al zNx>-(7fKeHILFKnX1hSK;=8(f8an4q9}vT&CGOec`WEwu^8xrOXe5q^-Qd}iXCTWn zCd~A_Hwhwroy;#qO0sk+E>6{|pOo(ESjPJ?QZHVnGOJE@RFF^!lyC23cA;gJTD?hi zF@Mj*7VGvxNO)V(co=%`FrKe7JKi$6NwFUT*hk@4pGOHP8O0S#6|rFkB@T<7=58KVRXQ7b!5g zcGYBpIAQVAW|BC?C75=_8k9dj2|Sz|XC^jlPic~mA7cGl5P4s-?s)kawMa4BlYegy z-Jy9eNIj!P4GuF~IP{4hCn?GNNh>BM#qB(da8DH^8=~}w z%mf^T7gC$@k%~=VLV-s(o0?rGxPM>lqnNiEo=hiKm03iUAPIin5tw+pUJgfQXf`)2 zS&8PHKeot$2N+Roq^&o2;^Oi2xSPRtui~+`H(Km9-o79BSl5LR7c0Uvlj*jtR<`S1 z=ozFo{qEP$j=|bGy{JxkBiq+tMLzBt^lY5Em-~7~14fEp6296|`1dY}<$t#gQ-s9g zQ+mUN(=^(W)V$4Zg3G3zN=IIbU0aC&k zX#mvYl593Swhe~m#V0;CjEb&^4Y*2Dp3%&}xa1X2E%)#~%ADE^HV9R%_ZL?3!W3iJ zVzAq=l7K!B?uG9VeT@z|syKLe7{R-CttXAa5Pjkz4SFg}2l;i;IDcPeU{>A9ogn&r zdMlvbJaoQP6Gm$n?fz3?gdg2rYPq=mS_t}`P^q#*RT)9;UPq>7=gDTTz*B2F&@l5wH^HW4{YyhA z4r>dS?mZOk>DLmw@_*ZqXsRA~udbVoYy+*Tdx2$G-l47okLn|Z9?`DfZx0)d#fz}< z6F#dGonJa;VRU(UZ`RuRsi@o@T4fQ?H91g66D_R}~vG8EQE|sDEBe(adnwHTmS#Kk$h@ z|FIc*xO#MlEHRuR&7Kx6ovS-!>f^T94g!xjBcMyIN|=%Xrou-x?_^>DUghrPf=RNj`~>nku>3U z(k}iZaj4#J$A24^%3jUjuR%dPQ-N1{3!0R`i3Zw-JEPBse4t;`)Pcg@i zN!7xW84@!CVaCaiUs?JaR(#oEIj0HLWK^!BVmbUGiDAYir9btv*4TL#UlxCCq1Ol^ zAS_33@fa}g6vX;~4SzCT7sq!qwyQX7Lqs9PA#q+h z=ifh&+l(H@V}b8bhjZ^pMt_&dQLwb|;@)hp^P_#E$!4E&+B#=z47Nv`gScaCbS0^u z2JNe6C%X;=^9mUK=J#X+bB6?zlZ?me4N_%X9Aj}?<@++I+x;KPnkBK(>G-_^uJ(e? zLevCL)PIiaBqCpo22$%)-unpqN+3boC!gw5APucpFjB0 zFDp~&E6t@{rhQP^tIc4f(YuHp}EG7GPayIPEVjB)YizRTp@=wkac`8h`r1XYW$KHkaA}de$4il?8Ehf@tPtsYtwwfyzvu>V&N#3!TqE@qZQE z9mK?t^TTL$4g~~H4Oc#_4F?ksWuz?g=J2~X>o;#5%NyZl3WOF=0_HMtHhs)%QNkhH zwSRoPzX0Cz7FeMErf;5rcPhW$hrk_);cnSWosRCrQi(IVs7yT zmC|M^y>UafUZ%--8Xx8H*=~o;lonnJAr4Yy+;&{xTA)UgI>>I zs}u|i{v3NFm2Q>D1Nj$9J$t&o{f5&78}J_Qk3-WY+jn2$rk6nSPtoq`A87_X!|Jy~ z_;Gfz@~0_4H=q46^Z-B8a#zIXXIU`xz2L6W774)N|Xp?tS)O~ zm5DhmNdBcL_iR=?Gn1EOT7FJO4AG>sNs}qqlHPuyF-a&&!_IS}Zy$4pg^vrJkG6H2 zbJ!TV_pLKaW~78dzvDh^-4P<7hk=#{%1Wv4=f)yBTDnEP!ocNuV1H7z&`$*qn4%{` zXJup-G&B(WV))3#GUL|1e9n+5=$^M~QAN9F!DZ))Ry)HQOPQ7vGcs3jGgmA1W3C{c zPaQ~DZ=^g#^kkxeJ9;XwQAW-t4NJ6JEIi~~=zR>AnHkaa5fryC`=%4wC|_ox>eHi! z14hmNX}NFxkbjYI{eP64o23keFrvfB>=C~C0m=)jR*Ct6j=9ZQN(a>R%(eazJygaw zPP73hrPFNJHtRGux$%wmE`x3v zqb2gUyZpB(>o45%NN&+3E?u`6;?r<*y$u3bSuBy>%PMgxwX=GQC7&(t8pum)g(tdoLMqouZ=~=-SZE|nN1ty}PcDKN zYIN^D)}_((dOP->@2A6>It!5>UX2!MPON+l_8TU;S~8n`^bLT06azUjG?xJt4Jm(hb!AkX zP1Y^$7A!c8Yh%G(g1ZOT1{!OmaR}}jG&l(acZc9Xg1ZNIg1hJPzH?{hely=){o|?H z`_w*CyH?Xtsc8ZwEFtC~8Hghk$jQbb2v7k#n7g@ZnmH-~H9*#GfLD;m42_OX3k0J#bRtX?bo@2mhRh?AEK*xCjP zVAR&oWny7r{WroXARquR_xdLTcn@*~TRQ^iUl;Bmdx+CtnO-GiL5_bQm)D{#|B6+! zGLyChL;q?Qz-R-7Itj9~J6V~5UNJUTD>g?El%46dP-#a?DTu>g0EZv;gwH?9EZXo&h|2Oy*Li;yn4T1uAIJh_jIJf{H zX8_34!iN2?lv-X+puc}f&c9$YM@v5+Cx{ck%FNys?R`*gwcBE6J*{{Acg~ijtIsyh;K&`M3ck zbmXCC_FxMMM{9rke~k(3Dg*WeS*n4d7B>Gd#y@avN6Wuo97qk~3jXWO0)U)6od3aT z*?=wV96_$GukQPs0y$d#M@nf&3y3Ay(Hfu$ef6K2i{-z7zi10L7nj%Z{Cz>MXaBjk z0>2gr0(pWg&=%()7D6GmwIOYH)e@v0z@4#oKX|oo{Q0AtRjeUhQc^;&hdlf zQw&jB?tzq7zuYNR*^M5ZsMIK~W*CIPpZrdLZ{JxJiIk!&zXDZte~w9j@FD0#RnGpS zx**cbV8?%Z4%?vcG<1c|#>smI1%33!qg%X+c3jihxn4<39{-szSu|+vnK`Lm37=rc zXeZZ$O}nCa2W%JXx2QaZy-W5H^q2KP<{1nmqNGpcD;QWrMB{-xN)AGc7AT|{vtN7L zCm9DZ_!oc@j;6oOt30TqR=rDd@@RX=i3(`R+rXBF(Cu^ZOy&+ z89H+gZ&#*_hIieidO8$0wnkuT3@KpL$^0QzNkQ%+kj6t_c}9$<|W^uK+};ply}$%O5$}c@@XG z`f`6IaO6^#B#=@$n!>Ba-h3p-jdM@){?qkPilhj|pEizA4eShi3I<)v$k+n-Ui9DqQjufk$mjvFnhXNPYj@o0 zccuzg-o@shMN~#q;B^>k5}(&P-0E%}M8lm}S1@`t10BVSn5}P6YP*riZAy9V#prK{ zl!i^}v8Rw$##2Mn@jtb^H4U&9!HSyyjr)_m5(_I1*-PIz5T`0!g2Xm2vc7oY*|&eI z8Uw8mc28mgC2})T^lFc5RKl%GQcqCQny+e31CO1hGgvowub>U{vis1D8JoUARW^N>qvF2Ud z9re~Nf}Y^wj|x%O&V&Z)6GD?BBXZxN+OTYxWzZPOZl~t2cn6_PIor{d?EBV4sZ!4Q zU&0ks>ZV~un+J(A8Hqp`hW>vtmqTaYVWgaiv+CtiZaT84W&FhmEI&$KO820EWHX~YNZ!Bb!lGmd?TIW9w(1_U2K!saNa`d8s zm0pm*Hr_&l^?c71%m+~yvvFQz#8QjS(9Ugh0n2yK^6CB)h94f3oo0W+jso^HeZ}JF zD!L`QQQ1SX{nGUo8EF(Tj*Y9I5L*=JCrx_eXP2*3=DH57r5e}zb1!{j);))>%FnE` z_&65R(gL?i-l2e0Nlwr|-w=JYE!ekx{DJGHd0S|@)ubNSf=;s&Q`WL{0$M;&v;9v*+AC6J$uxbFWFG|WD#`#}7D+>mUzckT0{B*zs~i$XV!(%yD6^0M3u zAlSPdm*|4)J&EGS3CGU9d-R?D)Xktl)y4WO715Hxr7z4ws$+?l#Kg=e&Y03Cv^yQc zZZg$E!piq1(Tp903~z_c3D&5Y0WYw1$f>BcHB4T%YXg4=AGW#0fj&G>T-_?yFasuS zPB#S2bsWhqr(X-a?UXN5Ymw#WXspCAg!jLt-G;*#Cva%bE)fZH$1!?~=xp@zKRxNF zS|;nH*m-I|;eXBBw-DxU^vjZX#8XxFV;IdQ3wi3AG*{x*_5C3fVzvr8K`x@bd5y}_tM-~{)18nKlgnozx=ze*j% zqFK;qiQn|t#?>k8g*)C;>kni2#$)N!ej@RSQG#(Db?00Xo4rqnqu2P#5eRi z++MxIXh>R7{sC3~&z!tC+)NfzjHxH0yGrj6-cNtUY$m%8(u>a;ZEzp5A9kDI6i#Vj zqa3c^P$&|y$iipN#+`@zg?rL`tFdIQz>`GN-NZ_~_NG2?p3NS(^MMR$b12&%Z%78^ zIZXIC!oUNAwS{4t1w(S`#YdpILhrPG6-?UC7V{Ei=2U#p5A^LW0w_IeGkvfeDmI569t`R85eJ-0V=KxcI#T2VOFOG{2U zkOoICQgq!7mPPx^X4qHR4{>Z+C`>U34LZsWg*dgCAeaJ;#=r+DtTnuluFhdRQ~Hs3$`67X+sjRMkuVs1JSH#0cbzj09XhacsQzsiLTO z8$co?r;%`@U_L0(bQ8>7v!f4X_AQ_6iz#tY=f~ltR1U{xQ~==(7e9Y=aMFLic!8k2 z85X@?*h6WH^;t+^z=>fH1$-Yx&{&g7=!sSV_sCitDyC|M*GQ+;jC~X$BAgsS9nkxd zaecTGJF9=>R{o5EgHmlAZ|)aHewdkhtSxh7VkY}3TYpnt?yLe6 z?-X0T+Hjg90FPL7uc0cHA7w!-g2>0i!bEen2|vip39fUf>a%!=v8tT!e6ZVTn#dp9 zg_a~JA-nr~A1H0g3gfq)Lm=l)nrV}9>+Ty2ndrT!c1}xy2OWPtc+SCZ$@lbyt;j5j zNH@k@$#L%T*dtiAx(yGWj>`2u0kmVGKQ2(5&hNH&IczzJBc6tjA6vd8@cu{&V-NU_LBVZ2saVpDK2m7pH41qc_Z5X)pNNinuoTC z#aDj7F6G=zUZHncOfN-g2{h8nz|@rVlGaS4}8mQr-^Flg@6>` zH6Xscpe3rjdm5U8WT4R`Y9j5#fO&?h`Um?@I4jW=Pe^+^J*J9*Z`AJUs*BPUUP#oI z4BCPA?{C{BQ5f9_Ogf>FU+!E6kEl|JwuuDj=)?^a8pnT@b5` zJd7tX&Kcw8TP5FXk-8j2m6ndo(NGpbF#%g&2i$Z9*^nxM=I8Rul(rk-*LwtHp<@Di~X2v~53`;#s%m{}++9%7DV3RJK48I^Pt-@OO$O^xH&UW>gwQi;Jys!M6k_qxEefE`objf+~#OYZVY_0aQb zeW-sOw+!r%PD(etqlIpp!X%`B@d9~qgRBwnihLo_PV_1xLdv%@up~o9XGFiA>}qcl z-N>t3>rt?8m}_Z&*n&QOZ%vEyF}GF`kFEDSTtYCEu}mC_2}bP8XVSw}GoLfSii5Y~ z{5~3D*Zo~*e180VLYpvD!5EG{V9BvadOjPaoj za?agw64DR{TGe=x#J8nK zq(KiJ9hAV_z>r9-s_RDzFme42T(LOT@7gVO^auKg8Q<+gw22Z=rPs<;;eDhKN=bB_9Ul4fQ|SN92){7AWP zU5y*QQ*z9AqQVYW88O>x>gw20k8#u@c0FCl;6!+_L$T|pnddf{`do1$H#}UUiIV+C zn0XniiHwyYW?r0=uaj+tG^;2g;(O&q6}rD5vtLnBbIV@;J*7^t5|UX3Lll2$aDHnr zw=C6$zS8J$zR{#^yck->g{+1$KyH3iKm7KF~OXha@@(-i}ejS@_5Sv0H`!{9h zZ`=m1kbCRvN=3F!joyUlU~UzPETkwi)j}~O>^LiNN0~GRa6!W|x&D7(+uPWgluOW!45S*sYKS!J4{KU=k2<fL~ybTs#|XkkgNnN?5pTtUp*5`6XZV~hNNKNX?$i4LMf z!HS(W52$+9Sdr>c25FQ}RoCbIZXjRT#6-iMzCabrhz4<{&@O)y(eh7!jHw=V>&-tT zicU%C83CPsij6+uBD59KKn< zbK?C_8&93|g)x7=D4PJu7$8#l2+fWL0Yi96ZI=tTb1Sb=R#CLFhxNM6jvQzv5dUW{ z#OCc=qUeuW)L5wzrgdV!3wp7a((R7y11{86o!z)1vAwsm4P3sjkGY0pI|RAg$bO6o z^!K(+eeQi}tmD0b)r;`R%xf5Ufu}on7uq7q8~Gb&or20j>=lNSr2uFo0E}p%s)AvC|X$SAj=NK-Fk}K zEo@`u7|pyDuCc?b$ImLWXyr$3!YegayAr!i9S^vk3A@-1d*urc3dJ#tVMY{ztBnfjds}Pgf1olk>RY zyu*BP?BRFNqA|j1x(EGMI~i(>f28YBKxI6r$gQ=8G4CD&+^XEQhurI!j{7~Br4E08 z%S(15C;=7S0W0a>;Dwtg1ej#ggc?)86yx4@Sfva6|*ojy2`J{o#0s$I-0H_AOflEIIe;Zc+aI#wU zydEU&RmUP-qXR=|nUnAx4c1+@=p>%SFARWFv@%Mg?|dE7Wx8beRjem-=dKpm&(Y>@ z^;gxXRcA}qwal>-__w@NDW>nCax%EJWCOof5}VuY3Fg(rLA~cWCf>44nWucOZc}8n zXv@<$aFdU058EMH;=4YXIhoFMkO2WlJc>=LC;Sq_Qnz5r=cW$!CtHp-LMvHc(#uHJ zVnS4-E@s^NV0=YyF>G_Ty<`VqKi5QF&jl40T5^N=%`Tf@%lbra7m&IA?;*15t%J>i zuUwIcc+@gvQQ^-Qs+md$V`>)b86I`hbtl>C1j!2IA8qSX0qGB`D25R;0qEq7&x@0cFO5Ho0?zjCTHFNS7e(z(840t zjQ1Ubd8U&Vi?X8f`g?_|$R!``^5w6cMP+MEE8|e3F-bSy*L06ZPD?vs<8>qrB1p4SSZ-HhCM_*!$`GHd^ zmkM8XA`82hCak0+nMFIGDF^!$1NFR|oh9pDoY8>3Rg$!@*u>n%Rbi$%aGR;RzLLf- z4PofzlW71V)kZWq!pSs31##fI@O#~+K!^TR`4!!fpC_5!K*)uF-B$!Kh8p>&cGq3V9nR6* zepCcDW{r|sqm>P+L&F(4Ou3%^m)rU9RS^egLw${@)TE_wPpLzX7*-O zn{8VsPl1NJptc`Ke#R(ho;(pweXQqejv&(EA)x%PQ1-wVyQRH4{)7@~R2P7fV4D`s zQtPa;Bt#sq25}FF?bOqR8Zouq!p3`+pe?BCch9bA%~Yz`p?GF{u}BA=RB9dmCTBXr z@SLS5)d{lt4v@}$NAbyI(U24`hy*PO)GH!_Ec)m5HM;(x8YZD-1JYd=K@INCkf~Cw zj&qc*@H{%b+jrJt>tXCgp#t`fx8v^eRm@z#Y*D$?q6GW z4*P`Hv#N986^UoG=xHF08!atHNlHGqe57S-vLgpdez4|_I&14Dnca8>l8KUURhZ0Y z6?7_g6N{cAdt|Ys&(h4|iVd1|mR1#baI83X%DB*`_`^p+f18 z5(bF{j*kA$_fd-UUK`u6nJ+H4Xyb&!d{kJWUKCv{ZDwB*DZq#tZI9ILEE9c(%U!hj zI!OZ<>82|B_CEY7dT_s3X{_6fmHI>WWullzyZvOyiNy=YTcHzPU+(2Dbi-@&zNGFU zK5$>r>a|>??wQ!g?2bBS0U=Lge{l(Q{BP!=)tk2+$wnCa45s{zWbAGt9J7ZPYyYw7 zyaG)MJyl+Hbb(K8F!fVfFO{~@;5Y;#1cV28NQBXOR9~cg9puPYy+*8O5(%Fjl$iR3 zJJE{Fnwt-k*JsE!eLyDMEqRQGVwt4>Qa&d|7y10Q^xFDqL~}k^^v@I%1)e6`6X#pf z<5{&0Cru7b?A)tZ?cJKaO-420Z?f;*dfX2`L`ZDOIe_L9*-**en^X0sA7_~A2TL%Z zv|JweK#%E^)%MxzLLH`mINz%X;q*jCA=cK)M#7WP@Y8%hHAiQPB^%$JQ^Uyw@sGZ8 z|F25FKl6!?;A=zG2k>y;{7Y(yQ0nMOUH87-g91^-v~{?6mQtRV!`*wrA}egSI7%7P zubGC~oz&cGabz45b_^M5v6KZ6V+)A@xSyEE2A7*EyN-Ky2O~z!3HG{N2!ZRsx^U`9Z^m(&>SR=I$$47~LSrr}CwwC&s6U9^-pM=#U6-QmwI zAAi*2;aD+a{Sl7zJoW3b#7_tS8L_5y zTTHZ0?S$g;MXVUe7kj+sdS86U1o$A5P9&oh`2sxW_}Y4xi71-|1F(yqSY2Skh_=Zw z9jP@zgH3f;l0-=)_O@g76f8*WGzd>ra%bNQ9}V0Rsy|degjba#6nN74ZI#g_e&DOB z75bE;eu31}tg3eEP!`?Ib8+(l!Ef#P0VO}v_fhFXf4mMuiQgjKkOxQ|54@E*oOsOW zN3k>XG{|{C)ArE^<2KxYc&!nyX7p2y%xM+1y|0#?{{_V|Qc;H{vLa|)(meoW;l#5- zYI&P6UKE%SXk}c5(Mc*}3p-+~Dv!VX3ncWT?Vfeqrr`G`4IQbr9v) zIbP!yFqK|!c4N%S7DTTGu2FDISZ3@eMltW>$*4u7TIpDrBxL znMtUJbnvZjw{PXc)fgPqDa95uQCpepzlJaSfeQ3%ZRgs*2}>3O)eZUq9U@VdZUqhe z%v=wbib;N)<8_(SuzvFG&xh);P|PD41%}e$tCZi;pHN*`tFy=Uf_D|PE-kz_t3Td` z>C^wZC7;w3fB8#**f|V|xFOAp9I(KL`w~4pCE!*VS{UjZ)Xau%u_gX0cRXN@oblU% zDOyKz2O>h zm1@?{DU~=eXXac1uP;@2IN1q9Bs93&9gbJKe0LVuz>X9@>bEk% ze$F23@4Y7yckIst@NvWR=NsD9mBHH}gXxn$fA^#l75MQ0vWo2|3hb`VU6}(7>;Tq0 z6~ZX7Yg{huipOTol>H(C<}s>Ek|$An@)qs3w3qD=tmNq*XsFH`RXpPqo_6Zz^2V;h z`b-2H4&9;T<4#10tTVH5m6gy-u7&*J!PRwC_q}Vq)EoJtGo6_l>}Io-in~17CBM9J z@}2V7`)CaTG~%M_Z%YvWeo}fRZt@u9c>Wb4l`Cs)5F%>A=!_JxaQ=nk2%ql>|2i#` zhB6p4>S2A(^s2h)O;#2ruu-*97_n3M8mj*>28IPnY>Z0!Q z9NJ+b)@lLI#s8jweZQmc6((9Zr$1lM%6;oxbRysYMs{cvu7BC4nSW_Xes#AC4e?9> z=Pi*jdAeA6yKh?m6kZIkhdpFGt|KNU82rXoG1E&v%CI;Q+xRCK6=@eE@I`%I1+kG^ z@ zeyeBw_A}~Q(5|3rfQarzImvg8ybKO%9LJa(5O8(lqQ8F4eW-_jqZ!n8tGc;>L81un za=%lVUA(gTXg{2;oL=1p^Ul;6no}qxAt4y`Tt%Fp^x-^^`e^yp9V;j5^@Rj4JB#{1 znWbJ+)c=!N(v*;sl~7CuUU2r3&0cX*{y&-{2gm;ijg)UVTSCbe^Ee2}a13~`|66rz zX5qsv07dI=B2U@Tm$|ARYnG5?1< zD!t)Q0jkE}!?OmhEMr?K-A& zSf5#AB21H2J<@R~mrPB`9G%^r4NRJwr-iwWrS>L{N^3p6wY`jcjh6e4hN%pce$#YQ z_EPfecZNe^;vR#b3a7OM6pRWd5n(vTOok}%1DeRlnAGU-KME|L48`5$&aJko!HG0? zR&~Cpu-N=XVO&5*a-!oK?DhQA%Jx)H`0=?P%;NaO1j)tWUFH_JEI|a1Z_xskqN}*{ zBikFJX{(c-BV(JBP9vKWgvuG;CQ;p(yr=*rgIxm238alp8t9u19=O_u`;TD>Y<&a- z3R_t|4^dsonaLepfBdNUZUgNE5iACXAWnZt&@yyKXa_2wS3i83}oiYh0c3@*|adc|o-TtE6M4n;kTe^R9 zajo}GfYp%55mY;^EoB{4Pw#u~qnWxf18*1I-|VPW12 zK0NlsNzrp@ZzE$3#dQR{i7oZ^#RdI4+;($zFaGY~^JDntAxZ=Oggn`!76C`mh;CbC zM}lx%X5QORqO|=&4sL<a0& zAQaiP=A#^$l$H|hxO;L5s8U|i7j(w3=Jdk|4=&x@?tf3#oA1Rx`E7m)@aXhAQkk;%T=$6_*&IBq-uq621Zclw9=jPp zkxwANdn&(Oz;8lVO%6@k*?(h2PD-92A=CuK1oNsjSR`UXE%H7=nTcKAE~RvE2C+6y z-TJuwsm|c`!5;x;3FP4oSCyX4L8>F5KSnK~{wg(>wzZxjiKIl)AlFYf?t51!W4IAN zv}iUUKAWp9MviUgLLaxS>KRsLTLzBsM+7oaWo5+v07;z;PvNJkB(jJiH$PS_rJPn+ zAWhge&i`zV4TO4mcnz;f{3u!hJvA?|wA-R!K%)0k7|{Tb?A$#RL=7G;klgPKq3Y-) zZYi<{v6_g|t|H!NxWQ^=my=w})iJZrYoTZzg`DCg18$z}H+*}QqYhyF!rJi?1Bt&R zOfUl;2>x(S+IRls5sIO=#tHLPo?yG!W-#fzl7(xObLFa7doAR!Sm1zh-dW5Z*ic)S z|8dKt9B~JzZ<-B79KG*{5So%l_n@`Dd$8`y4Uh4n2dd*53`#YtteggQO$bC z!|5xGW-;gvESxRUrvy)>as1U9v$k2akRknHuHA|>oZE+#9HWc$UZfD zU2Fa9FZsmPd(5EwAIGvg&X%M8^Ol|}<$H(|(XBZ^jSx|RxB+d?{%ri&NJ(81KHi5M z@0bw1tUh>bJGEwdfH!EuO1q|3$G0Mc!Ai7;N);_-p4x1WLQAZi1Tsa|rFYzgAux`9 z)o<^gyx~9+#P8GQeM6^8v3fm0~(6yi~u%upDMb9?T8P2Fq(yTi0SA1ZWCzB(2>Z zsaPS@SFJNSl#$8R5vj;c{v&ToY2YpE89ZHUl~P8V8k8}+dZE|8B}+MegCht>-Ek01 zBwdySS7V##`~=e65dUhhr~`u2gpoNIZzuWVoPCIL*t_Gd2^%)$lNOZ#b zd+rI}$5SA9)$01)yRbu@(A0j1G7ha>gyOeaFD1;BUfMM6yKw(oZY(^h(#3scUCPRW z5j62@yi_HPwih_z@iw4Fk(Ltuqg9`3SOc7dlXat_FD3Kndf?pG z(*Fm7(~8PSt+{%Y>ex?HoW8JBFH)tiWdsj#8qIz2;gQ6vZ11pTme2$u81u1JCaL=U z4_VdX|Kj7?8XnKqqG4Fw|H6K~W%GxrflBf|yQqwWjXs+*7rDbl|0&!_eJIi95GT8EJmGJMs09y~)<{W}%5 zFQQ{+lNyN4&bn0YvGM{rpHjVPJi+Qp**^~hJ9D~c9U2uJHO(! zMPpUyK-^#K+HB1v%K5mBQbdC`g|tLP9v5K05azbRFm-?!p7h{n*l~TgVlS`rZeM@o zD7C6P6tZ85GXDULpVxD2xaXODh z4DKgct(?G$fJi&Pq_wDpE0I{=Xr<^sAGlGncaE_}y1;>I2$GAMq^cpLdNY25%>C%s zb!QeK_KQHa4aG3q>lVZhTC;klOHPY}a%+q_rFDms57gdG3UttO7Q!WCvM42FQZV;= z8aV2bD>wx{X^wiMvN_DOzH^9bNrWAZgCB&flvn_SBd7n)8KeIEMtL9Ag2AhUjd6pl ztQNak_^eIA<~TlE7-&|MQVK_#JuX;gSVhYms$pQWOh1Av(-Ki%eJWfdP4F-@t?SZ1 z3PNZ-MAXpc;zYDYi>nsJJQwJqioihdPyANSp_~eXzJc`8mCUBVWd3T%{)QU?r?HRBFH(7uMlcwSD2Nl9ltFm-1LS^y^ z89{7zTfZ)eLadU|x%kI(fciyN8U|p^ z3gSfM?aXx{Vb(w)TJG;zE>}1DwpmRpGs8M*(;v1F^KLH&fY_$kGe+-sw}Uu@{UP(3 zqKugs^LnPH{M+=wgE^&`Qm&^$9aNDj-V(dX0SxtO7$UlH0lh@9ZrJckuJyguh0R-$ zHu~ObjiS96Q?ijR5=gZjrlt3LX&?w(QqWhVzd~>XvQ-3(iehCx#pytnsDUb?k2nf_ zkFWAra@#!`^sa@{r?*f!z^;NM9qwA(+EZ5cv3ka)j!p9y+=kpQ?&T2L2fLwZ!NA>U z7+5{L?|~K?s+q5(?QG~hoZEskf06D?wx*h3P65S$eZL` z(u;7xiBs?NRf*L5*cR?Ey^rwks_TU&9rKRG5*WNws^M-=|BB_Y1Lg2Fno?<5s7vy; zQ0T*`AUYliWNCzPj-?tN1@BH4NgbIzdGeF-h5vr$o^e)2<+z40VQeCQYHA*JzewpW z=nN1&-ab$JAL?xrv#08-%mGP^7!M97LBYn=M(XJrwz2W}{yM?Bf!Q~&Xq#X0p#S=DzpYz9X>0!L-q>+HdMu-= zUp>1uOq^@{SBeH}w`5)Q2`b{>`!R-xsgowRgJLU<{exA`atDW$td(eMfU?jc<*Q1y z`04Nkt~X?FkBhhf3Jl;eH1r&_Q4w+zihnMe(9XgnMtd9IjFp?B56lE->N`$zLU(-3 zG=e0DL@8Gq1EF53R1~j&Ntj%?8mvJgr;PByX&r}TRV+Bkjrw@w1>vMen#$QF%B8JS zvc7_YV45O^pTqB%xsAsac!;|pcpw4`{ppNO+h}jZ6;;rEPzNxzr11v3&MW$5rfPx( zMb}#19gb66H<&Dz>qEi+-*V7Osn56(=ad z{tKMX!{_Vw=_Qnku6MoM!fx(n3tC60H94krlM!@_jMVLz>@0;VE^C;6IRHuaA1+5X z*nDoMNKg2;ZVbqENL~j#4nO5&zGID6q%eQoq$-WI4I)iMnScUMd5@Ccvd!QQy)ofo zBFu)Ahayd$s=?jw;F;r9i9aNv411H7)4?P9#e^Zxp79=RMwyq9ce5FKR=-=$BY&|K z4cr3`Y3JqL)*xjnyAPia3)`@G{h_%EGv}wh55JRA7=R^<(D+DI1lSXtdOm%#Ln5>X z3bY0>0h(vNk_YS^IZ=LW8d(W>ZxW}?npTgS86rN8#u63&j-Op5O>3S{CIl-F*2DBa z5rjh?`K8~%3cBJOJ`a-`?gNKd>PVe3%R3EU>+Z-W*9baNF@7Sp<8iWfxo8l>EeG|# zCw1vlmIFF06VaG!y&)4PB+qif-Py_yPEFuWSrpF zxJ`c^{>`{4?;~vsj5KE&yKx8U(xEi!v({M3P=DEKb2%z?iZWEa7f$Gc8GG5QGr}Iz z?y&!2#2KjBGZ-?=c@nU1cq!?-H8T|-fL?Ok3@cJLN;*`=GKr4`fsm0bYcx@ z`z}L!FY;l$tF1j35amCa*7nP_(R-0qaoY=FIGFD1riCslaum)z! z)Z}Y77NO|n6{;OoYaW{6|9LoB&~@B6CcnHyIv%tbDvI#(kExm{szYFaL6OFfDgu{> zEt)}SAOgqTSGBe7T5k6)2C4kZlll#GBPxPLrE|uJLWZTYl4+d1l{jmt>SNneT!7Z$ zQ9ytAZLFRSvb)vK2qBI^hnY5iL`Mo74YYK#y+cUv_zMVyHp{a~21z%8i?h^QU71gvbJPM1v2b4q&YkQ(7xI-QGJvsV3u#mPzYifZ-{RGK`-HIz{oV za8Jdr%$}g_o2Mf#Gx2BVXzN&8z0i@Huy~_P85Y_gKL$fJ_|I3#hW#=aC}HOwVW!mr z$BwCFvR7ig+JI%uYaz}jX_LzRuw;-q#mrAt$I!FZIItd}p0|GiPPph>VSwJ@3%`3> z@n*9?+6f8w@F!}iSCP`mZBn=A!I5^1^EvP3VZ;Fj=m1;4yXpXD*_a2RJ3UAB@NIbP zlkc?z>i&nl=zbpCU)0`NbA5qBlkqg|*WY0UD_rUPzJE8RYC@px0;L3(25O;Xp0KA6iVIRXy8KX99zuqbZknN6ag0&?WW%Qswn{6;sk9(>twr|N3o zt42uqs9-uNYkV^;Y@n2-4PUcM3rtv%v-|%L9RQLl9%L$K8C_j6VCi8n%tF}`T_q%T z@1>5h_|Ae(5ezj$tU5PBIZKn(B@8^N)ug0B1U0Elsox0mG%g*?3OSNGK+k=6snwo~fjZcPGfwk^qa)N#f|f=x+~e)4VA; zLYMZo_@j_Xf?5SNvg5TDP7D$s=y@nt6iNVJUhQ`qDp2H9N zI0V^=M^j+3&Jwl$$<~nk+9J90#-7R+Co~s z4e|Iaou!P*wcoeXY)c4nDzY}ZTZM1|^kTaE)`c^C@C$I{;*B)okg{_m;-Zj~+tnQC zR;S-&ESbuA0ZCEGxEJ5hR(M*n3PS!waPhlZH4jylC4ea+JlKhR1n$3RXdNMDayuc} ziZf6JtCxPno>ixWP%x@Rdb8)dg72lS%-_*9ViTZ$?D)h6amYY#*xeq{#TyIrXERo zPwvAG)i58{{LqZ7NEI*=TxE;fpLxN>wH!Q#TY@e@I$c#Dv1Z+K+7)0nbFG7#WnSa9 ziTQhP?6KIspX&yQ*5r5miA>AN41$H(>f(R$?l_QDaOldRMhCXa)ip+s_-@{B9^$tMJNupT zX*!cXp0nb@`3pST^tI!+@8>HOpVhVXDG+DZ`8iaG>~1$lb5n1@m&7Du&lTqvbg`GP1 z9%^&qaHhcV40e>uES`!)F4CFnH3*jx29AmFHD9T0vIC)DG@hT)*qcw^&0G4L0>C+I zkX7xC3Hq-#8uY13UN$E$RhuY@sq$sP&JzIUh`a`wyny^fw!cE-q878(t<=R6Tnk3$?PDyQ!LOXZqfAaU{%K$&4g|AGnGgCF_e_a zP>pAV)G@Zj%8|?b`W1&+Awwq4EaPW&)jQwW%qWMWhm)Fb$fMs-!q_^9BJF`(xAm|3 z*`tcyNhU(ZCbJpW$Tlrm9F_G@>V&&H_aqH8Y3XamEJIrxjzRnG-vDJ*8h{VR;t#5> z&TRs%6%YtLy_P3yXmjW{_F^ zme|Bbao+Vl;-!35uyN_s&4r5mwvopf{&T>Fy zjr-b1G8*QXfQ4BqnI?_r3m`XDIsH(daqs33sdq80Gq_OKp7zomFZ<1#ATgWQhu56C z${m55-ubynK?6G;b96kSA^Wc@!ADrAE9DI7k6T#Z6^m9RIgB@pq&*MIsS9$InSHms zCQ~myyogM#pw`Th0F7lUv~OS-y&H$V&@IExUyK(F{8ZBc5$lQ_SRe^&ss-d8^J#v6 zzp&ejXdh7!@{rb?S6g_18s+LWJb-=0Mr0E`6LxC4e~VyycDY{p1q0mRg#P;oEF)o` z$&FLh)EdM@9@XO={2f-L`i@j66h$o>u_M`MDHyHK31(=06JAM|tuuS7=!i-;&MyH^ zGd-}Pw;Dkbd@+dZ4*(|u9WZ$|^Lsh8K)!9-p1j&klyF& zA50h*=P!%Wd8U^2-$o{(X#aA#vXb|kvBg3!- z{=x<+J4#{6UOFq9)M!g)Q~PaqwRwD zz$d%4VN8zo1Q^sq)j8EK_oWX8rHDNFj<}5Z-bmGwBeTY*ZH_#eX0p5t3KmCpn>aI zDzp;z_a9^%c0$MB&8+0JUUjlQc_gB1={?||TZ}}k09E@EgWj@6U6)cL=QVn(cx9&y zZ3@(>*fq*Cmtc8Dsy2k00^|AUWuL+-@LQcPg>4{3`jAY%zcrDRibqD^5dFNWgvI{8JR&3(ek`P0Qgbe+0MvVLw zVQMmR0{BWI=KN!n2~8FX5P~wim*sAV6@opZ2!O>1N97;amDu$Cxx{0X0g@`29}d=z zpohF9^Ma%7n4A#wG-%(|SCK+cRiJ^-EUjbwb|`|-3MbJ(z5TC86ShuoOHK&iu2ywV zP3h9I#zlSUC-YEDNl-BjVPp#BU5?8>zi7UL41mk1v?$%WW|z~^K9u+6Eo^REi=)JV z38yQSG=~*y1(>OMn|i2YI#r40H*REdmcj_dYlVV7!L^ITA+f*}j=NHkRu*j(y3Kc5XjP(rG4xL>l0SPN1Cnn*d0wI9+y|ZTyd~76x`Zy zDp|S+Om!>D{~SHqyg6+mzwsoYKfI$E$(+;N?x4rVZWitfDV3m(V~ooEo6AT=v0nNd zp;1!!_L1bOjOn7PtS`@@wqt({O&Z2=3k>5QA0h7!ll--rbGHig^y17j*?(J{&&>|F zKQj^L2y`^uz@yCGZqbG7AR@S zjd^u8Q)RcU>r!UTgAA{w!p24F_}xv=ymBVnR=i`sa9#JDY&~MsJ+1Hyi6hp{_IM+> zy?PT?=0UMK9w+f_Voe10&KsC_|D()n^fjV$&yzK{wUflR&^N!cPK&zG*`uAtVc#5Y z!Lnu+4Lu%-p&%pl;pZCiews$S1@!qSmN~J({t~kny@1=)H_!W9d-S4SU1=0OTUm{3 zdDbJvm5AWw!lz`lH-OdNZ*A{K31u~_jC3fP+Dq}(-hQM1`>|p=)va#Ct)dKdaLPuj1lRuy`=^ z$}=yxmSP~hQn1~X0~XQqXOkkZay@X&7A zl6&-$njxnQjMrX4m;dcmB4QuF)=8kagVkKy)#+kt)9(IHGOSI!n(b>c*+|y14~lK2 zN97;>gb8y{jY0@%xBSc41+mw1-a~c7(60++_lcS`=T$9V0#2m#BeD8)97F3~PrnPI z5Bo^ZL9+4SzidbdDY(KAgQkK2xQN}C9W7dng&m24P22=Vy)uAg)JKj>=;nNf(&K#*@ONFFKr#MMbY6( zxEPwu5g)L6+sV2`a>VD9)Lh9d9>cM-eZzFLww2F^r94YRnCS8xzkRpXANvborUlFW z{$s^$T!ciAF@3YoA&}Ar8zL-GOauFvax54}g>b3Y(*t8Z^WQ4;J2^a|wBtyO&&}a! z%&WTBb_THO+T$3%;Q!}G8822?= z+*r80cWS3NS~I_{4%mp4br?wmVQ`h66sYe`9<^dOpzw6=6MK(6Ikr+e{~<&oXLVUv zm0;PgYVtYb&t;!yS84^`Zh5)xw$Zvc*scQCpT0WZ@~*FyWFL}GC#{0e5QZ2hA;}Q} z2;wmIokF<6Itw^iZ&C?-z3ncvkmz&?+7Ic9nnV;5aPWeGy$7#nHwP}(lDlludpgNb zF7RQN3tlEtRCNwY-c31zTD%c-2+}Rk)_yXuhlcCJIzB0xzJDr0@gST9Jt>e8rVIfd z@7X}rtNfgN#WI4~Z4nWcCmy8A<~oJEv(TZ-8oJv^?517m23Hu4(BJHF2^IcTlNzgO;jm13uRFo6GKyDqy#4w`Qk)2D45*L9VZ}d?W%H7ohwH z9)o5VD-eTz2(g8*cFoAbg%5TuA8{{tJ%gmc*uK-A{9$V+SnS-iSORxs24Mn-+25G= zW7SmQr?MJ3{^V$^%AoZ7|>=d^|{FB+#s$|P zQ-8N*bIbBVKm+$!p&7x3J60;NMirI*aPn;Tv1Kl*1SEgW>UZZCyZ0NUwCf-W(xXxP z_ll8l^_;RUnBgn-0Nb6{Lov9>al#>3`vpN+V8&o*Tqx0SYc}bK(f{piG0r7XqsfQ< zg@d*SVz+YK_Z6^tt-dLy87ZjtNFA}&Bgy)Mhmu%bEIOzFrCPnHaJ2|9O81MalEg?y z-6P9Tv90bGhC0RDzFhHkm%qu)<1NmaRa78O`khKr)TT4iwH%ER@QmmHbB|dT@WbEG zs|0p#|A+A1E+3*I>xhh~ts0YzAI2dIeUcQiH@0>>6*BtCta|~xjf0@r(zscWH33*ny2H4`1c?J_q~#3x+)BRD}IN|K}G9KI7A0R+8Iz zf86~%LUkKZ|6ARZ&w|!)D7k4U7k|ApH$Fj4Kwk^NvvEjdR{M_viY(-*b&w}lAJ*fXc*SwdiMid`SEm$aBRuW}F z6R)ttkRnN~kIuaYcv8Y(mgHW3ta}vDy>0LFGa$%}x#Gzg5OodO_2^be55^%JCHMRc5r(13*`B9ym8+$Cu&Lj3T2bRw z=6UVkRRvoz6!J7TtKw{5c;w0f+y^Fys+x(oIQ5YJ5N&Foam2INCfF(V<6=KLtVdN6 zG))uH?bY7^jGGYt8l8H(?!;ASDiC=I7gjVE_FH0zco?&EtX3Xe7?V-{ zWJzaxc@27VAMjF;q^S8#P^jr{9;%q6k^%GKskr$s!Fg)>CL1?Wh=HY!X^7OU-ZVxg zgIf}nBkX4rGnD*S%v}wljpPoVYF+pZ^wDwL~v#wvuy5RJXWbE0$yJTKMVs-Lot)+i-c`c60q{ z$rcfkQH0%mw4biIdlfayeu@lr?wX&~_t83^eS6FPLh4&2fe?xbsR6=O{?hB=+P319nPSs_=t6&K|sKYn1#zAS7=1i!?Poe*VTLMM7Ln^<9$9vr`{oOYP*&JCE%M2o_4G7auM4 zXCK0XEjbJgZpi%AF7gLM15Nlt)zvb1Be2Uglh-hV?9wH()ffioQiboPlBX)*X%8RZ zB5e4#-H}q${&UE>*3~=Zy~n!A_cRuNg9^dsaqQu*!;w@N9SxG>TB#bYhkk4rpgJ#>}|6&8-sMMah!QxUry2ZWBej_Q4SqMln7`dQaI{xrPi+r8Ls97Insg9Z_8mcX2XT)aJ8eGh$|ktL+L#G96rO z)ho#B5k1X7PBKqPFRta;AT&FCc40woZxJJv5d;ys2j+nQP+BO=gN%c_F(vCxgaS&Q|!yK{WF)t^Pq$y)78t%*aud)S!M zC~g~lkA1pZ8)n3T4^ZWFDG*5QmEL1U^;SK=&z<5;OZITvQoNpHW{N#J$NKk7r%mp^YrpYld$;FomQD7R^@Ow|Nwv~C=9@a@d>e4=B2#k1o z%QOgFexc=)NyQHZXNcr()^(wIDay_^oMf`B{raoVuoQs)*!_8ws-oXyx)oKc3-V=VeFRK2Sz|%q;%r zMveQ=4vxAWx%6KBrQe=jT)XS#ln-m#K0mQ(xp(BskO|e(hD8Jph#gn1?~Vrj59OA- za3gonk-Txs%N)r+e6Ow3gfBs!{by#LeX#fVmro0x4(+fp^XbFtn~FO|yNw;Y^~O5i zW`kE8x@A+)OSU3o?#6zNhMcb2v}mcyV%6huufk3hFN-{=?Eh_Rhe5liO^EuwzL}_u z+&sfAZ*bQYKl^REv!r^;l<)euu~*$MhIY1G=pO=Y7GAqE`CxHg#p6Ct)%DYijxOUi zjqFj*Co#I~_s>WA2F|U!D6XYr=H`rPBS*dL{;rF2pL!z;YHr$lsmaFFOH0Sw{NW_4 zwrfhjsljUBPZ5zq<;#2Y?b;7M>{a#on$-vQq*ll=Zjr6?lJ$Lhczx?O=MsmEoc&kw zl(H4YLz%XLPZzcLJISx$(ynisyl(-UD=nH)ro$iAb2AGM&aC)%vm2i$RU5Ht&)hW! z8g1?vkkTv9c0yL~QzxF-yi4y}t$CMw>6WoQb0*7;wSyX!iRt3=bXk}3R^ADd4}NZv zUu)U1hHV=groU|)tggG~%P@z1Q>_L}e33iIZA=Tlz>x(@Ej}6kZi4 zJLaugkoIm`pH`E*yqJH#&WyTC>n+q4oXoqn`h$zZ)bR^qTLd>ajv4EizXx30+ADbQ zn6O*JTIAJlcB}509=6M_-TCrrT0{SDZpXjq&)Hfe|93d9XHrf(!;o5?@<#NttL1sT z)iNEf2;Db zyT{eLsDbCQM~8}?efr7VcM`N*lso>>FpTP+&V)MY}u;hrgf6rRvDuF|Azn=W}3Gbcy> zt>}^K*xUL32w%Dn5dDShgZ>i2sE?bSLh-+MM`Z+M%xyUVqBUU}Rf zbNhbV^e|WZWKE+umzVd~4jy{nYq`tmoxN%ux}RHS*~yeDPu~~@RvNKpddS}CMUbsN z6<_gOu&d9+y=kfk!8^ux_S-%Avakw@!VG&#Kh<^6Jp2drNe+9vxX< z=aHgi@?*VOpf;}3t2*4sAU81r9xaA^GABgV-8=H^{<*|+MM#mS!s=dSj%UTZad zX;Nn^ucS(Ik3?PWtlj>2&Gj2cHynFrZ+QQ7xyN4>;{x)gNA$b@_m~#t4{RH;rSrC- z6RS+?aAnA0Ve8l!`+x5|bF%KX^lyF0*x&;XFIE`X?o{p{)h$nD{@G(z^W%pD{hn_A z+&+EY{U#euKXDAnI5yZPA+N>d0q^o{hSYzxWLfi-BQ!g&x+UdSOL~4PtMu9vm&Sb{kam zqh9Lqk&A1#Jb1ifxzL+?eKHDD99Ar`EGO5=+T8G6TK4^$G1CV1I+3>Hldogcy#;xN zV~rDLZP}IVra4)d_+r7J=Gia5-s`f=ee9C03%)noJ<48OcQ9$K$}xv7md*}`op+|@FN>MisLh}AdR9629@g5=U;kwEL-&URzOHth zJ*z0z;_fz=Zi6$6&gWgcUJx3#slac37v7Ez3>yk{*v&PaiaR)%e|kjzf1f4NL9i{_j({#lBWM-Vbl^ zewP2fN&ZiJtx2nx601F1Wz^B#kB2pWG<@5je68N_WaJa8Ci!=Znoah-pC`yBooRfo z@uSK^b}zXfxV%!cxNnvt0-JvOJ7ja!{w}p*>Z}a9SxfZ1)MwSllhZf#==5sF`C6kU z?-;S>{N}+O-V0|3T*-Qj_L=f+;K3GORX1HV2l zdO7XXLjAou7hYIQ3Y)8|H$I`=$ZDQ_W<*SCJEF|~$VYp}aUHXoo_C2y87hVq@02cU1!|%9as5EuP>E%s@I*Ekl-^iY52(@ z!Sn9d-8y{WrekS4d&fBxO*nhA#Sr1gpkdprzqWi_+wIDRr491GsgfRd__)fi{hAk3 zXMX&!`|a+7Wh$KBc-L*%&5XZnKlGJ{e;E5I%i;B)$NdV=PxyP+_Q@-&=S64dysdRT zK5J%zT4ng!Cg}acwddy!kFvehJg2zHseji0Q(k-N?O+SLw26O>`{7e|`n%_qB;Ht6wy*AK_$_WJSJb^U*? z7j~umwWr<8OW$r?tTX2Ep7T4iV{%?+SUr)QJ2GT_P2J@6E5mjidUVV6Lj0bN?czG* zC7gIt*R@jBv3XMhDn01EuG9YVXNClemvSCy>5#+cXJjNew06*eInM)xUbP4o3iJM8!L_3QSsHKE`96Y9J{-4_4U|- z2`+w}jRAfE>&6Yb{V{)gi$|>^2RG~ynZ2~{>i4a)XYFuW{-oxulka-xrClA^_)emK znB9;S#}_@WxFVqb^1Om0R~FAMj@;VSSXntkF*~_W(t#(dhfJFns}3_gvEiN!xi<(?%{8gRoEaq*Ww)S8H zuMHQU)Vdx!r|Z`_a7#8>*wlk^TTuGmM@);of>4Hx6P?tVR*{pO2dCd zJ#O^mPRF0`jd1MMi62+X49O|)`SEdn@b$=M=SBpqxo@j$J-(@Y!?1lnd->MNO!V4t z6?!(Gv1D_)Z~pSrU4DcqZk9VGSWgape!tVL$+w#|4bL3hw^#J2?Qhk|XDvN?b_r`7 ze(G#|hcNsj>iO%I&hoi7U`?Nxyc2$pJ~cIFuWDA)vwVXOJ+8Rs?>=ANDdO5)xc*?t zj>Ep)s>W5=A7TIZqzYv^`*&a2;%&V#7Rws1>Dke)ZgEJ*RugPnerpjJ@>zd4WFEdI zGG#!`+zHEakJwfmFt2(gyT3fM@L=)vi@IO7!-<^k4|l!T5NDAeACX(*>bhy&FYWnr zdES*}yEePEs016*BUVp(r`$TY-PRhd6tD7Awz^Ij7vB6%r8+OR`n2!5(7sLoAIrlE zkFCkD{qN#9%T~%g-cPey7w0a&AK_hG>%x;RyJy#HsBSVc-t%(o+%ns@g&zBw9acR2 z-M0H5ik^5z^y}x}RylTao1Vj09XaoBpD^d23hKt2!ywUASj-2C5c zsZq~4CHq?oMMQAnanJvzl*zkh6aIB*e24TeyJn0&nflkm4iiGIN7|-0TGnzzxA)=_ zi=Znu8~05dEl=3j`P-RC3wo{GF zrPJ!cg`-|~SU6jMJZ@tdZ|4Oz6+C8G%nsjuGWUJg=&uQP@7~Ya*-t8iBo1}cmYil(bzHx=4j`UtxU@>Fe zET1{2K4$C>colA=csR6->)k(&{<(Uk&Z%0XXt&=8Kbu;PnAK$0k@86{El5>|wHSwJ{Xlq2P#)bPE zRqN5Ap5e|_@9CqC)>MR9ot)$u(J;T@#X)Pm^~n{R2YYrHt!r82bR}r>`5G%{$3<9A#miFP5L%J>>dT8v|OJRBbyT2z?IRov6*LQo;G$j(wXj^J;P>7&Up^fxL?PD`9V{ zmVeXP>rdyo4LxHTj~jA*`M>{lYm;;C#q^)qb`4tSs_#n3zmnx2IzQ>%z~ifHZ=4m9 zJ;hPJa>TJKN8E1beT_LfDyc{nFr}H-(~mpn-)h#VXF^n%{K)z1=QiHbWsXdLxq8iw z`>j^*oS$)S>&>MbLarw-wGO>@Fm=x-VQuojM&~wvZ4f*(rF;#W{$b8_!nc2Q&mVd9 zW$fLeY2VX4{Nv6qv#c}6wfBrr+r{~}+xs{E@=2F;tc>mHy@B)E%{Dlr)*)@UR`Ot`nzkLr*B{MPgr@o+56|?+TL_I z?a^q%X7$8>%IA0771yL!v+S$(n}$}KT)lI&*ZPmMceTz@w)oz4R6%b0+UjHbpB*0F z=jz+VH{yKqjyirCJKe5mkbBM0iQxwAI$=Odl;*0xU74fdx0_z5Fv_P+wQ);=cV>7r zPVbbu#;5b=7Xz2?HEhbazgp*?V=s?PjP7}IMCZv}lOK1!YCUdvp3P*Rv8SzG-HEL< zu~B}zFC*I~r&X!9ddZSSYhufEk0^|=4IJ-wLx0TuO6AXccRrm_Fmd zwvUJ1<1){ms|%_(4`1c={m#vGDIdDt+~*lqq0Pi&5f9tn)f2vElpnb(ZEL0&n zi|I{I++6pir|WT-=uh__UX(ds?q>aSW3evJvvPi`An$4M(np1S;PW8-YR}nIj`XWN zwi$KnHq1x@{Ojm}+ZqT$kcD+lc1{+||2rTI`o;x{uvQf6d+@)F_Uo%Y2Q+rx+vGAq zu!Q|7f))mZ3LzG1m=`KU*=ytqc_YX8c-Rpx{9&O5-w2_CkEZnJgp_){Vpc^#2_q{A z(SYJVi_E(a=vB^2@y0_2H=_og9HRO{*uvKj-mp(LP4c)bZ2+E35CnNk(QHX{| zTEWY`^p}U=K&Tt}pb_hFODR;aP{K~FAcLvZg%BHxci{``5_n7eb+|}m2OCET>i>fz ziNpU4LSt81ZlBw!H*%o;znGFRtg0e(wAAS-U9oY33yi5K zcr)S#yevr^%t#azmO7)xl+iD7G2d#vzB@UI}V6_|;)f9ZH>ZuLH*2!+)Ti!yWAw{`X zMLt0#*C|LBxz0f7mg}`d#&UzogbKQ4S^Q621|!8`)Dx-7l?v8bsZ>*JN|k~Rr&Q?) zr{F%!M}VIjENo}h76MYtX;Z4z#1Q04wU+f)>v)ac^n(tnR2v9JiCKuVu?`{JRxgdw z$Vk&DSbvR*QKZouC1J^xS~V4cQfnZnTSDGK>p+<7>P(1CErn92Vf}QJ0J&1HWVrPz zMz&r}rWih=b4?=Y!j!Zd9y_OND*RnzMI;sz)UPstPlzKjho{?L* zmW^BzXR6*Dn?b>tH)t3VgN~s!P<6Xb|hI!c0ErDy8HIYhW<34J5%iN;G~ z(;FE!gM$B1GQk-*T7!zwZJ;ioCG0g)Y$>H^GqCB1AB$rgazj!XwAAGB2Y*CoDw&Rk zTK050rk2L2V`|`rs4^HBeMU}}kZJ*>&21e1C$VaUhI$&cg3TJWQo(A_QxW4)b4$e^1hpBlN>J*o39*gnbmLlA zD>;u!BNd+-O`T#?F%_!S%mt{`bh4SD)~KOssu0z%6kZJzqFTdg*D(81Yjo7!@dsl< zL*2F+UO3R#-CLSco~1GCb>PxS2oyLLHdobJ1*svTES}~_MOm6IEt`jGEoW29RiUMR zM~>5w(WRq$GpEbL-P@l`%%oC;>C|-6sda1`;tyWKe3Dwne3Dv6ClE}k=!C1#W9s04 z!^kzDXLCob=Tz&teD!RBQ|om^fpB7iQy|1obY=dC7=uaOdIOy;YQ2$(PtTT4wSlcm z_=8!3K}8ya;vCW#q-OpoRd0^cK3!L(u1EGFvSL!}X<5a3Cl^P{8OpTJ6w?;|7 zZYkH`631{-pU@IoShx^3msE;E6(3#2`>K`HscFl?eWv9-*mHCh@@$u(L& zkd_NV%N!?s^mk?4l95f=w9NfzbP6U!9W^?+hMS>A&$%^F&jjlVT?KeuM+i5{hOgKfBMXf*Mz-2MjCCVRcd9uut%6acRWL@;8&Dx>6>Ns!4=PA4^QR@P zP^*B#-VQt#GGklIgGsG|#en#OP7$qwt&Ca)V@AvDu_b)E?#5yvb8Jc@T?4c#Qvl4A zq~#`qE{WmSC`o5CN;ROIW+Ba&z-q+wxq7r{AjE7j450R_MYXUR7BpaBz(&_92xdrK z?=A^6Fx4Cvw-+t97mOsR$G{&{`C1&1V#h|(4>Kw;%GkKh&R6o3zDcEUvz0)rWs^p$ z<#gg-uwy8hf70q`l-v^PCVNQo3~XSIOvk(Gm?OjRg%PS}p@)`xO|72Vgc+fbdDNOX zbl)20nDr{gr=Ig^P%v@wSXFD})@G!QCCo6nf+tygN+UF~8K*U>nSP9PA1l`y`4C3t zO|@(;5QL5`7{Vmt8%zo}ay|3;qBU~EG_t9xHFEhFse5h-e-84bf-HrM`BR_>hi?m_~Rx?AaQ?d0Pe^7zyRBW53Q!%r|AJlYp7%xZ$ z0P{Y23Hh;WrF~DJIoCQCCd+Y|rG7)FW%AT%IX)bM*b(|C@}ni(Nc1LLH#8?s$Myy~ z{KtB*@K?u{XgU616MH(zNgahjT0iK=8ivfaIv)z zpGr5sT*Ep&+urE7>FEqKV8m@QCz$(Doq^76Go=`z=U7ML)_kjxX<(3aMFQtLX;V@FdL^WWkm$k%zGe!}f#w3%E2!5X(`AAV2UM0%1re%$d9)0C??e%wdVIj%CWIf+C&;^u)`wpznOU!Kaq@S2i~F))>n8Z0^pKJY3O zlUc5{ylj6_TfG!koC{loWGvsYG5E~XvL(W8H1U5(tu^B4>#9DRg2B|?8o@X(=%7r3|)w6MB^;98x! zZ7vSXxX?cMgA$29s50>fl{x;P62>1iwt?M7#Jl%jSBkenN5Mr`8ZYycNI$hw{6WmB zlq{>}S`b+Vx-*O647UVXRU9OCl(j9K=qPx2Dru157+W{9H_An$Rl=)|Xz+h@64Vwt znA!m;;wBCMb=du8>7)NCQcm*p-UW9w)ADM^?50(hJ)Bq(@-S89!q z_;@w4dr1RoRfKsyK1O_?5X1mT?kuQ1O^sP!l>+|iEL5|?dbr(LXhVKf?ZSR6<3H@W zvYO8L1Fm%y(i6;y(9ndPiCj8~9Ai06bmM2DNu`E1zDQI3Zi2>{cO}dZ&Jq0JRyRRu zsaJt}j^NEvVw?`aIYN?D-#$mkl;BYYD|DyjNemVfF#v5N#>du)U{{;6a@%RUc|xrv|@XLiJ!`mcMF=t@AN4 zLjhf|5$x?HG{$uKm!3k|KrSxa1xfCNi%V*|aR!P@*;~+ra=}x>U^}-GE1ppU)8?X$ zUFa>yc)FJpp{5atNiWRF@PW|iAR!wE^2im`F1UTAR1n1|`v|@;73+gb)FQL|EP#=t zF~Egfp*kK+`1cXoA{a=)Z3?RPC6&u4u5jl8*4uQ_={fF2s-A8;nNC?TDdcB>Xx6Fsk9rdn9o95FyA4&m16rj39)f zFEmr6n(hZod@HroEgTqv=7&pv3G*w?6MT`{o?Q@WyF4K{xWq$bkA@*!9hd`y>3PVW z8m{D_iK(H|Py*%?Fl!hov_k+FhY4v4no2iK61qH@CJAN}%>;qSgN_LSkTP6Q`>J_k zCVjetg&o6%L<!I#gRC0Wl=nP#)pfTcoL5T=> zH9|;8C`B*L@|%!xicH9u(5W|5tCiHaGXE02pwUPn2yv4s0DDIY32~)x)8vNaE9 zrYRq;Ks9*OK|6OHC8>}1B;F%#G`jWqqXd~4BZ)pn$knL(Ws7@qlLzN zx5Fe#qtjm$u<6Pvpcz3E1&EJWsr?v9Ih+}0rj#TYH%5q0WCvkf>Fn&zGz>RzJ`AUV z2@!MC#8E!QVeC{iK8NhFxH!{b#Dvyh?z&j!o0E%0Ng08#bF84YrEMW<-2Xsh=EK;4 zCJR*=RWNk|+KZb%+RMOk=w@h?0^bprrCtvk#|dIcX?XHrVlQ9mdIOGE75D)+lfL?>yT1vQ__6pufF(%cglIopCd#wK;|gE4fB5MAZ$E`?#^qY5ai4e zk=Ycq3D@Gm8!?_l8ErJpChVbu{Tp#MX~1R^Qq*@7I=503(Zm=N81^u;Pb63mQ?^re zxxn*HXqB`Bbl!}Pr!+1$7m4bBXO`w-7_<0T2^$}v9(HY(uu_*(c?;U7S_>z(3L+*R zsGsG+qT3Nue;sUifQ#b9ErQyIo=cjW`PiIsZqk>0*n}1a&2}L{&ciG+Zwy8rU$ddrD)_z~ zV`B}@l^qgaDp4 z<(>>)WKh4K*3V(^2ZL=6(E39Rh99KmaSXm>u)!hJ&%Q%K8owRD#bYoJt_pwXD+(+O!oD;cmE^gJGAOt5SuM}tM` zD{7vU&`@8oMbrv!}+nUpa8lu#p*?yP^O z#4PN^lSdf#5UuFODM2j>Va*BLcc4Zf_%vSZT6I!zgS|6wWovR8{Xxk^6MND{^Xh4I zaX9@KpA~!pIJ-Q&U^>J3O1z5xjG*zMnQ)W#+0~6zXV43jdPFly+%WVy4SYF+UO=mY z__H``)}0m7<4d_A=I)umXo~Kaz-ZRZqy882OUHIj1?mUqfJQB5iFg3k8*{0rTy236KmEl;?4odm|xTS}4fPB7TFO z2HGaxF(A88NFsj!^EgM1%3CC$^3r6vNZxQi%-X>)A zq2MY7mG6+s1r%7^MOu5^6&hI~t?=z0hL&7!Ov{)`q!T!FGMn>%a2o1}`Dj$;OS-D*BWQiKqp!*o1vm1c$ z<30&sS%^x7g!xaiutP`ll_o?A48t&NvDD!??pbN~`9KJ=rF~%E10f-vCJs3}cu+>s zG0F1G7blZv>zHH|U?HUj+#d?+a-|WOd1Xc>Onr#%#HfIxheCCCb4CmrxcgA>=4k~3 zriDvt0gDnRn1^^IsBCGv77jlWYD6$ss5P?X`q;e$*z#0}t6Dm! z!*lkMs>T@4%#chpP{O6TLR_8KGbz}^Gj7_NaZPiGFyk3UBxY#w?gTu1CM3o3(O3t1 zoNMw6c+zdAY+Q%4a2-y0j+;T+6m~qv5WX}PCJ4qZCyL97Cu|rCnEWIbaynS(~}I7z8LNnFVQFPM~rU_(00BQl1$Z% zanRGMT5hFWH1w>|gcx}vOL*#QX(40RBdAk=p+#u#ckzL_6V~zwma(A1FRv64C)}}! z_}dc{#1xa#R0`fvknx68?w~+?OGyWIh2|fTu#+EgSFVKrJ_$a7%pI9z$O+RLpxF|k?~u7#_>;MwY!<~ z#VbkR_8B80r5c>SNy`+YjAJwpMx^G%IC^~+G+y*Dxa5XhLxT~oFG8?_-kveZ&^+g6 zU^lF|abS^#v(>*~I7{0?!555*OQGTEHEIwVMglt)z>BGR82cUP&lJGpBb%={vsqSf z^jDnOrE!_fY`ipP=A77NFcXEC6(pPUD_@a9mJe+5?bq6%;iPfVnPvWPB>4Ng5O1Zzvx7Wm(G(=(t+|pO4b4Gv z`~ukiz(_|;PiIXEV5z;nKQPi^du-}2G(2<1WU5raksoM&25|a`=4XH$3JNLE{6{K* zg0BS3Y$;;Hnfs;xp{xY~qbYbsL0wByxt;<~D^lu1!Bq+>Ta(HK6j<1hQhN%HA^?M} z*eF!Rmtab~x>WF|#>7RO7w+#wJJB81*@_BZQxn#M9TW!HiKRk5wOOJL_qkfgu@j{n z`DQyDzMQ?-NLhM#8k+H`Ymzy$0W}^MO>#kMh<0b&iz+)c3+DVCL{un-DM}|%3@Ob8 zz1qlaPc4ONQer6EfnXp(4Lm~(3Kb8g7;~k9DZG(f(n8RN-%LR~Mn@47=Cm!Wb`;~0 zFJ?*5L?hQCB7vycLBabrj!!Wkz~ zjVCJ$97NF)--_^%(9Us0<>k4Hu29EWRQYg~Q(_pYaKc$kNZ`vUBb!G?+>}|U!qlNM z5BE@8G*GJGBH|vJNDBUO5fdtMGz=?0?c`|8qdpal`o6k|8Xw-0cck0Z+DrrsHkN@W;YMgmABhd>LJw#ua!_0Uexk$BCc;9}k=eqdmm5 z(t_t<5aWXjkdJI0dPxY$w)v}vsPSgbi1nlt!2nP3_i$3lb0V~@DY`U`!lHe;F5|6a zs^06KqSDWxGLP$2EP!h6g>HaJooS6;sNr*3rP0DaUWEH1FZ4+oXyi>m0R{d(D3WnL z=o?JrF+B8L1e5)%%;O;mFCOvZ4Rjw-X~*73fgE3)jK3i=Tc}KoaJDfjvJg?N@qVHk z9Pkwth>i4s1V1r8u{1V*^2!8Wno1sWQ6Z}E#8K&oR8I4gsHAFKJ%2I5gQmv#@`Z2wKw^Mc-F)d{_jygK!eikX z*a#P)YXPX0+JRzY3l)S0h^CtZ1|_}K$6c!myPzqG;Q0erbkrhta;fq>?vJ5bI{d?}tfAv!IXNbB7=i?XT1mGeIi# zM#vG7DJ^Uk(eUu~O&J0GDY!{NLJ%^%AxKOs6)`D!1jnoePp3+*2oiQYs||#5!RP~N zTbLOvmJYnw?Jq8A6Eh~PD(F@Y8OHN97kEr?5iX#E9KE!8;HBh|7PY|NDCB`Fl_kC_ zKo`Gla-@)*9_vFy)8znzl1G8O16wV}hKOo;siApL#)nqJo)EFC53i;(SIL6LzM-Z4 zwh~v+BcbTG@f0;G6c=vJ3YP;90vRdToW#rJ%Zgg35)K>Rg+*Vxt*of{ZO^QrDJher zxjC9fFk3}Y5p-AOP)@`>vx1*iF-GV{2F8~|BV)t!CuX@5rBVmi%b}6s6{0Y-E+Y)5 z;1LBi!%5|83S1-5*7736v{+6nMau39n&!O1>@d%OS&#kQ(A3^Wh^FJ$_L0&eUh*E0 zLBUV*sE$l5F#)iPrhEcpk(x(Aq^S1cT^T*}s2Q~7#Y9Ku;}Iz=j1>J~R(VksUutB2 zp2kNu4+Gf~`bKEi&8V$6sNNi?RMPeS#u>^Fd;5-Fd1*x1(!8Zz8 zDM{r43d*TSX$%F=DX6C=l^ZDV(vVVL3a(L5MN29dQedegr7Q}LApoOZY?Npc4lxS7 zk>@+8aPWA>Y(gPd)IYKlXO$K<=rN|kq{&JVk8Gg^=kW6(O}Y zCe*y+aFfDb_AuM%DP*g)h9#jnt zncoT{bZ@IBribGV@RB)mJ)U2dv`+&Kbf85NT5{=@%$ykU7}c##lD0TXC{994MVMBd z!mSJjB%|eyOBNfmw|rT&r#F}fEl3laBvhFOylF>HOPp(n8V}xzK zpzB8+u`10uugSoiv&rFc);Lba8rmGO{LH$d%9p+IV=^+95xZAcjJMPqz%2^>5esJh>f;KjfQ;lR zX0-k=gAvVXc|3!!7;M;r)^BFe_YYd`&)`i46I#;xB@EiMqUBBuo@B6MYg#`Ip*i_Q ztud5ipAg`}W^XZa+2~j(H>wSqSxMgIXbfhdoDPpAFSS7fKoJCH(jf;U#233n>6qRK zL$gW*XF8rzU_$1}XdZ_eAge6~6FjV;Muy)#fWvKZ3=D4^+95k7A4A7>5<4ZUkVqc2 zQQN^cIwj8zPVFWC%q)=M=J_h#QVlKI)1mgaLubFXy;%J>>^ur%eJBb@$ij`ZnR(-@ z#V{pHtd?jpJvOL$RArI{c1G6;--yJ4;sh2Hz{gU}1>}V!Q ze193{XQMYO$`%{Pl_s!c=1{NWZ&5IQO_-=OwNOz&s(W=7HBiu5Y(&@DDSfbRQWMeM z6c@4gzf596`^H69fJ$9(ktn&9*W>$lFtH0RfO;tGg8Q**T`^6np_jq={9@m}F-14* z6F3|@zQjfshJU+C3xk=3;=7gL&`qr7Yr;Xzj=cv66S|@A{B1Zhk!Z~?cmf=nq$y!6|M7zVsNa)4+Q@%+ z_hL2u_>b%SM_h0AXc7NmmCI^6;19TzD`sF^7Tre_6+9^BHpEX48RtC6=6gyV)bArE z!u&q}BWK3~!NGp$7V)DCc7LLmN7$Lc z(+n#5)B1b{KQh>C0Imkd2Z(8jCP^@M%+CXneCBtkknyV>)O@&*@lx|ZTpM^Vh6LCB zMFVjsRI(cG4#YJNR+ZN+xBsp_l^D(2I0%XsDQ2k%^PZ&HM~i&psW)%@7Zm$r-BGFw9SA*cs}K zVPcK)ERn!4n;aUxLQS>Bl!tjkLdK(EqQ-ptpyr3Lj2}D>Ek7LB6HJ_vEm7OyVt?F3 z)Eb7edp2CmaVjx?VA^oeA2y8;V}Fb8)cj%&L(Bay6O-EPR8*QObkFo*1Rm>>hz9zM zlnzDNj)6)^&5tHI7PCW9^!wy0+{KZi${uf`5(z;1C@~?v6dIm`k&`A6(I~i)N1&Rr1n9n(YRVIM_8B^D(c+Vm^lK zVBe1>8~nRtFkpxqBUbrMqU@6yeBn2lC6@(HtWk|4Q#1gdJ4V!4VvtZMA(oBB#RLnE zqmZHOv10Xbd|BNryucUvso^l$unTbC$CgUW>eW1SlABkl&h`rEc)kmhf~AMs?T>V&-DVHE*t%p1=)+P8IwhYDs@!k~C+@ z>_t!f3MmQ810iG{2F|=I#e|>6gxq;3YrNuAS7Q6fJTbYPc^mdoLhj?(*EFH&d<+Uo zw=@f>mH1lT%J~%etNEx99Hij_3O6(8yO5UqGkBB1ghjM|34=C^X}J@FCmF1`gw{`E z@I8Y~meTs249b?#@=%0OybRMlrA2B+x=Be)r1*K+l1n#!2L|RZM{)J}8$AbFR>KwO z$oKp$*7M-^z?i1+-j}c(MSv@K8|+}qUsPKz?cmL}Nchb%_`Y0hWU8jNqr^z6^$ID$ zY_?NS^7~w@6;HWwdd(vqywyb)p28KlrSo3Nw{)+TW9ro8!+Bqhn$HL|ebs#IN>N33 zf_qmYCzKv=SS7~M+LzPO+hnW~lRZsu^fBV_a{H0$LJ-{U9c&L_tHq#tByyHV*2>N5 zR#B-aFV`z*sL898q>8A7SE)!9nJm0YO{z37b+xF3@@?@Av30q@_8llz4S7f=3U99^ zRm8dRDxFltKHb8|(UU5(js{X?*3k&B)*!!4*NB0*AvzZvh z3mb+oOm{sTCt;Z0dgE1uVH#BODx)L=y1ue1BoA37O8SkKt&EnYG9RiBBpe%;R38$D zH6*TN2nlU8mBwlaY4os!)ey?)X+5hUglUXWcbymmvi4{Nd#r<qWa@)GZ<)6PcrIjbkYls9_27-|O)fof57|Km#rtNU5p>)G#ek=HsX^(DsV* zaI=L&6zto8r~|TakeEoBGd$iPmbXL)?y(V1?9iFiK!~@R25iIt5;JETuxLwnJ8-9_ zLyVOg4=Y2qAhAW8MH_grK@5fTO`-un!nigQF|ODomgkqDjY_Etl4-jc@!`@_Wit+= z#62%Uez$o-3d3u$fZ}b92A^3WH~>0rk%-en)dHM9jE<&@zQ#$ zSYN6Qfx#W{zF5owl+&24Vv3E_Spmh{u#>n=EGM~IQs|oO5Q_3pLe*^|ezK>UM4l2e zdH68hi!RViWoSXt*AKns=b_=Z>n`AB1VxlzMq-8AK) zwe)?YTfsrjVEH*X%u%k!51L9VlN^Tb5~Hl~OCSp9wi6d6Oo%StNo?g30(SV8>FXG6 zrN%TJv1bVbU{w^XlCZwYkU3XS;7~^Vu%H^h)`1@<#kaBX1JSsxXsb}RRcSgX)NQjQ zb*b?~KXJRHQ%2$x;f0%Hv^@?^oB?#Tbc{w)&|I7E7L~Zo*Tdx9q6$r3`6bvt^#4Bq zEOB>?ay9#|6y7<=3-*C?pG0do=jj;kfSl7+1itPSad7;suOtXq`N|>28b1r71;4%0 zDL3Alkst;-_~!}Lcngr2l!OA}PT_>xvRW)l(JJmC?!$Y;I@YeyPRtKjK;LODPx`u;zX47+9kT2}tk28DpencI2P>cfawPvV)9z<7$ z8=J605>-S(aP}UdN(Yk<;SD8fcOd+fM#8)We8HyhCN!9#PB|>LfWoyn7l^QlRQ4T4 zf@zEku^WjTXa*KiXF4Ls=%E_?i@4c!)A3&kPi&KsTFiyA1kIX|U*}736tpOI2!*ce z#7G!?M2xg$ySkxX5<)cN8%L0Hys_YMRN{o%nc_J`Ol%&Cy;)N-a|z<(N0Iof^>}O? ze@u)-&)e}BZsAoh@0gfjOYfJ!u(J*^@a;4XuD*p~+oZGj#qUAK(Ouz`Iga^FG!be3 z5q#mtu$krrB0aqUk+!*wvR`*XOvZWt{)CuesZc}eDReI_=Q)H};|m6?7%`m`@j@Xeu|G4zvDApXmF$qX}Y0D!yzIhOrdc3EDq6NONk+pVev^s zSbizNfe9^wGPn>&I(!-*teit0;Hkd!U=~s?4W**Z{`wLeVukJsEIx_8WRzRWP*^L@ z<0v<`mKY@jYJLq4g$I{KE&O#sqFqBV6iWrXJVr)MxhNGdT`n=ZKq{b7k-`V^L?j3SMfo_RZ${Q&2XNDcBPNrod(!85Fa zq(adXg0G9o(i^aD*KtI+jxDuhr6&?{Uw||R-arXG*o7#c-oReRZ;7GkS74?~8~{w$>pD^3S1}7T-jR*ohC&sPEiF)5EJOcW>etIY%XG(eQ2)YHi`g4Qz>6 z2g8Crcs*(7ZFCTG?uux2D2Ua!C9BoJn%mg*(SAuFQUI{=4i+ceA=o$Ek(wB3UBg3? zxuOL~t3UE!3%hS4dc-#vTJJ;j#`|K~S;twULHBT)(Ze*zJn7H?y(is?NTnF~<31T+ z*nKer&fi1!T0KNujt{U_#f4-GmG6ro5=NNx00kvKfP*+bLLP=*BBQ9G-a{l1J`r7X_<#lN z(t4~^(1luxQH|{LjASY14=_~42U^H}jB71^#csX?@rx&gkI_CzpcL>#tN}|7BO1QGRq zE{5Ao$IOoeTPHD2FW zfzJ!{tL$FzhEFyzSc?qgVJ%#Ii?7|--Lff*btva&SceMoh?DukEQM`2G<%7MU{g*Y zy45c+nq(`=U>93NqD0GadL>1xT1b>2UXAGU3b&nMU+{+8_E%yUod1H};nOSe4`_25 zaddr6!$^3Itug6*N`e^0SrkcFRG=$D7p{OVSj4%clok;ewLAv;79lR%VocU5&mo$a zVzGh^T^P>!5=tNae z!X-qw<2yRw_)qA7mwrGGSoS_?j1xBGGxojuL2^8-5c?4e=)B029(QR&B`wB3u?fYj z5ZN~P!p`qvxP){tEW66baQlj5y#9(~WIbkW<)4xC36CfuJT=1+XMI86lJ*g`+ys9~ zIThrkd63+bprT#p3U_g!27HEtu~zCXk|k}o1nKRDT<5S8eQdj7{+~2L!s=hTvZfM%pjFMB|M4PfS6CxQ6<|g#W~);CD|9n3*C&d@2b34-tH`rTCPz z7(9R$ai?G*Q-b|lLMOh)N{SWV&?4SYw2-BPdz{P<{;-rSg{KdYPJb)Jizm6H=`1T* zIZK>$2dojzE2)6X1}SJ?62WL-zqL#SbFHOEdg)O*uE@CA^M!ajnJolc$*Msg8(9l* zevE6tOB-2D=?&0HwumqCBc($x71LvAjK%zzw4>CrXrKBbW$UCyNhw*me*&!x={mF|{ZJM@Jc2wX`oy zbCk7)!sm$Ql%uRXBL9ew*d^K2e`$LIv~iN*&3G-$bi#f!oKXLDpF4&~#u}?a)ol0? z91V%iGBr$piI^ri%gVFA%XRjtgaW^K0Wa~fB-aoZSz{~wlDqUn2~#dR;)*9-m5_?F zTxDg^rKDD)IISVURW=yXUWeBf*ltOY)zY-FkG*!oJd1HLwaz1vdll@dnC#H(5pSE=F>9y34$+v4N5zcXyY$ z8MP&I2ufA!q{i9ZvU(+D_Lgw*5Asv3tElEMb%8kWReE=spLE>X(_MyNf;CVn?{JsZ zgMha+j`kl_b14KT<9#Ug!e!b7IC)3XR&S;s!w9=|_F3hf6(_aKM`q_Q6}Gwqu`0hN-~>Aub_pd}Jy+_Gif8M6gk~Z1{=tT26*8sQ4S)Je62f%HT7Mb-xf~)uHp5k| zJ7%`cQsS%L(*9M4f8?Q^wnMwNZB=Sq^E=?*yJ)MxUP~Wh_C<1dbWV>8+*e=Ea38T4I5Cs{=C-{YDunt5zQAl7UKX4M~;1A4&ZA`>X zD3QJXhFvIl1lUKx8%tRv+%ecAV!S9$5Z|j{k8)(!OQGsX%S9s71WOnGM)1CDP=b2OvMWo3=+ z*eAN_GsPRiA-;?xEdgZg`Jp(rGz3h2hiqbt5Lo7jW6yerYzCGiY-%8}oJ2H!SgRaz zHYH4|#UKf5mGGFB^xzyWOPBlxLM8lRWskzdqcY+l$WU|NA#TKo1`&>IVv7*Sa>k)5 zMIxIo!X>1*i|~j*Z>+;m0)Hr>O(dySz^zCu#oQ&#p_9j=)Jjk5?d}oASa5=>vxYeA zC?O4n`;j;rwg`cBuCnrAf7dPyc9$n;HLxxU(Z>BpRb?c_nSN+c8^h3#?~Ov?jQc?! z>ZOOspo;cc|J^PW*QO1Ya(_vZcHnx~E?B~g?EQz5#OEQf#|>wbOO&h}Op2D3L!YoV z3g!1OT2?ks$Nn+_otaX)PiN-f)vc>nO{$fXo76q0Lv}~$G5feW>(IJx!z66cuzS~E zElMV?m1@?aagYA#Nd!G{BrFdPaqmS(iSTfVv`|-btoY|leI+JA|VAT zXi*WP0JaJgMmS-*3mp}i%|nb*iT!CqxK-XsT4ix=O^U^tF*Q-<=U_qw(_&F28$D%_ zmg?4!TFWWamY`R|Cv0p-FUrUvQH7ocap>Wp0z(FtTyix?nDLKDKz$`*eq)8cCQ*UY zD6N*0+@d`EYjBE&?%rrukCez=t#Bt?&hhVYz;&f8-J3dX=1rwssveE$sY-@^fv~}! zv`%SQt3j=tY$3H<)-DEe%Z%h2ZQcClm#~&H*VJbg{UGOZlfp zY7FP273tBRND@yqUTbm1($LU; zltvZ-6WcjO!KMI2T5XI|I9RDMzRJ?c!fol<3wZRVT@^4-j}z!@t|Vmqdnr;e!?Pr# zxIe}dAnFDrTy|7uTIhv;YPGsnvMz;DYGkA@jxZUp2e^sG2PIcP@<6GS66Z$teGFx7 z=V*J4g54b27~vdkt--g1AlQha!o88?&Nry#w${=>@Fm!HCVhrgNA?1-%BPay0@{f9Xr{AyYU!3VB(x6)RorGI4kh42*HmyWQV#Ec#5?oxsF~q0Jc{_=k&}P z*{>RgSmjmGO$K~&!qgJ`upk_0p3EJ(;xAhkJ_J^k#bSt1yQ+i`x5^U4eZ-ck z7{#6*#K??#^gmc+T!@RYxOjTVgoE9Z5(VD&7@dCdne$&S3WkX?Czb{{aUqsYEfal`Jt$ zgQ1Bo1hs+gD+`h&|LKCL3oCNe1Co(0yiS=c%b-9BL*7Z#1OKj+RI3EHN|YNTEe=e< z4wzU@!EGhx6aAoXGGgtnb&iJp8s`w0u0Yg%w9Yjp3Fx3fO<4wPH6ZDK)Wn5lK`rE@ zeob6f&c1RAjlm`*4vm!3{9%8YmrX1kopHFU)2L=Gz%U?J>s*VufBp>qA7kGEWmS>9 ze}G|T2s6wu0S0*QzBkMalE#;VsDLPlN>DLj7~Uju6muXLKrvvVj07V_z<`-x7Eyv} z&7iAmT0!^c>Z+^%ud4gr*Zts}{U6Vfd0pLAUsqRGSI7HQ#Mifv{KA)cIj5TUnJlo* zA%qk)9Odf<{8dMzAuu|QJ{ktD_RDcqplColeAPfj7*+rrhfMI815G{# z3>T!paK~8qAAGHX^Xs=v4onDOy&QGTX!)g%@Y0Nb!1Qn&mySLTeP#F0821F`J7dwU zk39|@Chs6{eet29I%G@H6UbJet%05y=K~O=t~%bwHxl2&KfM0dc^o`{O2OMS4wZiO zAym3_9JoC{2;AN{!5D(A9j*Y0KRvRM<4z8 zgzTs(+#jrFm7W0ZXCDIYMJK|sVPPn7B6{`bC;PfmubQH2mZwIq+tv!p7@d3)!;R84 zC&3T%N)r3@`6pu3FFg^pANUBYDLEJ%mvz#${{JPkDeKip@aF+!qXw>lsypi^kES6<=)P9 zwE8q&7lN5HlfUE#E;^rqA3yx9h#}wtoc#Q@t@?>Wg-m_p3?NF;-ZLOg&+!-OqJb5liJfQ`Snr5qeVRife|Y~w z6~q*c8R_d=nrawz+_+(rGBakhOhZxZ$XwXy>)uO0I_fgzOezp$=G~j13D|h5$yW#W z-q7U3!!&T(j?GNV;j+ii&CEUPO#0#|goBHkd`0={Ruz5L5h=zVBOFQaZ2@wN+hgW2e%A;1Z(WTF^H_bYQFeBmDuT>*(8}(*6_}4Q7?c zmDWktTac$ffBuVBOhS+V1_^p@q8ihGoCx=b&)kU%-V+i2SXrKq5Txq3(%!T;0*)Vy z0mo!fX&pmRRr~%B0j9rU_s>M>K=8$#o{J^}yza_-$4M$y!2f|%*K;u+`hGIp%Js*B zZJ#N=qYB*hitu#bXz;;=c;-~h-w=ZNrlGS;sV%KWGN#q|c>;GgN$%OFw3@10(5ii> z_=Z#UG;|oG1!?T@VEle{>5<;U)jNvNr%pYmUo^AWxP7eSJNSYFO{ku zx%Sgyj4&9c2hD~VK%cW_!&l?5(cSnrUoV|qvs*IZkUOtHFb6_Xf4bphFzhzR*9X@m z)c9XG*Vn%g%#|xR_BBS8#_B@fGl42fr=5w`$GlSgBRf8ob6~AQKPm1pm{7 z?EpM#T1T7D;$a$({o@26)+O=v2r`77jL`?@ae#)GUC+nAcwu!I{&f#L%nWtG*o~Rs zg1kTvVL`J(UHa4KjbIzP2y81C6<33;x<(VAbr+)7$GCUiasl`H2))JsVsEb9gv59`DkfC17VWE8(jPG14I&D`_n*`kU`$^a(X#4A6HM z`|9~%M$dWTL&nTQtf0^EtbM+CAXh9+&&-4U;$JZ?!A^Gq`^I@_z4%0z^OF9>2w3o? zRl)9TRHdWz@qDO*&!#LuXj8ES5$3oBm<6e!ZCPhgx8AB0-dFYq?>3#G^M6lrdG}k$ zLj*q7sE3H@3jrIOrFSfZiq#QrI;3|O;KfZ(D!2p^u`F^h{>2PSBF?&nm>mqc1WxSt zDK6KKRx4eoc>>j6%DRZt8JBXqsX^hh8LVUdAyo4BQ6li90`kzR?dZCK`NP$~-1ai) zW9xL6`_RjLH3fQPr#+YX>Jj1kFGuu-b>eZCvk2ubei(sPzWy4t^480NacG-BH)>df z&97mX`2rMr15RQ2Oc?f?SHefsEJ9=xr&U+L>joC{mPv^2SPWl#(X?U&L|B;TpYY-d zmArSdBx5Z^a$R_Z6c?Yf_F7onUyINU!L*w0TnycS9;EMPxzgT7cAjoa*)3BPtF-!g zHlZxS991{;B6n=3D%x)I>j^Ox#4-1IEgKvQZ z@U5n{$3fsjBT>V}=eYvECSijmKxWh9He`E@d~sSiMhVB~z8TA)V~T_AV(9nlmf=^Y zTcr^C`K@Z3AV(UQinLKQ;sW%dgKojJ;AxZ@;eI7s;sGAG@f~p0Uv-L_#bc<)m&}cb zF``bT@KJFPaFzNtUpMzC@1R3U2DFQ#5msG@k;s)*O$Q%R(vJ!+!m7*A+p#;PZs7!| zbU6kN>?Vik&)a=beY+3usShZ`2gTL-l4A}mLFk9?1=6i|z%eww565uW?dZ)b?tt1} zzZfjn9a>V?0Ydd?v}FY|jbc;%$>s1;A^ML0!G8P-jCtDm98&O-$-ma+slpkXZv1usHnq;ig4%;QV08MOXw=JAz?rYOQ&yi| zz7zG96A_QCLcL$V6Rp_!QZQ|Im+t^~5I^)BDUY%7^Se;K`3{s{e^v=%M{G9IQFrq| zk)V0_m%}Z#$F~QS)Y0yrFc`df8JKOj+t;^1UHQU1;U4&FoPAk&4|c^>%(kg#$w2z) ze#{A~?nRfvf!4wIVhYX?%(912xfkz-nXNA^LEyFs?C$=mOP|8H`?;`8NeSZY5Z2-{ z_aXF8(6al~IPwPn3@uxY8t%d&3gLABS6!;9?=M|)j^5(<`wKV)@$cdiFvhopMTf`s zD8W3#eFt>qnet1oXD|1&P(1ueSKSY3I6|}eejuLnq(=OEaY;2D=mX-pR|D~*moZ}f zW*`N==)#QklI=5Xm=z8pQ}+kK3X5!`@Nd4}IV}7QBQ2=XNK%C-FGCeN{07|~u@tN~ zKj`b5ujf|&Ma(#)B6Zr`oe|@TlGJ9^b%Gdc55*5dFA*B{Fcc#v>R83EJO~xv{42Ot z-T*@Jm|V(EyIkt7ZL5Dfv{raETI>Et&|28(+V%)*JWY9PU;;Rg;I`XqKQq)d zu&ICC1g68*0ICk8KmCQF>NlI|<1(P~&vVY>8rK>$O;OI@&Qb{nR8qI>9r?h$yEM!)?*|HtFwU*A;A3jY1C1K3L21u^ziNI>>oYttEp?ks}12yW1q;$2<-P7t2=q)(sr1q1&}BJC;&)N{cgwJrzDzRzHU+WQom5s~jk7CZvLIYN^k%kiT? zO_&3;^cmlnLYy*WE0bRX=#3}3RChol>Wv5F7(i2=MLVcg(#$c6YeJBmm5_SSCP*D} z13RS%qN&UV$nE|dw5%yQuDi=c(|NPYrD-z* z!7%<3>iE&!3=(h0s-K%)@CEZQzD8;7OAxsI|5$5btP4u!%U^T@nVvL%Ba9DBvCj7j z>JCznM&E<}{K?Bu$|uOls|HeR@_kAMHdI*Tl9!l<7e!v;fJxz4_6pJvFG+^Jcd?>@ z0dc;nT0ryE!jK_IUG8&*?Y&>|*W%j0%DjX>HtX?YpxW6}D;5!~2qco`zFQ>RaMID^ zhMzT~NhoySYd#;Jyu^v?a#5^EXCY-OLXrG9c_!~p(6-gSzQvNHmeLZ~^P2b?mcMbD z;5Doz;{CtZd{wvtES79=IN{6|b;JoJ^o2X#F$5f58H->KB7vhr5-EhX`0~pYdzXRA zLrWikGaC0g9}K~2((7=tINkI*=6rgjI&_O~Ku0iAmpTG;)fP-!BJ}JQUkqC$-MDBi zZQcS^9`_LDIgNA6>k5%u&6TE}SU#{F*Ju127#DEV7XRQYrm9Ph+6qp1onotutmuN3 zQkoWS1+%h8!0d?Wg0fW1FwnxRko5 z;mQ)uY9*7!s=22{9CzLro+N*id%#}-hmMpM>I=!@{uy_E8pCS$O@t9f05`e0A0KT+}gg4*1F?Ka4Y_; zybgHap(eh~9FlbV+c*T3!a3g^_@gl8t}tBl4tQZYy5Su}379cgQOR%RHFVGm<^5^K zGvKs-JsVLkpk+iprLtT`yqWG_KY|4F(AwAaIZ<3nT%S_;QqCpb3=1 z0?|^wpc0u?ErI8<5!g}hU^QIb(KjnCA ziVT}JvuWz^IW4ofIn+YP{&F18`w_@sIJ~6A0y`6JE&ZJ*o^&K$OV?2CX=FCawWcb7jSX%Yb=#}>eE<=Hkx8OrxpJH>p z8)@q~%{-fov6@{P4t8NcXz(=&{6sW{P0p!*eT6CJc z1-mQYuml{6&GmhxZTiG_K#|dPkn}TWGdI1E*6&lKsfn*wnSQ%`wI$(%x~=G?_PRf> zwp~~sPt;OtRo4R_P;03FR;8jg{qw-Uz4z&AalvPzIMdc~hj0yNwU!&eZEv@{+EO&M zrMw23-I`b3m6hV&OXs0DnOgQxUM=5rz#-{M2FBuDV4&5|vbPkjAvcLIJYMFM4oCM`a%?@=2u?ov1>(Pa#xQVkfpn@2*es(^HaFq`kWia z*h++?c3*Ist*jKMsTXlQDbqR4y}GaTL7_XOMz)q{{NFL<;>AmF#Qy5o1e!K{<>fN-53-^ zXF-8hLl5p$pvHa$P^Je*?#m?2_65&;wU5=WS}rKfW6UVL=WD@Z9=b#7$NxY`sMh2d z52R-CfL24(-cfi;zlI#sN2h4`*D!DW+?l70?Kgg$%Rcs^Q(pya494_FJ zXmdw@HEsIFmxp=zbsziKjv(7`1d?IEd&&`;azr zt#4$p-d=J$$bx_RMwDQ(Y_}+K*3qe-Ea!Zaq3Lh#Ifva5xl!dEv1nAd~1ulxP_SB1dCL=77O_e6p zTefbu7g#mtGbv$Cs#s%;Z2a&0M?7SnDw1Om|Amsb|KY2~+}9nb8IlLMjm{9Dr$1Fz z{9kS=yFW>$i~sAZ?&6+XanTCp-T$Je*---x?Hpj+P3TFCn_sGM@f?9_N5ZOhEC0eW zO33xO;GRV)MM1|Yrd_UTYiY(rr9|5eN#2cTD>1f4gmmRJRm!$$Cf)Rhza}>_lOpwb zerXchO$h18Nz&ocH1x2uy1U6YIg2Fr>3xGm;aDgJ(O}c$NO3mzmO65eXLb$pk6KuN? z!nG%KL{fRVs%!JPS`jAWa9ve;(MJU~d_bb{LKctFyJboSQ- ztY{QlgkgMKz-an39bM$_g9Q$rAu>RQpaBZ4h6aCw)yhkXaytN?2%FR%VI>!btzGTR)Aasn!c6a=x^-JMSyJ6sx@6Ev)5WELsIL5bp=@I-drwNd*0gl~^V-zkD*TYJ|;dIJ> zfb#F%{Xsgd3KAoB;|TAnBidJ21En#DrLa~N#;u5K2g5S%FICW;>4lNDqX(xMfRWbm zk@lFiV`qUcYYH}3zBYH2r-u6df#4fI2K59Jb)iyOEYf!00sY<76OhdrD+sF|U?u6Z zfnIi`*3quz?J*o#>x!yC9S!lJiOks#2(x`~{Ax2>qtFu^MH^1P|Ecf^mVf$y#f=u6L9tFb)4_wl;pgnvdJ##N~e8R(`W7EqjQXi_nqkRDNH3x7- z8UfgHEwzI6>_W=I2IJv$N1j3~QkM2cbD8TQD7U${|0uHyC{`nSL!xQ9*kFq2g92LT z;RXuE{Jg#t(Fed?0!^=l%aL^)f#%BAeFz&1$WVh1F#G$^wjTcWbY8!L>XHZ!a~K^1 zpR!t>Ut0p977SXsLQ>7O8ZfNy16wyoIixjlnt6N{X*VMc$aWv%uY^xLyI+B8n?k}s z+R??dxv#=xI5m{ta{zdnYo|y%r7zOVcmQeFaGE)SAnoD16>`%o!nC#@5UC?#yqUA1 zuK@|P8Y(IBA3)oC`19y%{46#vog#ma{y6Ptu3x0kE~Wp;mv)JoE2qeuIkyup9_C>E zXaM6kof6KS4HQ(^H()(|+0UQV6G%0*VxYg6ZYlQnP{=mlo{t8^jaaJz*LCd74QSqS z1&AH>5ND22oEE~!1p}~~`jnMQVP>zzX_4FVYuiBr`b`&@Rmo$0ku8_BN(+YIw~e3_@)kD_~91) zCTu7V!iQ5)S3kdFCO~eBu@kYCFND`?6hb4ma1oA-a~WgatTFmaE{ zY8<5Yq0m3_1N?RuzxTyhT}ZAI!L46jZYV9JwSUWJlDP}z zl6t1#e$2?tC5#$`2~Px9%5o9b(b6=^m>UyZCXEqB-4kWd9wHSJs|>^G+KMdRLl44y z4Oi0k<-hW6ftzEGt9Its(%5&|sP(rCJl`fH-=UaN;#!q$K0=ysFdD~PFaaJRJP86& zYu6*70W0eh-AqB6&|Q#ALh&Ko*87pu!UQvdS8}+e)$5@q;y$!@h(Ew2+xb959YqG` z4&s8ijv7A9#{)^Y+(o5>{1w3NBFdVFFhITlt?uFPPj~Iiuc2Mc&l(r;NWc*Pk@jM* zf?G$|3_)%y{L#`k4HKgN+6euzK={s9dhNp`q*eKLi&7f@5$P~nf zle1kh)m|~QjX^-1f3&|h{EOYLnGevpE`MINkX>0J?LCG~%Wk^hC=4?>W3gmjJ_>d% zmjqn9t|bQKVRmx`=(ak+j0CoVoN)rFeQ5dL^Vu1wi$I>A5Yp7An1ox&mPHBkcaN10 znPY51+M6%qf%_OVPnppSLYg_&-T}cH z0>*7#c?1_w4xsTrK%5w32AR< zA(?w$XgwjVXaxjoVF^>HR&E?yqe$yRVi+=25EDa~stPxJ~{xx0Mifu+hdgfKZXda|=W!^GPCKvYP zMGEaz6x+Un(`92s&jTbML-KSE`f$4HPyvj;>&WTOoiUy>rw~%ZhWubPlwfKOr{5we zt9B9kYlgq#P<&e3sB)xXI=4~~`R)=AFA z0klt8m`$lz$dPD#Rqq3*jJ8Mo1q`-aow!YTIcVVF{{Ca2A$?31>M?DQpY_8tNYQ3o zOGq~-8`GKArc9!_DT8KejYS$GEiJ9w3|6cZp(%6xwWX1?6-!4NXv~>v84XVwo0Xxf zX8ZBYcB`MU;AkR@cZxC@yy%vRhw!RWQ!Lb!ZcgCpbYmvc#K%H$myXZY;N;Ts~L~~0ziLZM`k}b(lrWwy~w`M|(tY9l7XLO0ic&fQI z6l+XnGAPuPY{6BZRID}H+7gY0qe?Ai-;2;@)Uylj2UwM#QP81wIx1rNZRU^Xev+}K zWNTx(u_e+Jj<;kQQTfK!WV9)rZfs^yDRW~MD&RtyL@JX;8^uDIc({=t0SGn6kVD-%CNLtuj%NI;Pzu;uU2r~l9d(}KW%(%*(jPw;Vt9hW<&3+aW>6krH&cLy9S6Xd zo$o&kp<>!vGS&*{dy!JMIo_hvT!j6rX%`5|ifuJ1{jJid)qhl}9v4a}^8h7GNEn{` z2|ojg$0V@0+EY-up<*^ekjhU0Dv-8$3zOmn zLb8@nbg33i+7JHc6v+zDb*ayG74o~3$Mz1C(b$x~qe9Q%Z1l(%r1j%n^!_gey|q-J zIZpecu(}#5HtmJqt4vu-uTk21iNBXM%ZoOQj0_uF&g^>AVYXn52s!ms*|C9Uu_(VTd28t8 z2?)bt^x39PwWZ)@f{ZoLk56^xZ5G^ZGn+g{D=ue1X1oxi=^F)*wOkmZ4vRRa8KcB# z$7;MKIO^3-_(lVs6qad_LZIy-njKM@W91j!;IAJpQO<`gUavDz6)#y>+ zZ@Lgo`g93)OKsf>w+~-e+)Q_%i(GXTLM*cuxXOg5Ar}0|lV6>O!Dy&)+A_)CcV4{V z_^~6^y*0d4Vz!(|!PBlSbLN~i3)d}>#k4EjY>Df~EcM8hXgJ~cp?IoF-ulMLG4ua#{mtu4WWO=iQP^tI?*R&A3MS&E}8 zW`9f4L{75mOh0ZZt;NgWYVhXgxLB?`5aKq~mQsATXC|y04>l~#?dwRp9=n;Swas+H zb)3`eMM+A2Ccv$rFi8hok9gR6UKJ3I{edyz&5Sm@YAu4@ZBHTA(0^w6ak~W}<#LG} z@#42FtjlXGEU9nLxjLSA1FCO!m?Twwu27jqp2S&yPPGI6B+dV{w3a_q!beowrL^=8 zu5AjvhMt)tx|;vG0$}s)bQ1trW`vs`P?h;27V1CsD+S7Q6G?hNa@z1e14Dk9fVIRT zbyrUk2sKoDmSCB;Oe`s7MYl=Xx(r}!<7$KVbgUDd0F#h3yBJEva(^&XAjxVdt3e?(a)$OVQ|-B{k6C7fN~H!Q1n83*Wy>>B#N}18(68 z*f}$|Ku6k=Sauc_C^huz`ThgiB^xGE>2?0;9NHg(7%6GM;I|%r22=2`3{> z=~S$_5i?G7KC4&hqU76H+Pf==ma06y;igO~*@PSYjfs|~c)Ag{_VB;}W|)mlv3PSv z_*(lHSxC`LGTzc0PqehAGWafCx+xTHZNk&mt&vD$swKsa6<@rwoReD{Tt`o)Gs$FQ zEY^|^w_<;@5k*?k_-{+ zMGPM@h~XWGNJ~>F+JvQ!P%6X!v^GUso6<^+>OBoTDvDGT#Rtp8D6Gu~ml#L8hVht0 zCY)#urxQ(?P^>wWYL1|e3BZlWm>{5q2^3F)7+eBiMF6^@Ntk(9BUF0 z)T&jC)x0sFtVfDACL%52rbu(Nsky1Cr8N|9iN&$55{lr(4SYue@i4TpPuG*8O|4

    nlcx~M3c8c)+v;2BvN^cb_+Xcve@Ff3BHSRzq0>CFo z2VEjQQxcwIiPlycULav8Ww$fI_Jl&1A_U8(Rf*@x4Y;6G`WMaZ2o1~W3X(vtE^OBIvD{~kxrPSZJwN4mn{wrTmqW4piJ@@*n6Zn9Q84$1&u~&~$4P%cvVc5*+jgx2S zrE9#^s!pegh!K^_gmd#zYKgyZTvF9dZCueY8&fFi*#%H640J|ipeF~y*5YuA=CAhG z^hc^$7h$7k(;%5WB1I40=l8e4$9E7@b~TV_z)bE*M_&!K;=2$nT{-@<_8L;uc{O6y zh~?*T(@Q0qegM~bAK<7sZLQ6wXyE+_POO6n_)f&9T{+a1mmI6;+Lo@pwRsAuh8nLC zK#Ts_wYD^YqXxz(j~k$?p6JTKQ9^%IPY*s=`FsFKv2t$aroUPh4@TfU2Ex>kA!5M$J3<# z525SW=BCOFT7%Ax#~y5zw)wbg9nE`~H(xC!5C|ji#TUFf&IesJbB5$85;=y`gzFUC zBf#47p;DrbPJXv*kSk%^3@TAaA3Xx8))u6e()m$AYV|Zt8nYIsWFpqA1g{aS_2c7w z7vrIor8i<#8p=Du0}0x23f=&am#jrpy5>!RV+E6%^|*CVoE1E4!hn}W*_Kn+q)#4K zNUhGI)E#(CknU6OTH3p#Yc;MlIgjI+83F7e^H578BVUj{ztJ^^w;p4Bw(Uv2Bew`X zE5gU~-rJ$#nXjwrTDHlg5!@zrgG+&?ZK{Cjr*&PATo3*IxZcomZvv*QJt#2iv7~#~ zGJt-2J%*mI-tQV)DaoRY6)EjeZg)VGWX6_^ww}8`ykAV(2{~S*Hbcse`w7 z#mhQ~7-U;UbnT(Cb&Z@sYQ7n;U)YSUH|uHW+FGu{>kgaI@m>{z-KawFKf@)=If^bZ z_IWlDT*b4T2A5g(3wszL&THsP?WAtypWHnzU&nbe!YprOc@tyv9dSyk|06mT(#nyV8&e!Q> z5UkZBO*pW7AXtjg&YFK~+Wc3T+kTLBzeU=~It{GL)W3>wOWoa2rtsnl^eN!Vny*w2 z`S4EPJudLeP%PIgNWPDmV6Dz;L38gE3^^mDlsV-NMY2abA)UHfNY-H^&2a5a((iOg zt`mVP2RO0?DSDrT5aBIoI%^G67u&Q_AuwlFc;MhIn9aOL#X78LSV(Zo;hdpTAfLAt z8nEWyTF7<~a10f(L{Exu@iqsuR9y03EjWeGyxSEf+Zzb!;x}2zc9$2zyG*c_P&D^~ z9pc%n14Ej0)HY_ePlv3dFCOR`gkw`Hw}x>rFs}2#@vD?v` zasomSMiyHew<%h69(+svMO=M*`5HItFNL^XJDHD-7zBhsST@fNAF$-CQv-cCeejS# zZ1WDlY+)wWav)+xCM>yJ8r)iQ77`Bk;hh1ydr650-ow5yJfuyMT73Twlxv-n)zuq! zVOM;|<#~SlYbWC_v(YXq_+%vhjQVte$V?*sJ6g$z1m9dAWo&ZL1=d@L0- z+mJvTS!``pXk^d7&yZ~yBL4gfxWnQ3QQ4W7apSJ@;4B!HXAf@9AYJgNiyZb4vd#{O zq&jL@q%>^<6Ao1$0EBfsK%+b3Y|(+W1*b{Ze26mE+PWru2?Az4((&#&&lo>M6sSQu z<_Q;UEgk$3E5MF;BTi$C8R?acdb4OCSa0qwL5{+D@H7lZaDs=QIcv79sK$X~9w#3Yn&Xmpr~<^a*S5#m9Bl zl+{wl^<{7u)*B5t;v=LDPcjKJN3$hp^Q&cb^x!T(hxd3!9k<$nQ=4(xqXuZ>^SlTD zY8hf6d}2PAMIRc!8|N*pgI~JzS`fNmptg$a-i=;oxh@Uz=w}p2%YYRA3%)=w&lg1q zdd?Rt9!{wsG6ZSqCgA*eO<9l*UZYfD*H?1({X2rigyq__{P{w$Cib{W{Ux7hM!l`J zIw)-rtGz)4^#hFgXPY6fMaM!q0LUU5;+ z{f0Ga&F3`3H^9(#N19Omtu%s-Seoa&Z`t!$zCoG5k_}1$`yu`C9in4=xPH~+2rER> zfRuw7d4kmLbrrf4<{$h=*dw)8N65 zhGX~uu4?<2Ldx-NLi*@4Az5LXF7^FSsH_#-Yl8pZ0^5rEG^zN5GK|>x9ukH=)2bPq zr)Uw3oIyH!2hhLFe_gv<;jnE~a(4L{IIQ)6G!6Y3oywXfrD@0C%P{UI>@h_tV9&3D zIA^#L?oaFz#PY3Ejo1TZBSy|3jd;gJeCqpx*b2zC7Iyu@nzPMBge89k!J1_064x-n zn!IYlrr(vW?Vcmd;(yb+&Uz3*&cJNs$Qh*W@4Lvy|Hi`X4k8@qeyke7?u;N|-123Wj5Py&DS zA5PP;Z5@6xBa7Sxl|_y$!(s{aOLn;wgr6jBNsE893KbFO|7M!?U>Vk znrCkwW3`pN`8YJsi1tqJHnHr0E_eYbi`UH-r1rZs>X(m$rkA$|ODm3t-7(8vrrolpO)=d&P~1_dwl#9X>w}`Lo4r)qlIKGq~pD!3N(Xt z@Ka|WSP9GKTZx9IfhR}P$c1lx?KMG9o~CSMKTW&s42912a?j~9}4bW15xqs;@v|NBpug#MxYcX}}_yH^UV{yw&@sO9a1P=AT4qa8*FX{8_9RF1bfL48le?`*QTAhtKDZhSN_LK9JCtW7a~Gu4jF3 zrDdC!2=SlGV-7wnkR5YG*^J9mwwp6Z2mWD#pL(`{w@&hlNCsjZm_`gyjc#UbRB3Q; zR->BMx~3-lRV%1-JfvW0zFJVjQuw-%I6^_qfNa#r8C>?433|eXstIjF5w?44*dF#{ z+9PV2VE1P!v78Ax9#05sm#B8N+ek= zOAXzb=k%vX4=Km8Uede?3i`!hQDTnH=@1Tb1qYW`)BAm$nqbmg=t}dKVywHK=`dZ` z&#AI@D3EqnKPQOpCbNJcpJO?Xh1bZzkaW1=@WzS5X3jWs=CqdKb7taX{EX(IGnx;} zG)=`{G^fDnJITs(){GHz_?9Uo8!^4bM}ODEdgsi_ppURXt%jWd=$^wXpjYd%5mLug zN~*ash*W1rMQuCikB02^SN7U3L|sG9if1f4I{fIs#pi$-irIp{J68!dOa&soAK>5^ za86piBM=SCN6v$%3RiOt5vj%XFbI7o8$VgJwuCS}G7Xq2iUd>XWL4V6^e{?W&m(}v zl_5w6dRzK{GgY08fCYKy4^wqAJQ-52n+dh?wIps6VIaNA>kBv{CJZa{cb8!oSZ6yplO@_>CR{Q3`dOA)~TEa{= zN4HF#rpykxsPtd*o&W&W_Ec?b$#gT*`mRo295uJAS8eUh=1jj!kKlgtNomBF6g@xWsyPp8hyeI0wJqYVwsEwkpd zG-c+tG~>7vzN3Wm^@i{`Evi5$H7n+Hpwl84)dTc;#5t8}V@^faFhkDf4%a8>WW+5h z_kmH#eS1{p?p9*ujUaE|o+@vr7)YL{^Mpt1QS*d*sLV)4&Cw_EWpk%Il-Ng)(R$R? zbC5!hPZ)|cbbQbDc(n4jPf|0mvoPK8OdTPI?DBuW6VG4 znx>J=ChM+68Of*;KHJN!c6vwZ6LZSCM(Y71tJ_$8l->wv-4@2`(aboWNo(Uy<$=0G z8SGHiqHC;qoa>Xs!OPMlq~}_Bg4;TUEgq3B0sRAHn`2r$~FbVxUaezjcND35pFgCaVig&%p zNQS=nv*9aKPDPb_^2H;kSTkvCguaz>D)9)qC`cq^#itFNFoO<@Q8M8l*pTi=Evx%~%J zuDu)gcyh$EV3Ql4qCUv!);*_tMZydkWbVy9N<~6eez=~_8|c(>UoJcdSs^|g%I$MV zM{9&bZdB_@jiwS&)OBUU1_Z4t5&Yn9Iu|Ld%wnqrbf!b_P(NL!3I~q(hvcJ=|k-o_Oi9 zVchNz@ud-QAXz2}x;x_3=S9#{=%PcNZWFl~BJK>w;KG%NxM8@F4!b~lZp$awjUZi* z%wB%!&|$o2pp{{F&h9nfj2SpSbe7XNNomuly9Z$8 zXW&u1GIZ5or%DvuRSETU19j6eG3a;AU?%|mb|2!LC>cv*49>`xBqxr1=MHhIk?->% z&PmiV)T!(O6ZLYjcl?QS4I3)C9v+HZ+^(gz4&XEBY|N%Yx!#Mh*;E#m+mvbH zk3di$h%^sEnkJ_JA2cm)J>&eVujA8 z8&oGDEsB{m_vT_@e}GG%L(}L)+fQ}c7lt`H!YK=yeYjJ0oV(JjGja{RZz}0DuAlc9 zp-yA%d9R!5G*ky^m=XsA-8ysQy6IGUkQP1-ha!leo$EkHN`antD=7s&K*7)FYRVr*_)eeVN%x)fJG;clqt zG_HQa-F?w%TzzlzPN#8YWy>WPs4QZME|es_Fv!zMnCp*|7*@r&{`f$qnZ|uSM*@FI z9ZPRIf>u)bTc@yo9UJL1u5A*JqeCZ^omrqp5}{iU#xTQ{F%5o@bGgH)E-8J<-M-Xm z(s|sYy*iESkN0#ez+C^NJNwu9xUzVSesc}pbC`dOlUKx2T{J10dx)o(2%fbmbUvn+9b zj0B&%w@f?+CB(wEVq#DNULLYg^M^5!rVSi}QaF!@0QF4AK2k1aQbUs@&jeDn~lk?CRn{wCg8wW<*3>PKv$ z{8di8_^E>w1tiYZhv%|{a`mI`^1jZ;l|{{zt*}HFNt~`6>NQTB>mPIXlXX$9f6P6k zpwqbiF?aJ&r*ZvbwkH6)aMGPAsB8(YZ4Adj#A;cfMuN%I35R(|6087kJysWG1;lNK zkzfVHZCA)&7LCQ-#VB2t72v(*t<$)+_#ju-YFVla2V>E&EF4xs+&!zWi?b5EuP^E} zR)Ti`Mx~)>*n1^GC$SO|wi8NnjgvSHD2hp-ltzMJ;NLEi=`bhGX18vVm4L~cX$AbT zQH*?+Wh7Y%-nSk!6jlQ65?f`tws>PkL1Bq5lqB7IxYKWHlB@1LsH7Qj)x8^JDh=6C zb<0)oXEM-+7OoVk9uL`#n1WQ?N!ND50yPFqWEzfe`b|rr(s&`w0?tp!V1U+(VHyJ} zjWnyDr%>s*Hzm>eQ0aKcZsHWgB29+l$|9ENf=E%f;ZQ^hmB#xZRw#p{aMGRDDBir3 zjHg1ZO7mhZ0tc(x%V*SaGumv7G}jZ4->Sx7ff@t853!vwq`A^jyIZ8W(m8%1&6UQ0 zVKL`Q$K2IJ4VEh%vm=Bw*Aq{zWV;GWb%CU5(FmvS6toy-WoCtVh=(pr!;FMj7v6fB zh>UPphwtf`t_>e8<}^zekr3-59>!&slbp(qE`Ugg4mlDQ5ecy-Y-bP&u_kP15DBp+ zpdLdLxDqdEW)nr^QhD6Hj-a8kCNLx1dy>|;OO>tI1h?!sUs^C^qg5o+nvnv5>x>r!&H9D8&Uk~tO5-|v zD|H$dx4yT`rqj62N%yR#O5?`H7r%~9Bi!;RLPN(PPzQ^BPN_5iLp@FJf$MpkEk^53hY7GejUgJM4}f8MB+6}@wrj%?9KJVbM!S(H z*V^{I5e}S?W((w6dvAVeTvAWCChiJFEYU@QeVt>RzSFQUz@^+BIbBL>ZCgQ9YVFP= zRX%{ghsvz}$WaFo9Q$o9Gv0UzSX@rIK#;fG0bkAAx~q## z-Q3sNxYqWp4qvF}GN}P}aD0Z1PD_9MkV(Q@uh*Qo+IIL5 z;kYP~bT?^qKCZSseS2pB>W~jR5tJNpx-9<+wfI~CX((**| zA$o7QTj%4ddoQ)=G_EY-FdBNA)2)*S0n0aZtkZ88hh&%&TDnMbz0myy6e4uR@fGLeIBMbM#apYUjd2WyEg;JbI0i$SW&9ijVe`l8 zyc~8R&9dVZR}4>N$~egaT?lb1Jl^Ryg+nlG^4J(qN$h1>?ZF{fGGt9j@X)HHS@MvC z8e32~>cU9Ie!6>Sh|>tLtG*csS~&oN6S#7$UYgX7JE)|x_4q(N_>>g9q06$$iqR{dn{PxXRc{$0>y&?!a>s+M4{KPw_dLb zqHYM6O!H*lkB~%MVnrtw=1LErfU5Hx9^ry%bvz2-odB~=EF8-sMlE>^hybIRgqk7A zY%})x2mr(bKsaP6;W7FK!YA^SJ>p%6mKw14N0Nz$oj)eUrk(NZ97(3huD$&`&=Z+fLPIq zWhvot8h;Y1&JI6f2ZHSHBM65KmGY!G;$1%y1+lk>@3W+HJ(JdG#oj%VOyV5XNlry4 z7UoJXJK5QvZ0uf`dS#c5B-6@x<{YsHKb|;ad&7joP8yGdYm;JOuJnV(qvq_d@vN?C zm+Y>w7h*9%HL>eq8gvrXM3R{cnZn*zsiflUJEQ1KQgariWT)sS$Zln~4XRlu_S$&L z$rQv+8%ZWYd2Q967PHI7K8tD3?4_e&I83Z~7Gp7=#kukyJeB2hMZKHR3NTmHb}sC& zqgd!Nj2tOgd^2USyGAAxB)iRMI4wbLMJJY}gr}+LGze#J9fk8T*>X+o$uQ4UqgXCC zb8$`WuE4WnyftU`jx^T|i-vCHS)41s*Xd5bV47=c$8Iu%9X`Vjt8U~n-JN!0M7Q;;uEloM&sLJpv@FFKXza1&6-|Pj!0HInP!W z(Y#66CtG|5meNN~cDhi*7AGI~J+ahC8z(vVsCt+-O+sKD1yr8tVQn!kDRjYPr(@eN zCV`W&4?FhCZiRW+e+kLYP7%%x=r~fx3hYJoQ=EL^-KHQ? zM{B0R#X$;8jq{~wM8>IW;Xh$pX-g0cG8-=-2TzEN^*v5OO|eh!bt+CmDeSMC>fmh* z?0<)qY=}{^q2pg5`*1FVN@DZc^RS$RT852roRd&ZO!hp7#!09rc5g%U;&iO0J~quM z?Zmv4fEcZAMC*Sy-SK04^~f2{fGRiMII~3_m+mJ`gN}){jcHCr7sx!oAkoQ9;5y_| zr(hm(vbTu2)2VDO_7dK3noVX;;T>x9PIn*m>ZULuFiEGPf#{bsJ`rxw>h0jL9Z*bula0 zag)=%REf;uiO^Hc=o_psz>z((G}aJ?&T=ZwaO3wZC$4kaUCPQPqt4j--3KM=hGgCL z+1%^{LU>hc!6K&{@W_r?mP(UbG#)e{?_t43X(RJ8p`p-=_ete0i?!JtWpUJv-IQE( z90DUbSBJa;gJcFxmoCDVy#kR{Sd^+-HNX!2>Ny_AwhZl>?Npxb#%x)ftQc>ZA)CyK zL8O)olof+gAOkH1DoSHklO>b5B!b zlUWgX(jW(oY^5cz;Hq1Xdv3N&jQ($;Hf|_~BX+Q$1!qDz`xvooqj@V2Sstu~r0X%V z$*hH>8`gNqkbwBsvnAP4%Mz*g*CN!R&h%d%FI?tOu5GHWI2di!iL zn}N4CoK0p0$kT+bGmwoogHGe77zo*1ygl7)E;bju0h-eiytWfd@6$jW@?+G@?R8*{ zxIUK$hx^!a;J!gE^PF@?k;1t3~G$m$^X*}pR;(@DPTZglT{G*=4xkT?T4owSb*6_ zGBklx+quof(+{l2@`GoQ6Ik3X(lKgGisva0bTJf|0w4 z;*p^k%mQ-lSS=eNY`yM$M36k3AjZVs-P*$Qa9Vru3N(L_)4if!z3j+omVAgD#}jGo zOs9W=`hkxpY{JK==3U^lr#(7R++`AFqC}UAhx-iw#?*yS!!)rV1SwHFA9qRubo+diXr1R& zbdwD5^rnnB&Z0)oc8hdgAVTIZaGGi2y-qnz=o4sP22Gnq63`~)oukSW(Elw!zrOqu z)c)*+&MEZXO-^}L7?%hn>dq;bpm)4-pDl#VV-0qpQ;r8$b}e+OxMi?P&Pio-;U$iP zi;D91noBT4M6c@6UHBTV7ZWf@iiGK&a}}Qaws<>o-6dcK+rwJ9Feu=O1uuv*H~vcd zm`k1R^u(pkA*DGQOw`kv=RxJ6%bdkjdOxPWJ1#@@#-8u^J7b1dFNaxq79F_U={~~t zNK8+$k-&xJ*lV<2PGZ9y?S~MdQDDY+lh`Z9XxEdTx*XSE>o0Huel7{{-X5#hH<%Eo zc_>MtMNaz)d$3_F>G~x71-iqIFejW;bm$vL>R9B#RC=KkpidV$9eUW~G-k2N(2{YS zc3A9m8<;aY;SA!3yrT^s#uyL|SPX=xFLny><{bo8(Z!3M-t>Qv)-lFS2MCD-ZAGpg zxyoTW*BvhYPY9+j7CROBFh;zhPN6HD?(mqxvGEFUeE%ZNk!zcb&{||@$*l_dq)2qv zOFg7a;0DND@;vu5l=nEy6IEB=22?VT~=lE&H5~l<18%SZOBZWx; z{_cKEt_eW93?#LWmt+DI@2$3a2${eTuozUj1a??*6^wuJe8=CODKXlx1PdV3uW|}{ z*kd%7G3w>4d@2^9Ypz0D=5`IVq+r2Okxih>h(&V_2y~@(S7UK&+5*Slk@K*0goHz` zcDfyz6Cbb;SQKZlVYHJo6e<*bY50p;wR)uhXh)oWV5Y613c(*PwsdQ8VX+ za(RUZcWkb8y5YcMj+4M864qVXeU{4u$pkKmRj6s#La9=@<=3Ke{VzqEF*!z~nex}Q zPWv9YUI{0{72h=Zm+q$uOo!IeaIa%Q$NfaH^o2_yp!_m47;dNt1FXSpM~0?-1;|AS z@8YQkoC`wj-n~$Z0JVGfK`jDo9s5>ZhiJVHIDWm%@j1AwqH2;em&URew-T+n4l3An z9lT_YLl8R7%Y*K)*xc?fbQX)lwBhT~iXUI@_~`2EosPL306E3L(+JnrT@Pi&`5%eq z@WDj+8}|!81%(R=^97I5a0e+G3u4 z-3?9;w7^67rvQzDrMrmGsHC!cUOT>VgVwL2o;PBh^6tfoVf*%M9e9l428^*$T5uzV z8CE+MQ&~nnR~g4u@OO9Gexp;~9wRG@yvgwwqog-@;~dr8E1WQ6WaOhTmNVH2%dO2>0CrgA#4QOgXVY)@pHPdns@g$|^1PxU=GzpRG9z zUf*ZMq4|IhlO*8_`#DZhOzxNv>j_8j1v%#sOw>J{V`i1 zT*BUk!1twV9bac$+>+5B+2i!xZBA9sT;l_oJs>iq$+_LBIxyF&IfDpv4^VhLfhjQ> zbvs_sfHj!_GJ|l7;J&38FV@_S$>CeKJNZ3x##6phrUYX^-NS-&JsW3Gg7?LYF^_zA zXzk+zztXs63<%9(Ai@0OO@tp;U5CMh`6Xz@9nkVycQ_q$2h0dOy#nN(>h&rLSFb54 zDq8Mzugo1wQI;>!2A4a%yTSyr095*f>z(?+{3mxh?+m`ho~;{8LV2?t+oyD|Pr=j2s_uqS<$$3)J52R7fX_V5%ehz@U!) zNshl0Zs&>quv^U~%P4R+=2Ua<1`qCa5ex%E?*@VsSi%g@uo1}l3b+H~3$=>h>bt@3 z)0+Y9`@5Zv7*a~_(e=hAGXJQeUH52MQMi!ZS#nx_NasVmim6pEy73+ie%o&WI$#rY z*be1v-PxMbl$fV~#%MKBt;i z-=;+8(IfXc`R-8#qa{pS0f1J#m(p0|13Nv3IGnsx^=-*LZlA~GNL05bE8 zy_*nC&n^i`I&nF2U+{qB4m^k$pygqwvH~62^vs+W)9xWFkoVLFopIp&-v{wGbFLI3 zJduSXN&QwLL+l~R{O&`5!Hm1a>Mgz$d>-alvkKu%@x#tZ;QQFaz<||^a@@$2#>uj! z!3)uGitj|`A0Bp2M&=tI(bWS8Xx#>Z(4W4(6CmDvL~1u{jRsMc;HJ&;MbC-QJ9i=H z{c9xWF>BqNay8Y<3Ac*I*l;&;Ubfa5Pe-i7qR60in4Dq>sl1zz&E*$Kh|}tOP+-zJ zL0tSOSaa@5A(}WiAx_udi_9Y)6&&Ak=3jQhATU8JDCG=hfdtL}0NT6iJ}9L3V^ZRk z$57&=+nw?Xp0B|SJ?z{Aq1e^n{?}uo>AN21y#LVLyXyIjQ9un2WA6w1j~^HI^PX@* z3ccn6 zA$_QViDs|h-$}amVdNe4w38m0W=ah{V$AAs$To~fBqwc#TSr`%m zM%|a2%ycwH6vL}DjuvHNs83Ocb+Cod28V4dmb23YrLx(BkQ*)u0hR(+-v+w?J^6wM zu94*eW~W7;Zh~&+K?0%#HeuS1ze|noBFtj+t&0TtiZ^4;g3p$Chmf+h0@EZNiVTh% z!^sVsgc}A_Tr66!5pzQPg{dZMKm-?=A!3?JR>8%*_b7Y-bdXoTa}^wNqGLBYWteS^ z+2C|5;0Xinw5c@ALM06*g{Rgeje9EIHS=Z#gLtZr!3iG&I(t?LD%ADv#4(60>kxUDBI9-(-E=pyqAp5BGP9?Ba(U2Fp z)8cjy!*cl-Ay7o!tM~|8A=$yo@cZR1&(op}PsanaHj9LM8ZNRPgv zuylrgDxVbnl+u+iK}kbjaC&s%gJ~fZ_@Xc(T#c$T?RrVN)9&{HbJojF5%1>Vu$*d< zzg~8fK+M2PUvYwY>|bferB3;>tzeC6byku&BkK06l3Yamy8u>&bH}=VNGU}QPmWX* z?@B5tqh4`J+ahoVt{!y$D^BOO7{=sBr&sw0zJm1vf=Omeo3;RI;59TaXdUQ-r*QaW z!d8rnoL0>3i(N6cbR3;$9(^zm#y>%BMA(T~N5gAQk?c{1=ts5|`OUomS>Ht??|Pl5 zIjJqR6$-pVqPzx=wFb^f!Tnk)e?U$F8?972;G1yaDsYlJD+UF*9Mj zaHRm?hj~qZ7E>Q6Tvp#u6pKGBONz~sVpfsXY0N z;nJwC5XexRtKu&0nWpcsDlLS=*dqsa=XwxPL!8+38%!aRtuGNEayQBcNUK_%w_HbHR3ajpOF{yHemC zr&w6Fjr66=Ht-*f!>DZ$g8XBH_O{!1!Z_~dGo%SB+3EC@u7>3&=o#D35h~pYEBFuS zRQfu~bM`{+jmUz{&Fz?rj@uE^K|k=b5B~l*}=_ZO)AKP=ZG(R3$cxt#2o^)z*07I#Bh!u3XW{rVPxPYNXv$a8v_xxes4(@aEO~Y7~v& z^ts|u@*Xq}J>s&9coposz2|i1Q5oX`yEOhu*S^OtLR_rMz-z%MqM%6$s3Jm{Q~--C z@1WbVq_*MzN7$Rk`+k&lp0AtSB?(#X&CShCLhe1kljVjGAZK6L(=1}6Y@&{>j3gl- zDi8$3vHRr@5E>orCfJa2oTh6tqd4KA= z{J!=1oSC`#Bj-G)>RVM$J@xGM)YWC*|Go5Ju<)=y97bnebgU<$`&4zxk`i~%%|N0R=%?8tYF!zCcF@FW>kGtPxj@?8{3&x^z}Kawilv|0p30 zEDQK>{mVPAogLcGuehFn9|chZL6ytbf|%QWn~B^lnR3fLOJ|-Ve^`wipquc5;zyTG z$g9r(YgiolQeOR;rDJE}C9DS<0{O(!MTe^OfV{b#cKpJMCFnju4W+g6V;@^O&i@|v zCxk;ZL^C$R%e1RWu231WRg`)!-yk{Igcsiv?CgZO>vj=GghcN3j^Rm3l z{ygp;NL{0xwtID1{^ZgzHtvysHVl8>C%e;6RTDxi3||>Puk3bnsf*@n2ww%go8{~~ zk)T`U8va*B9%YK^+72+}Mn&WW5dE9}>+pHTJ$SGp0lTB$i~ZelVMwpOYw5x>J7VEd zNd5JUYSM136A=?~-}Wi`Yb~<*?~(-~I_AE{^?wU*(${gH?jw$Px=hzEesCD~@hh|a zXLc0EO`Gn^)pA8{mFxKs)g2{Q_>IrjC=E4HP?hfLF(3x4U)a>Qd=QSl@>!1mZP(5& zEH^xAc7FNJ4*{#hsF5JS&GJ2Wb(E*CVsO3vGoqxMkMl>w+1ow?)yXk};`_kIU_j5k zd+9*kY4Q-kE`yR$2nB5Y;X;^ ze(83;#2#x%j?L3Qy>#gr)v%^;ONZ3MpItiGsS#v!)$v|+H|qcCpXLBu_Pb#H-2Zbp z1zH`wMep?HlP9H9X>HY9W1T`~dDV-LAK1^TyXjOL30!^xf<1gMr{i%ysXr?fp^nB< z_aEnz;^c#UwORw*D(5J;XDE_YF`}b49rNg7fU~T-~jUh%= z*EW#Kp@Vg4NEU`H@4XiV4v{X+vOJ!Bg3EBbq8xcUs9Y;={ut8tehph!2El!Xb}RQH zqG1sI8k8200Cl=vVG!aT?`- zl$ez=Z1^EjIlsT^GyS%dn?H{_K{&R4@x1pOKgh!SO)S6qd890zdjdOHbu8)t*AdGj zK96KL|Lw<*mMiaDI$ZwZ6^Ti&=d1@B@65BcgVd%23sRp(FVR)vpS5oV1mnITH^hK-EhyZg-{5U(e}+^Aeu0yJ8BT=_$f7PRPxuUn>#eM)TLt!S_wl+6 zq??u`Um9PjCqM{1gMmb#>>wX5uUefQ*w1ej+ZUJL`w|;k9RlQ{erf42lC_TX?Vn{N zaCfkL>Py`L(n#eSG(T-vQzZa2VEu&y{GR)kPS&#lPal`b_Enn$XPH(~amIMxcTb11 zgZs7haP;e+xk0>+JUb@F<<|KOCMG~ z^|ht*ZvAT}bK)CIXB_U+f9cYVTz*wu4*t6nhs*7^UwWWC_3xH`OetOa_RWqS?vwg< zhbKbIH-C-BU-|x%hj0DJrB{`w{Jq+elv3OF3m7VVmVJLm+gH4YTNiJ==hCN@m;8^V zwbKyswQ+ybZFj4`rc*wy#((+clZVSW>$8WKGroT5x0R>dkLWmi-|URTefB>!CHi%K z^zUi@k=MdGKlBevua`YmKilWx)2?6KZ~PD1)y2K@>r2;{A5(z3ezDJZ;f;olILjOU zftFfXdG5t04wM&tW9ez-OLPWCL`4h86Y%TE745^x0fHailCgoIZCgpI9zG zck;w#N9&HQu7B^hJmU#ZyzUA0nTYb1zh&D*4@W4T)6oNOpVc4A<=@~#TYr1$k;~TW zE=wQkzOi}rbIy9~W6$CZ3y~d}X|VDWv!i^YI}DV=>{0R!ed8A|njJ3hTAv-)a2h>-%xVW}`_6u+PfdLuy2&}*kKUsSY80atj zl-Ha_&tJIt#PQRK9hKXE;u1l?x}L!kJIwqP}Gs( zVlCXTCyU=y(@GYv`WaU8v0pm(@^Z_w&*k33cbvOieg^WQQ@?!5t#sdi=6*WW<-h9< z(8aX}XUpaLZaDX{@~y`XKeW6EN;|UM^Jyww_3J+K0G(cW+liy)O>aGMdAat);cLoM zATXNt3*8>>%YRl)|K%C83(9Z3?!3#(-G^omD@(rx@$G{Kj%@dL+;+G7TW#gN2WiiG zkCr=s`@|K?H*Rt_^7YqPv zxqQ5SvA<+P`yMSne26brKJX5(GW#PYeE%<>ciFjhlh@WN5n~Sj=07f7ab&p8NbdnZ zXV4qYaJYQp&rh7{UhUZ2dh@e*q-MJp3cK5V-G_4KnKXX)<4+!bkS-I}0k(H;eaHSQ z-hBUz#r8sBcc@iaenIUoe9Vc%lC_V2^Zx!-5Po^-y(dnU@BY;OS@|Vs?8xw-0$qE$ zc+^>R6XwGb?y2XTyrP^7EzxVBmj32)dB=n3_4)_E#)s~OT7LYT;dMy*-F4B)CzpS7`iv9V z^NgX2RQctzHQ5J21b1I_^78UM7Z2U=aQ*C640r8*bsK1>PxC6TJ%?`Jv~PA;5sCL+ zeW*tdzr1gkvHnt>`Z6M99QTNM%()s%h*7({51uNIedNjEDR|!X{ci`aEPwV-d0h7Q z57528r%GOOPc2_j_ZNJ)>s}l095^&Qe1s46*r8M9zrE)m*MSbI`+%T6=3VYMkM6I0 z_sJu8^{#u)$tR!DKkZAz;6+UN+0f#Vq3ql4PG2>keDE;6;3IX;G(1(%D~CDbh=c>xTO-v2aa4(UJGR&+3Cwx^0YyZou5BSFR11Sy4@YOoV@bPO5;1*yqI+4 zjVCW#zW&CQ8*f^F{@U|zxRGK*H{7^><4rei?c7K-nMmIH%8i@lqO&+TT+`^J%23Uw z{MZG2_}HUP9yxvZ*6#H;ZF108aa=rabMwZX>+yf?-mv<-=W#E1bK`kCwL}eS2RXIh z`;2e-_9wjLMK`?g#n-?5g)ez=`L#MmU(t$0@}6sb(=o;nmXCH_p8Sdz-`Jm0@^U}@ zN9SKz?!V*wVEL>>cm*4oq$rtLw_s{+pOyCCS{>X3#g9n>>2j*{y>>{X!LA*eY*U?kTBf`6!&E~$`4&c_pe@to>E^V%U8tfbF=m3 z;=ib0=(J2Q&=*@NFS(d62!Z{RgTvFt9qYimU0(|1b<^eYPR_xRoxZZc?oO|*O1`<>>6# z;X%FL>DBA<*pqyOw+xP*PudyOIJ_J{V)91$?h6=qP@IXvbt3y?eU}T)w+AscNU6Hw z80U*CFx~kYYTT9YqC87|SYc7kRB8QxQd|eRG1<&pNK=gZjKXsLvl#^Y>pdJGU$FUN2nNvPLRs z_VkNtpPs=Dl~&3(Ez{@A-??=D*^`2>>*c8z&#pW&+|B1UMt{`aJG4GWRoc1>hxgimCeie_>;b8>F8MRmb`)|Ke)E-_k6JP$~)9nYn@bG+b&PIRE-B!c_>s> zF28(O=`*gF?cXm&xhpgDc)f9l`P6UHpcemcYENyPFzgC|sA2~aIL5(F16Vnw_d`@M3ro%L>eNc!W zbc#+-{x#f3x+<&ujSs&L%k+0kXv#ZJr{uZ06UIIM#r*XIcn_KAeABQg8($%F`{eDhebZNP8 zL+bEB1)`b$`A~p{=#^sq)UW&UhtPiWXX>kA?aImN-6u!a&tBF|uh)|4JE+O;P+u+o z_;6QZL>te};M%zr+R(7Q!mOWqYR5lum69xvmA_I7(ZAAlvqK-&5;f z{2+K3lAmk3_1R=Vi+$YN57YZc)Un6LQyxl3rM%WeWU049^q^+gZk88AU7BI973-%S zF?XozPsqoh{Muu+J>HY?1Ik-14_q<3qIT~ngYJD5it=68&|SDWriRmw$|PJXKOlO$ z>zdjA^15qg=aF{G&lMvVoUW_Ax4j?Cli5|X#gqW{SG3nieZeyQ)Dy`I9!AGEE9gCY z0r3H{369qM8@y%T2YuE~Bbf|3@fX$Uo|^VSqRZ)Qhl2Gd%YCO{PQ5D37~4HEUh(j5 zj7t=*KZofkj(a-J-tx+;W~YwyN-!M;E%@R`(BTz#5@N;wHHO=6eaP%odEz5xhgt7? z)#4XFv2+QJ*!A*^dzOyXnAlI!1a@5yCEpt~+<$g0t^dQLW(pswb6iPVsf|fdN-byN0hB=W>=oS zIv$?Y;qY9(#w0PLE;z{Hl`o;%)9<}zcE$Na5fw%o&dcwsbyXp%Mg5xh<9Dd`?%)QmsUQ?@j*1vs( z#_t~#0!@Q~dDWKsr>1pgFW}K0wy)KGjK^rWI8+|GO;@Q84;sZU67>UJ>k&D_`FZ#b zttC>A)n8SN>+J9VuoX4|74W|yNFWjY@SjmOK zW;t=kRvp_q*rhG^p~PF)W~VM3&(>;xwwNHfQ3?!lwjlk>%e&TQ z$I7c7IeWxGQ8ig)5d1Q>VYLRIu#Olz^r+dj2lyj*L-ok9tk!Y6A2hPaL4tf~eRkn- z%Io)sdad{8sC##{ko#pd7>^IQykTQTv5MYWq)C5OR6nhjTYlxzOUnbRv!#btNj7+j zpLo;D@Jg#m<%x|$kHn>?KKLkaoz0G%>g?{bu6_C|UjBnGeDO^$!(-f8;AdU?wCi8Q z@A}00yMN=cv*oMG@omx$@4jjF&rj*z*~TV0X8-K!v#$Ntmpt!@yvjLy$P*t)bzRB} z4Sy^@{`}cwFYhZKwY;MYuvd%oJ60jYa zNo~8W6?w1?R*M}Qj3nb|x3RlD58#$+99ie;RWVpC_us;{5kKA+H$`mm_J+hv?K|%% zji0IE0ZXdD1+4lo4OVNPw=mp4fi2t|EgjJXzlF5}|CDUVhSf=LgX~C)H5efbhfxb5 zP)(Tu@5{;L>Ynz$A-MVwjKOM{q=jK=#WqnlZ$Rsa!8NLeH51fnS{MK7zBlg%{E zcJyQrHKbO51LMzK^}k6wI0qLw#t+u-30D2kO*^Gc*!R}vAX=V`X+v;T^<0C2uV`1V zuUfl$)1`rJceSAnR^KVD_#e(YWe`{78Jad+Cr4bO$Y9(c4H)%416ChOX!=rBSK3_< zyR`CS?v_@~%Nmw_Uz{DC6SVd5c@@;@i5nNSn0@;R-tSqA)el7-aYHz{YU^@hT3;jr zFkxsw&^l*ICU)C!m$MtNRY`Jd2QC9vs~0!z*4FBacmu3EA*&?5+91fb`ZUSVQ2$3I zty;9fkZkS1w5zpDtR0b&Xg9AR8f&;CH+~DFW?yt(CxtfJtt*^qeQQx^gViWz#s7eQ z4CGn`(i+xBTUyu#QqKn=V>%{7;(bhpyIxb8kpaMYF4x}-EpF^cTWrVTSQ|Z|@sfQR z?I?&FFdpOzSdEL?7&}#mn9?GH)E6DvhJ?0af_i4f2jPLqnBW$b4x`<+{Pe>ZJuQ;k z9otXHFgkCqhG1Xau8+SCAFN-dR=A%2X<^%-+F`46v`ho8fP~2m^^x^8?(W+MfmI*c zbdYuQLpihz#)}jI<0&t}SpPtabgoYrw4Jwj4#hizLH{7!Gupue9jogcl}0LkKcjK6 zzLv*q23}v^kPU0FTAa^dq2BPh8kB3>k>Pz0^v)NIoY+1t_4!b!aIr=nq_Xoy-x~I5 zhuW@=>lU^Hb7PDty;BN+atl824i63mjN1AEBOlsf#Gqnv)ZCezi%Rb*7VoDSShcE~ zS%a7$J94xFgFKMtdLoKJoF8CwhPGLg*rkdG(}6JpYc!;RA>_<*k!KJoVtw(DhwjLm zY@O~np?5lOx$+2XTmDlUxMnJ~FoeFLTGpV8QeYd6?pX29Ef6O-8g47zXM+&Va~R9B zeP7|tlIBq9Bh;Q&B)$FK+UDFTn1-ntbH2mE6T68Ia>QeT>!}$tm)<^@kL(6pb~9ut zuZ1NRbjQP2!Y$#L3i6>5(Pwt`lv}LvN^@X*vpy8twxhJ5 z(=jO%%P)cJ@akJL4Lb5VpEu`xg#NS6J1uT+32`p9fl+gEx>OkJCRTu^-f*2w!uUqI zaIvsb))B_OZeaBp#p$|%)wrR-YPqff)^VLJSfH~uJ-}ug$vrn{(pIts2^j`ym&}B> z&D{1QRdQY<`onDWf4$Kh@&#B3tZm+i^vOt)`((&laa)Z-3$^NSD`mGg!|Xv^ZMbKF znS|~tdEp!jSPIV_9&edWQ23`|edT+K1q#=%_)37S`btnfYkpeO7rksW`g`k?8%tc^ zYPW6$r^(!<13*&Iydldo3SQwUA4RpN0|Uck4OsQ8S-bk^FR&@s;SsbcYSd$Gx8J9Q zG6W1p>w^$Qjn$EF8mFGUZs-r*)z; zxqvHSmK->DjHAZ?ZPosE#wJQL*FCw;d=PNSo5 zN91RHcMmL(5f!WB0c4k}>+qv&hkECjfmr(!F_AL6^-aqKYWN8!vQsrM-j1~oZlDPp z_0ukCVPnNZ8Q_0|L`yrLd=47%LGW~DJ8bZ1M?@!JTT1$97Y73;@?}6Gx`9I8^bI1! zL^bqtO6o(i(?Njk2G;|ouyJiSM1F7@;KOPs0}Pk6!`NaUcn9Z~ZOI0-HSF=o;E0^L zrim{T-Og5r-Jep7QqYdp4XQx9Hx*cDCQP~JeKeTaMK$rr4-Ii)*^0UTtAtVOOsKbo zaicPvF~B15w#lQT3p(l|Kdg2=;##~sA2Bgt^-ZDaWPsJjeOegO*tU~9gPYC+Hs`3R z?$;onIlMcBCTjXvGYI72jD{yV!uJadiZg5x1C(JY{x?cM8rD}rrZ8eqlpbw61FMf1 zTDz?{ImEyGl+d?6lh*g0*Ko{=bO@UvFvOS-Oq|TnN;{Af?T|15qxQYS=3F0(fw$^Y zmhI}cJcJ12g0tGm0fu$%gXnrm!0H3`jh6vMfU?Zqa65dPw4(|~w8JMDu$M?3~M5gb&@w5u%D|#v`_GveRS7_f62iVx% zbd8tqHIcM_j9vj@{R4X>Ef8zOX3b@LXEZR2?J$7||G0XEt+^GE4;=Ij0W&@!X7q1E z7e{f+^?qod?38wbz&OYb!@Fek!S!(LW@|?*Z0-Vq5=~qXu#E29dtT`BVMt?-N(7fjx^Co+5-!F z3Rq4Cdt;BjJ5xiVGJ#Fu6hpSdEKep|*R;S!Ye?x@Lc^2Lp zqG|`#?4WqY`Q%k-+ol!dr^{YoSmx~*z;L?@)-Zu}-u8-yVWrYJ6air25Lgiz8EpYu zYbM>{pL1*kLc`Rdi7y2YCcYFBhFDUFMT#IKH``pFhdT)Bcb5eTTEUT&wB=_OSVp9E z+0xE78xJ3?m|idefUOs{qY&Khz9n1r8m9g{oE-XQY8c^1X9CzI*wNGP3IF6>xvmY2 zkj1oNZD)SU3Jc#0eM1ci;X#*9FK5nusE@T0{7u&_RXZMX)qgPBzM*a!Odm-n(klNOj|8EtL_em03mDo(e2YyAHHY0?brTC1+QBfH-UtMUB0K=Q6h2_7 z%7QLGCA7nP=zUp;(F|mSf6dy>iOPj4OUkhm5}oVy_bIA@)jNzWjNAvK8E7@Lk+v|m zX;<+-vQdb-WsiIs#ljthz(NlIR&SHFlfgq4SjD-#z(Q{XqPw_a$7#x3Y65>A*QDJ} zPI?moYN*Bu?VNCBiv$<9qlw*xu?`GNE-GU_KQlBTDu2?XiwDQedlaj;_p0c)0 zLYFfi#HX7?(mOr2vLy@}s)32AXnrGBDfUq>8ygI6rgp4H}umCh291s z4`ZrUYcQBH5le4J&}oAHy8kpJeLfn7!;atx9yV_W-;2KJ-!VZrx-ldudSjjqyV4U4 zy0!^EL}$3IZ{3crO4$Ta=bIG^GpO^uk7l9&0Stu_7!Ta}2c>HVY}2_Y@(-GecD1g? zVsY$0sw1ugZ%9tBqjhIDBK^1%L*}ojQj-k~Y!5C94YGbsW+XxyW~3i??m}Yq!ijXt zaGj&@h9`ocE8>t${0qJQC!kTL8gBU! z0~SFdU?F-rB#{aVowosCO$H|%=>YAXK$50I38{~#|2 z8y09s(m}NIi;7SeCD?<1aI_~2zE(3OiFYNU$4YygH0;}z>)xvTOdLHvJ~hLPxA55= zw_i~{iPc$OLej1x(60B;P4I($PdZ`ui8*~S75tdgJRK5GcRKB=T#8psJ)C`G_9{MrdZ z*|D9X_F$YO0Cn5M`WJ(6B8^NCTjWgnAh>z#u+Wa1bI~q&8j+Jm{S^Lnh0^s;V3F*F z9E>YIl8x?C09I=uHW*Y8)3%eq@Y>udSU@)kl$PRpDzI=X0>eHxETBM!RKPUvdD=p0 zrgJS|(##r{uroRmpvSzAaqBRuJkx@hZR8yQvs*XdA7IKT9E)x;(r(Lb7N|et$~$}L zE3mn6G4CMILuuv)YZ%G!T;GxvqVSFxU?d*5=m$o`K47&(qcFWtns<xdQNn`jpb$LAJ#z-m!DEoD5txH^K#!n6JI zI#;`Jyv)#Mo6g`Z2HB2_8<-2_?Z1S;#}^UvM7TR_n|shkf!i!HSQ!e8Qzc4LN;O8P z44}NbET1#SlVfLNn@a?K{*dz+Y%K!Gl#l#9?l?q3K0G55N(8GeJxQGb`)KmGMQso$ z-VG3f@%4GZDe@uO=u4Jg_zmT4m}+1epC?k_bapm?5ejW51B{HjfNcgZ*2uOHs`?Na zY5M}30}WureQ3Dp*H(ayx$Of6f#C&;?`48N5r&Qzv;IYAgpchp8Z22C{z0zWWIG}r z(Jsw?5ZKtF9sZR??VTCdP%T|EBofj6V1FDrw~Xl?D-M{g;-+E6ftK z1>@>yGX@D=1VBW66Ql{~rm0{gKznDv?JfX7P_z^zSS?(u1@ue;*JYb@sqx9|4zW+3 zGc2_|AA-4fTSb#1ZK|ChiOFuA$2u3!Oclj`ao%YQZ*xPz_D-A;vS2s=i@`l>)X@LF6;p)X}_ye|s99Y~Vdhg8-D z{{$Gk5?Js`Wx~530~pGa4@^>MY!gYo&EnvndIju#?}C3KBVzJ^f_65xJ!r%yYWdX` zL3pL(d)Qz0Ju<;NU3$U_P-#$2Tdb)TOf5w@8ALE*^v%KrYr|+aZ;J{X^dxL&9Hp@ZS- zF)ZjVL_^5xi|5F(r3B!XwQzqLytYIr*ai+v8${Q}eRaxQ^9kadGTWICk|L3PJ^KmH zjDFQEZe#@lMtL2CPXr@?xyr+7md(^G1Q<@8cHafF>odZ?QfXTh3P$E&d@$}Z(+)RL zw2P!*a(Uu%F0jmc1Gon#23;-snPB%=!GyR3o8Bs@mkQ+84aNn_9-KO(1BZrqKW!yw z4j~r6wZ{eN8*UF|Kj+TB$1b;9GKYk@YT7at!TPcr6G^QqY5Bp3luPMw>ln@jGxW)j zBj{028b(iEmwYlxx9u`t&E)SAgO4^+hU8#l}LD=-f9GgafOO)=W16 z7?~=}Q+qR*5LLafodM=$gQSMk%J1z5xe60~apD_-NWRA!-)^Ct#%WJF3yRTbfbdA&YO+O+yy|@suLh%_c zM2w=k7XiThY}1aLbS-hQA4EKS5arMN1j&1L8HJOWa7Y_m;)9qIz7muH+jq6Ak3~$5 zC%N~E3EhHo0Xqz;uP!KS+4LRe*3msJpepjP&hPGN^Bp%941n z5H(4M!ahiPsoNsDLv3mxeK#WNxk~PpM?E1V`Ax+CCM=kXba{@6srxArwZ>5-eBSOm ztAK)|uR<)t;`)p%s4Ft;MAo4$l6Mpw6IZL}rHNY2eq}OP7(T)I8Ud>o)ifkl>kZdJ zDN#E*4=d>_0gHlLT2MQzAa6&g7R*%qj}j0iFlSAywk0?|h=(dB$ha|ZoE-X+)V-K= zmyrb!*5xzABOkNI8x@m5*UY|Azm_qge%tCg$rYY+ff0$q?vufEh!taKwZtXr5B~-* zkItxFS6@%~AM`(fJnPyFtcHFO1{lHVmS%vFCDl$^Fzy2Q_uxkpo}%&95N~}n^sM?- z^7|b#f??xXU%WgqK}vVHdx^Epy>u!cj09<57~PH;6mp9jsvUWOJ{e*=jxAzd9sgkc zBl;<#W9MDQq1R`v2BXmjFo{JQn2V8?vPC;CMouTg_yBdQ)jOjS`j)^yjO(F}bE2jn z)b~iS4OUIf1alkFaCK`Q+6(trL*=3fnC&s0d9T6GJKkp-Snb?FD%hYWPV~Pjo*FEu zp%W%Bq*F`Pg2l}%V39Kfi~_0CG0Mt+nQ<+f5<^pHJrkxWcIPAv1eL*P@y~2jTFSpi zd5f4eR=oCo!UWVAh8vy9GjSO83*&=BwW*P+`5r#zX33S}n$#(cuZCM5>j&ln&{y=1x{%steR0QZNWPV1)b)MiNZ5J0^6DYmC^94@?GZ$Qe@cEIEk2Oxh=d z{Tj$6A!}-%XnZ=8S>#wCGbTD??s!B1S>{(z6rJ$2FKj*qU0W=0=>SKc2_LRcc#bF# z7Hnh!EU*A9umH>>mHJ*k!37-)4UxYDEUH`rizFDLK8S{9b$kyMvR#~Dt!Ccge-B5x z)c=-{)HxmL*uHUcrC+q$3H!$<>a2x*it++9j0AaL^*vH(Wf1+s*66Jtm0=R>oYEmT zPu~>!2j>}28ubP&(NMocPs7b}+fUBw-VeCxMBFaO2 zkE|YjZ+c3V!aO}eIzZTOq>*?bJ)w2mozYO+q>LqWBb&^a!?0mH@LqF*rgB>H2y61r?@{`u-6q=Mk+1aD5FzK?&=1=Ty%X5vPHm@T07G5#NmCWb zFsKrm&18gsfS$M`7y`sU$Q^qBAPG{Y84*18m|+>LW3nb`R+>8J1_;0BmczhuRuo zOxv-Aop0O9#zdHjgk9L95flw04-S}nW?=y6s(vzvJjKZ%J=1JI^{vU|75{_rsSt#R zY=9B=vel^~h);*Fgk&M2~I{9YG{0q7YS%#f&;(*nM;Kr1FftV0S{vJj2-wl5^%A)t{t!X1QzI9HQ6Z>;!H*a4p?wW z{Mb=y3|ROQ1oQMZV9jf19TUPa?njJO2ROXIsL zyC(-V1tz>NM1@Mp`UDA`+oVDG+D`fBFP)v5Hw73p7NI1j)qaCCB=;86j*A*`FuBzm zX8}fQ$e=Gt78;{D3#cHr4KyTAA|}JW1}x(A^o^Srf;BoV5uAP%|WjoveMX0TnWBUcrsnDr5%a9?I6ISs2yYkYP}r@ zY%w@zV8UP7(6bBFE>DRrCOWU6B&?f`&>K-ipA34HWu<{?WOF-1VAyB2O&ka~Lwqpm z4eQ_p5z1s8_{WejPeW>4#|J&d87xS`M!QIR#+Z+~L8zO-MU@qtY*ayhXQRaUgN>4v zA08XRA$g4VcpDLKKeQ$Ow~)@r&^^vESvZavvJ1j3gd;LE1uWb=ifD-AcJ{Uq1VUXT zM=MM!hz2z@+Ht`z+j*)!TSro+w^N;DckdF3rVfZtMg=(o#^W9Vi(+JaFT4pbO#IcB zl~!4G48oZh(bc(t=p3#HV7N?dGN|1_{p3hYJPe&J#MXJpSyw4xasEO4IKf)8h=rbE zc4G~gr&+NjbMBJ+2f4Hqe4eZE(_}6YEn*{|0M-R1pEL$$=nIIKN|>VmQ5i=G4P}E2 zz~FHBKSStXV20Qx{OZwVwyAL&erH_?&Rw^637k_V+0f4pdo-AKQG@_kdE=eZoud#D z3B&PPJFut(sQd15An{?vK~v(XlP5mo+P6h6!DHWPE-b`}g$ajDu|?2$ znygTn8x7+fBI-6{Hal{Oj`*qHmZ(Rx)4R^~d#rz?ZTwkr2==J3fG^LJ9MN&{VEbh75$u75_GNP6A15L%dY?7c zXDhw6Nw}e#c8W~%6ix$UGJMWYI5ZgYlKcf6E#4WwV+&&tT=|YxYNMoCjuX$f6BWkO zIAlNdTx6DitE8(9D&)AuWTLjJ3HV1)vlPPu-dD4qh5o2< z3$vjtxc9}q-C~e2@OJnX6K05)_{gA*`}9iZ9ksFk{ayy!s z=SztrPDdnv#0i6=Z*8_$KA240k^@FAaG({np*@Of7jKa%vpYNmIPk`NoKd+ZXC(Ag zU8r!vBRcf;Q=Swzbs<_|<|C=FFwRL+i}Q|iEhdc@8J_Yj zko$xMtNeXCLz2h2WZ{%9&yy3}=4N@{SCHt+sH^8?YBa^wyp&fu&EuTNc+#LCF@$jk~k zghrAl7A@LWPu5aM2(sBiB_U)zRZ=y+(*C=msnf|2tTnl&c5qQ!ox;w}M78O$Wd!e> zVyLYhp;-<@3Q9!Xzz9cd0dvPB!ocf)FlkclV}jhSsawbT7as(xzMrxseAc|x6`l;Z zheznJL()hfm8qGu@UPVDoFRRkrRXM-OLyoulqR@GXaTSa&u)kN5Pq_&BfB{?cXAa%8X)i6NtgRyIotni z?w|!(!~27TiNFLUVao-K6ekfiLrz?i|g2)E<{Lgs3JS<%N_LcwO7urn3K8EavwA<+;%a2Wu@8D ziR6VaQbo8Z08f+D<3u8h#-p)jT#mU4L4y_mV({TjWKb}_AW6sG?J~<|6$)~~~ zA|)n6Y}d~yT2$j$7yh9(3aj}b$ciA$yedTBCOqD4XFdgwMTH{bV{oxB6eV3|i4~J& zohw0b#RnVW>S-(9z|_r}3?ac-f;({CCRmk<=Q_7RK?v4|f)I>=?BZ5I1OK2V-Z~)# zHq9bR%!HK3@(qH+%^4a3UZhwE0&i>XdrWpy%v#w^z9nQgg-49Vg=0$DO}(QJNyZc zgpZG^AMLiwGx@}v3l6d2`RK0h*ZGkV%q>D-Lm|acqXOnPXh9P-6GcGMd`8uaTwxOo z92rvSjWB=_QES%-jNnkfP|gF^yos&x8H9RuMv=fs!tu^rE;4ML1!Gw|RpF;zMw1hV#Pk ze1f>@r{pR8(=a1d%73!XnDm4HVw^PTs4&%b5JHxrfYLV|20UgtcW%PfUEGfTLCiTH zM4a2`1F9Zww=O0KESba@J6t$o2v~bHJ}N=08n;abn4c2bMIy3b?qUE2yPJLw`~z(F zge?IU!E(U{Svt@RFfOM|KUn*sJ_W2vNz|!B2y^~JVi0AC&RiGrcIZ-`P(>;$j(Hzg zF_nOg8qcT{AXjjKdP5vn@j<(0u@&U&6PFD(aZ_m+>aT91&?n6UJ>?egg12Zu1png23qq#pS1(cbJ zeNxSV-~l8>1-2kyrw>%

    pz%c^tTx|KvAdWW-9+yGT2)Fwct9XAUghp8*!RYl3l% ztNfYlXQoe+yd04#V5u&tgtu=UC(LCD*2UyBo#@hmbRj&bf0esc2jv`#C&4RHt%3)vU%zI{(xzs`VAv>CtxgUWKde9p64vWK2sV=AoeUh2x^r3pA)6;wj`;WoFt~d&J%IZ<*Q;&lCpUA8Y zddk;LBrnn;;Pr+aY7gN+eSEc>(5G|)FJ3Hq;KG|tkldHxpQM|Fj!nX*`_~Y2Fwn*K zI{G9#ArM3sPY#0NG3g9$nIP8yoSbm~nW&;2E)B=(+Sa29kS}J_eGnbn30~RL0mBG6 zn;pc{?|&jo*3%=%m`I^RB516ezF~tAE)7Z}G8$JZDSe6QXzL;V6o;B59XBrMo5q(C zaeenu)~5LBc94XeV8LWix*rC8CSKIT?*=3DNuz@JPNQnX8C>5e*B@gONXCj!U{1uw zP(Q#qFh)!em3&g!H}0i_?9R%c93!+9w8fY^dbFQ&44F8qsQQ6$nF&5=y`(T1quT`T z%X#2gk5szHm%N&|+7B#@IbdPT0ZTcwvG$Niv_viBHkE;uzMCM43r*jPHEVcu5Zq3=?oz2M5zC}G5fk4n*m1(RU>EHoq(zd^nF)epPy!;mccmjEj1QkWe;RG2fFlFp3^LuBOV20c5&qn@fHCZLJs{UZ93~?6cPx@%Vi~C}u&lDvv zxD>FE)EHu+uK-H|-b2SF%f{u#oQs>>>u~BPm>;OlDnaPNdr&ncrQ&-y=3GS}gB;bY z{L#ag&*PoAWD&^6oC2@c_*98E#coq)B6uer)i{)WGO(ENs3cO>Dx^BFU^&Xj@W}uR z+l25?gwn}7nzAd6k7HIzEw(zs#Su#9jnbeLU_mJ)Ed{0MzNTYlXJyVgkAdSU7bb|O zINBwHR`Eht9D#-UOx}9ueb7^jgSrbaR1u_J=rJ&>wav2sRkJHerp?h%hJB_8StlqS zCl5afZn5BHz=D_Q;f9Jec5gC!f5(h{)g?cl4B45n4`k)U1aY1Ok&%ZnrFc;f>Hd`P z^Fidq2w3EW(l<&C0K?H4ef?cDjk{5PXl?oY$HY?)`dg|sdbBBse535UQVs}zB~72m zND{Dcm=gkzPyz*3LV*PqY8w8^>IZMR0ETAZPWGeYgnJsXx#ac7MiQTkjcmOkJs04< zO>E>O$Tpr6ET^0dS(7oronXCW&xW2r?S&MZSaIQ!!}A_$8qu#{E99TY8AND?bk)N{ zagGQU2E)a%9qfmzx8aOZH6q^<=A2j{?PN(<`)YKne88f9$!?IRB?zFScAkLyt zGJxgxs5~7L+{F}{p6c#{T-UVyM0bGSXkHVp!!_rCVGt%PZV=+k8xpf7syM)KEu+PU z4+B_eM)LprLVzWAqH}Y?&JY{pe%@~R7gsKyn#UPeg1ut{g(gG|$@!j9qU=L@ zD8}8SCfHDJv!jytx*I!A+XWpr1SJ@dI4!tZf){W-w)KNRji^@?uk*d;!dx(yJ*-}; z1&2?@)r1TF!vFfuT@Tk6$9h~zseN$P82 zewE3P+#1Rdnsj1ek6D0UfA z4f8c!%_Q{oM{;tkkk~$Jq%!_YQU9l>e0H`@ns?iB%2^ zj&{K-<^bawHZXr@lk*O04Ap`;r31rxY?C2q?>YeOl!Gh&H<=+oH<)S2>lN|AxW@_2 zL~&MN%_DCb3mB4P_}-Jc94S2j;g%n;WSPvxSvBp-z76aZh{sMdPNsOXMLEwd%YcQa z4p?~b$+F?UVeZ4!^`rtYp>o`6ve#Vb(HRvdI#ZX{VKnm{#9DWzi`St+c-=~XxU=Ge z@vbatRT!hdqMjYF)zpY!503tx8z%j%+hDJuyVGO*S*5f;c#Yy3mPKftK$04(z5fW=i8!R$2GYfY{q z(k`43m~ks}x6tI`c9)o7dBS0^jxCJEsY{Htu{cO(Jh?sft2$M;oeNchhT#$emPbr) zIK;qNenqtx+y^LFyI}AS&~uv{?-Dlss*y-vUsmJB)~PVB~$oC&M`f-+%|xT%aK z+|;V5XvIEq=M!SJe;0g0)WnlL=80Qv)PF`*0-&q>q~q{bXB;uKN*5^@VeZY;^A8>o z0OnV?Q6+HPxJd{sVo<>1a*fI&1b5_x3JHwI4$6Z zbZcD&w%zFnth;K%KF&6KR}jERNzt1^i9*72Tji&BmM&Vv#K=cUfpTVR zTTM!>n`mSK=ULJ%k;S%lyNY}c-5&|-wuGJ-?-s)P7wC5`FU-K?{lEfq+mI0=)eKme zcY-xao$!x&9RU|e7G`F9r<5;WF(z^xAX&LCT~t8Tw*=`v5A-8Eb9Fe4gOEry3(Rn* z#wW-Idw7cN9F)X~AXkD`bj}x*$li$5Fwh#0g<*O{#8a?Vs{sE1qxc>$syG<>RpBpI zObE5rFy--zdcPnwN*_wzta}as=2%J+#JD?>H&J%o3j#m%;=|HXlELXUmwq7&L5{ZD zqDF+N^a#TO&BA)ZZ{{&$al$Z>koTsKBghGu1XIC1;XZrX#T7N2P-Gz41a-4Gs2?8O zZW9wB-*MdqIbN+`nIz7}zH<*NtPS1fahgGMF${`6#uSX%=o7#&&lytJBxX(4ajY2a zBiijm_B6^&Wb4fV5(#w_VwS`Qq1IqX+-7yPM`^6 z2b0gX9R!z0`OuS=kInJ4Hly)KfZi#tu2LFt$y*D9!; zYGjE)ipMl#fPeD7@QAkqQz0`FtAM2?f!Y#WpCLT6nG;W_!N!0K@K$yJ37G^eu14~~ zC@H7xX*g+=h9-ZQe~1k=O!gC)KH44gyu(xEo@G`HPoK4{h)ud zEI(Ba)Epn>F|<)CPJ|@lxuP5&{?Lvke^#W%AyBa{LAXxh$O=?D!%2b^x-eHrXN?m{ zdO(N<6i-tM*%_>w2~*Vg0!GbfVD6ct9hO9p4p)L=tMSD&1g|m3NsYyA%;U9Q98I}} zWS=HIiN`w4A_roE+?a|94sklxzd%2MWS=!ggQx7_cfp(P0~6$Pv4DTWL+9KAXtmo% z2>lAB_yo(v7KON0d2`mw`nRHB8DflAM;V|uYaA!K#0T!}( zXG?{bZ2PI^nIa9#%?X~QmVpP3WCtuPC;|}S$CC+K>3vGG07FaUN_*%%_>F^C0Si6{ z=Lk;lk%&o5FY1v2i!5qjXwZgL#Vj3z+^s1;^o-^6nMBwcq`BtK zaLqXAQz0!IOJ+*!D%XR9BzRRrOhQOlY$4v~7zA+_gK(e4*%KLM;ff_=CzJ?FrqTF1 zL4!)Om<$yPlj~CmYI1_QzBntK-O0Nd?a;1VHz0_e1_7x(AtCRsbQ^D|!rZ<(?1oU6$=(S%qW)`WtyrRsAtL-!13G0S8fvIgB;SU^ z40<=rz^&ofPVg8&Q-NK#4j&pxOh&<^fQ0`-aYskBiX$1Z>gt52m2x`_RW`;Lt}ZWB zXc|sllI=od+#-v|lYrqpZ9mAsI1U}gJ1T8E(afaCh{gc_d@Y_e1{Q^efWdKmkj!f4 znp<211Qt1Lz&1AKMqB`+&^oYqVgoizOx!10dm_<`a(6aIs|55W-F>eFd3ZOt;4J;*)DBW z3DbomLlE&WEHJF+ywDEr6YUoAU~rgmMKLbg>)N6ROMnqB@edLW^A)p6eq`B?NSd6Z zakM%3a0XxzvI1tl=Grb4blOEh4PX)0qqH5DIxL9>VB9wYhH2m{#<5{ik#_EzWFfHc zz!uS=QAGEzwBZ2>{tXOAnGY;qf575C4m5+y$=c~!s}n2qA89^xj?1pVqErMhG+!G8 z6(Z>aqt}lt6BL`T@_&$k5LaYb0mHlJgTTIn`t!9LqQjv>uy26J@BL3T&;=x{I$-gz zB`_YH96NV?xdq~C7}`vE_5Umr2R7-=*?vDP6a)`4QHh&aV?G~4m=X?VW1!nr&!Dh7 zL2le3p>x#bVOOKFChejikywx$q`(?qM%`TjT^j=yDbRws%>;~afv+xI;tU%X0obg0 z*z}MS>a~9mkDm`B|EM26^e$IF8JN2^_C1^;T~ZI%@o+M^U&P&dkYYwqGq*)Lql)O(T%lQYNp_4HX(jIaOeB!G=4jalr;yT(AK~lyR}Rj+7O5j9J5F9ByFAP?`+F`oV&7O&0@qFnrBHJzOGjpM^Z+ZiNGjdauBmdavCeaBde?xIj8B zdrHdq-rTN}U(@q_F#ge%eI?`o#301n{Y>JfUPwtaW#ON|13_c^pzIV!7r6{}Ug%4n zkq=BT-7PNKVI%n%+bdzHFd1HLY#LVeEmnt5Ak=p9Q=8RkaReShztm%N-GUc}3WfnM zk~RZFG$@#k1-!8CTB2RVK!HVpB!VS&Lj?Q-gdBWe?hFU@a}O={lk_KVM>&J!II1fU zW9)8vw!g|mx+e};DyP6gIn{$MPDj8jw9J-}|JE;LVW^O`U`B)*My3X^c(4Rm#CQbT zV}f2^7)1^BJ{ZUzxBH;;6wJWFP{D<31k4zOrG1Nm@fL&a3HcK4^khfE7a&-0Lavax zNlv@CekRzcH$JCN(F2%!2Q2oEVpYT5jRK2@YGUhv?d+75A0}>2x`%se7%r~O3Kl0? z*EXG`2q{fe%}!e+=n+ho+Oj&RRm3djnl&Kh)QrQy-O$i66=U^XBtIjBH3sM;g514W zjP?QQY`0a})Y(fdnd$8?6QVCsNaqI1*Y#7rF(;2EIx7*+2SII$$)v}RvUsFnM&I$_ zgAvpCn`#JC_@4liq*N_9X3ZmctrWnnN7W1TGn-flh4&{kQ}>_Fa2=mD5tvvB#-ZC5 z#H$jmWJhG*aF3G;@(>Em`@ksRlNnYuVPmVTejm1tiUCFQxLtr_9|Pap;jf7~p(Mso zdbr8@D!)kxZ+1&@{Fpm6ejJgya(C(9zRW%N5t1@mU=S5GDy%%-Uqo)R@_aHFi*uG z$uw_A2_v=Z{$H%WP7^75VGNiA*$$JZ>}Ll0vsCM5W1cygm_r}mK_b_ zsuZxuq?C#nMmKk6f{v8p-|#u`kA9O!2S0!CCFRvSA(0RjL`Wt?XdlX^ZU)x4I7dPu zM6?IHM>h>ck3`Y1xyU|?t%H|({s!4IV=m7^AtZS;I2@%XLT+KGcm^4J%-&6?TExYz ziz%Z4#EPi`*AlQicNtg&mi`S4kkCU&y$H+@HVf{k+#u(y3iSK+Pm+j;0NYB)y$#_= zC@qXVBI98W5f~4}k++scoqzOP^N37%9ErJ2jrWQ|YQu-qkldv}zsgMbLh!Nq*5MGr zSCb7R8%=jl!dFj(e^hM;%@#?L1H%@aeJi!ZOXOonMVc-=G^*?}mFjmDMn?7x_B|gR zrkpZDDF`Fe8$8$STrwZhSDcNK(h_^jfeqpU76OX^v8Qot&9R|l5U!BKnI-)$gbtbi zBOwjwNBImo;whQXA>hEtQlg{nb-Yw8y_n(IG`WvtmCi-GbM&*|#AFO*Uk59lT31=`Y`zZH`S{F9~<#P-r z6H18vgndkjs}h{b4*CRDk*AyBME^tY3?ab~XsjPjNmeC9hagm7s3s*aP8j62;a7e19$duXxIbXZhE7SOXrXBksr7+z zayw?QECwgaLjNPlFjmYZxrM0g*(gbAsnHO$aDt2c7Q3=MrdNhzSlmZ3|vha83E*5yj{oL%&dQbFX4<8StMI5-9gIO=~~ zj|l%M`ffxcRPD=+5De>yNbT%L{0rghBEsWJ4o{@1fSAvavTQL3+-#WjLfMFGe6R&e z4&sFEa&X%3iGXFsRr#}E!CXVlm7uP*omJw1Xi!W#l~KF~&h_NBU2w-<{{tAsi-B>E zr5yxVc;4jmbw4Grc$XVk+`8K?uYb$z!g&}rx~bt_a$uoT0*i9gz~Zg|Fn7hvKiU^` znFv9EP3&9T6^C+Mt)Lxy5XfoovC>ywclTq^w=Mc5A^;c}kibyxd=RoQ?1a)T>{PDB zM`kec1+TY#kGRU*kFs+5oet@|>n9J{7FHIp-nX<+Er5m925hj}7D5nIPl&VQxWEVj z+pOj9Fd2hCA3S=ytdXc#KnOvAQ56MPR7C+6ZXZ<^@DLra!~$hQ{LUopC^Q+fCf~?c zM}}2kfjsXxp`07n=+Sd9vkWs#dBDPV4=SK;&$!cqB;h=YWLUYFpj}iR09GED<7I~3 znMmSK@xmvZlbj2mX9nD{u)}Hr7D-xCv#MOy0UOtd#ErRAt-(FybSC#CCWG{EU^QAC ztcusgrYcb7@b+kY#x`s74;69MTK{NIql*e zB&7}ZAjMSnX-5EBlb$kI?jg8(LPIoXTOED|)0HrNMU38#xLeQ`;pgd@stlGAAMVWK zgL@n_c%P%1(#CFA;ZulOpIjD;iU$zp23I_N)?~@#Ad%X|AW>{iu;Jv6L`~lQ9+Mub zT1*%$kHW=K#Hrxgq3)^2HmzaDI~xHnZiPauhAr@qJc@ga3_>A)#|$~^F7vpk?$!f+ zc_P^QGQp4vOl93G12MzMiPfwFt-NlRm?3Y;Cr#R#>uAI|#)RL&$`H9NuDSPGB!Vz% zUjqwOl_*-2;$;xtOL8pWSZ^^n2mKT)pB@RR9QYL6%0SbJR~4l;#IZxV1*4%%gGbaE zm+U>WG88FqqClDq?zmvV+#_?NfWC1rQGMeyG3@xg!OEzCLuVH7Mt9=UE^g2P!^dH$ zR+g7*fm}@UeCxTQlkSiMMo7>l9wt0`$PQs%$|$b|@|f)g=`i{q4- zNkv6Cl=iO0{_CdJL24OedTcGfOyTO@Yq)Py65?<>5LrCD? z1|b7BVbqlHv#qL|&baV^g*69ag0?m=@WKlq4cd>67UD;TT5q9v@@k)lxR4~d?*xZC z#fSBe=!e`A{dzCQF@wwr7!|4m3yA!{7CD&HzQR8#zfnR97$H0#80VnNcW5=#D^)6v z?IcOmPXs%X1~#H3R!5dj3_`>qju=|CaZy>wLyGAH(=fA69!&H<{7YejqA%pEi6T2@ z6o+?IW9-KSaqqS5z&{?f@F)XA^)d7lhj;%f?NZ}aXw!)mvJ7>Nyp-K}%sOA<;8uTJ zz#&8%*$C)wk+M%;FT+#?+hEiT`X5-xq*_EoDxSnjLrsV0g^a+v?Kv5I&)>d5|5Jc> zdL(orR2jp$9(=~#;Ixa|e+&}$OBf@H^$;P%i{knpVeXL8*gj#F6P4RzGOiOMOJFL# zIO4V=(;M|a?%RbbrMqUXHt8mp6PMDc99yJd#gXMwhRcXK3Uq|H@ap!3b0^%9oHGx7 z(>LCzRjO8qk%!EO=Y@ib?>JD6pbR}gB^Hdq>1z|My4U|y-^NjmHz6h?+uFq} z_Ir3wut*n?gx*<;0ojON@tN(pinbdHG33HeDf`TY4y4cu6MYb==*LqUs>>1rrIkK} z{}KIM7D=>Xti%LS2?JXw3^73jW%Q*m-(-SMV0@KdOEVhY8vGkN&w*FV@~t>hxn&!R zgk)n*8LZ;?M13w`szI;WP4FXKB4Ax`qD7=q5u;($=#{nO0Zze80*H%yJr-ehOs$Oi;n^y37Vm@ z@j_JeYy^L6NPhAPs7!2o3=a2`AigP?4q1u zzP3Om!3?R8^A=l&VHtJ|&glskC$J6vs|(T3I~fg$8A?|L`q7%5X7KZdqaDk4dhE1x zk;1O0Yj6_d-U_fZC-z86Ac7aSf;kb9EzS4F`z;GaTB#H+m4Stu7z;E^0$|}f#u^Uq z4OOg+6V7p>Vz?P0p@T zYad}3el5~}wRIK$qXgt}yl{j_HaUGDjYB5|7BYfFpa`Zg@I+he6=@=q-VmxL($``J zVHdd?z#@_hEZ8?fF}8DOZJu5kq+)lKiVYtyg8+;o48WppH87k`Hfdt!&M{U0FVb}Z z#(Nz|Pl~zQ2jvYip`&7ZzVC80hROv8o}P#w=%`xhMeQKO0;94vu(6!Z_XaaX`!p({uY0Ws@b1ntEe&UgYjTMA zs5~eg%LfJ)tOdP`8XSuiYtqVy?bsaaAJDI>@2;5xiz@U=oeJ&%!MdtRJCZegU|g)z zEf)T17(P}#mgbHCU=a=j7LEmAkuwb}>f~_dVK_0vywjk(D?bs+<8{XZu+SEPA-5b0 z)H&+}`aP?wN#~wMdAjJV9TPb!Li4wLSNp7ySpbJuCfHjJHkK%)K>#6X}6XEa3Na-!*GLeg< zj=p5EPGA}zA|``&0_g;w zZ0V4O2c=POQnqYA>NeOU|Hxo<-g{~ch&(j!gh2WHG zoj%{8eL&m?0Wfah68kJp2v=&{nZx(W`~IVdJPDQD>Rcn?IX{d%&5;NvMCt5IcbEd< z_yN`KT-LTg?smEUSE||^2cNM_?1|&#!N)tF`W``&P?s?}AKqKf|X%Ai+tUYklroktyLe46u&;rYC~S zl3@)X->W~rAyYDe!Vw5T#-^bSxfe?|j3-2)3{hv&7N`8X$$~pFg&pRKQP})^@cP=I z7LMl~S5jCA8(ANO%T9}!elv;+g4f$+o5b31qx$zy!Pkh%sWF3%?STE4&nhjT~G{zN*9iNCFDJXzm{ALoM80u=wL;}5yI9{b#=#r9{6>2luU@8r%tRp7*P-x_D^5|1#FKM zN7Rb|II+!!W+ZJa*Ae`yKD|4WfJGU6F49F56Zd1>M<6XG?vVnEYG=SUSLcozETGt9 zc0HJ{k}#q#d+etKIX6A;b>9&g13z9^cL3c{Ohhk=&j3qJ4p=ZGu2@-om)c|af7=OS z-Ql_kLc--29vPIJkPf^D>7m#9Vn@60P&yHoI60(tS>0|Lawg)K(A&>3H&{H-B(C6B z0Q4$Is8U4RMq6XF?vS~|o{X%OKzi&QcbrI_Z?Qnu0s%qSm#F>_e1^Qxl+i?~LN~%a;cjCJ=ESWoU}+2B zlMNe_NQaJlmH#8bA+O?y;gyX&Ch0BqlWe=ta;TEx1V^~I%g6zBjN64%0LBdrU}>eH zf5a&vD=(ZcB+ND1prjoMF+oRLbB6UbAdJ2`RcyIdV*uZyc1h00%OFgIu<%j zjp?+tQQr7F%SUe_Ro)311ucZ56fbW_;63~k$hSCDTkGY4_beZM42f-ij+Aihq^oOu ze)##sbywOkr)I>9hy3}5lR6Tsw|1B!IFT5dW%q;`qAovC+Ha404(ly zLd_YdPyqs8iLU%lS0Xk=&T=q%VBv#68}0S0i4LvX^LDxrFmW9mSjtNBHbcOx%58iP zY1b@7I<)dOossB@T6Ru18@nDfL)|Z*=0qqZIZr0xQufOUcge z9_el<{$ozvLWh=e;OA7ugJXhhaqK%n$C-wn%y7v8`?RBo4h_&_yW00~U!!c~9`kf= zv!B{dayN2<>G@PBJyMdm84w<0VBvZsd@>-elUZ|I4wP^ zixs0e#WoTDi7g@gV6^o(EV(G!#|JTu!@qqIx4}5RlUmo>YZmNE(LC#v!i>4 zhXYe9PKjPpiOKLPP4hkQuLq_>0ir}o=%Hvep>mVe6j~d%0z#xHPc^VWs&Awjz!q9D zuL-KYnh~bT8^s4Xoq<6#zGlVx9(roebQeMt!JjK3AuWiD;~r>*V>%b70+xH!l=5kE zu>9uxmoM43RzCFpjoB%6JU|}0}OrDXpvJIxBHZ+5DqhL z(l{&NgL}ZhnIV#epqoy2l{+S~R-Bvapt)g+gp6uglA0b802a^qk?9d?5U@3j^66}3 zA3TSG3Lx-HBH;qBHAtJV(-SRiLI z+EFN~fz6R_c=e+p>DrD3u5aeRl%$B&!8oVv9&Rra+r@%R>{Y+432>2)(wnL9J`%f| zS@S_6BRR;xVMqB!yQCxCh8_rWa~vd{n2>ZhF@YiU%B6q0eCkoT|7c7tdYLE+YD|8o zJo5wSVZ;rFd5qH_RU$S6muq|ib{2gJRYqTgYHTF3NSh`(JSYOXC76>@MzZzRaZ;j6xq`;HUkVv*mBHBgRi|NIiS+omT&f>-#vvI*|tK>5H>DMbz zu@debI*n5u#|{z;;R=kSU#ZbC1{zX5z^s2U8$F?7sMdROPEO=n#DN3m+2u;RcNK{V zwpGuCe}GZ&5t!fa7n63Y3T{Vyx5XAP+;J@yfMJ-nEDQafLOsE`2`agpkz`<4qhm%| ze!!wiiY^)?R>|7v`Y!Y^8ivUZ3{QOw5@BA*k1=ZPo0g}Mli^GQ;G8Ij@2+snDY!coo`=Z^H zW9Up(Mi(j)Dn#Q-)Zycdx(lDH1#wFjSX2@~;f^aHDBSa?6D=*=ePis$9+-ir1&QH3 z3W^}LCn$o5Y1P7~JWY{W7ZrM)F6e_t2mCbaak`icE{*KlW|vondguC{&m-I-@-}3=1!?z`O17J+3`;TQcEkMB+TosC!7E zR%0H9b!&$he5r@+pm>iXuM7 zHu#U@t<0-v7-e($U|KCW6N0Uf=;xjzoDO}?G3#HTA4jpDP)h6s-SHw+2u5};SP1re zup~HP<0cUP0l^`C#bj&*`q6%3-?;%0Xl0A@dt3&JF?M((CV?>s$&xV{@)$#$01E>E zt2+cJu-*?l5ukV=gJ>`w{2~E15DKwP8WTG#SUFuCGr(GL0{(GxlJOWHj5ph9N2)=z zqt;ErlBeOtbK2sX)8^bi2yF$ElmkB6agcp=EG`Ct)bo1_=gay?{VEjaALPzZDAt>6 zW#1>4j~>HNaCy7AUT*sYJeAOluNCIx4scSj!=(!>ZuI~g{dbGmauF?t$Co8E=}b); z#^ai7H%SV%X_(QWBVv98<0jKStRa%Ir>s)rbEx-l6Y%(Z$Z>9I2lWx74ch?iJ~4>2 zcgo$|y)cyuN{#H6^FB^S2^wzfGFcLPz(S2C(w9pod&rqJgXM}yM$~?_dqXn06AH#x z;GC#P&>B&ZFqC1Lp>$x!Pc55nZ}2J@2ghroi((B?2tPO>QM7|JQ zTR2U0kjl^By?hy&^X+EJbCA{|wE&YjLX|FMIkutDqc8{MlS|kUOtVMmy?!>y!3*Ap zvKCedFuwrCLQ>TrCf!V0XM~1pah9;KJa9<6h~EK=WK&=f(*s84rtkI!6;++5fqoPD zoF#llacsC<+kOzwC)u?LBf#4XOgog@4$~8z1jRk}7{i7`1dgUhi7-NJlk&K{oeIJS z%nApAe3*yajBhHOQ~1o|P$BQ83)c9| zhBqI43pw?n@hNXEu%OzbzGCjJ#00U>T`+F1s?w!(R@KLtOlD0pX>q@ls<%iW)s)61q+=4H6r{xz)ZyJ zdy!WOEDstA)V$5>sFR5cZLnsd?v83*H4T{GNh9i0T^S;?38smkbRu!Wm&o=De;k>8 zVQ%7R4@FWbLw;o8HW6&*j#Wzw;2-KpB}6&VPSsqS4$Be`deQ=Tb%9R=Dnt)q;CSf+ zRA=-9hkS(BN!ZFQ!IK(WqSg5};rQgt7Y&aI&^&Y54R^uR);r{G{nU{&vrLA&$AR8;S+KmJm7& zJhkP8A{J7g2Y;G=871HzrS>qqqIR5&G1Ey|9Wm$aa`T-ko)Pk!lGH%}M3UmDDW}kn znySklq}JWGCim1Fi=yw1mft94s4 zk?An^$g;qrkw*i6aZFlvSPTNX8W`-*AL_y%gh#_~!2+Y|drLHG=PH$8u09Fo;d8+} zNC^xb(q3->$P>XaYbp^0j1$pvFn!QV)aiSEWm~W@%XX0I0=o1O%xd%sTe|$>XvGX` zw?uvYT?=6G?%iA;^oW&U;Mxa~TI#THNz)fkb4$Sbo|o)YJE}&u0Ym|L{wN0F0hoY! zFjj%w11QuLRFwe6Drn~wrW`HeX zwO!7m+F=I`?K+tb5YgpFOd3$2FsxO5kd)owgH`rdm}#`YP<{P-&%%8i1c!&!*sU_9 zP=eY)fN}rOV5@88wy)r;;BVF(-bZbqwmB@wpUV&~JcXRBVzM!Or%AaqZBXqxQ6{<8 zs|o@Vs*ZtU?%cfaTx0rDjcwem9=Y742}J6)^Mqk*d^+nzHy;>UjFoWcV}kMO5Z@yK z#Skw11=+3f_Pc4%>g=`11@la5V5A+zWOzosHHOsA(_jVbE&PREBOuD3V}TfRV1_H! zK_6Izv0|Ka`Mvf)GCl+2I&n@ccf-K^h7-vRzig+hP@lBQe>)5_J;&Jbo2#0PTjUjH z<|HtgVKxXMl!#?;ncVDMvSTRv-eG3cYm`6lHl|>nI)VF*Cu)6<<==8F2&qoMxSm?A zU3v|`;6eDKLX`j(yn?jAplZTerpajxcjOC(Ty+$}b4`;|L$BQ^m=}$!FtbnDCg{zH zmB7Zp0~UG>cX~$)dLTHxa_U4w+NuSQVKOA~`IeBi8Yc%&yqz5M8uCWt=#csuf|byV zxee({`nglOWOA&Sd}=?QT+rSzUxhyCF%w{ zV`{AVAec5@1&%=|VCXbMWejs$&<@RTvEW+$r2;LSEEo@M8fM5-3;B-IHfRRFchC&5 z5JcO=aAQBQfr43Z&D}VcCYaV7-eQZxs$JT&IM-`fO88%(pJ=&rDU|x4YHqok%a=j8 zDDKA&ksq9pGcx518eg3)-3b^syDe}g7?&GtOMt}$Q4L~(B;tg*xv@&DFpf!tj37W3 z?D8Fk8QhUzbkGNs5Cn|xw9}ec|A>BK!g-G2m`>I5ojDfBG!Dz2h7dp{(M#!VaO701MHJb3IN8u)qw7 z)cryx`X7SQf|(+?6gpCZeZ^P@u_eIl8Uf!^mFX#Fr2ml%3Kd8WEocE)uw{&{3FlIJ zu=)~kioR*@VU(KppT1;2SYrJD)7Jfk{=TL6L1(mS=`qEe%%r3h^~^qsinLHNb472R2cV9K?N)la`rq zKHJ*_M_tI(lGb*&4-WlLPGFs5=@fmOrqf7FhVxjw8%rBNyR+(Y!(Fn9KHYV#lR!dvT zhbHyV|0dHl2NsR2Wt9W=AbzX@e``*Vm$IbesD!av7ry-L+hf+#cs*y$v6b43a9A0Y zN|Q>Fb+99y<(0!u#HC+rVh}lq&}cE{c@*HuZ?OLw8V*1i?_I!Y4GYsED|b4jL_Z?WkHv;GeSL^q_8)l9bH;7Ne5 zrB*%C1GfRAL+M#M&iIx~?6-f=9hfpesI~`jEfyZveZmy~)pp92DKnY|UA6$jx|vJF zv?imJA|^x9l&k=|$qL_pU;bCZTRH0ym^nePjB7jYwkeMthg+Q-8u{XmF+Sqaz+<^Y z2s3O5uSF~KpqNnfv+fOvJH<1yDm|u`1Cz_StPWV|gqkUq`;`q++%Ju`xPMOUE(1>b zpUlr*1>!bWW}eIFrEdGcmh`|>_l40%|8q!6JdPC|j0CTR3RvBg@@~s!04oowyxaEw zG0)0c0V_E_4WeqBm^z1O-4#@>);tj|oJZi|(EludMD?udu8rjxrDK-XL;{x& zNn&CsFU~(te5uuppU5{Fy!Hi4#Vvz_LnZQ9(9VnC$>_A;(*Icgy`OxMwbYofe=r-7 z8rU_P%ILg+erM{3{s(xL%bQphKPa(mOG*UWlCu5hgX?HBf9?a{bVqp&6q@Bo%!!x| zX}MgL?zL@6U0B}9Ds`S7)my?{RB=t|(RJH_)xGWU*3@KaTokdKH0`QZfsA^{kGuXS zM$zY!fl#X;F(vn1B1kD9&P~Mt#_Gxm(m!s`(;OGkL^x{iEMOfwA;gk|GIQ&u1S=zz z@SX|&3`Tq0fSiZX93L;>!3s)hrCGB7-RtYCAAa#UuQTU2@(h;!#JSgN=2#T1vZs_l z)1xBKl&S9FIWfl=4r9ldAtrx)N(9ztsRzZ4h$UZGUw=-})0(>ous$4CCIHiaZ z){0PZMr88wIB)K{&f}bcHK$KExicfp*2%Oh6<0NFB(V?PaG%^Ou z=#%kZN6cC+s_QmEj)!%%T7(nGuqqvs#si9h_H3H3~Bemmnqii{`aTLWk%8kv3a{bni zx;^qi)A6npzmFFtFSPm9)W~?zv*5$;aijz%!n>7#pKcF7DCV|G0*FL*!Q=ubY=Vpl zdz<-~CgavRkMVmQDp&6Hdq4U@Px^f0X(nH~@@QeHXoJ=M_*v7>Iq_VDWE+XKF_pD= zNG!x9IOd?|@c^si0d^dZo7xHX-04_st{I_MXDK?}*oa8FF=aRW)NTm59E3=&LU=>#Z~a4^86fn45x5c%vpLqEIqm-nhKvvHJwi6pSA&c|qn>T7YwkYnvu@s%h0s z?H}^cWDqxV(FxKpkfB@tQ?T*|fMxNKsaY z!n>dMleE#oTtH(v$A$OX0P zY0V5QwN4q&Y&dvC)A#x%4oN-?lDx<8_-g&q)S5Ujj z5VpQ}`jO^7BdLZJ?2D?x#z8 zjqz;zDT_asdcp~HMntF2c*eK`6EYoKL*PMVgICr9FCt*Qq}V(TbjO!yL` zlgL~@$VXZ*f$|h8l+Kcbyv%pC^T8i3h^M#VPBNLw?H4$35yck^Ev4ByN?0+R+_>60 z8I=!h;h}_axRki%W-eWbFtSVmT-VO8A`Qa*EKE)cWA+Lm-N*1UvrXPRRPU6jR` zo12JeJxSJSkyMN>LUv%4{{fcOzMJ7+MwbwMalerGgfQm* zKW;$T3uS;$+p0Wb^ZH}%=LE59wW=wU36ebr?VcY5D3+7^*(HW!_}395K1y(TDZT`k zx9{qdyuH}ul<6+xW+cmS%zE=>GlJWEky@VKd+wg>O3&>wWyU^u*8g#wq~;cbOw0Y` zbdmRrqWZx$M@0{76{hd;>Gx)QvthpHl?qm?FrkjzW3Y^vjtx)TWsORy-ouV4Ey{`kq6 zjIneLE8)kNT!%nMqlytIqsxes5OKa+Yxt3B#$7~#(0`ps1&`;WyPaItW}PZEi=_r~ z@zhRFaOw2HyC{%1P#P6qP#pqs*-QoFjBlTesBGOm6KhCbeD~&3^`^p%N-}dxNc-d` z8NZpQg0^b%!S2rAZf9WcGRt%{tvumibzy_u!jIR#^gn#``HLEm zbrNI<*^)3xFooB70PK*qBAJ3^%uRX4_IuZlzVzbrmo~8GwSrVTB|-GWD9|-A@%7{p zZPZini(}m6Do(iHlYrT~$#V02$89h#Q9T2bShud6RtarUPVLNj(#9V(h4@^FWx|SG zCX8iKFe+gmJ&gyFmKhu$!T_8{t5!r?RvAwG7wtU-D!!OxiC6`+E!XC8u$|9N_hh$c zvNA5z6hJ_=TJu17?z?P>vOq+6SAjZy68YE_ta3l69n3MD&3h*-SY-?FT52+vOM#P> z_3o0#ZSp?qOZagLPj*AY+P>0zs&r*0`Tf#-_(|-0)w&QirQ?7}I#_u|nqH+k!Yh?P zND;d)w3mrJKC*g`qsaXfG5}t6jBq?X_qP0?-<#JS5=MV(JPCf`Cz-iW@Ng^PD?|n1!4r zdK`>t&Kt+x2xg{8NNo^Q0c&VS0?N&=sf>!@ z*y(v#t_J2B$g?uXV*9x3fATNA_`!J~pgv2DeJ+Wdh>~+$g~cq>pC;GcMV7jb^Sak1 zEleOaq1TBmlY3!>)0VMvqR+V`{8#n)6tvR^?@XXEPU@+Xx{@wC`W|_jgRmZx`zZVU z#WeEdT!Ar`fBxWjp$e9d$x0TXBGebV`0ITyx4-WAg=Ox%HvNNC`0H=| z=@(!8gT4~>`CC1I^!oa_pLy}`Wp69|R|Sz^f(7vtgyh-rAxa?kF5 z%!f`6Se3e9Y?B;V#b6$F#XYrmKxrlc<%2YIa{Z}#&1?-u7|^gwvHrLTYO&%F5k7fzKFZ1d7rvJF`6 zrR%3OJmR!&MzB)8rfI;e%5qN$P1nT-R;scvOS*L@jiRmvUBV?$!Ag(#bOI=~zv`y*C{bTaO%Sv%_ZsI~-QZxQD-xFbYMt*X zPyE!mo=ARuv94+L#nTM!Sk_G@$c3n5IQbE$<)8g`45g0b7tcduIj|s2t%4k>;u+(X zvLv;rE*A-40*EndnP+vB*q9}?H6cjHqS9>3mA@yzSrU}?zOUBd7((2twcO6LYQ2lv zZaqmyXkjErt+-!K(`tZm4B`Fkvp%rqbp4VtReC}dwePybmBb@GN(NAopR&P@sAaw& z?IIm#Oe`yZb4f<&*u^ut#1+p>b={3wk4s2lcZU4cMO4#8Fpig;%ro}D@NeT~6@*Jj z@?)uUEQOK_`WH4YSerbG0{LYVkL8hg$~j+Wfwd4`#i79zF2)yw)hXdC>I=u-<<`k_ zdfYjG{uY(B1TtiE5Uzomb@!=7TPgBj*H`}nU5y{Qf1;IsSx4!CXGwIy=6Y8xe(us{aG0S))zU>di}$-G_S9}_7`9L2uDYpGwC43 zO=8w{#f$Qk`@-vD<=f`kF5y5T-;UIIUx?6}CE1tkKAmN2(z1e6Y-&VTsrkmYOY9cPg-U0;_GQRTZhY zYfOi7{FbCju4CdB*U>Y|L&Mf74zF^h04lR3Z#ZzL2ZGx-8)b6c_ao<(6FzSdF{~miq}-_w>Mu;igAuPo|okyrw)?e{@M& zm1qZ64$pxtn&`F)x(tUDg_jT2SuMJSE#B7u9>xHy5~P8p=LW1oiC|Sk-(OuY#i#0$V`Y+0@l%Y z*=glIoQHqsVw?59FF)d}fHnxx6(?d|$}TI1Ov%-P*8vXN+1W?A>*ai{<qoyzr6Gt}2evtwN?LM30toqMg!qIs<$lOPB7&iBV{ryWh~JH+x$gVl-P zj;d7{Sc~tYjo0ft_fLQ>RqcVb{C;=++FupqeRuuLH#py>5nX@c zzfKQ`o{T_E;Z=J|EPm<4A!>Xn?TpNdZb&fBrB+!W|8yOALQr)SWWIC~#1lg=zHag& z>MoTcbdJw$cPZ&qp;nm)sYB6YR*hnlpF+l!1;Ce=dh$FhRCg%_w(e35!RjuZep|t; z5gKqWl*qIQRNS|pu(SN+GwUC$=U*lTrA!>rmlAFA z_|rdzc5jOC&|1h(Hkj*S{W`FG>U!{`e-xgMv<0hI;N-|C!$~}v?j@ELVs)kh%jb<5 zE={e!? z5N^G{Hksrl(kD4+)@%`+Ov-eB(FkXg*UJg4cF@M8Vu7~a>#_-pn!e>?&g*8$+_c0a zf#DW9nobMJ+hEtv|JxQ)r-EEG3Vhk6w3@Qg1ccHpEE}f0bYSJBn@8BTn{QdjY0{R9 zHYJ`lL5g|q{=Dr|$AelQTq-qZxiIz{=;gF9iQSSek&2QIHRZJfE3X|`dF{ZO!(TpK zf8%=}efAs9XUHCI0I5amlVTz)C@K#b;(5y!|l!8xPq>^Z}NFBrmoPlYG}$3!aWrw85@F@z;H$-%Xa0ELN;A zPb8)Q1S@T6s?~dV2|u|$jL4B;3r|l}sTNYEOYS$%OLyDmH7LV6PPx>ys`?PM z^V^5`{$pT?LAl4eQ1(T^JDOV}eIJvVD-3~udCNxXQ5Nm z8d%+A_$?g@@Pw4}CIxJ!k-wT>A|%p0Bd0ZGBj=K{D5F&g;kBHT?piA&-L)rE`OSW>8mEGZ7}SS6c@w9B>5X9eR=W`n z;R;FdI#+!cyeidkV2Sa4+(%S`nOg~~2ClkYc+`M((%k3OsLqw-W?Av5NqJN7%8JX? zaU!rYeUm{^dF?8+sDkfSvhUu}wu(`x zT6J7xfT!prbvFU=v*(MBgf6k>n;z9)_X#?^&*1r@U;OrqFI*+md~?x~N-K zLSnHw()uF1SvT#bQW|%;*62KSIElf!6V-;4yp+UVw+3rJy}`b1k-z)3CYd{pL5O@N z>&i?Vt6VGkuBErnVpSm(SamxPG11DYmY>}n13Nd>4bSR}1FRFA6wy))WFllt8XhBR zXwTQ0aA*l-)p5BBcB!376K^S=ktmfHzGSsU6Wgw}>Cl!(L(+MPH+5`vX-~>z55gfC zD>E5!d8~Bz&`-J$l_(Xg_j8_k%P$rhujQZYkQ{g#^6Vn-bgfAMDuZh7;+i0V9{hp% zdom70t?D@Zm*1OfP5+S$qJz~V3}EcATp6jT$-tA16@uTXqfRERRPW40orLB(V!~Z@ zC+ZcPv20Ur8&^(RPns%pxS*NEaH z!NDVZN~hMJzXuk6sBO|Gw6=*&^g7BRCO4{i!SPH^cVO$%7J#giqw!sDlVI8XMBIbz z?v1MC2W!=ZccS!X218w9(~+RQnEDYP@=LzB3Ofc?w;f#}i6{TKmS6NN%eCNLKlAUi zA?0tTX!*1saGwsTJ-mA>I6{O<;&vP=f%MY0W#4U^HJ9DPh_PE`4@^75MLduW%+E4% z#Wm~dk>;7Xc4!3-j|tBBq6Ff~xiid${t``IPQ*hy6#;eGQtZmhr*=5wM`G)jM-|ku z3cT03CC+1+`koxA0E(oWl70M2=}0%QPo7oq{f~j=Y!)ADwA)LFHus&iSbQ|iMw1uR z{VvwnkIfQFxh^lKe$=HlQV>7<)fb=r8u667rTRNRe56z12@KSY_V$zOXa2)+rVP%Tkk`#NDJF(p=Sd)XwEYM(+eHhoG$AvNwe3OM{;7 zNBzZ>=WL8B;RAbmlS!T!sgmdi)`Pfpll!MxbA7QKoZL>Hg1fi#&^y(Y)zv2%x6ZNN zam8Fxl}kvG<(a?3!_Pgo9AkQ?+}XP39K*rp25z^d>VtaaAO$66Q;zloDRR`sEGPA)=f%W6RCOUQ-Ns~p5w*3oV_+?J&_ zFh6TZ)(c>}!zV2*mJ42M-@;9$6wsebV$$$RrVvu>oVkB)qHrW35zw=iE0sr#o?S6JfLNK+eR_3@p?@69=d+XBS04w1m@3t-z+Wh%S-Sh>HlJcI7!kN5qnSF|Vry59N zjlC1=y}Ljky4&Pg)yhxo}K^4sbR$%#cMuC((sP_8+=Oe7Mw1xx`wgCzHG%yQzcgMd|O&}2!cJq4zP zXwjNXTmo)K1!ajSn=e;}S(Dtz2k%9-q$N8;oJ#g`^WE_7mw;;CdWhO8lvZkjWjlfC z9+d-k7z`dq!-{tqU=~`KVKt-~SXzeMCC||IL!DNpvcSRIdvOT>)*LUi9!J|0SMshY+1_IN!@}_eMoV zG1t^N<{nFaN9 zI>FiZyfVT17*B7W{Jl>;5!25jA*ChnR*vk7ql1;pWMH|1VCA+1<2RiT@%@kG2TZFG zVuj@fmKrEnh3dd6(QaUiJ!gE&zb3)$TQW~-o*>exIR|kA z*1%S)wu$FAIbEQxu5zK@bcKvmxLxmu6JUUu!~<3tBLgeN!p@~N0o#84VzAN)oTe}Ye*6T($x)5ySfx0qG+j=P84l*UCgA}hqcF3+?v}d^jdf# zxi)3*=u1PU?=GV(FgSI5F(BxLCGLrI;6%_4U{vn1jXlFc^dBJ4A ztT2w6)}(Z3K3O-nn{t}L#PLL2FQiC2sCMOG)eRGEl`juo@7ZURhX3u27t-xADGqg5 zIL(u1jrHjo`o+iaif4s+Gp2=hj|R^+Jb0GrSZY1BCa({~JZ|fX8@TT`;l6YOqg^^2 zUw`>;z4*Ns{UB0q+L!z|w31eHT*<}75!!M!N65)5S`iAcbrBfB#S#3AcN630{#UnG z-9^Ew*`xcZU{e)(X6+f@*0B;|6%eGCt5uaQU5m)yT zh*ekvCPSiV%au5Ib>#_e?Cwh6f9F91SJ5%z>Rtl&KJ6^Dtsgskr9tA}hs8MCX?)9P z^<(*`^;EirM%{j9o7j=-DhytVG_Z26&m&6}IvB)EdIb|JT?d)Vs1$P!ic;WtvXW;e zhv;T|owNo)x3chL0%b4_vAEAV856AD68s4zu+b_OJ=6a4!~L$yT0-9cfFZvA&VNR3 zD*TGY@DsIY(Dx(0h&eSuscP}0U~e3)h=^rNuCT#N|2)yG z+-Jp`Z~Xp${^GMg!rQp4(~SRg{rZ15pI_Ow{zQXce+jIQwnLY0Wq6e^3sxDRQ~$7C z{Hw%t*Vd=9SVAl#t|VH6)DB6#r&DHXh zV`a>`qWfqUx5a)Up7C0|dA*C9Y2B1_Vv3}=8Pzpl^X^DVQQBfkTaD|=)q{4I4vV;f zSdx`!Z3&DeVy6w#64;4Mli$FO?(xBYeqSIbsKf}9H3mT};o~5_(aN4L7^nC37yjE9 zAO9gSn-(bYCZDc<)1UPZs2p~xx@@ZT7mZw9vPPvZ2ZU71=0!Ca#fy|{uvz|xhGwee zA~CQK@H&~nOJj0AGZm`26tcDvo?UtT-py7Mi0F;#N6Hab;u6^Vbfp-Wwe}cf%gcfH zjL7&mc_rdhA+A*DX|&i3!r>KzppD##5vFpRo~}RpcV4`>_`40tiRpLHunMuG<-sc2 zs`bTD)zcpBG;`Q?`?TGxuG(7u;5Z40+jXNQOBrXJZh7&dJ-xv2gEzPA#F#$4{*Ax; z;>FKX&Z>*KFL-AA=DD!->(SQRCTOqU`maWfs+Xci4=j(7b6VaR*-V+WgSI>Zj%mHD z1GaZ$ImP8Q(=h90z+3V&6=PNPFOl(xR=$ zu)sjq2^#n3#phQb#7YSRqfM`Ph}A5tFnD{^abnm2eFRwcq{mv>1ra(o0W}lpI>$fA=U%TiccitrJbD zLD|hxJbRBhFyk1w>ef;q<5P}(TxoocP{0PSnM;%<265fDZ&AE0Qw99q+e;>vA+FR3 zh%G@UEJFB#b7eis^N{g^JC2X*{&@pXuwQ7c5!ia#)1(?)gdrXLHrHE|UP z8o0_td~w@P@JjFe^!4?-zd2sqI#i?Sr1OG+uOe!p{Jx&xm5Hu7_i6)-wP z?$u2GnEyI$NNXv|4yomF7d9F3ljc%i0p&%Hj`!h?tCl>!pV& z<;uKRuzN|!S=?~*pO7=F~CY`L#rxcnV__{iKvu1;3|uKN9>R-#6w%ZAKZgdZ(m-&^*_6heE7OTll@a6BCsmd zH&&I^4qh#qQj8Sd(LQhzKDAcA z+m<@KQnjTK-mHw1IKj_(74RjO1q;^t{FK0@L~!X=e1!(ETG%5_o;j@s;n)q{^>d}& z%E~AWz|B$#FoJ>kd&YhBI!kyd1yy61?zjE*@BjB+G-FK%l0ob73%70cc?4^R znH#*KZtyCHP}c<| z^-{#;-Y=*z#TBSU@(;!fRV7^hw5_sZ*x_GUetby|pJ zp>mPuO^>*P_tQ&qJzkhno$a03ZdVuDRrlR=T4OY^{G5=2h^tM5e3a^T305in;#E%> zO&UDD!GjsbPX<_x?1s4P`Dxx?Urb|+&S?kfv>eVR{-3-k)A>`mwOqK@8j}q@p?%5_rF2B}wVN%m535#Osn4`zW^I^`tB)W%rX{5Vg@^cO41%A;W576>DvV~`0l zd1h$y@Wb=XD;}7@cFd6edFc6fHJ>qD!Rbktj1!KQLY{+6&&Gn?OEZ4) z!AOXwq6F8Sba^5*S7u5GGTe!_IuyZk#;*VG|1=S_( zm)E?DgnS$l5#;!Azf0w=v2H2<2X_c+^@To7ny0b6o`sPuQi{m-c^xZNk>T_%grFr!=ezUeG$)McZ*a3F5he zXuS(lTSy75txHkg^!d3Pa59USaUcH4R42 zuXt#E^9mchn?-i`834UYvLYgGs~cWA@~63KtB2Dzcl|whyh~@;RBKQm@_ZV&{Ra%} zQXuK7DaFz1V0<2A8>1`w1nOUBwZ;&asRprce2PIZoN5Mc#vGXCw|wzTW}1@kcn?1V zV#5@%45xzCD>G=z5Hd);j|i4!9~XMLdePb^J?48dl;CwTL)#~ZaLnabET4XNw1Um) zXP|Ue7Lvrob^}N_%$4E!sYx?=j!W+rgPBPB{X-trx)AfWCHd=>WV5b!HU_VJoesp^ zHGK~Q4{aLH7kM3e=&#EPcIs+yo3$QgrBrJ-knxtu6G?MSicTc&VM2IL$qy}33h?@w z-x}vFBe3SwJjTk)MAGdApZn_Gl|^{HK&nuKP0;QIW9M606Dgf?axyCR0*1I=en;Cf zNd0y$B-$nZz1_-)iN6}So_8x@NJ# zL>p2?_M}QKz_jYo5xAiZ+BD`YT{N6q1;j#R)2z5!1s#ufmw~o+m=?(wnh=%*J0$zXj{~#Hj zDL@_vOV6{SwAP|pF8SHYi~*~DL1_1il2tMY3dI&;J1-{X>hgKShr{cdHMk+!VRfV~%p z&a4i2?Pj-FHz(;~&LB2+%o<|)iTq&s4AB;+4_@g+_2%7#O=Y8`p^aF_pPY=2BE=um zK(+8HL6pW(jBec5PZc(0ebnB~lXtaE96UY2>cwQ>@{k$$(G>7u&J1E&gkaTK4skal z@ycK7D-^U2tIMYix3I7&!~hOVOmkgUQel5t?tIC?OSKYh>Ah%OW$W+3bIYVT^URw! zh&x03Ni;eorp7JlVI5JHdIz=lh+salvuO^viyMn!F`f{3j$ zm=ZqJuYe6+`k86}Ro!CJm7O(sB{3!E+v4lL``aE==uEe1K%F8?PFuP@>4cr>#wMxD zp3a)HM|%@E=S$#ebkyV&Y+HMy+_sj;F>To5J{)btz-yx0b_uZlOTTvm_jN`KF3yvE zSd$hh3`Q|!mV2E!kJe@{Ewqbek-#G_f=oPO}Rwt$1ApV|4u}%~>t| ziio%~-P9?Z6}L^T6&p-L7Hwv_&Bv(}TE_r;1PvaS0OAfqKXKXB6*n%P^#{>Xcvjem z`(7>C5i4WzX>k-*c=w5UTZ}oj%Q^_!&UE8@N!8b6su>VkNso02=wKh`T>wGxZw=gC z72vhjA$S}gLdYDs@W&l`?09N6+vB1*=cL~VL46YvESC;c2 zu5uH2m0$`+JgyT&TlwVhoRg1!(NKpT4tVSFaKI3k6BS-%iGWpt-}^V$zx2Po_>oI> z<7Szcy=9inLqU~^bB0l=Rr)41#|zdlRZVe2>X;f#q%uUWyGc93S5(`=(iOs!b2P8QO8O03Q)88G1+SuKV3i7ND4Nvz zdxN*h->sD6whMq2dP7__fbg!r`8&K(cV>O;Eo?a&Ih6d^_#6Qx2F;3xwn|XpRX={P zTMMs~j6z&lhY+i^5Ev^l2Odww3LCt1aB_LBQKpemQ%R5!C5RQ28-Hm^Wwcd=1Fu|s zU=^7}J57yp*0lT(dWfr)D&lgf4qmDeU?tzs-p#y25gx?Uz`4om8F|FjGkEYaAporS z2yHkp|LmOU?mq(d+;UY0%;5EEy}$n2|L0ipt<~aAvy)tKdRFi|c~U)5rC;EQqAf!K z?Ym0SLtMTIu&P%W;*6HUE7t^ARj{C4T$VS1DdYDfMRy^p!YM9q#3CE@b$w3@G35%P z_1~a<_40FlfL^`4{>J|`5rVrj?jd!=)P;KMH{8xE%vjX|8*QoRVAWRx?f&@ctjyg9 z3$bzZP?=l?zJBZf9EDS+%lf;}s~Q)2y^1L)UVbUGrP~f( z`Z2)v`{jH}5vv1VCo_l{KL+dcCfWXJ!4F;*oPaI5#&${#T*nz=HNpZb1q5xyo(Hel z1e3*;kM>f}5>K91o>H(nEG~R*vRo~t*}*z!1&mg_@qp|(S<5MUWItUp59$*xo&MsmfPD&OeIeWX8M~9Tm{mMF|N4t7taR^@!o## z@KJI@XATv_>Hq^)x|V^T5mJ0<#aq+!eVGg&CE{izNjY(SY#FQZgJ|`TTYk{j37f5h z42&|l;-Qrv`MDK1h#t}V+5dYo&PG`Vx~ zqciFRy&_TcoXS!IDwt|1)Sbnp#rFFy0fCyU2D6w0J zE2KAwWdbP2sGK3fy0>X8+vEuGmHq4;cf5fY>wP~ft=p*ssiI)Cf@?Jh)u~{Q2;9Ey zlZ=8m6$FDsz;d>!J9?w7oDg{R5*=8@IM9CLC6=5a^{keQZV@oV)$h+BZD2@q%BUP# zZti{D#~qKD3R9D5MnXU#sBuJaP#&H})A=+3O#PzBX+VYZt zwT3ISl64k?_ms&ffKmr**2LcmgV$~Vc6a&FJieakUXl)uqQ`q260kC}eR0VJc-7Sc z?71&4jd}3WVa;_fq^=g$Q+?tiu#V@Vq)6irl`#T+Th^t#ISXr-V z%{#YAazmUPv>eBGyjub@VlKHm@UGq9^jH~THh#4g53L83SJ>dK#sv96R-|W!{56># zv)P-z4|(cf!m@bg43&mw!0qSx4|2g(ewmH}wDq1kn52=%AlnK;swM@WzP?brAIxyu_i;NlaeF-A zl~3TAhhGfbK1c_9OV671e@H$0dAR~7EhV)Vanp*LFbtmg;!4%SY|9Co@o}b&3MMq` z>IeXjsj)6ASast4<-xuCUxH({tG5j8N=max9_QfCZgM*lM5m+%H+REG*ymYXF zRUHi4Pt{hPX0!1Ey)L$d`>>u^KrBbIXzzO6>5HZ7#u#Yt*ZZOW8KfNw&v<7MS1)h0 z#92;8!RmRb_m!4SbH*DV&vZ188G_Z97`PqW@G{8)Eob(5klA7l&6a_;ajk#td*}Nj zu9``Mv=`(a-Z?QG+NE8NKlxzQ5x3Pk^gr!C)6zj)mk#3d`%JgX>0SAU&{k_EwD~s5 z@0rCpY1c=*>IGL-#B?hh< zM6?b|e#pFuw8GG?sqG^P5K~H5M6l{GI8Rfj2$I=e&6mLHL(ta4BJf^o&Y1&0lLjXt zsl39PIG2lBN=o_$rlxSQeYX#utif&EA3Q{cgrD#KzK``isPsC87u8M}tUS=hQpOYC z124@ewCbZ)yrJD-cH}&#dafLC#|$NVos)L9^CreqN`}O>a_-5%KL@R5d>$?;cFYir zrdG4Arf!2*I=c%k^UNkEA@e%WUMlMuuv8huWir8Qby|!eJrHR7=9@=7>qJTtDsLSp zPN~k)vT{Eq7fJry1am-S>3M4<8DS=-HH(N|JGzAgs(6%SL(+K zL#sty!sg}YGi-b_{Ej7;9MAHzi(s|gK5mKg56&0MT&^!x91wb%ao>KDg+hosPNvKy zl?TKU6KmiK2Kl|JFGh?1ciPu?%@ksrF3%JNA-;a=A165JnDvokW36+7Zb4R z=)Ro76z%HB+(ebGbTju=a8A?5br4~vDj}IxRcV9J2aD~{wmLt7)6;_A1gCO^y09lE zw@$_&_2vs0qj|-fSXr|or)rFW_WED`{*OPrAZQid6Iq zlU}EwUrL?_+I z>c_`@`sVuEKSHUO!CsuxZ^=z(zI34(iKeoSK6qKN1r{llb8SdFn!Q>i5yZL=7`u6Z zxu}&o)xgem!Kz&mS~=tg-Y$t`mZl|Y*44iPalNd@pNmWqwgV$?YT$Zi4IUe6#RGeH$zUj#Rrz8EvBVcQbvmA= zLrf|v@;=q> zwzV{>|XN$N99jE$SlC)~i*C5uz39=QRl&dO-3*El>yFZtc{h0~gCCmgZNqeg65 zHT`2&JhWW)E6l*PGLi?Y%+075MT$u*Be8Z1pTq>`9?e^LUfC#%5wP@=(W5rDTTb{h z$&sTvo|bFGgMrJ6G=}=_{17Qi0Ykgy5v@Diny~vM`w+dnE-Rb(6*fTMVzgC@i?;NbVXb$Um?7fq-!>EEZmY@Y zg0RBSV*eF}wmR+L)kpCC;Xp;)8M+W#nz=Az5VW14%VV6k$MnDsN1`M-H(8l+5X(C+ zTj!a9D^<{oH}vUPg}3yip0o^*DCC{za!U9-_l$&-U4n+gzHAge_j|6wU6XkKN@w@` z33~+4A*P_wZoIf3v6oKs>y#bbfAC&M=ADW3E)8v(3KxTvO#$ZqUI#(kE=hQ)oulo( z31~~eX}ja{p8MydWJ!5RAFF{&|M49!D_wZHJ@urktq@vq|NFCqK+a}KjjC!l#ZVYAeOd1ZTn7^&>o|3YiE*`>#CkqMB70JZ4)x;k9O;hyRHAh znbRElzBD(3b>5>0(TccDe(t$6Y~4-O83^nggx$?NvuXUTBbws);Qnc&HCS$A8|`UIgcs(?MDSe(RTfp z*JJbQMY}ldwq|FDHFeHeUtfOd-l(Gi)z5?KJNp#ISRR>A-c`C5FXmr}dQOYutW&g{nr>xNkf4RgAosYtGKPGKl$?R+vHRCI@p9t$1h!igwsTbBEZO$L{fD zIK*Mm$G;B$hK#U5AGUDK9O(zmQu1F?7Wj$~=+^S(mxf`O}1 z72509Urb6t1wo-xu5+G?j$DEX{uD`bkc^lUTR-(NU0 z0U%YNKD9UvUOhAh=7EEvEu{&boR$M`V*+Vmm8Wgior({y_lFh`tAJJ$f@6?PxiY;p z?2OB07KmDwmCpal5*+tPNpji?Brs!vxRo)R=Dosvs z!V(1|;7%~(^ZAlnv3CZMDRe>{^g6|LX#l$hlHF9Ep?SO^jvZRz;dK=Z%;9_-km|15jF7U-EW z0ea-7>e{8__)Fw&9^=`F$@s|Xt7n34)0%XfF0zWw8#Ci$907)6Zt7k9pn600Ae{z|}_ZX^eJbiD@H_OIi(%Dm{q20Un zo&~31aXnBARtd|9tC)Q7%JU{~Fb^c!rD5)$+h-_JmscMy$?Oos-7wc926@m-=KA5E zu(w#tRgi^qc>XH>LVizStY|iovtHx#uB@5(a6em%F$o*x_oY9UT|S8&!#XQ}K2u|9`uX7oX|JKB$Z(5q?1s7U z&PK(JKS{u1WUVV}`#DDH09l6;izHV3-n@+30_N@I9twG|iCK3hhWn*19p-zk4BA?L z1FtNxafBH2b|6pu$$rN@>v2ZBEZ2UTMH`EqIAj8bmQ$sEZ_Q)8e(B}MKX7ql)N+X& zHLO}wn{;bZx@5GRW4Fi0jl*Iy(pDyPd&Pcg`mJT$`Xz|({c|5%2d~<1f{8yA?UIIl zV?wTy)a#TG_Pt416%%qnlz^RT!y1^YaD_>enoFvHYmqjl@x}G19OCxjO%JeGLEigr zBP#)6KM^M;R5o0V<`K8a2CuIamsD&M+RkIYABk*3LCt11A=wO1p_OjC}N4W1*?Qmw8eG? zslyDm+*BLCBd)&E((@|SAFQlM?neenPLR;8Rd^-pwBn(?_-6%9USN7Tz$*B$`8}Jq zI&Pya`x-4>f5tuWJH#@uB_CFjC}QrAoHS*ywn-&Ov9bI73^TsIWpu4zB(Ul+Zs76? z3|{g(nEr3;1kqM@)8Jjs@wkyHTwB#X7;!y|K6rO~=dMdS!r+y90an3Sw8F7P8?7Fhs)(ze5pmU)3|_jcINvgmKD{#4V&}kK^r;UK zna$U%5m!F0LF(oQE9WBGa^1nJCTL)f(Cj1a05QLB&D#5W37dxwRv6mqLnQ9`94yQJ zC|!Ev^ghXa?t!%p$${CywZ}rZ!AB;8hnx0QrX5VQHho?4g&z&d?wpRNtE7DJ(pCej zvOlzjxlQJtFg%EZd|7@2`sx2rR7C||s>%A3gPkjmP{(jKt7YCnG6ze0~|A$!ZVGca*mV(vu@k4u# zKyCVs8J1fHtfVyJ`jWv*4H2x&F7e;$w+qkf%e90Q@K}Dt)$UNWK(#&st5rZ-Z}1G> zzU^oIhC$l<qk33=A)0V}9?R33QfK?ZJi^u5)5Ua$Uwh zN#k;04_@*SShQ!k{=zT6_=8f6bdX5VGz(Uusr}Vxb(&Zg5v<%;=2*^acvGS>hwvl@ z_=a=E5SRBFvA1S(U@1Hy>d@9(a}yRjZnaxg2w*+Gh`7AhgIB7pjLuv^w2SxJH;$Q7 z8QeTe?Y~4Uo3XyG0;hhk%yr9G9R}b@O3vTY*T)wB;(7TISH~p*=qf-4tG0P)E1&@H z`h7ReAIBvzK6K5;YEEU0&rf{!PmYIP!B(MHM>*)_6*W}7JT!R8;YysIF=20gtUp}1 zQ~~yz>)-rWKK>)h)-yZD&y@cj>=`3Of<@l-lV@HBit92y-6!qt_BlR}JCqAKRWof7 zDpPz?nOd+*7c7=jm>Mv&TuH}1{8Kw)tD}kiHSoS~QwDqB-_W1gw{A-~D$|mVww)o~ z{$d+uOwV(-i`E5t*ZSo2f2wsHBLU{y9kMenD~GNboILa7IS zx}x{+D#iik^~h+)i@2T|8^l^TT67t2N)Sqic%~r{Gt$V958kT@0ox%6RtG_`O?u;5 z-<7Q+Ri`RDrVg|=?30U*6tVmys5N}da91DcRwY;UgUw{)soPBcc4Jgv8*ERcS>zh? zA|r=-1+-UM$Ii@vRWn!l3X&h%Z!6pF?7iOQ8r$T28*EI^%F3L&sq!gJUG74;$TdeO zrQ8jh5opdk&%(#@0~RAm%c=ZcFxTh0O_On*+Q{V7F8DDfqQ zqWt4ZPzNiq0agXwVA_tI#u&KrAn23Jf(I2VqTDd zC85Ep=17Cs>xX~q#b^JhjxKRn=6%jo$_D+{{Vq%To+n^K@uB`6sH(vSEWx1U< zk+^OZB5s+S<}LGTn1V-zdSlWKb$U+T%MeYUE7+xyd>s$f)A@sQXB4bTvm7J7=fu-Q zH&=CLz2TkCo8#8C6;`$ObB;&;;N0-JO;ZmNI*6ZJvy|q%0SY0;tev9TcNS~<2b^DC zz@*jED_4s&E(Yexywq~>*k<%^^49X|o2DSOC3J_B$DHMg4RV6gaQD-6I4dAlVw9w} zwIk`aT0%py)K;H&U>yXEJ2+vOdf5_=)zMJ0u1#<<5C@NkxPH+5YGD1vYJ#fEf@enC zd+YnjjYFB`uEY-olk1t8Rl#Hgx`;NMx?+sLoH}r<2QA@*500f@e!EsRaYS9I26*Ond$$0*xiiEk^s*e@dUD;sc&P#DS{=pRA z^N3C=l2pO1x#Zmc4@(-bDnQ&h<;NY*X%8~}5@V^oXi&mzDp`&ryW3mzlx8Fj%wigK zrs@K9Z|df%sV?KY_Vu`Mo@ zN0ADi=8+sJ`bXY&q-|=l6C|rGaigY!3QqtmR!gpFNN69R0GZ6nidw;)Xfuo!xx_}xIesF)Du+AS|7s3%iW?9okh?t zm-w?vqvB;Q?OK6jY1fngdfYRcWzS{F5fp6VIpQ>oWZUVgmQ1T1N;(u>zxCV_SXDhs zf35zUTb^tlv@zp1jyTIDv9kr-C3yfcaQQA*GfYm$o zj5KlBSk}32bKmEZ%6%t_O9T;!`jq4kl4`l15_vM!WLj63vg4?w=by!04c;cx_*U|B z^bM9eQU2V?;H@oz7)OymTyodCGGMQ+-}n{7Ne>G4Gqws*e5^4 z!-vJSKKFcO?3+E0vgDUA*5l5Y2K#wu3@q;qua_^LxJkre-3Zdbk{f(p9r2wUVQx0~a4L8bUBmL~Cs-Z2SRc z0Bc~)%6hQWnPlsPii(zNG*@tvI&zEIM3-8cdCw?UL8@rzyarL4*Lc*fSV0zUn3`xG z`7RGNbAZh2B%a3s3zh|dL!16@FpH4;?vb5URqzZ1#I(W(CW$5omOh!hAp5^$fC~A@ zne%&el==`AE0-EX-?kd$)6|6gpo^xKngyAs#8Zf&(hV(F^8RsmH{$@8R!rL^!2GP^ zG<{@iSy}%n;Y14S+SA|hKJe|-xooX!XzyT@$r^+`TZ8ad*Rs0pYgI)wYE`LV;bt^2 z*I6yAbevk&io^}A%;Mx3H)b9?HuJ*@{9tc2=ghsle*N2&kBO4TZ%`HLzMuZZIZuh- zb;M=BhS6v!rduwg##dV%%W@yl03)M%h?^H>xrMd|Z=&+~A@iJk!L$cGV6+h@(p45- z1+bfA2Es#P>#Fhe>Gh+(E)TsYP`RCc!}z(AJbEQ|Bua;4d1x~V0w(mmf6z&eIn^ch z#hXgdIv%}+Q$%~L#=aPn(^ar|PGaQP^!m+1qsK_857Q;Ict;zf(>Ck_m(^$tw^C|n zoUxx?lJU7UdJCC(^(Cygnshw~V&Dlm)W7v5gQE3@Nk0 z9o?(;KAVCJ?e@}_V|){qBOhe`lpvWd$M-$FUqv!fOYE!G4R@>GV^=5BQW#1*n1E>1 zwz)6}`CLNIfI5EM(52I8#~W!QeoxdePa4bgE?W*ghx$^hfW_(*utLK9WROp|orAgg zBg5k`c-f$ae3*w(l99v~i!tS$)=}dnEbEynRk{y}QHQ|v==nKoVVzoj3QSG#nNua@ zrj9d5ZKdBF9Y79;?_y`$(5NH zcfqnflYtrGF^Fuhc5+M`ttflIZ7#>0HE+#X6WXp|DH?T2$@M7}Rj|EyYaSVV2&6rn zI&7}RM0_2+I+}>Ud+iGouiEkt9%+_%W8?PCtHc3#Xc)tnFH^>FyZIHRj4= z@3tnOXqmXj502k_VEn#G%Y>;dzDx+Y5UIHZ%NODeQkloYZH5n8hC!`@JeAGXSnLY9 zG^NW)RVn@$e|RoGCA_%bnm$7-!Q+_VjBnPHHGcqau)Ayd;Eze$$g?fxT^=5Oy{P^- zMm{Y{Kd25Yd5p>SwO?iGa!4iL(=t+W&jYGuHR>J`5f#%Egsb0s z=3v_HO?g{cl4qicGooS;`H98%oQ`>@1(E8)o*pWv^|`SmemU{!&XN;*Np4Y-e66^%bYHfarN)%4vZ%QJ`G90)=2@)ox|gC*plz@yXhSX{4X4AnUYNM$uS0 zyA6^xgVPF;m-zU>?|l2~-~6|}@$C=3{N<0n^z+~R55Dmc27dMSgD?Huul&k)w*PNJ zAN|}1U;3AS`LF!S_V1Q|`}ePW^cTMT{wKfk`u$H(b6&pu%2)q&@r9?K{N(LVzyIn_ zKmEi{{nSr<_03QI_(v

    NXP Semiconductors
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00004.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00004.html index 3c969a5..64af7d7 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00004.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00004.html @@ -32,7 +32,7 @@ Logo
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00006.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00006.html index edd028f..ed874c3 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00006.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00006.html @@ -32,7 +32,7 @@ Logo
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    @@ -103,36 +103,36 @@ $(document).ready(function(){initNavTree('a00006.html','');});

    u8q_p9eM$LdK-C(v2`#n&adH8TgxpA-T{M8 zA7u=>*BE4t7nSH`pL+@9JeM)!X?{!XneP9nHR3Jd)N$?RmenZ5;*Z%w!4eBvGl4ed zqZGu)g3={NdWNXtjV~8Dx~Fp7G(G2C-nN~)@U7;Aow!`GpMm;2`;>dP%OtNhPWz9& zdZsq_XU^n(wR4iFS&Y-4K*94|TeDxb)8OeA-2ygq20A1haMzCteq38&e4QsrWFhtQ zFF(!?;*P0}tc<#UT>iYiR$Z621wQ2wpL@KcJsSEKjC9t=H!S-`I#aU;6!X4}eYU!d zg?HJ)zJz1?741td`Vh&_ljnP4UG`@6^)b<##AW$9y8Uia;TB0tn@xEce*E_UBZczd z$du`Mb>G{Av_)~pj*}>hWK*g+en#_*v*l1mlBU9*@DPFnZky6>-R{lhD4ldPn#C)z z(c~(T@%8*;O@hTWxpxKopK$oz-(*ts<4%MA+dV(VmsCqnO2!@#PkMH+qw#9QTv2Y| z;^-yqg%d$VsrgwAD(51~l#Ax?bhf=a(kASL9EXfM4HV&Qv1n5OW?|RPmvpON4^Wa_^LcB^uVEAw^t~t z)~}i&-#b!vZtbP|XXjDfsPesUUi3u2KS|n`fC$|S``Aw1N?1PMUgLqWzF=^X)X8)H zFc8doJO%E)QvyA_3g{ zb3c0Mp6uZZq`rK-T-@c@U`_QGj^DJK<~@F2*=5so&}#_qrxVAk#jwdIG`=&{{$6R&NJXYYIMZ;pRCEk3AJF06GY zp_{E0r=)!=d9RysteoR3-DvBMCrY!ID%;Clq)Q(=wFK1~5W?RZdmTH)N$FTK)>i-e z&MogxmGsh@JkjnK_%8CX=9lew|4K;4W}x9)`8|J&H^1`aEUHJg7DbHj99yX8Z1B8; z&!c1{2GKDR*_JjjN;8BG^_E8)XMdd~PP0m_=sCBVG>HAeZGCs;sL0nRk=X4sRl-jT zo3%y@LpA2^R*8$m(6M(kQy$6f-_mlg=+y_ktyd50*B0R4+~VK zF#kSsKghPM(9xZ!N+jrK2#DP|oDn+la-#hu$9&_y_9f{s_s4mZn_k6I&q^GgN#7Rv z=jd^br;*;zJRaV6eJ(8~yvfG#>xEEkGcPumd~N4Y7a`DoXUzu};fCK;!Uj(X%L{f~ zg$AiQuUial=??SqyjkdtzA|~TJys<9$Iql0`l)b=xC@*2(nSyhJE(63@@tgV@{}Zd zRT4IdCmUulbxso|1D;R$u{zUS-t(e`Q-G&w&re~8a%c~ zB$tEte)odIlCG8jQJ`kwaDwEq3N^3i4la*PE^rgmSv_*Nj9WTCJvz4(c_S)p<&h+* z^6hfc4V3_WLc%SL0OE~``A@HUA41yDNrz;owbm6~3&sAHRbM`{Bwrze=Gdb<+Sp3# zHYc6i`DbD8pW&4~j>iHknHYETKL14foTYH?;$c7UAEXP#wvtpA>zg^Jm%Yov%+L9R zSbveaK1U%WW9|`PRX&zCv+`)gb!PAn*PgH6{?j4ARy74^u~}y3b$@_c0|>+a@&`aV zYf-}>_17U_M0x(m5ztUpst~<^6UNaa;tu`90m~14a64)lj_w%#;$^=Zl8XS4JWz0~yySBEAu{hg4>OP~*G7 z3m`Xj_9~zg5DHkbpp<;@OAt0wQ&J>7>z2frqhTJ?aFGj~7F5l6BLJ@oz6-c6I5&X9 z_o89MkyjCIjcOhM)`c;t1Gs}?5hUMnF)W;pj~X+Gfo>$C5xWZ+QBdO|#_gt?KTMHz5E=y^a!g9;I_-x0xHu)`|h6T$Eo7;+)Njc9h* z6b;bYD8m_1KpFR<>xR|HFeL%RF9^PCKvh5lX;E>Agpl=FFk^?3z(y$%4xutQrz&Lm zH`pj(9WOXVuEPKy!g>O^gv0s-zO%t>9g}d5+$c1G zoL9163ib%nuFJ$>0-&C_1`Se^)`b&Dk^(fgI(j-*{&Y{4xO;Bj;) zLBWDFD&)##Ade@*cJgY(aq`h(CzApDYF>lAKud!3*$_8?THzSm%k{lrA^8WhVSQl1 zibkb|iW#8VWeTd8eK7}lyYSfAWaMqZGN_`W2@rQd&oeOS9V6gNlE<_IgVo|pfddLp zoB|ZZBNvo|vV}w)VMOZFV9$AB5wcUjDTPr(t+jt(x*~|Uecr+3;C#_z6lvfLn_;kr zursJE8&V1(?FdMa;J~8dc=&_;Yxj#2==6!PRm^rVOQE^Z0=e>Zp!5VJD8CyQ!*tSZ}(cIc8qs4sPNB(I| z&f@Jb5gKEaC`|_`_m$R&Gf(ho*QRu7%`{Dj1}>i_#;hj;JAPGAw@J<8m1~&a*aX)+ z_V*#s%(!(1SCy(b*UMJg9(zbxHpNG&4Bj8N4XF=kdj>g( z?LG0VSc*hi7yO7$09>|RHdz23=t zJzPn9d$#z8BF_)mqmm^qg$+sq$1j!yN!Xl?G9miv33hUgc69geyRhBdrfbh>#aqQ;-PNWb@{qUD>DPHy` zBnz+FW)Lmz)Z3A2RC;bnK3pjccJ-#=b@e@9sk|R=N7>8d_JRNBWq#dMYwhiI;={%M z!}E8RUM$_kamM>RT z+=D^rhcWH3LD5)qgLAf%7MxOi2EuM1yp)4d73&oSnBJDZ&^%}$SjT+rv>Xfez)~ zSB_iNCyAZ+DAjQ?Y$w0nea7#haKxpjvgUR}ujmWUP2QF7Plx-~G$}h3m0X(Yy^#F0 zc=&r&{)?G~te{8>svmFNxN5#;r}3)0Wb?awWt?!7ZoPBCyTOOz{K)o4owY&`MlkGeT%J%8_TptbP4oQNwCP-*gv`(p;Te$w4Inie2KZdja-VWTfA4%aCv6$ zjT?k=yw3v`&-W2TB%hSrgx26unVhK+(V272`{qx4A?ziM zw}{4WZC;l3 zn4j!(&+CfmNz}f&c6jh$NBs7=i_JxX1%&~b4$n&QZMnO-ZLaT=cH1kTbM51CrO$H; zuV1$=`0PlP<5*0-m9~$k6hA}}+A&_T^RR?d${&^KBLn%YQuajdd(7sQA$MZMaBcL1 zf|=XWg$|AmrZ?_j;r{SNq(pR=)nO!fR(zkP$PKW@lh zsq6`w^&VL~O=z$4jdrqu?bpB~!=wFpSZJY+Go9C$JDWP|8~tq>&>0-35z&P&u51MJnC z0}_`~bT!@+1k&ik1F9STfQ}d+73K%|8ra?(fLx$kUUvw9^h4=~WQPFSV8n)U0Hap# z1KByy_A2DeMM;d@dOB;PlG-K0oipZk&%2AzZsy#{(FEcH|GLyK*|UJ z41e*fss?BSK-jT?8z%0-EB^)9F#}RT<;1`*0aTAlk)>4NsNusUY?$3Wq+$R(jzqJ- z`-2!4Tm!gFgNZ%>@U?gk<|(I4PYobiRTHQhFLxJq=VjP>xIr z`<@5H?4dlEg!oAo2%z}VVLoC|cMKvCfEiIqGO`UX*7g7ZJToduri##(GAc>7aeG2O z+(0A7v@}M#K0=Pz-50eMVRh3+gYu)33bTZH(`>MbPO7I1+KsUF- z5IfMnvcQ@J$&r9cRRSWJ05I(P=D(e}7D9tM1+d`w3VLebRW(#eEN9oJ3B}R4uwitq zx`K`Yu)PKp?Nxz#&BWbe{ybjqW26ZBD1Qi(jjN=i0Y)6Sc2Y*=`fS$}`DjV}I~g&{A`o6f8Y5I` z!y~d8k^G=kf=YSGqU0X_UB&O>1tMUW@*-NFcp3Pvw1;Hlpc%TvRXi)JB zD3%KhIeZfb!xQ*eu=p~Vuv;l|7?86EAR0vKkno38V?fN0!Y=LC0z0`!U`JgB!-nnC zKoJ9A)Iobs8hwhorNNpW0o=Z=4zM02aiUs%P!<{T5J@4=)(zas!C==TaD>ssk*Wyo z6Dk-?fWjljO#JH|(NsW3!;f$l*o#ga6(a$Jc}iq)4uMqsT!P${qZXW)2Nf{GkaC!z z5+pK`(?P+-fo(@cp9!RzVzk^enBHS(jvr_$Zd6uI4Foys9vD1C$iqX`J;-*W$gZLS zjw@OR^bo@6P-SS8gP=~*RbFpSK^wX@dW>^IW!4CgU4S?QRA3yX*(zh>Z$L&yUN6BX z(B6;7&>pk{4;>i7Y>~JNf>BT#9hEvE8&w z?yWf1LyOyX4Z`Vvv}J77SZT+<6y;Z5ZGub(eGvy()ceQ zBmUFPH_xVi$(GErCBsS1iG5W?ug6d;Q5oeXCUITvK=)oWF;O;JY z;e{Os>z~{t6#09)Oy z784|Mu)O~AV9(Q;$qS(PLZRV8yr&8ZA zrK>Ah>R&_F6a*Vr-dtZM8FWkG?R*TiA0;0z)Z3DMZAkc`?7lnooDO5|e%X%)gq2Y; zfy>tB%yBArTL#alw|a*|dEU!srlq#Kvt5a+ViAC1E`*3Py3_5Sb+;HKO|HIpVX8p& zOH92pBD{UmdF`y^93M9QTY9e= zbhjqb7MLG>eoeI~D2Cy#ZFq~aS*dKZV2^vs12q<#p^E4qzYLyFg`5(ilQNQ_IK}7u zf%$!zTiiYQfZXr1G8w6tnmNA3Ie5zlg1*}0aSiU6Q z+|mWd=%^EXhH6h$ikAlI5;jSUaB!4F=v$sh3b``hBcVf)@Vz&uowlW%f;*SerU0Hi^AI zKXfj1EaG(?!v!tD8r3TXVcytps;k_c6k7C$*yC3ia@ZyJM5QLI{NUbG`QCjoY;-Al z%{O3vcMoszq>|V6cAr>l7`s#E8>}`htsK1~ zacM+&L0Xq@Ui#)}e9RqUUk-!dWRh>{q44Bf>7f0C2GxN-YZl%)<(##%T(M!m_}Imr zxheTVZW^W@=u?hx@47&5y_qw=zP?+y(p=>eU4w4tV^533Z6OaSU)n&anZix^9@4%K zR67rFarCL0WNbGHHMOmiC4|p+=JnSWeqm?IKftrpc<)wQG=7phfw;t9>L@vKutQjO z6Yi?hGYTJCEdmp7)Q=xtN&F$Zw4gg)`iCo8B zGH;E)u?=0h^dOnhI;nSy!$Ra4HKEil=|84?sWnZzZvEbOwr}lvwMV7g_H^y{$NvoP zd{P}~)c9L5c4+q7@lCG_W{Cbm+swZQG&Y|*B=a!=V`i%D2|Vdoer!(XOueAGWLCN&?T}~e;FKaGj zybx_L=Zb)Mv$^{27`AAMD%X%(qG7+aj7t}XzNqXQn-uwzrh4xAN!Qpo#g*Y!!Vlp^ zF~gyF+JQ;q5tMbHhz z{A|36P`c~CA`proza`=1B>#pWsNmxdiWQZ$I8Gekf_3pfFm;dvhoTVhkM(c_{6k|s zF7Y2s8#pbuFEXPF$Ml#86hNUtUsTgjAQeHSlw+^JQ5kChXohlCfgc3v?|OiOq7h!? zp|1efv}EDv7&gKH|CB@~zmRV>f+N7+2(NeoG^qkfi3l8`k8AuZP>L}Ix1{nTFnPs| zIIEP)fa2+Lz|T5o0x$ES*YJwo{~7@MtsKx%ftVS-kOyB>l*W9Tpy@BKVPH=a=~2on zgg1}~NeWZg3%(F*Gc4YvCIFqPz}O=BK7vWgkjFWG1?YURVZgYXAu{6?34s@IU(_Kl zF)VWZ5*23L0=GYJMz;e$OwIy8gfpa@;_v;n`G9QfTVMeS@8MLjbFDC-r4|5`;ILA_!8;7vBfTeiR22)mOgRYS_iL5OF zgbN?)SPw)nSi;Ed+X0ibw8657<{=3d1R_)jAJT9F@=rl+^C`L z-Ox~O7Yq(*)$@b>+Q?q*um{UATR3=dAek`TZkRc8+*DDu6);Ef2UJUC z9T$_Q(ryPc_viuZ5wIrVhv^x>%TWM{8Hi}G;vC3y_36h^ktEC^7qbTf3J9mGkaIgh zV}tw?Wdb(#3Y10249o0=Ve<5%VWJdiRFIgQ`vLGCohtI@RWKMPGxov(I=|qy?@_+B8a68e+YOUu$JA$FzL)!PmaI^e1%?IC8Qg3Y1x4~l7}+MkQ4f3v zZot$yG68pn?1&*RQWZFbaY+nJcrnod$Y|fmXTXW@zZ&}GfU;8HcirC)GNN%YGQh5G zga$CWTAVQHyQ(&-imZ%s;L+AW?uZ7gG%v`M$Wf5I3FtX-lEaLY$wAABijITtv7uLj z5ClY^$Wg)F?{VA|aE92kjsHh}?Emr+MoK8-jG?bEQbIK*k_EdA(ZSg7tr6F=xvgg+ zD5s`vyssao@xVy49M12|j$2+cX-rj0;gc9JKDSy%kWg?@tew>43!o1iwhS8`{53z3 z*;k2gP`KxE)XjO~@q+8avpy0Lx^yM#xdt;$V=W2#;#Q}#)D)ie0H}`(efTC@sINV= z+l|9q7J4*kOgDA5zXvdY5-9Lr& z@`YxZwHG^cs*_jl{d9LLlDl)m$NK?o9}IBco8oQ{wNQFF z-R%AD`d++sej+4n^;6C>D{XsvyIOwB2rXeZoY!|VOy*pd#9LFIeVI$PcbDSD+k;|C z3uf3AkKm4P`WBK@QlFB_wYlbiE^GPr!?h>M^+TrRr=Ae$b`Mrg$6Y4g-08TNDJn5r zij6YT;{LVcy%&6rDU~w{pL3Nrd+;UU_$j--1&K4c_b+AIKcqiu|NM9N%I2rt{;v+U zhO9`n@mIFGCDF3^o;9K6j^8UhPM!Xe)1-kg`FmU1NrC4hu|q4#p=EU9wGsJjMnSoR zt|N`Ll9DwQ2RNS3i7m@r(VQXfX6Xv`QlYmQG&V`hk&s{rw|uDIq;#5|(`7!;%ky*m z4@<(b1e7RwmF1Lk?8X#xXUz-Y*5}MClADGc>|1D8BsCAk(I&(i;(oMNP&djd5ee0!>3kOkDayK!_ z^EuV2@V)G=6ba0hOHzVSP5K#0tM|NZoV8+X>TG-q;^cMYje>~mby}PG8zQH9lswAn z>Rb=644AJqz822g(s*`WSkp($fVM$5#?d&&v0Jo75A%6Hl)bq^H*obzm?rX`Q@oV9qD``a?lpXQU+iaW0|#2_-jX zGfl+y1f378+&*b>K!}RI(<*XwG{TqvusxTy{lR*g6w1fHPRd=$?>(KsAFqBuh4SIe z@;gD^g!AnldPis*p80jL{&xHN_>|}QOX?~6BWTLUY_sL$J$dfg56Eq8)}I?78XRd! z!oN0HoL4dn;R|o4(!$+xcPNt|Q`p2d)+0*OpDrlX6g7O#KK#*j=2W~4y+pcBlVFHI zbIi3)k!#ksonD!iWl6q$MCxqlso%Uq!D_4(cYLJ5W&iDjy9agUt!*16PEemzd=xia zH03BM?{vqvzp1lTFyr(^X6}R^`nklRrE_jCKDu|9n8rR=p%a_Ti#boFbD=_qV%NS4 zWjE*CJXrfO#yI%cYsJ3OQtUF6%(-8D%GYjx?Sll4JNi+*{f}}5NfaIwY(LXH^pdF( zBq=1W914c7mua>C*|c_7uc-u2MLYKFb3QjIlFnM#zsYv1s-ZBEq6PP{+V-Q7J<+qB zzc~L>Ok+r!efiv7Wz0B)-+SzpdtOLDf>Te0=ashk7oywBZyPVFq&pxIiOpHEQUJw?f3|r2Uy6-MCvFraa z_SRulJzx7U=g{5Voze|bl1g`nfJh1gf(RS|MN&#QbW15M-GYLIl(ck|yqt*>h&@wbs4vbv9JmK`iUx;^hni08$Qtfw%4*OvP^=I#}`jB70lM z+hsf?IdunOP)?7nuaQo>H;2j=zhXfCv zxr>cM7jYRd~OBe01BL7q5;qYat{AM_bYpV zAyY@e86L9=FeJe5n3#Xi&40spk~PSKewjvZ!@=MP;k`eB z3G#dsf`TswND~NRlQ;sZ|49yDgj;Mw9{#_j5zn?E4rD?IJbELGh!AiFTn=Dc{FOy~ zvjcG?0;G5VBN6WM8~7^pM-h<)F1QP^BNF;6gow~20m%6uAw)R%?%42wUGVmW5GYg- zL52cA3M{#Q$sp3hIiG;peY^)=5TdxEFwmg<2QGpN;Rqo_MmX|5#DN$QH9)9Xf=poW zn!)S-D}wmvDLMP#DS}`jAlOC-UOqyd58+7{LrA+Yz}uezYfknT#O}s@jt>Y|045@I z8xa5-RDf9ptd^VMPXB^Dz(*iRAw>QGz_=hl1s|3KYmLAU3>0<%X4+B;u@1pkl8_Mj zQ{o)lLxu19fu%-s2*^YKu5S)(1caylPt*X^H~;gHvO}=qZYrDu3t8+>h4Y&(?j1qw zNN$FY;A;VoH^_tl|Nc|o{HD#?5dhe2SHSEN0C^>VdnAO22_aI@!4moluWt~n!ZpMW zIK}@ku>M)~0tm^PKLL!JAJ)t@=J&K$pQr&Cv1CSh+66CZ$fK=uLlI$|P3 z#S-|LKyCp9ikk3dj{q(%40bpRO49$6R~%qxkWw6mLITvW_958+lXj!ECPRn$3T}Z; z!=Pdys>GR1U|_ST6yMU66{w>6yj_uix*5$U5uY@S4)dyQCo?5;QKRjdM!JXb$FGwe zU+p(t+YSpj-`>FxRM0NGo+bRQqsUnBVw7IOs`vIIinGz|$MUGWb%jNbVg?juC^^T|n#%~xpLEZa z4CIG@60v=O>%+gKFxf`s>F^-SchCA{M6|%YwGx~6u(<}?DYoU+2N5nprT)1jrmF8) zOpin(ALZ4sZ5wPgGctqUx{Qd}9{cC6R&!YIN9M})r+l-$4d2n5sb3ntzYyEEnPzAp zxNX3qWcP^bR-h@9OG5(#DD&ZYx{uSQMe6z`CRA4UP-WD^Z|JCXuz62~@y!cQMFA-h zuAsu|rWn_sKwEkxrqDsiz0A4_DQ=|_r+_71N6p3Gu=!%JRMv}~^NvR{>bD$fzfUYM z9qKnV(D>*1#Fc{VZnOk!lpoI-7M())GYJiSn8jF2$Oo2eNCxBIh=;tFq5UjoNzibx z(hw_}N3cp#rB?CF8LQBgS>w~)r9-%F?}O_Nc1$W2mU}}V8%FfP=jUT`JKU)zxAKQQY2%WYv_Dxo%|rEl2t$dzA?6{Q#}zkIOkw703(B3tBRMo&E(bh%w4xqbhZo^9#f z4ZHN)Z_JwcNwKC1Gdr;R`mx2JTec26y;~11m#s7>IERmQg*T23&=OqnjDRyUL!%if9u}o9BM4X zt_1eaMFL?$wL~(or4Lm-oEdA0b66KJT1ukI@bpkjyM+U3Wy!b7>Ia>1i7|{kT86U+ zK2=I0xuHmA?c;q~1ES(YSe!s(hj7sI8^-rX3AAr1fy5AsI|@yP>Tq^;*gNw!E1Fok zO0t(11bYyFq^y?xIBH}_%bD+E&!yL$_ajJ@BLpcJkuT{ju5U6r4$FU?m%}uqeQL!Z zsSKa3QE=YVd{CwF%r`Fcx$jVy z2m;zZDo(ZS7kHrLq7P>kZeVIYXrC~@-EA*Rp~0>HW>@}{;R4R=AE3IJ(7bnIDulHx zFSn%@gT5J(s3Xw$vG};NCAt}AVk)VW5GeTh%gD<|q!E1{wH!^NxF0uJxU*Y+G4ICB z2v3eqIM%9hGG)3^_g&Gd5 z($_oj`vhVXetE)~BDcjN9GbW=ghxIjIm%5(R#thpG0ud?zw>>&55Y7gfgS&V;rxiM zqvv(bcv9{;rpoy^1gCJI5S_kcHjvR={jS|g17FM3FAu`|KVQZEu0QoKRN;R%6hN ziouLSiPdnZ^8hO>x95o=UHK~}$dCoJqQRoX=(8oMCns) zc*)!kgZb$))E6q+D|+7-L-u@CSAS)Bhvl@ReYn0Ro21cSk32G*m^Fko&C>{%OO9P- z56^98hgWv1hm3dh<_Y23HqpLo{W$7<>v5wc&x;>pC9bg|J?Ag%GkhkTW-W}i=-+Ld z=C#Ir;Ivm&!;(1Sp3hLx?W*u)7*vY3I))w0WFbVuSNgJA{Xc!}k-2Cx;1QZ`$jb6s z4ttt0(zTg5R}P~b^ZV^Qo1yF?{nMHE6u6e9%gHo#uLNd%w@0bm{L2@sk|M271B z|2W&XLxA5h5*dmM7eayR;EDp|34q%lRy~~85dhw@7ZjNAP86sm@Uml|0ksZL5g3ja z3htD?q`*qx(!_>4qC)jZMGziR1kn2HNxex3P9U`S8)i2|g_6QC(4ac-JtyFO2EI5j z*o5qTR`?z&lmgDYhJQo9!37{k4B?NwL7wo}`~YS|p#hk28y%`cDgxjPsDW~WumC6& z0XPFBK_htPrV#+H@uP$9F^OmhbRL0I5J84UaGHVa4}!n&hi`C$gF4Z{XuL7NXfy;7 z=ngoPfhHl`To62t9}9{KzmEatmJk!F3vWh+f# zfzSdLn1fYt4~`cFW(NU{0mq0o3IRl8z^k0Z0)LAjy@N{V;BRlTPyx~dPXaRnT#RIZ zp>i`O7i=&lIvgnA%>eK%jv$x-@4SS7U_#{yD?B?E%u9!vj5W;6o9`48eF2lq3Mu zg@M%r@{bYHMt_QtQ^LFO!P5}JGzb~ff8Z@bMn5PEXdG4$f%kFq&MXN)U;nt@|3S6? z;eWqrX_*AxM?D0J1OE-0|1lCkCJ33WX7b z^nX0?!GxfRC=pl%|2W@4Vg?X!2aE4NyzlPJV1SH7;N^S;O+gMjc=N!m{@21oWR3jI zVF%-*gWFMo=?8^y{-?4e8(fzdm^T`^5M|&*2O$BFogoC`L4eVNke1|t|D*!b8H9tY z4vO_aFbM&{4(wfsnM4#9|Eq-#QdhEp{SrwHB?Nn1!lJ1T9zstN7|h_e3w|!3#`*+^ zm9^7AQQ%h@V4`l(fU$GE1K2&L3r!}0fF1)6l*Z&oL_t8D3sf?P$1;J1is&`rQ0t~s z!pAyrT4o43CP*j;p#=tz`_$0}R>e>z2tt6E0U>-?npholg-!?ziyJjmz&;cKsraC` z9B+_;f79}c7D@;KdSFKc_uxYX;0pnh9uZFhYYmWyz!m|dJg*SstQ?T_AzMm9@qZRr z-%_K-hvPnjphH0zz@r9*8+KItyR#PUNMQZ_gK$ZOUa9b48Fx+J-D*G6CK*>l%a+>~9=i{$ zBa|tr*2n6v$;M^0Bfci-O2Nz?4{p77Se=hqFnJ%U_qnk*c_h22g&0Lha&&O6%3D$d zt7s%Y3i?7DHDlVmu=GjZ)a@Bg^>=dO)LQCr%h^DY**iT z{Di<3g}*6EJ&A6MLHSZLtaxxKtvSD-XC-%8l-40y`7IEfSy%R0gmE4jUP<4!9S>f( z*eCgZeSGgyQf2Di@uR!yp*qt2fdSTcEt5!am%rTUK8^Aiia2|fNnU36>ABuhaaM9M z_baKpGUct$uI*_w*c@APCg?b<-Vo*MTs>W(B%yoE&J}Wxa0#Ns5vcMa@%U+r>5+Z4 zWlIcST8rMU#hA}ki{(Su*W{+i!L9ys8QsUrjaE|fp3XfdpOl!HHl@MW*L1HmJ!$KDV-DG{EZ36!8X64 zG6=yd^{B~{WT3dforFM5{{_E*UdHfSbT$+~au9^uTteuOfhGieh7#%rcn^PR3;(IB z0?xl-9bLHW5(0Vv=M)G!B4E_bMkq=T_DniPaQ1?HN)&8_$QnZELj=@?0ayx1q~(D< zaEcxrz)heLsGABX0f>n4Uuf|!@8`|Q;>G|vGiCx1`u?{IRE`M%3~WZw z@BlPSz#Rqv1US?9LB%+lVOIFf?IOhOSZ2iYz+Q_uc0lkFJoR6No#Eg_!G$X^0hq$W z0@eMGy3PnCI}t=(=RZ9yAewvuO+a~Pz~DwmZU`bs)qm~=FoV1HtcVW&@~Us11SqYD zoXeY`P_clajP9Y`O>lpKnZPRq7!`nsE0(Zd^|wJ=F_@qh7BHN2HUvlmzEp&gBq9M( z81ODd5G;-ak2HW#5T|rF8|Y4g9enY7W0+Wgri#de6#=Ktq84!e4V8kUiH#jJ83#>3 zVj=M9A>!Gj}Y*08$+AxM_T# zjEHDy$pMaDd`@t6DU2hVz;BF^z%Pn{3ZlzkX~>AO{&}!W1qB-3zq84}Qj++;hI-+s zC8U2yoKQiqc6qV6;1`@wZ|KWPxF;7>7P=%=_kj!g4gv#Wxmr^UOO`!?$)k7sUw&@Y za_i`L^jIY%tGkM;J<3yByc?2q_MD}XG=f(N7ZuuT^x*{ux2j|mZYt!S)4Vd07v=K3 z^{?tkHecm6Gebg!mFZDv6sk(XD%vsVMljun!Y_o91Lc@2zs;&LYsA$o;7onw=d|Vp zQjI1r!=+%v(b`O5s;1w!>dz%Z_}v(B&@gP$ev8nK^;*%4eKf%_xy4<4z!BE)JI4Tt zEr0dn2m{M%LVSHtv|fC$QwVCNtZ@ehqww2hTukZ+FzF>UiTs@CWH>ik&!3YV)x zSd@wo2OzkD7CjeF`jO|DN!HY~W70skeYP*l^O)r9a_5ydUj)3+{o zia)xQ6Khgr-)YHxnQ3&U7QfniVp3(YhANL$BFJ}qhZri~4mYQt&{=DeJ*tth3R|-l z>c1v|?HLbNwD%D%hn6Sda>n_RL!4ta_X%am({Q>po+4F~CtB%I2ZalpsjRGT zpBuz%A~VVK%-uiWY4p+6v3;}$rH*+w{D|kJi?+p8xi2hfeEYd2Mf`Z0yS5&`_WW+F zag7c+Hi?sU$*{C$L90IOvTC2Vj2)&_7#$4bdL+tB-;R{X9T{w1*)=}mH1+zD4SJS?*`}FA9VKfDd!h^ld9J!%glbeE;YNozI!5&4>|$z}KccH;#0=>u5;u!Rt0s6_^lbja&AVSEm$o_Wt84oP<+?&P?tfN!05t?%?G5QuJ{GW zD=HlAC=%n}ab9ky`8sxtW(kpQ6x@y2&NjGAbgy?d3W)}6q}x2Em^j|F_aU(){#g8A zTmA?=8LN=Z_qLZ{p%A1z%R&O>jw-_oaYD57+f8jbn?Iw5e592fU$?zMa+!Ltl#~pE z868f@Bg4h&;#j1;(+mk6**7O76{!pZo}nmL8x@ql=l;4MF=+Br=4Yv@BH1v^N`CYj z&6P5%bol;8a!N~Yhw99{OS9~}OD6Vdz@mlqXFUrE9#-Xz!omyO%RZtQ95@~HzQFMd z;ffyN?;Bg+LfNa#+?|g?2~9=#TkY80sae;n|tT>vU}Cs50S1^*ca{CdFngS zZ{@@?oi>t1nHIcuwi{c8nPMZ4a-f;qN5XpO&dm9~nUnBgOY1liPvefua?a~- z49gA)hn7%7^MQ)uZSB&kz3)*+UvtZExmTYE&c?wgb2|BwZsCxnSH(w~I$F9)!F82J$EQ#a-`F#R)z{c3 zkD(WS8+NPn{b0Lx9*OL%9N;~yQ3A^+{Q>ihkd$)Ih?##VRDL%8bm7WuII&`MJ7zv} zWODiH@W+BhK>bzs@me45X2)KlG|p{0^wZX>ef)XO=QzhFA9=hjh4r@G>FM#f9E6@d zo#5N)o0TWKC!LihG!!BrL(Q8CJc5{gWvHXQ&0@;K& z%u+%!V5R*pJO(0!L8vGk@F4KJhZCftV$%wNxZ;ho3z6{u=K@F${Jnq&38E0gD`^O* z;q#&(D0?jg^@Pi$lj0_5y5s)&s7x5T1FB&_@4)9ppzCmEIs!_>jWqc8N>T!3P}vr4 z(}&Lv{~!iTL=m_^A}Jd@_X8;rfP^BzhX%o8g|mx8iJ;&=QsU5CaLNMGn`-u=H|~R* zaqubsCv6KD%HU6L1h5&v9YkU~&=x?9mrohwb}%|&Yr`8kfj{GD43!6dn;RDkwl|D6 zF1(xn{1bF4e^w*SWpol1i$p2g*oo^suce9G?=vYPSCzX;?DwM&-|`8(?bm+giRTbH z;^GmYG(794I7G{|Q9cY~RznfxXX9wcQVaTEoqRVuY3kvVOb;)^sJWf(-OGo|cbxIn zpz6sbw`KfUID&tdg97OM8_u?gL9p=W^CSZ{WwvMcsZdl=P>6Hz&q8WCrd=FPJq1!* z^s#gzkU1$${T1|e)iGW+uo#BsD!q`28e9@i(H6YYy$NXKpOE%q%Ys;Qf zc0w?Q&Dw`7Fr3f~YXdKJi}a5&l-oW%PWbe6wa6{nx`l3g$ocowVEIImF^n$PcSE5G zCqyjo3da-q5)J=#2R}ZC-Ah-(CiPqlQ{G7&t1Ubp9@=gA{K0R>9VFkt)M|E~rz+%li7^5_ob4g&u&3DqisLQ&#cyU6;(4XS#cNtFA;;)ueS&hLN zDxx@DhcP9&slBMp+sK9TXcY!KT-L57Ay;vHUB6*&DN!mGyZ> zMXWS zCOr2%`SN+-vu0KZ<>p2L43&ub68ZcaRVPjN5WA53xxJZ$Ip17=DTCF7PIRBN=Jt^z zp#&a#pz(Rl6y)Gyn&;gT!Rj6I>9;h^YI`f1?k9w~m}Ev6cn0zZ9mcs8cYn+w&S)I*I&PCo2B?RfSwfbbaD4Hjrm$G0d~VS`SsH_ zSK@V#B%VlVXw=Kw6agoMcjRhv+Xa5MXewuD3+;RTDAj4*(&6p&Coa%n z`N`BLWrsd-BH)XW(RnqBWIe6WE;;<7=n|jl)+Q@r*M$=ZL2VIRDjnQc3@QcxDF$VR zcgsMjpuo$zCk7=g)Myqqkdk zl`>YjtCV%@p0#9G_e;WzJH4x1^~YL%EoH8HUz-L>yLS#Il26;n+Kt%Pts5J}7i;LY zj5*}5JqsY0qMfMuZ3Ej_bgKy7ozmI(g|)m?^IlXfuMa93<(HK7sCr!Fj!DXnXvsr6 z%ZizjpN%<38V+2x>K1EU^VmLLtPEKA8sg6aW{Yq;)jX{ITw8rCy6d2558{$lo_&^-2geWOiHF_9R{_*8a(>~bQV0aJl&Exr`OP=$$p zTV6^M@^iXk_+B<0%pjn%)Zo(1KnHX2?v-1-7|A_>M~#{hi6t{DXJu*L4a_ek$G6IY zmAL6h?2*sylaJPENbVqeev(#*-ufu|%=h(n;}Q*hZyCAi{2)B_9gBs~ss8o&*V?#k zdXEXaw}HgnkH5^!OQM~>_&O%#UDi|GB7=r%T6|hrGy2$bEeW+3zK#u~px zJ)S2st|lVa-Hhi?kRVGJ1QQ~B2Ud8U?;T#*=xsE->Qj>1kXTU(BimIHoAk*WYW!)5 z%4_^eVFDV-RLz{P^*KiRorQv1p09BwnBy6Pf=E)^sUhn^s`t`QhZ{n19~Stp@=?no zsid_Jb3aOr33LaU0uG?#{QCN$1fzVs~&-Bd6a zr-@(VvPj?&XRqBE9wwCkNH|57tKkIYkMA9)`JrHBJm<o0OSgM;z50Y>=7z>VXQyW10zG9=dQe`r|7<<1nRmpW-3Ofo{rbUqw43^2 zM@S-pOxsSos8{>}oBNucnga2Wt}36kf&|;0iQahJdS=v<$?B^^=`5m!Ky0jj8w!5P z@2*uk@v`^7c?VC&(DE29+xlqwgBnH2#9fgpwuh^!Zdm(8Iz2k? z_1{#Bti8qTh0P05ZQBg3?uy~)tJSQ>kyWX;FcgTVdagMnTZ6*4F?>tJ z|Bf6aBJmltcc9EIIjjts-@nn^A$`?7BAs%b#T}UoRR)GJ5-jV(VD2(V9Qu=fmj8p( zXB*C(5$~XG#{}gFC|H(+O83zNNz^#x%wCav;^1F#TL^-u(9*E!BLxMfAE`mjMjxy28z?TF6Y8@E?V z&CeCq6$~>NQ|@VSLPkOv6sDGp=2T>Kh}Xl|mPavmZsg0?#6p|gx?ewr9k+C87jWa`$$>aH1fd&ODN~-iXZgscgLj?S}DsLF2E9!vaQ) zWs&m3k^Y2ONO){WE2|~>UpB(bqC&L}2tQ_uq~C@~M}_w7|Fr2y@TrzSMrO>!TkDHk z2+SH_udAAH7ft)Fk(tT&G-vp*J{A8&}rj)p!Mu) zGM`2!SoP!F>XdHG4D1fQ!&$pS^^KuaJi4T1(bU2(k7bghc4c{*JghSaQFevdRZ?f8 z*jdn4nm11a1%)O!&Y_3?qV*Y3^fF&!%bb4kcnPP4a2sytlZq_~+kuob}~=y`GIn{PMDn=0Md2 z>ZHNY;oN?oR|~{6ioxD8n+hVSf`s3K672AE1T<`{Uoxn*Z1{)@Z?o}y+}5CgAd|yD-cvFchv}U^@4J169Oh(4nXEOuBoj>Z zLyjp+vaiH?_D=sw4QEEzngQnW?*9ErCbEoTxX>ud)`@)Kx7$;k8xLIu)(LYbEj*2d`i_1D*1T zWg>OHxDP&(F`DSbp2fT$qMc@SuujK1ReJaS#ZWTabMyzI#iK-vv>kkg-TfDVw{0IP zx;HX@Iz6>03rAjZiQ9?g-dh~vppn~@7ouzFx#zUSXqKgWeK=Gc+wCbGv?AXxq2}p& zEgO|YZpBxB&vU;VZ@#Xxr&Cr9c6HjfY4<#I)}?KJ%bz`0gWU^yx|Z?j%RKvG-Iu3k zXwD6l+b`Hl$=l#1(VwqKh#XDga;)a%vz~n1L~Gq{a_f$EDdgjHK0Rz^MYX16$DR$m zZ@nij8q-~FUQ+y#<7q01RN!3##&?N_`3G6o=*zEyXgeWgnwkq)j`$yr=~2QIe_Pyu zM<*F59^5q^jTD4L0GSwAvi}`I0ad_32nATufSCM`)lMx0aO58w{T~{O&|eyh1w2Ls zss^vS2Ym>qk%i(UT*%=4vDD4fp>god+fW2i6dmyqC!9|M`i%I7+w$nX6PC0z7M~)6 z85jn9pA(fGuFOP@hxYdah⁡q|*cy?)l+@njqXFDFMRr+oU+M9RD{<{U6)hR1yl0*`PT-)`qKaU(I0e5NU6a}~_}1aby~jdQqqFGb=?k1~Uxyz2 zp3jO>C(`w+K7m%nt!j<1hktQ9=;_I!d%7Yg{cC0;i||B25_yW*lZuco-m#rCvVi5Q zN`e7hti8nfR{nfT+m3QV69bdW-Sr^)xY;?H=-jaL>R8xMqF*aKx;i4dF%~dM&*1%- zS^C1!J@)|3v-G?l(R9BviDOdM^Go794oZ|lft>4S6n|g6$Nlx5KPi9AB{UVQP~>@j z4G2N~i7pc@e&=_S{+RV^A$FOdrA)oekz{|p@DEvO`ne2V-|s-pik#_msrg$WqtjFH z^BWMU4jI}`QOS9ln5yB*CZYhx@>bzNHhisB~bgw*(R@+8BQV+ecMvZdTGPl zxZ^wKYX&CILDXs9WNE){I?OTzwl^ME1zchd$Zcwf26RL)8&kRt@A)C;fOpf{RsMUUWfHC zozLivQ(R7RBwGegU5rIfR?X1eaic}gR}5z%0)cN#4T`_r?@z}m<*kuqx0;}D9CSafUz6{<~)Ii6gcyrqSy~*!G{fEZZ_h}&HY`~e;^G-2yd{cmYjy0-lfzP4X9 zJT=d$hG4e>a}I|K9(A9;7ACzxF>m_|tn((gaH=QNkqw?kh5VXdRh8@^l{2 z4f*fCb}@Lx5dk|H=oI1C{I5G=fNPKNJQis{Ndax$?-ZE>zNP^s0@pRC$m}E_fcwAy zVh`Mb_naZ)VEo-AMd93Mh{gz^u>aZpgPS`DbN+wc$pLpDVhIHKcd7ng8|R;`k{Paj zj*Jck(xs4G6d{NZJewDV1o=jP2%$U^ZF0|ZS(K>tx6uZNLG(iz*2j-tXSg(*4Enh^ z2pLT2N3bzsO8MhrzV`XGRcDp08eWnTIr&idxpLm`Ei|voUxypFj%O2_Ci%X9nlG2J zQgYkql52|Wn&QcIufz@Lj?7guw6vIqcPy2)p7Zxy?>+Xu938jt%}h{?YxA92gvDya zi|>e9Hm^j^!|kVqxvWZCSWM~kQ{Rzox}VWc9AU4%9}isOv%+g^V)=OSzD&J@J5G=r zpCyIYz@*OVr6x6-E72I}p(L+lqAIZb9dD@b$zG||`}JD;06~n|4s+G&hB zQ*303ij7w`5oC$(oXtFX4xKpq68HcuzfMOPEjXb9YvOZ@BL9V zj4ENxq9Ty;C4aj&68?NEFD|AdgOF zyUHlFnrUZNwm<0Gn1sv^rsGi57yE>_n>w8NfFem34QUjt@7RBruMv zs|>V$V3_c(9SJS5OZGL7jU4RmBvy3J@13(abdvKL4YL96XMGlC@B~abXm-7jr>d;v zcSBOFU+9gM>^c!Y<50>mP|7*nCdLBNIJbfuQX4tU;NmW`dM7Spnl&W~zNfW(zZi4L z59H(ZmgJfC>wiIfm3?1x-8pP`YhA$qcwBcIE=uR8_o_p*G^9)1!TK4DDu-6+p6U;Z zWYs&bcNy~B^XB%Ap1W8SEnBC!PZ&`apf|M-EVuOEHN5jN>O;Dxkz8o=AP#i zx5y7-lx&5XuXv4%Uzgn^AH;^_P|(v>X**od9bUPY@ZU`pEp7A~qEs?*FwJ-jRrU5R zPCklYAG>!Qepa?i_rP-o(A;S(-!;8T>l1hEQj$55{B38WYoJ>dRq1gb_16p+y`MGu z+n!}*AN|I#2)&`sfhP8pu_Ko1#+@$jihwoAp7&oo+|A3VlnUj|uO6R2K_^k5%Og;* zTtLl>>TBul-}Ynn!jYCME<5!MpxjAE&V863CUT!Hmel+Biv<5Nh99i5E zQ6F<2h|oQ5Hj0qgGAi#I8SQ-x>rEOI~=P7;ZI_uHW#Dmtf1c$ci$Ab&%v!RmSeLR z=NUI^YeuJWULT+;#r6S47(BtC6s*S=llxYvZn#?jhixbQ7O`)&lzSh}5yPF$!N^aVPp2r@OKlto~3>9#NTS zk9(a2X`7Df7sMNaeYGuX(_l;9dUR{;{@_MnM^p~Uq^4(w7nQ3j3?GYugTYFw{k3|3 zP4xBvmR8O{cj80;O*b|bsg@&D8>R``sFK%|D_7rN(#u)$oK^fU? z9KH+HB1a>+_I#%hruGRrtJLG+rl8M__QGVvSR~Wbb!a)%MtRvhZ<1cDZbrV#pJGF( z&zSl1nWb)hdhEiQHOxC?-0&D`{?6YYT0Wz3n-((rZB zSnm2(y^+0Jl8}yltvLOYk?@q%u+5Co?jC{Xq|<&i_>+Y`;vxFVf{>hdvf1w*VN1up zdS;bVZ3D%wm22kG(V&UlE!1VTY^7|1{~(^1@-&&RF?1JSY=%urwD(Fw@ArILbM7>w z#O<2#dRb1l^7swW^BG4D!;vKFH(eeE2EzS|*l&g$*+VuSKnr}wNS+BjyH{Pi!_wyC zpIEuNA#SS`(Q7N1F?h!Jj=@y3FN=TAqS3iGq8TFVn{Q*(0V<`2> zhu%9$F2qH7y3{gbwcFIp86@PYIG;#7n#v8HJlu*-M@Gn{xgkW}(F`71m3&_`2+Mz+`#V9djTQqk6HQ=`3yd_~`ra-}`=DNeAiZX( z#))9{o3>mB5&R#WpSSHR;)!jGZcWh&F+qN#4x!wTlwlQuq6hw6)czo<2XKgGO~ zGiD)Fd;8drK78At-@GVE<)xM)U(jiX(F1p7@5gb2-8y<=tgt0XZ-%9zWhBlHVJT$Y z`ZyE204qIrJWRd{OuoHAp5TCWA5LpSrynRBg;K3ZXm2Xd`U=B@3Z-mWpZVd2Uqm-A z+tww8AIxzXY3YYdK0j2Asi<#md3uJ@EaWRC$7;$<+44p&GXieD&NeEI;#*}h*GF(G zKA_XJ^^on@jT(kuEAs>wljP|s{0^6AxRA;hl#d?q-+E)_X^5Ts&0q99seY*8D;`Mk zaiUitW!LYxfu8yc?T|JN0j`krlT5`3@yU~B1iR0J zKA(&13O!qjQigwzn^*9-h(Zp@#na;(hp*KPAF>mSKO?t<#TAPu+y4_$ zRZflyQ3zkeFN)Lmn)CO>rQZ6!5L|y3AF=#T&Tmpbrch^e^-QeF}4NhY=6nFvA}v2D(&^) zm(WX?f5W-|>M%?;l8pJ-CZzJeh50D!Fyu z-+R_#Vty5!zrTwcPM@{y^jlXV8jBAnz5Re}?z2b2t}nsrFX}LMe_Pg^-qvq2`38eE zVJ=m(e96A4G}DSl3|JYrI4bBI2{hiL8~&DM@*+b=K=*`IWPCW+DKZ{>XBQ|}0x2s( zQXoY6zq}XX@Ek+}&L8Im9X#t4nKaP`j}1h&;2n}E>NNim0{gGf7$VA_Ai$~(6_SAK z5TcSmK~d@9JuFW6B^nAYj8}v98{`9Oy0~VohVH~131s?XENhujso`{(?#M-egLwXH ziyE~oVH&)38C46nUANooY8LM6UlzaiMdFhOzv6);OL0ch5K4M%2x(!k%bJ_J;RSil znqLSG$7)wcQO|rl8wdyps53=Rhk2g7hBcY0Ao;^! zO^f&wu4g*6X-!s^_TD;Qo*Z=_t1L8Wnozv-I-YtaPPLg=k3CYq{FI0Eql(8C!|<V{-Y`MdL3a@0;Fp zr=_}^IQO8PTUf2vm&3xe7>zQ?O#6cQ?E@YMudG{)rbhp)jK^6J_7?NJKY(w*8|2J8 z#wx+EUx0@}oV8EFT%d97_C<~JAmN*?d3g zRuhk|;D^?So#aZlxM(Wc@!KqCw+Ch006}i%TShg%wXfzs|tst!%zRn_`Nvn6drbm~}{%eZ28K>vFLu%w~e11-T}g!EoW7)M+1I_IQi*dle5alkXYiu2ah4_zU6}nKSYSX-ycFMs?5UI7~NMqY6u^uAEmr z$eZ}e`Wl@zzj5GC5hod1mxOwCD4GoZ6vEw~uR`}{ex8#1h&#q0X)BZO{SHPPF(mnv zI%cY8ir79tLDriLR#sCj?B2v^{bgSnmL)V8S&+xCF84{@@yzDO&fy+pC0o@*mM~Np zDK&S~hM#amUFmtj0bbaLOW%^5U1^IT2Ih;rpOTJt#}V1z-kN^8i1&6=+O~Jy)GmG* zo4lEvX@Hkq@wlJAMSe%ve)NFmPJVcTiW9HU2edn%Pur2C@?bfa!DOM8Wi4^iXQ^|s zViZZ5g~7KJgAA}_Tz=cuW$qU_lKc4&F&vL_jVMu^#{JfetE|xSAxHXAz?_{(e_r*L zB>$_*q{0a9n&QRJB_<|<@A3;cCk0~a-oKOSp1E9&_sSVLqMv@g!k={aL7w9&>%j}#`j_#(>-v9dvKNJ6)UH91*zUM?%w7a>^l1BGV zdxfwHrgqw zMb3;GY~D1(djzih*XH~MPI)dBef@LSa(XSfxTFAazt@>_~sGi8geSC zkSY9$i!+vLc0p^0M8U(eogZ#}nBTs*c+k-bb;$i}@AyFQd~HWd`2^NP^8V>yT9i#` zXGqeyyX5a`=||JSXzTfSq1BRaFswPYMdSg{$N01%PoM-FMek5^{cioT_x;vP;w#>7 z>Xu*LS4e(;lc;}>FY*%;cBiAY%`LJuCIX$LW!{x8;@kF}LpV?6KOt`!9&-u#p!Dmm z?0@*EhODU@3NKq4`Wk|Y`}t?iCG;0mc=`(&A8Ke{nJeDCAZM>G1{+2n0*qJWo^GNa zMxGC5AD_6})4+C$AS8KTEpcKviwEn60%uoc)36M!AoQ1qw$x#hQ#kUk6uHlN0MjQJ`JasdMk04V!3px zD*h;Jt+61NNN=DYoM9I1J9(jdx$O7KX#1zOVOFWvyRiuv%LyqFeT+d$!YQA_eoOi{ z_PD}oS!{uOF9I~%UU08#r=Ohulx@o#z4eT=Yv-b%B|8F+)=zyMK%z01mV@Q6_cE+` z;b-4(AHK~}4E4}?yW`*YpY1Px9ED9tU(}JIs4L1`nf~6!-ywM(Dr_yEDxM`g+(Afl zov8oya!RW%#l)|@FOrg+&1bH)O=P_1PPkDPomq})LCkX33u9OH$wErkO`|ONQ||F!ye2bmtP`BJq*gfiAa#0 zfn(kB*WY8E1cjkp^Jn&Ii z;THHP#E_e74*2XTGLQ=FK$VmHZ$96D;DP_9#QYaJ_`~{x>+qsz!8h?xa1kkD81SZJ z2sf<8*yPcSI6>e^`Or+STxsl^BHMZ02Dj>P=ko1bi+rV=-Ve-ONi?*Z`&&}dUVW}Z zPl6cOUU~YbFZRbaPQFhvo^fE#-xkSlp}8H!QH4YPS@i(NEH7y-`CEH!-plUcPh-R1 z3chU2N3>l8v{G91tDAMcUQFp47nS05nyHnCnctgnsWF>v!`76z*G;@s-zaibaaI@~ z3L{p){h{;8PxYgK{CSIAX2CEshA8XT%ew>xHYVm1ues4qYlE#ReYGQ>NOerUJr7Px zvCfxOc8My!dK&xt{u%?WZD-ya4(drEo*!z=X;NqvoFnU3X+DK->`j=~w>E2N(nnr6 z!(dUhJPB|5RuieV;}6+K%tYDD#qFl7;)#Nrc2@Z%DusLUSVYCW7LDwz)uo20h-QR| z&c%VIufwO!H~FBZxzyc?dkWucdrw{riB4>H{ffoNsj6@gcur+|oA2b9PUk~&!&5eg zYA2E|GgLWO$?zaj?tcH^p4M3EpR^1v^50=%cgDjmoVDtm8Tk6$g-(9kEidT1Zo`Oqaw`e|GXYnFPjy*p3AmIzf#X#2nTo z27328z$X11t{2FJdUjS;4k-N82{iQ1|M1jtpBtB&SlTG*8TA8FqmPxTwcA6uiBZRDECz<`8d-1Kl-`{-w{^5SkInVff?&rSG zdCqg5bJ~iNq=H_O7&B(nCdx)E7zxCPmU3!-ktgLRlEh7nNFe#4 z_f(m?V~aM=e+*hxt}nGGZ*3;2RVYZ^Ns)ySijj5QC$XPw{4jKo97>Whw>uLq`qRTK z^Uek@->4TwY$3OnM0FB@3G%7p4b!Vdf*E|V6oC&ou&T7=i|=1<$BB~62oX}nM^>H> zAY5ZCt#~*I#Rw$PntE|NkZ3wqU+ zDcWwIOLYd1@BUbSSwNg#a5*Xc9O(cviE4#vmQ^C5IknbO>5cA4=DMplhND?ii=CxQ zj14jBX_*U}8};a?*h)?l+r*eyo&SY2Uc^-ABq)8h|Mup_zH5IjOMyS_9is%>cV7{v z>9>>kG?IqRxbBGNa@jv+cD&W9u6a6!!#;*x+ek-?yy~i|I`Q=oc(mz`3z3O-05DuI;QTGRIZfHW^>Ii#wl!tVvX4n9tz(WM0-Ahsl{zY^?7>WZ%oJ1#j`P3*ocl*i>JN-_Mn1-mfAb zQ0(9`Eh>I<)uL0?vqd`?#~^|7W}AU8?saM=6;;+e<_14O_MuspN2J{nH5d1EMd3Qio>{mE-!#shT4-* zUT2~{uIvjd>9O+Zc+5BUN%m zFE-@D+%N(^OF+qYuR|e&J6odW zUHwO)fpk(wyX=D+n@M9qqE8By9t^aZwZ~aQ8GrY@mr0^pYATh5;&nP{RbF zHY^y(42K|)hFoc2B7n*@!N>|t6agwk0+iIm9SUiPjTVLgtc+C9-~tJZ98(C6+HGfp zI%z?uJ$jqnPL-Yn2et1m1cyU(bRhX49MYqMu|p2jFrt4LF?29dz~}@FQ2rgv>Q9}E zYKct`y95N%-{Y`?XnV1vBXa-yJtq)xDg>R&$2kMGoBHljav{6)o!pZ}Ne@>{Gm`g$ zUAiB#kS5mb$u+6p3|XeT<&?MV&B4Y`;b_#@H5+(P9DUz3DL19_eJIHzT{(kgsXe=` zTU1@Pl*W3Of=kF`=U?+(QYVyzJz=I#zE9sKK$H74%W7O=^P~92!-?dH@O&>35jA4c*iYsx$ru^3SocV!r;LNTgz~U zuk z)T{xk@L7q|Nw@DsOldT|z@+pDDAjGl%YXHFx>kSX)}XZ^m0WSJX?sE~V?gB?%WYjp z`3U>l=M0}!OgLThbsi8HE9uv|J7ra3J!GpDaAWH5&S|MW1jU^zZ*c6*n&CMlD=i-a zUgHjocDYCv%v_j)A*Hx9hAOj%{aNlBud14h&fF7H!X=gM`E|vUTYGBaw(g+Wo&5lv zxQ$7@3=!UPi@ZajKwJdZ$hjWp-8ef{Cwh;7YlN3KZ{*W8Z-<02;9Zz>!kc~Ne^&(V zaE`SM=}VikA2}B(5n~Z$s;=`)QG%AvyW7ZJj`nY-W1hr~bDw#E z|4QYy(~XXNyq`g}U8|Na9+=#IW_?XWvo7lJ=Nmjzag`X|ct7aNO<^khhqsJ!O@H{4 zUjC|MBz^Z6=e4&6*eNSNjfRNv&Ko+H`{p!43h{F{wemVcrXHVdOZuWwe`Q^>b|jCH zjDhj}^BpF6^(_(6-4JARZx}|-Wn#Q;mOU8G)MGq#s|N$H?bqaQMDJQTB)`9otwG>^ zrCU0=p0SJCM7Jev(_;=#-o<8O{}$%jMVko=$uwR?3XF}jcLd-IML~&+;<@QAGS96! z^a33YT^$Xn#O)4euZg)<6fk3IVHaz)aorFx57WAMN>k*yW456%lI6h|FKLQZPHn-; zjpFYbRIm1x9!Af6=oL412`5kR3Eb8`M+YBojawk)WL)BjXuZJ;wOGoWEvB}&#OA)$ zh|8whuGISTG4cI!bBgCwH%i_-c;LjW-%!R*F3Ez;m9d0~*tBtsB`oxR{Jmh9-+ApT z4ppl6Xp*;bJF~j#^DQLhwY3DPm6g)Y>)x++qL>iv7?RGzw2_%@X;1l{K50n277{w+ zv#Cjws?Obt=Na43o=E!Dch@>roQdtO^##>3HAgNZ%V;^yJ2{rl`CmT5tHo>?96zY# z<}W$A7vI`{uXod`=Hgy$jx2dB(THM#89Z=5uD@Fi18)f_H@F^xY$0H02snHB=i5NJ z)O#5NbGQ5T3V)!cD61Y?TqtpD6KiD=VM+VMAUajvrUxyLFb3EZ6cQtMur zQpc#TWY*H$b2=-3J4Y#bTZ7Bw@>FZP8XMDPY5((fcwME9tm90XW4Qx#Zmv=3456

    01@k|{t!Px1GD-7O&1OIJ6kDke3aihMVibc{ z00?54tNgDYQE^m#N}NfWM=rZvYKwG8(bhD~h~rwTxSXLHPgg|U6FKsL(D$U9dqGxY zY)@(so@O%^{aHMeg8suZ1E^R`QG9<&G6(s7Qreu{&{Q&?U$eZTrCz2r$yEaBS4X2H zqi#D}eoWF?dvZ?upx%bpkc0NOr@F1Kw=>UBe2KixFW{whB|WGqVjAD`tA{=~Bv;qO z>t^+fb@O2OHkWo9f#>De&#qRahi$JdJYRgX_0_xe+B){m(6RokYj46YC&Wc1fZZ#` zABSqb4f1>@uLq|d#vTd+Hal6Poh?I1Jg4iKlFz?_TJZkTrS z+BC0bJ?F7%)3#vK;~`io#r*S^ZEn?-w+bCEl26K0F+_i4dUwyciB@(r+}vS%>@8n~ z;`2OB%gl~mEWdDG_VYf{MwU~abyZID2OSedY$vk{M1C$P*x7&xFNYat595fJ0IknI z&?$33=Cf<>=3|!|N5u2V$Wk;4u-nT)ppFYiR9Bsm2fo?lQTd zc}6uic>2YbhcN3~x&%^IWmY==Xe7@ZD z@cGpKB`Ncq6-5_`3ccJ{icRA@2Pmv)CofU$=bzmF^`psPc~8v!cZ`RNZ46cm&k3_% z`846~5;`IA)@k$N@+*oAbJD&F=8A=p?aOub3#I;LG+YW3mIUdu7ovT|Wc}P@wN&pY z6isQh@Ykm5VyU|XTE$l>9UF`?htAp%tuZ+^WR<_Z#qzur?}1q8r9+`Fo8EJl-PfM8 zP2L}U41T1a<4!cFj})EkWACCVQ*@>_U_GE<;Gjn!`T6Mp{x>H=Dnm$R`HPN9+E=aI zdtScZ%88}(Pg~Y}P^xEh*J~xrF#9}n#_$6BZN;gLwLok|&?%1@6ouT!ZF&4DgZy$L z3wyp-M}>&=#m-rM2x1Qh*m&}HZ>yE3ym!i5c{EzYNskU2y7VG-R4M3`i9(4@p`%m5 z)J6>Fb5?88@pgr0B@dII9vR7bEpX1?Ywq-tW~kQ+LvY`H%ID4`j)S2W+D=vEY~yYD zhuBj%d7QrB1671FP=hTiE)Ozp7NDA7=io07Q4&mCNznjOZpTA3B}MOSElEQ3vE<;6 zcM0SQ;Xh{El9G^$GA$32m^gwI@Hb5%iXMJzf)uQg+zNFd% ztD=o&!PYunEsg~AeNVF0=JH{fPM3E1`YO{KrC5!617M}0`2rmmw|6J{F7JN@1CBOY{V|! zZ{~A~&y~}ru_?2s@)quSH)S;zf3`LIK%BZ{uW2fsZ9j)umrLkZQwF)?{iFMHWBfDD z(1#LmL!7 zOP@#mY_cBJ_<3ZFJEA`$=fuGTS@f+F_}FVht{HFF6HP3XQk^O@OnQ4~WahqerXoJg^=mBl%VI=$hnIY@ zAAfg{~L}b8XlUbK0!6~ zs}T3=;>We>K2yK?Yi#rJ!iF7&JOpGM*s&pZ22bC*LqFtgkcr36lJ$~TjY@0mN{9`Q zYtzg#pZ6(RM@@S^&A0uuNf@Lco?r=bEfi&$JD#L`Q2lz-nG>EZffW~NdM|L{O({38 z7ORO;cqyZO40RPqs`fFcmr)ciPMI-EcF2ioJ(}2jYT|K$<%z(5 z!7``cx2xZ4rNu6+sNV>?A+s%?dPBb7Lof}iaEa9_NxZ0O>r<&`0P7mDc81waY)WJ4 zfd?W--tZ36siVGa6210)^;0AR9X0s5$d2j|t%+k?&dtyIq*%Zfwih>l(^&0jZ^#cRE}uoVC3A{ zHFMOq)YosW_^#sM(p|l5>m{ZXJ~nE5W8S6c?xt)v`UfA!FI<-juh%Ej?cC&l{r2@q z-r7z=eI~!ugW8pi&+)yM%CA{GKp(>=J>M)!N?`x4=n3{TM|a?r>uFUz#Voh&@!gB% z_?7aA4y^OzWe(lqo@cdIIvP&LFUb0ezyA93JjV#K#~Lc1@5dj&3zMZ5xTg_aaPsLk z6>s^q!%~z|YNMp`(&HN=jnVXs=lMmbO{Id9y=jtaxvZ1dy7bB(#Nx+50u$ruo4v<~ zNHcFL3oVuvW7FG}*&j*`t8k`NhmrDh_|j8`4%#O>l*gj=T`!j%Nfld&wz55WJkXBw z2P^)8*Rm&UnTr)2&r-yUKPA5rIG4&`WkHaSF8^fqY-v~}(6fK8z{bwePE5IAp1oa( zTKDmFW^!e*BJS&_I-j!9)7da#>-qo0M6(yf_R!*fMDE0HOoW^?w92BsEj<5lBfvwHR^^ zOJG2D0`viHCTvMKr9fou?vEXO8mZkQoKnc5I>NJa1^_t8yUfTT1h_b2k)S+6^Z|(4 z45bVi)}Tos8xyn`u^0>_3bm4gY4E5sjUo&&N)9cWphZy#g+fsgwsElO0aBpv`9wNU zs3}?o3btS*f-)Ha9>$m{SO9fpLZcGsIAx%tW@uR)G0OtY3F(`o=^^@G;N;i38Cv9T zLRJl_4wP^HZ;3f3V1I<^2oxbl3$!fo+|w=4W{`^pI38FsOsWEDSfWKC(I7@*=pGti zZ=_p-COj^p*MVcLldJ#@X&%N3Ee3h(lIuVvR-lgG5!T=#NdFTlB87_-*$_kTETv1y zKy@0#yo}-qI?o@*_dn7<0gf;U1}BXQLw2JsX!jnB2c7nY98Ve61RDWJ~sB`dOadR#Mw*ZzFNk1D9kScsGGP3@P z^;V$+p1k_)BbMStL@~jZu9b{pI!x}LVwRnHv1rqM;S5)m3QISoL{b;MmTrHj>D&sW zQBOH9SbXu;xp_m&ZeCiaS5X9zwOg@>v;7k~&iWIVST{+l9TU?iZdjSA1k~!;>bAV$ zB2yt7e@UeM%k(jsDo>QsrqqkA0Hv31DHuxSUvsXH1-hfItnwQYWvTQu#MhE-FUfkU z#oomBo9#b)_M|=!k2J~k_1abb@bjXgKTKHniRgZ4sCjU4gyQF@?6=EoR$i1wF~iJG z_okj#CNuFs#!DU9)>HVI+toT}dmfs&Y3sT)sVZ0b+Hi-JY>;BADb`s5x{&)XM2Db(Pv7bhR z#jc$ckR=2C|6LJau>cxt1WQbcv%<#Adt%_mPrq?(h?)B7 zyJK&|%w!GkhKN%L+Bkh%Q|}<_*Gq^xdhdOrjzN^@1WB|IL<>Un8bk)s5+wRoB3cl=2GM(T zi4r{sK}1UgQNr&*a?W|*_nhndUEg(mf6dg}SjO)a~GL`1IVmeU=9trsal`t`5q#P(6+l1k?6yXo62FVkq{i4dHr}H437(EXawzfUiz{f+Oa?X zIL~qan}%F|#stAaE0gF(zMI~D5vbQR8e9843~3*SwE0ii1h|h|vN*)rw99%s1Akob z<;P4!@h)!1We=Dt`m$$JA~|GJefM+|!EZ1R4AqQf)vX8h`@7|RQzMmg zGKILS>4zNDX+C=`D|KZADMK$AOP{&a2BLr6p(9pXB8NpB=b+VPxXq`?H^ho`c=^s< z;#H-u`4e^n@^9y1H!8%rD8pa>YRJE?T7lg>Q*8L#f9-VrdiEbeCnxwnGLa!XV_>UO zTQA^Y2uVQEx6whm^rn&1O9bp;nrRE0DnsDKrJ-tvp~9*HhvB|T6P~(TH?~M%INXrp zYRRUA3_?UBM0C=@bZ-3Tvr?H2_|4Wcg@ zQ!49MnVQs39e&WOIPkdT^ikISCe>JgQ(2eh1IAlZ4EZ7EsFm-?(yBKeHl`nQ*O{ek z`m@HDTKDUJ*=mQrO(wX>9{Az-+rvX?f$1*0>WAt~i$3QP#T^(|Eq)Zsvr53Qm#*CqLSjd=ERsn=BmLQ0L$7R`Z6h^?qwg zQ`p{)fsMk%NM*m$vU8Y={b5N+DgkklVk=KFatz2tyDMBnW#z=1NXB_wX=_Ow7vDFq zl^DIJ(||L_!E>*Zc0wSi=pBn7vtNrPgX!FxfHeAMv;*|I%YzSZLJCRxpJ%_Y{Hh#K z>#~wKDU6W4${0oTX_4Do7PdRUoKNE3z+TFX!!k$X8!(C)~X{h9XnDj%qQ#32))UwtjOs!7xLs4n;VtHLOY55iAix{ zBvCjRy|2EZ+Z{3LocG8;J(j?lDn_-HkS|@5nDXwYsED>T9;USYkcd9`HF4S{PuvfK z5nVc=d{vyH@^= zF{G^E*@NdO9+t4oTTHa+-)w#6M^w1dX5ZHo+6Yu${n{#6ac`I1d(wKlaLSJAI)+tj zlWIto<=Y)G8ic|VoaPZVXa>z(O;}5ABp4_7$WG>g&hRJmS3mu45DkB6=)ziiRa4H@ z^a#KC4%&x4Ei*Q^4imok@XIZfR`ip#9cMtIv#T<^?_K z%45X@;Q1q$Y&F?MqHu=;F`k>sTebeJDJfp;(>zS ze}6(zG6c+W;N|&eUH3=jM*I(P8u3T&2JuJk2JuJk2Ju_&#so?;gc$?o70ed8a|@;c zaoWQiPSqT*#zu+ZK}+_qJCNaR;DngSVar1AV5C^kTs;g6df@=Gf>?A;uakll)aP-8 z-GdA@LC_EmCz69MIDuUTBe1K*Pbdq`)WdM0ZYMB8(HM+4eX~PvjA0b$VrOe1=%q7^ z3=<*odv6x(VIY8LR}}##WM=~4-*6Y0^yv`?7qC|OWCGH;uUud8 zWkzXfcD&>iE-NDR`&=P8qLFj_JoE*ft!7VItt#f5En@>ehDMY8+f7xqgo|Ipgi;9h zHI;3P)71p-tSIuP(|@7s;7&^kGN9)d+^WFN(KA2`FQQcKPmj>Kdbd+OcD&12?{U}% zc52I=g`Ml_z4F(x3{tzC0+eeG+ENFyjIrBMJ;sp~sv|rW`lQ*1Z#J#3@G^GT?Fv*s zi_0vNB#sf>{XChv|J{-D+1qGk-F@l+I#-3w%!-4!EvbV%8e^qfI-!2Q%wX)y5yEz-<%CvYjB-mf)ua-8}#zlB4`4q_~O{{$hpXvMR z0>>U6TtJkcELy{nvQxyHO#tKNmmK~(y0he|W;>j>NdvBHehhhiJ9x_?Ct##QRMpiI zbq&{4&`4tsXYdCDN@g-jgCGOf3?vezcZX#kJati)9n&xdejwoXGyt^CwuSv!6s!+p*|@$ z+q>K~edY9~%WX>E+RL5mM|&n>V*AT);iG=~4n5bv&aIhX^+;dz@OsY3!}r-zf^oe| zGiRoYp~*jR+)HL{@408mOw*U$NoYXR*VzO&`6AXBK}&gR_C7quWCfR18_W$L-!O?H>c)xXKeC#K2oOJ=oci*wLG@ zjC}y%>#i3o5?3DACsr)YvM0sqY;bEWVzd&tW!$Os-kN^Fuqi5W`Kx569CJfe&c_08 zn-voKI?s>mL|o?dG$Dq;+holTD0&yLCHtrO-^NT>+^=9+A*sat^pNIGsu_FOJCupy zgm<*n+pJw$B{d0!aZ%4J?=Givdk|%Pza>(&xIuNHV&lHfS3I7O<%e^WxXRxo+c6r# z4Xf;_6eZuhm!EFqm7H(he{LP_obY9&o2aME?Mv|G`);_q{BCw~F$O<^th6%v1a9{~ z!=Sp-h327F%J=4m1(qqJ+um;9qfhHPC{CYy`1|oumt7m?PB5!PO@C~B`6%cX)*})q z<#I(cF#s2BRSZE~UW65ukX|o}OCLP7|6NWxVrnp}S@S76&P#5wLO-KgE3MD7IgXNf z0c4-?zA9(?4$kx#?LA88Eum`b+{5WUv1k5Ng40ES!?B=Am)2y_5t=qD@9wd(@B;N+ zQzuyAWf>XIqt3%@*uJTv7$Z)(fYEyo#UAmCn=&(M1vo9DLF65wv20pHtjX~xVLZ%i zcQtqM<-N3}x!2eYrnFS@k{z$68tY;R_HUcmCwnVhcbraQ=AVD#PDZ8zKbZQWU;|5f z;0@EsN-iZSKSA^J#$r8B;JI2sYIY!_k1-7jxRa#8NGbk{`Zy^2bE-ZLqPeH$9EA}7 zUDF(F{0_K^3;hnbil6apKu}ivj8b#9@!R%`pAlwGj|l&c>588*V$P2ImU9t5uSs>< z=g-V%Xr!|~XQ5nX1ef?3%;@aUpQ+CP-KR&+Qi*39LJ(;_u)>~Bz+sSM#=?b(A%)M{WeteAu_9F*YO#HS+{7x{ZlDRD@sk_6~4`@!(ZLCGfx5Wo}?N5Cb(7YB+n zaHxy~hYp$xh2cSZ!ywG7YX@A0x!#MC(1{-m7bYYQ7YFeh5kYbIso)@ho5KMrew*+= zhe%qTIwE7Z=gOkwq6j2h0?^omg#_V3%s^ET4g_TP!Aagdgdw0y&cL`ASz{?Bd?Ldl zl@JnU78XUo!98XcMIhkfkl6q+J;a<2y9wEy_GHAwQ6d)=6@-IUP_jxKE(pTuqGG}c z{-g}hyFd^Ce~N;!K#%=FkeJQ|NO8dB&IQ|G!eVfQAd*=ae2N3Hc2O`E8B6L62+zU5 zJ(3N8=|L@ASQ=0>5*v?P5L_x?uL%nZ!;#>(1%Lzi`8CTqfudgYfnfGnd!WK1H*^7u z`c$X~D8iqO5D^rEpNi)Gdjz;B1IXe&kaLN8frAedLBc_OL}pMP2QCT(=0(In)h&o+ z8{CewsY@P#sl#2tH)VQEiUXBO0`E>B2;BJJc`Xo<*#ZiM>A^7UKO;m$CBQ>; zdWruU@$bjszzzJiPZL3nGjI|DQXwFlFC;Dm7dgFI2yn9?vm_FF$gBYPsGcF(jg}T8@;#8=c6Z{AN4iOYTBc_A! zxq;!WQ$ZB4Vt_~|2`C^DcNmQ9e>8G~iT(~J{QE>ApwyZ$gnkzngfzkVPYb@v|7V2$ zf4Rbcjp_e2-Y*mGeCy+}tgH$#H_ncg?G-8AJglGl27nV&jS_jp`+{ex8Jc_jS*y$WTS%|C5buAFIV?R5E8O1E;X{d zW^uhe-gh%#m-T9&L=c6S;3qUX|G+mD!QszPh~?Mpk$q#^Tk40`gBi&k*T>}2WOf(` z3a^FS_Mbr^@?wLdFnGpGLyNP7{cKn(d%r(KZm}L2bFQoICFJ5Hyqlsk7 zq0q-a$sadG^B|g-1z~w<;TrEE`|fQm<7vC1p~}3;53X6WhKfDHGKb;I3f_7pcQohF z92zB86Z`5(a?td~C%bCR{^eed7HYH}td|_r{sDMc`*%ucNS!nUKin8AkDLpwTjhnj z`X|8y2AK_K?-1tsCl&aneqs4m?OmAGaKe6d3Js zkMA5cO3a@8`NSs4BiR>gl~Pc$JQ4?k)~B(%?W9v^S}2pl(2inqvB=~){W!)R1{x`9 zu5x1PadAj6)<(dVb_R4X81N)F9pA;VmT?}z`^KeeyphC|)urTERk4|6J(1A<>!92A(%?;!XDWmsHT&N7R~ZnmUXStCt$FQQfzIh3 zL|E6-oaSQLlIT1aHT!KP^Iag5^$U_>l%ZY8(0akqwor`r#UmZxlfYtB{?0YAW1g{O zb0Vj{P@Z}Qp`KsbIZLV@DeJQ#~eIyUQ@erqy#Lf!k;k~3hU!VgDwNeM3nL& z`-y>W=<=&aqC^hZZ#TZJ^&wiOEUMKH)RWNkYVAH0&Vdsxv$9D#eV6Fa$ndjS>&_ab+5zSqe8P>6s_EhU*e&|F zp@fG_T8$5;Muv57B?MClOE5LdTyxTP<94!sPkf{CQJJ2vb$oQY4XdY`(W(Der}Vd!=!a4vvZ z!iCslK-}ga6nJ_h7l9Dr)ahkm7Z5EBPS#N$Dp`TUauVtN9iLLyL6Jm_Wo1fXk0pq1b4YzZLQ z{SPUI(;03joX-G0LHW)=81Y#NP~c`-Zh{0Sv3yKP##KFT?u3)9AmG<>#LNCFMWp;&ag5aRTsB{B9HAg0k46P{wT{o{Jp@ zM%NaUPwj1D^(4fU3}Z#HkdQ?k#C`ibJ-C;;Kp!!v#Z#NFjAg zxA_->)W{epVy&YEzK+Zu2tS-n;z(5Ua+l@Os@S-vRim%v(aMiNMc|C)3dP^Jl@ne# z&|{ar4hgki@gp2jN{?t&Kg5GKl86Q$ZnjWX_ZAB6oCUiPW(<$=q$9N)VeLrRpbnJ)9HWk(eD`5%kuj?n`2%{`IvDsk?~a z+n)MbsIfdh>5E#p_Qm~{wcgISSYEoiz1LU>1-h;I&R1TH05!YYH%SFEGpgftBN>739PVzfZZFW%X?{8>mqC;)z~#@}ZvRpOewsY}o8U_tz1x4DSw zxyw9wRq9RtKTx|BwKOJ}1y0RAQiq5_d{rIzu5y%nL-XiY7WRnFxW|k^_X#q3E-vG< zwMyE34LoOj;3 zK=fsmUTuhHx-tv>I1OdaOls$tgrLlrWU_}*T%Bt~Lhkm_Y@aORhF@whS7XbJd3@4DdJP~ypyV67585*{jHjrIuN@kG)Y!u8 zr5odV_B7I0C-f;eCUq!XNTYf+W0w=fb*)2-Z+oev;Nk?+aBOP)lk z&YK(FHcz%npRdYY9OJ`FN{=4DFQUr`3_FH0upTursQ>r|pxrT^3QrbB)n8-U z+<3(_HFSbw#LHOiv^T5BL_|V38yNC7AF(5|NTdw+TCrOmDM`~(I83t%r=N7c(04s( z>%+;9^ing|xe&w-6Y2ElRy~%)QAy+ zH|wAHg&0JV4~TPM>7ftQufL?ho$aj<~@o4o*SN!kP)&{4)IAW8@tKHX7sfSt?BoKB&c2}7Q? zgk}P(rk(&ILPwq0vKIfjnEJm*R{zFM37rOC#D5pc1tOSWRV)I;xl{18pwJkw*jm2? zYST1l0j%|(rPe!3;YYz6F-&!(f)2SRltU8(*F#(w$S<=a?nw#$+LNvwA7`N>Guu@w zcXuzAoR#=K|Klhk(_5PL?o&KThF?-Kie{K@ffoOQkeECrl7&l1!c|bujuW2}T@zJ_ z`(&UVk|VC_9C-1Zhm|AZE`gFUq_B;JI@m2@BA)fSzwUd&>2mev@U_%&*<9u%$-I&7 zm(Ae>eB0ljq|+3lm1-zD6}u0@MHSQd)3d};9naLMkYy>6MrA{yY# zIzZgu6^u@RI$N=_9&&mtRWjYxOij7XqxUsH*IdxXhkGY&P-nB_u&@WU=~TgM_|qx( zX$nV$Vf^Th+ssSW#Ij!=bnWdg^rx;lg+G=Wd^xdPza8ms)G1igX)tS`Y^>m)?L`o4 zU@q~Po8^x2R6)EJtJbG)yq9PPis=rm3ipbyRV-oP>F;#2CS4bPG4|p+ZuA{8p4Ge@ za;46u4U-@iL9!c9Ez!|2=26CSa<9;SJzrxAa=xZa@s-cksl+~S@@u&x{>{YRpO2xJ z0g$y{lCyl0)}qyI{_m`HlkL}ekauqNJc^uVZGj;aGs4sj2sIjJiyx@VN7?I7&i|Mg zjVQ!bj9?uo8#?|u5!BtO59`<#2*@4_onSO z5>wV?8KP$wuj)x^G{40nK)rhDPo$XML)+MRpUBf#66cv$|LdCvk}CuTAF6$&e`S4m z*xj;UiTiAo=JJzoZ9$Jd?DsuX!+oForeA45EZd%Mz(E-;S{rb`oXEtEO3vIT+gRWC? z;;DRt0O$2^OVyu6v}hL}-FwZ!4I{uP#>r6}Hb1Z@>iOD!tfG5hA$^x_A8S(ib^5w^ z^3bIm0<^-%ahA=l&X(byOJkusxiB)6Q<-i2eYzM-%<#o%=?lkUa~lL1^{GblehVg3 zQ=i*#=H=Lui((b#`$D{8|z3fFjlY^+uf+5Q9p$`)JkJK4!rboiRRvN>5F=h`aY}lMN z(W8PqhzhOrhG&C>u5Ds$E|luVMaup(_^gRwmn~<+COF`xxIM0Cjor6hOR%xv(Af3i zz=SFW{>emz;W_bAe;se_(r&TSXEMfi_syyh;Q)?$rRt2BmNMU}5^34mwA+5Ds91xo z_317*{gjXJD9uiN8x5o8lY?J6gVg;gUK!#lfH^{Yv0zm;_XMojmJ49kkX-EV?6x=} z-WhA zx+kK;2C-F-)J%kecEAl%c}Qnl%i@CHRH`tI&obM4RgO<5<2ijo zEA&MrTyJkYE)_Ymof#1$>atw8(u8Q(i>=A6BJ?dBB8@-3T#!;ZS+bH5e31g%2|ewp z?#MyxqiKN>j9r{IIaGnIx5I*9K(O#rZO*b*Ytft_k|sw;i7@CcCd};9;m4>w5Cz;Z3>hW**dv!0dVi4(CDlou&J$ zffo-w`TcK1ne|Qhqy#-PT%TMsFU`D0Wve@CG-GSi8#4`0%DlHg+cjHdFDW!xqnG4V zW79Fc&T-dh!tvMca_b|E&WJ0$?%tY{FP`OdUqdf_`0Z&F+0ykd;zexTn0=A^m%M^t zKp3ZcY%^@(x|dTH3VpR{meiN3r{&E>F?6R3(w=Mb(e$iLiyyt-9uW5_BdIl7OuvwP z;~yca;?SUr>yXHimnfE<)uPWD79IRluBz{%Q=2ezY@>+nk5N5S`cU$hPhFw%$WLZP zFLI5+;+gqhi$e^KL!l!y6fU_lxvvZYGK<`cyX>A$&s%FYdy=iv`)IWl%>;;0*k2np zU1L`2IFI%)N5d>2VRvjss2~m|1=TqM50E|qgn=RhaHt`{3b5rI4cZ%5z0N*K$!k;$_na!(++tPn zr03e%2v;HweJ)~S-8IksVw%+EHgTzlSE-xW&wI^63M>(2;7A3ba9RijSzVpU{l! zPa5r~_uC^k+S-uEEq7%W3krYWc~uKnkUcXOx7B`T<`o_9#umA4RlQ%+BQCf>cmL}> zxx+9aE1w)^|GHNB9E|9!DdaN+dHIcxyiy9yW!>4G_jP^UX|RY`xIaC=#m24}WW@JP z9>cM7CM8_9v5?PjEc@Wn^x?M>l(mJ4Ee^t)^PWijIKJWMufc^etzi|sd#{|u8O`Bn zu6%4S;^W^tMev~0ha@ZXGHD3(77DDbkuUu;f8dL4YGK_w!521{w;P1+jj+cNeCx<5 zoxhb+uIzOEd$Lyq7LjNBaBRD2RY7HUZ#@3b`tRJHy`Cm%g36@tm^_aZTu~KU9n|eK ztzl)p4LvEBdA_4!q4n7K{(6n2!(p>^RcF5^2Gl!&d{{u7)gqE)K1_mIXkcEvy)O@K zm2X~AiXUqXTS#wQ_pZCaZqM?Kl<@!^!%Tg#)Ne)k@g_ApaXeJgN#X=-g=50~X@WWV zBO|)EibrO>jcidYi3+W6N>B}}Z%wIfh({YTn9Tg+DFyCe9kEP0P9UtUv&z|dZb{{O z>wjOD$N14x%pG~XIA&S?Rbu8OF}-g+@C<)2cE`B>xOO38Pni|!)LdLqp;T54WAD6Zbua4QbA-aln9qusV%%!IDlWP(JMY+biqCm)AuuPdZjCDI{UVW4BwFllOegh2C5k z&Xd_Cq5UBS%lEjX@`C$w=>8yc>*^DpMQ<@gK{(G3s7JQ)KJI)#E<^jn&k?blLcz|n zx(<_-qt!px({}4VaH0mbeF>;uq=*e~guFm(HWLOb2tILEbl(?L#83Z0YO_1d)GJx^ zeR<;@N}}5|87=;XiByl&5%Vsl&frQ!F%HpcN_jQ5JQu^hL`W{n`$754+n!sh7L55*?Hp^Z>IdG@L--Yaz z$fNEliDi)|7;wo2(Pm#5hSdqWP)2+G`P-NM6dM8jx>H@Tj6AS?3h;m!c3`aX;vk6o z+kN|uxDfvrYV%A91zw{OG|s_{VNiOIJTSxdY0tWHki|ek@V3)V{|Fu-A;&ft7Y68jnqLRX z(I9N|+vojDNa!y-xxcy7=g`wrl3X+k1qFnke1Q-3SKKLY>>Ho8P`@sml_3NR_N1;I z-(sLbQDCZBoxRuV6TiVeYBDMI4&#wE%Y68%Au^fjc}yH za9g}WXC&h)&?3;y!zypE;Jxrt%0{2hw%*}L$o{!Idj;N=Mv@WQ+eXHYP`|y zjbhIYnAS7c-uznNq{S7l_mI$KgTSh(n`abLG%wUx zxesl9oW;z85gy2|{^-dYow#UdL#ZJixm!0c-}La~y~w$VuMq>+a7#=_b}*^nHG3vCu9xj` z!;w#Nr|RA{P}@=U5uZ5T`SEh$4X-=Xp`u7PDY^vW%B4W6Ngd9}NL@@P)FiE6c9QKA zMj?|?hDw@OK>ZR8O zKpcNUfA^kiDW?rpt+a@)Y(46B(3hKSUbG*V%r6tA@l8m^OUijx%lgCCc8u6mS0C05 zI}sm0h;TX@`|_pEZ+rzwpC5@XB{F^~Hn{Djw9stKz3mY{|J;XnHS|J?1%nlNw{@}0 z-_WMWZGHR}K#vx+Uhz@so-cdwI6?Onq}Hcybe2Mn9o9&>F+P3+}PiP2CF&#v~dweq=VF2+;XhDC1WP$c1JAa;{&QCYtr$u0U>h2G7E z#WdFHui-bw>YBp7alrK#9QZtB*qk zckQgSH3R*a)C}`#I=@CryfoUr6EjF;e5<#aoXJ0Qah$Iq(_MCp=L+HO+FQ&t3!E$W z;{^g;4#zUmR9re&xTl=H3BNnM`TCKTmyKL49wuDnj-2WuX|?Wb^FGFr8KNMtX|(Ey zYLL%Hox!sdiHEJh3T;ioU?{$)uM;9%AN?@8=$P&(QX<@;VBeCd-orpT*uZ(Qb?xK+ zkZ&jnvt7dGjhAMDoQzW*N(M_dd3&@JV|FB+e$-nn1A@MlF#`Pt9eJUh^ZVOgn_=IX zkJQ@Bd=rm)B8+U*7;Sd$`Z|;B!yGyUPcBUs(?4D3e!)&evHM-FPmLc%)Hdz<$YzIn zF(%>Wm#>EQI-m096I9r?(A*Lw8?c5()!q-Ju%)qlDYx8s`cf`X@51eqji|4Wm7e!x z1n1C7zkzIt>8dYgZdQfWPFP^=)nW8NInrX&F|yAq$r=zDc``Gk;lo*?S*(mC_dd)|XEa&eU;36!yzDn=;dKNJhm} z&fsW$7dls;39*ZEoT8WV*kors7~3W6H((f?s_#NTtVJJ{)9t5I5u|gThSqqH4Gys4CgH7NV{3j^nHah_5{r}?1LwL<#Nt)_Up#r53 zf_`<)px=vqQrQGHa7Kf7z&$~~k8=hj#Dz%TgC3K0z=LUd|1Vb~wFO2St5pVYePo~z zn$-~K@+}+tDn#;t2n(VahFvE8hra@$X9H~FS^v6W;2zPnfs+=$!Iy>146(5x=MivL z*PPR`cc*YXu2Ik>BL`H-JH_@vA4fs!lYKD4w1GedDxJf{hY~-4F8s_C{{|RBnq%Nl zbT7~|IGY4x9A+KMBKLdm4cLPmdr!l1zX*~P-VbQ>gi7O0rpkV{|vkRPj3QonjAWRGyv=VHzv<${X9*C z4^dcC@Iv+$I0Ps`vnc;$7M>f>MqbJS{9Z~<)fnt9fkJ|*{Z3kseKSrLc)uZRvLds~ zIrUvv1@|}RfGEaQLyr2IYUEH1FPrc)o1}X8#ETnD?Uw6IcLHvZy$|C#sZl{z97OS5 zzZX;Du9vu)*}iB`r?>rk4~h1L`@2{qfEJOZd*vi#2=Z{UykK8 z3x4qBhY1e46lwK>q)TGyl_t!qSA#OOobJ3IK^kTohGelj@>UpGRAgEW8$FMIN;f{K zCT-GgxYJhkJ@dFkIn8zw-jkWot-~>}kijB?Q8$S>njP(DB>AHBeerG8$L&{etCJsu zS9GqZeWD+Ga=8xm_I+Pi`Z3a!7t{4=__xf2Y*K+_daj=9qZe#CE`%1;^bJqn-*q#3 zfUq>m-ZyS25*(4yJ0zr?vlhE7p_(1}3MC;2Cl`q6d5$jfraLc^T5LAL?D=fTs5D)2 zgFv(G;elU#bI#*?+;-3SqC?CAadGbTC55>+=nhUR=Q#DRY zQEOqTy4iegl?!9(<9RAH%>#LU4o_p+R%An{G?T~RX&+=eBlRvMwm7=hl-^`w=u9lK zJ?UC?`+{e_xq;G-U!X#6mbQt0iN5mmSZqWVd6mi``(}@5(ht|ym~M86SH?*GN~+TA zZjmcB`gmWE>KmWzHPV&( zFK;2ZBfhR*3f*9r4+$73f8&Q}R~JUBRxJ+Au1`5W-ec=U?e7I>R$VpF6Rkbwz$w7i zZ>v*Y>TdXy-HB^Ntd2ptpR>>Mki_mj>+6^Hs~_g2`U#P^Lye?&16~FS{~SgLF!+Z~ zCP0@ok7Xof*1M`xE{&4oXBvrGnLl_bm~?;2lUe+$z$k?dk)qa~!W=R26}DNl85&t zlUp}`uKkiY*12M>ovRRWSv7;zr9Es*V*LHVY=e*6B);ckgSxgj?t8Nzyzd9#Bzi0J zUXm0iUR{>H(2ifTaW8ty?g`KQ5THj3Y^?bPw1Ru!BcpJc~2uJ z`60!)Lq5;Tw~-Kqer^M=4VK=@?eQ1tGYNSJM_%_UAqGSBGuLjqW<5e|^2H(%o?Zx} zv60ufzt9E3Ij_MiMm>Xbf(XQPiB%O$qpsH+2(v!%-0(Tx$x6g_C&ytV!Aay>dnBl6 zGM4-nBoMx#f*7s8egCFh1#?Wk;4!~RQ$6?&xAQeou2sS+`A+q!*5d5Qr-N5b z^u~>}scET9H5n6rFSLBTq;pCxCe@0%o9omC)QTJ(#iMSy8H61B*W9>U^y8{{g1=?r ztt7Dxzm>|lqED367^}uBM;o&y9 zsN9wVUqKK3<%JeH`ubXATZ<~3y)mx(?RFi~$-s6R zY!gWol_K!1Oy{dVG%e25~8_42kHJ`;)GUKfL(vS zek%8?c##W&8h>Z^kfH$g34~0ckF5Z>@_UC8fjleP{GU;n(~j#0gdET(ObQt6cfIF- z(G5-+Jdg`Bkl;7Oq9FfAGhm}gKtjx*NR}Vg*c=2};sK4|T0#A%MsN)StB~UsMC~HNt z1S6vTuTui56A+sX5Cd7_TWzp^+*@Jr(1D*Y6G+@z4a10O{@WbF;(~CHCqBJ{p_4EQ z^1t5S|7bn>FRkF22S4ovY;q0+QuzOLi-d(BbP_U3X#Xn=M*6qk@pl^qnC5gH^Vf$9 zYB9qh#{%CK)FuY!g1Ux&$NIo);Is+UERNc|NC7#m{c~aoL1;^mkOI<}1n;K@R2Z^< zsS5o6&;R|4(i9Q_xl9sKK;B9~pE7k5Mu=kb=<|^^yqlY9n}uAcwAC{z7FoD+8B3o` z2kSyQ_j<{u31v5PfWVz=U#TcPH{X7&^BpJ~$h}E!(Z7vewM1F6+wRT}S(23Sz6Yg$aJO~|iN4CO#t}1Kk(1#eUT?sK zxBs3FPmY0u&E$$~OXMFSXm3r~9=|+b^|B;7r zy?QJ$_3)ddBY*4<-!w9)zt_Y~N`Ibvt`LVBI9To zH1xwTC-pbnCL_q&*6q=A1+mYM6t3i#mVdmS@`lV@-dv8W1T_#63}Q^-R|9 z3oA@|np6ZF9hRgTgdqpDcbex^Vf1L@ot#aDlu=BH9A-tc~W&*-~CPg@dAhV|ec``kF5xonxH zRH^%=c4hf!y#5#e;~4Mo&0I1@G;Mqn$zSiF!pGdsz0~b)`S%bsq%{ReO}fE7-<4-f zuLV3&RZ~!mjcO)xZ6;D(*O%OS8XPL)Em|nF?`!+w3^AZ{= zLi!V?ew}JH?KFitli4bogu(^>z~|eQt*WN;gjvk zcxJ&xj5|+|?sQKjmN@RvKNy)qe-i3%@D7!ErPh(F$ZPeY?^iV8J09<^)G58?c;}#L zJuZ*5wvcq)+S-h_BPWXsxViR2mn`i8nv&|B`yX;R5<|H;#YUs495QiPeVQO5@bO~xHu_tIsm{qF<3?Y#%(>%vhzA1}W8`JvB2tz*18rbi?O8Mi{> znzpdRaL{=ti&*)pvgYdLFbn$|v+kQA7a5&+-aj4vZ11_vq?_L!pRgL&Frw=0`5^VF zE@pW(ZMq`b`*${Z=$|B1Np>%bp~>SZ;BupIl9$VYsG<5?<*Rk|bkZGkIep%6eS-M? zGH>xM6AX1~Yu-Vri6$@C$eg?Pc58NqHKWOdIBzRvl0q1t@2jX+4zpH^=a70&qK_?E zN*2tcY)lW9KCBTK#J(+Jinmgfmrh!UZEpwt8iK{s$um&G(MlSDdZ7CkAC|lGzG$wwsnLi(P4}M6x7(4rDYi zTJ}LXj_nH_C|_A29+LtqtYz__6DI9P{fAA*q(oP~Xq7bhdTc+8j|`K-YR(Jl-T4Wr zyIq6#&#Cvv8OC9aF3s+D(?(t0oVF*U!RsR|UTw`zl6)k^-=Q_8-M#x|!4$K3x$WFD z++6{0Ubs5Oxo1d${4Y9`$WtB4)ASb`C}s28)kFO8`TpRVkbiJZ$a7UmW|RNah(tm_ z)A0gEWU>cmTxYwG0GJ%ywb8WWo}F?Fk}p zAld;J1`;SDz!c_@FhN?wFkH~_(#r3S6v9Zr%{?P*io(T!b1Mv7E}%EL1pK|*^}vk_ z+W?+fLlLPgqY!Xt5T_m_a4m#Dutf+V3LHhiJw2txf@+#NShVNPob{*EB%lF>EV=M0 zjTqpDg@BC{0$*GhL|oF{(a+pOd?;xMP(mHPfX=5SMJdG31YiDQAwgp2%umZ-1KgP3 z+cvc@;&Z3r96I(d`ll%Hlz|azaRELN>pBehJb&@1L?lk_>^CkvazFtE?BBl`+08AZ7$K zcSxcQ^{<}B3W2s4)cBl43^g~*e37C}Dv!c-?Rh)>o4_#Mhf< z=ars*Mi#lXlycqpG5_Y<^G&JmEsKkZnTArVcSGs!)sK4zj z>Zpu*`Y<(u=(a5=BJn1UP!k^g2lPd+sqX%w{?_O)s@QH-)G~&B!MgU=crD-2hqv7+ zuMGo}+^_B-U6XfmmLl$b*0te(8}dH=0GTb!lhD!X={Z4E$)Lqdv}&0S$FPFwPpUW{ zN2inR&iV_ypmSfyK4Y}>n@g+YlFTl7Yi!f=WS8}fR=fLGwoEEslu=N2=scCc3xxLz zEu&Z>!ZTlg+z%KYSw4zZ4(&db!28j>GBI(Vtaxz}UhtK#j(=%>rf%V;k|Eq->f??k zZw=?J^K8gXj9jH+CSQ)@%jomC&-dPtUN0w9u#4!8)KPTfpG$slJF#_6Klz5d>QJp@ zs=RQenoRCYt91?^~I=WYnU&VLLKMj>?JdC{^z@4dx{&S$RGchk(dJl6 z3S~vyBfRPZ+XUpiSC=DI2iCy5b*I71_TAz;?P*;s4dGV1SG-^>`9pgHjapx*^;^Bs zF4z&9=!3GO3?WilWKG_Kjj@ZZK4y{7g3y_ouU^%!g!>k{Ry>JtXq$J=qnRYUo!XOO~JOahKS0~jx46o$hhUzE7*T)oa@@JY}Lz~qH@)C z_LYQwf8MD3gv5`^PS8puFg$em!?vkHvr&6D@G1oHlMw70Czcvbd5&=hBh44XZY7J| zx=UXK7Ik7SPpCUgG$yehdx~DJ?GS2ywo$j7kb7?&As3hFRiO9%fP}7@oQY{N93$Jz z4I+f3ZDIXLAI9gKg6B^xefK!CoyQO-Ddd8@`tee_O;Wr+0nQO3suEYjo!k!;`L6@n z@ER!7nzrH6z62knN7(LjHdMaLPbpDvT1i=Cn%Tdpvf8i}|zBe+s2J+o)c8;R3^f)wq;q-3Uyja|-CH1aIbuVejMXOaLm8N2#br=

    - const acomp_config_t *  + const acomp_config_tconfig  @@ -446,7 +441,7 @@ Initialization void ACOMP_GetDefaultConfig ( - acomp_config_t *  + acomp_config_tconfig) @@ -652,7 +647,7 @@ Initialization - const acomp_ladder_config_t *  + const acomp_ladder_config_tconfig  diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00008.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00008.js index bf55946..284eb93 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00008.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00008.js @@ -1,10 +1,10 @@ var a00008 = [ - [ "acomp_config_t", "a00008.html#a00100", [ + [ "acomp_config_t", "a00008.html#a00101", [ [ "enableSyncToBusClk", "a00008.html#a6f9542645b06542277cb07ea138e5064", null ], [ "hysteresisSelection", "a00008.html#a46ecb6f7efe54967d816deafdf1c65ce", null ] ] ], - [ "acomp_ladder_config_t", "a00008.html#a00101", [ + [ "acomp_ladder_config_t", "a00008.html#a00102", [ [ "ladderValue", "a00008.html#a8271667393096dad310f8b2e486b1c4b", null ], [ "referenceVoltage", "a00008.html#a66356c0246938695521e8a0ece69cf11", null ] ] ], @@ -16,8 +16,7 @@ var a00008 = [ "acomp_interrupt_enable_t", "a00008.html#ga178d521ca2ee7f33d518b30dfb627d20", [ [ "kACOMP_InterruptsFallingEdgeEnable", "a00008.html#gga178d521ca2ee7f33d518b30dfb627d20ad015e6ad9b09be27a6de122fde6b3997", null ], [ "kACOMP_InterruptsRisingEdgeEnable", "a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a25801b009aba402b9810189eb8545b8b", null ], - [ "kACOMP_InterruptsBothEdgesEnable", "a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a23743234a07f6c25a2b14cca0cd863c7", null ], - [ "kACOMP_InterruptsDisable", "a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a629a3a054d3fde07b8f1d4974a9d070d", null ] + [ "kACOMP_InterruptsBothEdgesEnable", "a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a23743234a07f6c25a2b14cca0cd863c7", null ] ] ], [ "acomp_hysteresis_selection_t", "a00008.html#gaa7c0a15052b6421941faad3c6ea3a5ac", [ [ "kACOMP_HysteresisNoneSelection", "a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acae003c683374deba88f335221e4f6c62b", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00009.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00009.html index 5dcdd51..eea8987 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00009.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00009.html @@ -32,7 +32,7 @@ Logo
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    @@ -119,20 +119,20 @@ Interrupt Configuration - +

    Files

    file  fsl_adc.h
    file  fsl_adc.h
     
    - - - - - + + - - + + + + +

    Data Structures

    struct  adc_config_t
     Define structure for configuring the block. More...
     
    struct  adc_conv_seq_config_t
     Define structure for configuring conversion sequence. More...
    struct  adc_config_t
     Define structure for configuring the block. More...
     
    struct  adc_result_info_t
     Define structure of keeping conversion result information. More...
    struct  adc_conv_seq_config_t
     Define structure for configuring conversion sequence. More...
     
    struct  adc_result_info_t
     Define structure of keeping conversion result information. More...
     
    @@ -307,28 +307,34 @@ Enumerations

    Enumerations

    - - + +

    Driver version

    #define FSL_ADC_DRIVER_VERSION   (MAKE_VERSION(2, 5, 0))
     ADC driver version 2.5.0. More...
    #define FSL_ADC_DRIVER_VERSION   (MAKE_VERSION(2, 5, 2))
     ADC driver version 2.5.2. More...
     
    - + - + + + + + + +

    Initialization and Deinitialization

    void ADC_Init (ADC_Type *base, const adc_config_t *config)
    void ADC_Init (ADC_Type *base, const adc_config_t *config)
     Initialize the ADC module. More...
     
    void ADC_Deinit (ADC_Type *base)
     Deinitialize the ADC module. More...
     
    void ADC_GetDefaultConfig (adc_config_t *config)
    void ADC_GetDefaultConfig (adc_config_t *config)
     Gets an available pre-defined settings for initial configuration. More...
     
    bool ADC_DoSelfCalibration (ADC_Type *base, uint32_t frequency)
     Do the hardware self-calibration. More...
     
    static void ADC_EnableTemperatureSensor (ADC_Type *base, bool enable)
     Enable the internal temperature sensor measurement. More...
     
    - + @@ -346,7 +352,7 @@ Control conversion sequence B. - + @@ -361,13 +367,13 @@ Control conversion sequence B.

    Control conversion sequence A.

    static void ADC_EnableConvSeqA (ADC_Type *base, bool enable)
     Enable the conversion sequence A. More...
     
    void ADC_SetConvSeqAConfig (ADC_Type *base, const adc_conv_seq_config_t *config)
    void ADC_SetConvSeqAConfig (ADC_Type *base, const adc_conv_seq_config_t *config)
     Configure the conversion sequence A. More...
     
    static void ADC_DoSoftwareTriggerConvSeqA (ADC_Type *base)
    static void ADC_EnableConvSeqB (ADC_Type *base, bool enable)
     Enable the conversion sequence B. More...
     
    void ADC_SetConvSeqBConfig (ADC_Type *base, const adc_conv_seq_config_t *config)
    void ADC_SetConvSeqBConfig (ADC_Type *base, const adc_conv_seq_config_t *config)
     Configure the conversion sequence B. More...
     
    static void ADC_DoSoftwareTriggerConvSeqB (ADC_Type *base)
    - + - + - +

    Data result.

    bool ADC_GetConvSeqAGlobalConversionResult (ADC_Type *base, adc_result_info_t *info)
    bool ADC_GetConvSeqAGlobalConversionResult (ADC_Type *base, adc_result_info_t *info)
     Get the global ADC conversion infomation of sequence A. More...
     
    bool ADC_GetConvSeqBGlobalConversionResult (ADC_Type *base, adc_result_info_t *info)
    bool ADC_GetConvSeqBGlobalConversionResult (ADC_Type *base, adc_result_info_t *info)
     Get the global ADC conversion infomation of sequence B. More...
     
    bool ADC_GetChannelConversionResult (ADC_Type *base, uint32_t channel, adc_result_info_t *info)
    bool ADC_GetChannelConversionResult (ADC_Type *base, uint32_t channel, adc_result_info_t *info)
     Get the channel's ADC conversion completed under each conversion sequence. More...
     
    @@ -408,7 +414,7 @@ Status.
     

    Data Structure Documentation

    - +
    @@ -422,10 +428,6 @@ Status. - - -
    uint32_t clockDividerNumber
     This field is only available when using kADC_ClockSynchronousMode for "clockMode" field. More...
     
    bool enableLowPowerMode
     
    If disable low-power mode, ADC remains activated even when no conversions are
    -

    requested. More...

     

    Field Documentation

    @@ -441,23 +443,10 @@ Status.
    - -
    -
    - - - - -
    bool adc_config_t::enableLowPowerMode
    -
    -

    If enable low-power mode, The ADC is automatically powered-down when no conversions are taking place.

    - - - - +
    @@ -569,7 +558,7 @@ Status. - +
    @@ -666,7 +655,7 @@ Status.
    - +
    #define FSL_ADC_DRIVER_VERSION   (MAKE_VERSION(2, 5, 0))#define FSL_ADC_DRIVER_VERSION   (MAKE_VERSION(2, 5, 2))
    @@ -1029,7 +1018,7 @@ Status. - const adc_config_t *  + const adc_config_tconfig  @@ -1042,7 +1031,7 @@ Status.
    Parameters
    - +
    baseADC peripheral base address.
    configPointer to configuration structure, see to adc_config_t.
    configPointer to configuration structure, see to adc_config_t.
    @@ -1078,7 +1067,7 @@ Status. void ADC_GetDefaultConfig ( - adc_config_t *  + adc_config_tconfig) @@ -1098,6 +1087,89 @@ Status. +
    +
    + +
    +
    + + + + + + + + + + + + + + + + + + +
    bool ADC_DoSelfCalibration (ADC_Type * base,
    uint32_t frequency 
    )
    +
    +

    To calibrate the ADC, set the ADC clock to 500 kHz. In order to achieve the specified ADC accuracy, the A/D converter must be recalibrated, at a minimum, following every chip reset before initiating normal ADC operation.

    +
    Parameters
    + + + +
    baseADC peripheral base address.
    frequencyThe clock frequency that ADC operates at.
    +
    +
    +
    Return values
    + + + +
    trueCalibration succeed.
    falseCalibration failed.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + + + + + + + + + + + +
    static void ADC_EnableTemperatureSensor (ADC_Type * base,
    bool enable 
    )
    +
    +inlinestatic
    +
    +

    When enabling the internal temperature sensor measurement, the channel 0 would be connected to internal sensor instead of external pin.

    +
    Parameters
    + + + +
    baseADC peripheral base address.
    enableSwitcher to enable the feature or not.
    +
    +
    +
    @@ -1155,7 +1227,7 @@ Status. - const adc_conv_seq_config_t *  + const adc_conv_seq_config_tconfig  @@ -1168,7 +1240,7 @@ Status.
    Parameters
    - +
    baseADC peripheral base address.
    configPointer to configuration structure, see to adc_conv_seq_config_t.
    configPointer to configuration structure, see to adc_conv_seq_config_t.
    @@ -1332,7 +1404,7 @@ Status. - const adc_conv_seq_config_t *  + const adc_conv_seq_config_tconfig  @@ -1345,7 +1417,7 @@ Status.
    Parameters
    - +
    baseADC peripheral base address.
    configPointer to configuration structure, see to adc_conv_seq_config_t.
    configPointer to configuration structure, see to adc_conv_seq_config_t.
    @@ -1467,7 +1539,7 @@ Status. - adc_result_info_t *  + adc_result_info_tinfo  @@ -1480,7 +1552,7 @@ Status.
    Parameters
    - +
    baseADC peripheral base address.
    infoPointer to information structure, see to adc_result_info_t;
    infoPointer to information structure, see to adc_result_info_t;
    @@ -1507,7 +1579,7 @@ Status. - adc_result_info_t *  + adc_result_info_tinfo  @@ -1520,7 +1592,7 @@ Status.
    Parameters
    - +
    baseADC peripheral base address.
    infoPointer to information structure, see to adc_result_info_t;
    infoPointer to information structure, see to adc_result_info_t;
    @@ -1553,7 +1625,7 @@ Status. - adc_result_info_t *  + adc_result_info_tinfo  @@ -1567,7 +1639,7 @@ Status. - +
    baseADC peripheral base address.
    channelThe indicated channel number.
    infoPointer to information structure, see to adc_result_info_t;
    infoPointer to information structure, see to adc_result_info_t;
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00009.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00009.js index 940b595..e63ab9a 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00009.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00009.js @@ -1,10 +1,9 @@ var a00009 = [ - [ "adc_config_t", "a00009.html#a00102", [ - [ "clockDividerNumber", "a00009.html#abb59c0a54988e01653f80c8a59d18b1a", null ], - [ "enableLowPowerMode", "a00009.html#a92583334531874821348b01b24f03bed", null ] + [ "adc_config_t", "a00009.html#a00103", [ + [ "clockDividerNumber", "a00009.html#abb59c0a54988e01653f80c8a59d18b1a", null ] ] ], - [ "adc_conv_seq_config_t", "a00009.html#a00103", [ + [ "adc_conv_seq_config_t", "a00009.html#a00104", [ [ "channelMask", "a00009.html#ab63c0a8803f4d02e040d55efd8d58e88", null ], [ "triggerMask", "a00009.html#a53ec8373edca82340278a104721ed8bb", null ], [ "triggerPolarity", "a00009.html#a280dc4b4d9c35c2411fe92cf3cadb838", null ], @@ -12,7 +11,7 @@ var a00009 = [ "enableSingleStep", "a00009.html#abe7f67296372743da67e2eac58f9aafe", null ], [ "interruptMode", "a00009.html#aa37fa0f5c6c3351f811d4f31f40290ad", null ] ] ], - [ "adc_result_info_t", "a00009.html#a00104", [ + [ "adc_result_info_t", "a00009.html#a00105", [ [ "result", "a00009.html#afa0a0f7e84c888060b963280035378f6", null ], [ "thresholdCompareStatus", "a00009.html#a1014edddf4d1ea57e751088dcadace6b", null ], [ "thresholdCorssingStatus", "a00009.html#a443ffd542f5690ca2cb239181db4aaf7", null ], @@ -107,6 +106,8 @@ var a00009 = [ "ADC_Init", "a00009.html#gaa407371ebd58e5aa7f75d38bfdad6169", null ], [ "ADC_Deinit", "a00009.html#ga9e87d0842217dd30d2d9699df580e237", null ], [ "ADC_GetDefaultConfig", "a00009.html#ga69c1682f87ee05264946329a2532792e", null ], + [ "ADC_DoSelfCalibration", "a00009.html#ga6c5be196874795f6647b18fd1f3443b4", null ], + [ "ADC_EnableTemperatureSensor", "a00009.html#ga038d00e89652d2b860ef23b79cdbe23d", null ], [ "ADC_EnableConvSeqA", "a00009.html#ga0576bc613006f8a4d3bb6db93167be65", null ], [ "ADC_SetConvSeqAConfig", "a00009.html#ga30e86d22d3680c1cf71d5121f162075b", null ], [ "ADC_DoSoftwareTriggerConvSeqA", "a00009.html#ga13edd8c6ef6665907b00a6a819187292", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00010.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00010.html index d94d6dc..5369318 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00010.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00010.html @@ -32,7 +32,7 @@ Logo
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    @@ -122,12 +122,12 @@ Files - - - - - + + + + +

    Data Structures

    struct  capt_config_t
     The structure for CAPT basic configuration. More...
     
    struct  capt_touch_data_t
     The structure for storing touch data. More...
    struct  capt_config_t
     The structure for CAPT basic configuration. More...
     
    struct  capt_touch_data_t
     The structure for storing touch data. More...
     
    @@ -266,19 +266,19 @@ Enumerations

    Enumerations

    - +

    Driver version

    #define FSL_CAPT_DRIVER_VERSION   (MAKE_VERSION(2, 1, 0))
    #define FSL_CAPT_DRIVER_VERSION   (MAKE_VERSION(2, 1, 0))
     CAPT driver version. More...
     
    - + - + @@ -302,7 +302,7 @@ Initialization - + @@ -310,7 +310,7 @@ Initialization

    Initialization

    void CAPT_Init (CAPT_Type *base, const capt_config_t *config)
    void CAPT_Init (CAPT_Type *base, const capt_config_t *config)
     Initialize the CAPT module. More...
     
    void CAPT_Deinit (CAPT_Type *base)
     De-initialize the CAPT module. More...
     
    void CAPT_GetDefaultConfig (capt_config_t *config)
    void CAPT_GetDefaultConfig (capt_config_t *config)
     Gets an available pre-defined settings for the CAPT's configuration. More...
     
    static void CAPT_SetThreshold (CAPT_Type *base, uint32_t count)
    static uint32_t CAPT_GetStatusFlags (CAPT_Type *base)
     Get CAPT status flags. More...
     
    bool CAPT_GetTouchData (CAPT_Type *base, capt_touch_data_t *data)
    bool CAPT_GetTouchData (CAPT_Type *base, capt_touch_data_t *data)
     Get CAPT touch data. More...
     
    void CAPT_PollNow (CAPT_Type *base, uint16_t enableXpins)
     

    Data Structure Documentation

    - +
    @@ -483,7 +483,7 @@ Initialization - +
    @@ -580,7 +580,7 @@ Initialization
    - +
    #define FSL_CAPT_DRIVER_VERSION   (MAKE_VERSION(2, 1, 0))#define FSL_CAPT_DRIVER_VERSION   (MAKE_VERSION(2, 1, 0))
    @@ -881,7 +881,7 @@ Initialization - const capt_config_t *  + const capt_config_tconfig  @@ -930,7 +930,7 @@ Initialization void CAPT_GetDefaultConfig ( - capt_config_t *  + capt_config_tconfig) @@ -1229,7 +1229,7 @@ Initialization - capt_touch_data_t *  + capt_touch_data_tdata  diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00010.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00010.js index e302784..82ae9e1 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00010.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00010.js @@ -1,6 +1,6 @@ var a00010 = [ - [ "capt_config_t", "a00010.html#a00105", [ + [ "capt_config_t", "a00010.html#a00106", [ [ "enableWaitMode", "a00010.html#a65c26d6fd762782ca1370d3de678e98b", null ], [ "enableTouchLower", "a00010.html#af153fbc551235805bebdcae4efa95462", null ], [ "clockDivider", "a00010.html#a9a8da1759cc4ea2b284400908075c871", null ], @@ -12,7 +12,7 @@ var a00010 = [ "mDelay", "a00010.html#a6f8664474411be56853bb70cd8de8b70", null ], [ "rDelay", "a00010.html#a2023ba3491243edb7ad6be41ea0b0f68", null ] ] ], - [ "capt_touch_data_t", "a00010.html#a00106", [ + [ "capt_touch_data_t", "a00010.html#a00107", [ [ "yesTimeOut", "a00010.html#ad73f9e52f210a4ef91e0732ba882c384", null ], [ "yesTouch", "a00010.html#a5acedab58178a003114a220a5ea3f6f4", null ], [ "XpinsIndex", "a00010.html#ada6854ef1bf21b8f35acba939c389190", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00011.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00011.html index 3e2e60a..a0c7b3d 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00011.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00011.html @@ -32,7 +32,7 @@ Logo
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    @@ -140,14 +140,14 @@ Comments about API usage in RTOS - +

    Files

    file  fsl_crc.h
    file  fsl_crc.h
     
    - - - + + +

    Data Structures

    struct  crc_config_t
     CRC protocol configuration. More...
     
    struct  crc_config_t
     CRC protocol configuration. More...
     
    @@ -170,7 +170,7 @@ Enumerations

    Macros

    - + @@ -182,10 +182,10 @@ Functions - + - + @@ -200,12 +200,12 @@ Functions

    Functions

    void CRC_Init (CRC_Type *base, const crc_config_t *config)
    void CRC_Init (CRC_Type *base, const crc_config_t *config)
     Enables and configures the CRC peripheral module. More...
     
    static void CRC_Deinit (CRC_Type *base)
    void CRC_WriteSeed (CRC_Type *base, uint32_t seed)
     Write seed to CRC peripheral module. More...
     
    void CRC_GetDefaultConfig (crc_config_t *config)
    void CRC_GetDefaultConfig (crc_config_t *config)
     Loads default values to CRC protocol configuration structure. More...
     
    void CRC_GetConfig (CRC_Type *base, crc_config_t *config)
    void CRC_GetConfig (CRC_Type *base, crc_config_t *config)
     Loads actual values configured in CRC peripheral to CRC protocol configuration structure. More...
     
    void CRC_WriteData (CRC_Type *base, const uint8_t *data, size_t dataSize)
    - +

    Driver version

    #define FSL_CRC_DRIVER_VERSION   (MAKE_VERSION(2, 1, 1))
    #define FSL_CRC_DRIVER_VERSION   (MAKE_VERSION(2, 1, 1))
     CRC driver version. More...
     

    Data Structure Documentation

    - +
    @@ -318,7 +318,7 @@ Driver version
    - +
    #define FSL_CRC_DRIVER_VERSION   (MAKE_VERSION(2, 1, 1))#define FSL_CRC_DRIVER_VERSION   (MAKE_VERSION(2, 1, 1))
    @@ -401,7 +401,7 @@ Driver version - const crc_config_t *  + const crc_config_tconfig  @@ -515,7 +515,7 @@ Driver version void CRC_GetDefaultConfig ( - crc_config_t *  + crc_config_tconfig) @@ -551,7 +551,7 @@ Driver version - crc_config_t *  + crc_config_tconfig  diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00011.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00011.js index b00775c..6b24eaf 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00011.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00011.js @@ -1,6 +1,6 @@ var a00011 = [ - [ "crc_config_t", "a00011.html#a00107", [ + [ "crc_config_t", "a00011.html#a00108", [ [ "polynomial", "a00011.html#afbe53ea36b200e69cb7f693e6f0a11f1", null ], [ "reverseIn", "a00011.html#aecb53a8e8a78e3273c6d3b2c874ab7f2", null ], [ "complementIn", "a00011.html#a9982af011e3e1fc9756dcee96281ebda", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00012.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00012.html index f3710b3..4ecddc0 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00012.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00012.html @@ -32,7 +32,7 @@ Logo
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    @@ -137,17 +137,17 @@ PWM output example - +

    Files

    file  fsl_ctimer.h
    file  fsl_ctimer.h
     
    - - + + + + + - - -

    Data Structures

    struct  ctimer_match_config_t
     Match configuration. More...
    struct  ctimer_match_config_t
     Match configuration. More...
     
    struct  ctimer_config_t
     Timer configuration structure. More...
     
    struct  ctimer_config_t
     Timer configuration structure. More...
     
    @@ -257,7 +257,7 @@ Enumerations

    Enumerations

    - + @@ -287,44 +287,38 @@ Functions - - - - - -

    Functions

    void CTIMER_SetupMatch (CTIMER_Type *base, ctimer_match_t matchChannel, const ctimer_match_config_t *config)
    void CTIMER_SetupMatch (CTIMER_Type *base, ctimer_match_t matchChannel, const ctimer_match_config_t *config)
     Setup the match register. More...
     
    uint32_t CTIMER_GetOutputMatchStatus (CTIMER_Type *base, uint32_t matchChannel)
    static void CTIMER_EnableStopMatchChannel (CTIMER_Type *base, ctimer_match_t match, bool enable)
     Enable stop match channel. More...
     
    static void CTIMER_EnableMatchChannelReload (CTIMER_Type *base, ctimer_match_t match, bool enable)
     Enable reload channel falling edge. More...
     
    static void CTIMER_EnableRisingEdgeCapture (CTIMER_Type *base, ctimer_capture_channel_t capture, bool enable)
     Enable capture channel rising edge. More...
     
    static void CTIMER_EnableFallingEdgeCapture (CTIMER_Type *base, ctimer_capture_channel_t capture, bool enable)
     Enable capture channel falling edge. More...
     
    static void CTIMER_SetShadowValue (CTIMER_Type *base, ctimer_match_t match, uint32_t matchvalue)
     Set the specified match shadow channel. More...
     
    +#define 

    Driver version

    -#define FSL_CTIMER_DRIVER_VERSION   (MAKE_VERSION(2, 3, 1))
    FSL_CTIMER_DRIVER_VERSION   (MAKE_VERSION(2, 3, 1))
     Version 2.3.1.
     
    - + - +

    Initialization and deinitialization

    void CTIMER_Init (CTIMER_Type *base, const ctimer_config_t *config)
    void CTIMER_Init (CTIMER_Type *base, const ctimer_config_t *config)
     Ungates the clock and configures the peripheral for basic operation. More...
     
    void CTIMER_Deinit (CTIMER_Type *base)
     Gates the timer clock. More...
     
    void CTIMER_GetDefaultConfig (ctimer_config_t *config)
    void CTIMER_GetDefaultConfig (ctimer_config_t *config)
     Fills in the timers configuration structure with the default settings. More...
     
    - + - + @@ -365,7 +359,7 @@ Counter Start and Stop

    PWM setup operations

    status_t CTIMER_SetupPwmPeriod (CTIMER_Type *base, const ctimer_match_t pwmPeriodChannel, ctimer_match_t matchChannel, uint32_t pwmPeriod, uint32_t pulsePeriod, bool enableInt)
    status_t CTIMER_SetupPwmPeriod (CTIMER_Type *base, const ctimer_match_t pwmPeriodChannel, ctimer_match_t matchChannel, uint32_t pwmPeriod, uint32_t pulsePeriod, bool enableInt)
     Configures the PWM signal parameters. More...
     
    status_t CTIMER_SetupPwm (CTIMER_Type *base, const ctimer_match_t pwmPeriodChannel, ctimer_match_t matchChannel, uint8_t dutyCyclePercent, uint32_t pwmFreq_Hz, uint32_t srcClock_Hz, bool enableInt)
    status_t CTIMER_SetupPwm (CTIMER_Type *base, const ctimer_match_t pwmPeriodChannel, ctimer_match_t matchChannel, uint8_t dutyCyclePercent, uint32_t pwmFreq_Hz, uint32_t srcClock_Hz, bool enableInt)
     Configures the PWM signal parameters. More...
     
    static void CTIMER_UpdatePwmPulsePeriod (CTIMER_Type *base, ctimer_match_t matchChannel, uint32_t pulsePeriod)
     

    Data Structure Documentation

    - +
    @@ -405,7 +399,7 @@ bool  @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_eb78a2695df30cd843f578001c009203.h
    +
    @@ -666,7 +660,7 @@ uint32_t  - + @@ -716,7 +710,7 @@ uint32_t  - + @@ -741,7 +735,7 @@ uint32_t  @@ -107,6 +107,8 @@ $(document).ready(function(){initNavTree('dir_ea982a4a90bbf64c8a612131ad2f0fcb.h Files + +
    const ctimer_config_tconst ctimer_config_t config 
    void CTIMER_GetDefaultConfig (ctimer_config_tctimer_config_t config)
    - + @@ -804,7 +798,7 @@ uint32_t  - + @@ -305,7 +305,7 @@ Driver version - + diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00013.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00013.js index 961b911..55134bb 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00013.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00013.js @@ -1,6 +1,6 @@ var a00013 = [ - [ "dac_config_t", "a00013.html#a00110", [ + [ "dac_config_t", "a00013.html#a00111", [ [ "settlingTime", "a00013.html#a64eb5fd25bfaaa5593caf6e9116dbb5b", null ] ] ], [ "LPC_DAC_DRIVER_VERSION", "a00013.html#ga92d95f6b17de859a557ed350a86ff56e", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00014.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00014.html index c6c6fa9..9849123 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00014.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00014.html @@ -32,7 +32,7 @@ @@ -129,14 +129,14 @@ Typical use case
    status_t CTIMER_SetupPwmPeriod status_t CTIMER_SetupPwmPeriod ( CTIMER_Type *  base, - + @@ -984,7 +978,7 @@ uint32_t  - + @@ -1616,55 +1610,6 @@ uint32_t  @@ -120,14 +120,14 @@ Interrupt Configuration
    status_t CTIMER_SetupPwm status_t CTIMER_SetupPwm ( CTIMER_Type *  base, const ctimer_match_config_tconst ctimer_match_config_t config 
    -
    -
    - - - - - -
    - - - - - - - - - - - - - - - - - - - - - - - - -
    static void CTIMER_EnableMatchChannelReload (CTIMER_Type * base,
    ctimer_match_t match,
    bool enable 
    )
    -
    -inlinestatic
    -
    -

    Enable the specified match channel reload match shadow value.

    -
    Parameters
    - - - - -
    baseCtimer peripheral base address.
    matchmatch channel used.
    enableEnable .
    -
    -
    -
    @@ -1763,54 +1708,6 @@ uint32_t 
    -
    -
    - - - - - -
    - - - - - - - - - - - - - - - - - - - - - - - - -
    static void CTIMER_SetShadowValue (CTIMER_Type * base,
    ctimer_match_t match,
    uint32_t matchvalue 
    )
    -
    -inlinestatic
    -
    -
    Parameters
    - - - - -
    baseCtimer peripheral base address.
    matchmatch channel used.
    matchvalueReload the value of the corresponding match register.
    -
    -
    -
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00012.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00012.js index 15b454c..d54dc4c 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00012.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00012.js @@ -1,6 +1,6 @@ var a00012 = [ - [ "ctimer_match_config_t", "a00012.html#a00109", [ + [ "ctimer_match_config_t", "a00012.html#a00110", [ [ "matchValue", "a00012.html#afa3614f09e787565b3514ddd4d9545a0", null ], [ "enableCounterReset", "a00012.html#aaa00b16aba273e3f11f9480154acc1e5", null ], [ "enableCounterStop", "a00012.html#a94fe2c63ce7fcb5cf68f9ce73974a3e8", null ], @@ -8,7 +8,7 @@ var a00012 = [ "outPinInitState", "a00012.html#a31ca8ee3406b7a17c793d94469e1e68d", null ], [ "enableInterrupt", "a00012.html#ae09fd69820c9285d7f146260d2c51584", null ] ] ], - [ "ctimer_config_t", "a00012.html#a00108", [ + [ "ctimer_config_t", "a00012.html#a00109", [ [ "mode", "a00012.html#ab72ef3a10cab9754d3cecb44ef7ad6ac", null ], [ "input", "a00012.html#ac07c23c0bbadea74c24c03e401321fbc", null ], [ "prescale", "a00012.html#aa8baec2c586854dc58ff4cdb82b7996b", null ] @@ -89,8 +89,6 @@ var a00012 = [ "CTIMER_GetCaptureValue", "a00012.html#gad50a3d5668e4b0e9aba06d4d555139b9", null ], [ "CTIMER_EnableResetMatchChannel", "a00012.html#gaeb3527b175c6158c02d76e063e2794b5", null ], [ "CTIMER_EnableStopMatchChannel", "a00012.html#gaf575852d70d9db218b0def620a0b7302", null ], - [ "CTIMER_EnableMatchChannelReload", "a00012.html#ga47db49d83551ef1e38375570f29e5f0e", null ], [ "CTIMER_EnableRisingEdgeCapture", "a00012.html#ga0b4954f928c8e278c29325ea10aa7fa5", null ], - [ "CTIMER_EnableFallingEdgeCapture", "a00012.html#ga37f6a866661fa105f4e66e76e02d4236", null ], - [ "CTIMER_SetShadowValue", "a00012.html#gabe141933756553c5fcbb23160f065b00", null ] + [ "CTIMER_EnableFallingEdgeCapture", "a00012.html#ga37f6a866661fa105f4e66e76e02d4236", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00013.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00013.html index bfaa944..3f298e7 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00013.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00013.html @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_dac.h
    file  fsl_dac.h
     
    - - - + + +

    Data Structures

    struct  dac_config_t
     The configuration of DAC. More...
     
    struct  dac_config_t
     The configuration of DAC. More...
     
    @@ -142,13 +142,13 @@ Enumerations

    Enumerations

    - + - + @@ -169,12 +169,12 @@ Functions

    Functions

    void DAC_Init (DAC_Type *base, const dac_config_t *config)
    void DAC_Init (DAC_Type *base, const dac_config_t *config)
     Initialize the DAC module. More...
     
    void DAC_Deinit (DAC_Type *base)
     De-Initialize the DAC module. More...
     
    void DAC_GetDefaultConfig (dac_config_t *config)
    void DAC_GetDefaultConfig (dac_config_t *config)
     Initializes the DAC user configuration structure. More...
     
    void DAC_EnableDoubleBuffering (DAC_Type *base, bool enable)
    - +

    Driver version

    #define LPC_DAC_DRIVER_VERSION   (MAKE_VERSION(2, 0, 2))
    #define LPC_DAC_DRIVER_VERSION   (MAKE_VERSION(2, 0, 2))
     DAC driver version 2.0.2. More...
     

    Data Structure Documentation

    -
    +
    @@ -212,7 +212,7 @@ Driver version
    - +
    #define LPC_DAC_DRIVER_VERSION   (MAKE_VERSION(2, 0, 2))#define LPC_DAC_DRIVER_VERSION   (MAKE_VERSION(2, 0, 2))
    @@ -256,7 +256,7 @@ Driver version
    const dac_config_tconst dac_config_t config 
    void DAC_GetDefaultConfig (dac_config_tdac_config_t config)
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_gpio.h
    file  fsl_gpio.h
     
    - - - + + +

    Data Structures

    struct  gpio_pin_config_t
     The GPIO pin configuration structure. More...
     
    struct  gpio_pin_config_t
     The GPIO pin configuration structure. More...
     
    @@ -163,7 +163,7 @@ Functions

    Enumerations

    - +

    Driver version

    #define FSL_GPIO_DRIVER_VERSION   (MAKE_VERSION(2, 1, 7))
    #define FSL_GPIO_DRIVER_VERSION   (MAKE_VERSION(2, 1, 7))
     LPC GPIO driver version. More...
     
    @@ -172,7 +172,7 @@ GPIO Configuration - +
    void GPIO_PortInit (GPIO_Type *base, uint32_t port)
     Initializes the GPIO peripheral. More...
     
    void GPIO_PinInit (GPIO_Type *base, uint32_t port, uint32_t pin, const gpio_pin_config_t *config)
    void GPIO_PinInit (GPIO_Type *base, uint32_t port, uint32_t pin, const gpio_pin_config_t *config)
     Initializes a GPIO pin used by the board. More...
     
    @@ -189,7 +189,7 @@ GPIO Input Operations
     

    Data Structure Documentation

    -
    +
    @@ -219,7 +219,7 @@ uint8_t  - + @@ -320,13 +320,13 @@ uint8_t  @@ -103,26 +103,26 @@ $(document).ready(function(){initNavTree('a00015.html','');}); Typedefs | Enumerations +

    Overview

    - +
    #define FSL_GPIO_DRIVER_VERSION   (MAKE_VERSION(2, 1, 7))#define FSL_GPIO_DRIVER_VERSION   (MAKE_VERSION(2, 1, 7))
    @@ -307,7 +307,7 @@ uint8_t 
    const gpio_pin_config_tconst gpio_pin_config_t config 
    GPIO_PinInit() function.

    This is an example to define an input pin or output pin configuration:

    * Define a digital input pin configuration,
    - +
    * {
    * 0,
    * }
    * Define a digital output pin configuration,
    - +
    * {
    * 0,
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00014.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00014.js index fb7b4ff..e666182 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00014.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00014.js @@ -1,6 +1,6 @@ var a00014 = [ - [ "gpio_pin_config_t", "a00014.html#a00111", [ + [ "gpio_pin_config_t", "a00014.html#a00112", [ [ "pinDirection", "a00014.html#a70aed128003103272f5740f12fbff525", null ], [ "outputLogic", "a00014.html#a9d37ffd9a2943f10a91095759bd52da5", null ] ] ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00015.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00015.html index bb561b5..bd838d0 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00015.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00015.html @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - - - - - + + + + + + + + - - -

    Data Structures

    struct  i2c_master_config_t
     Structure with settings to initialize the I2C master module. More...
     
    struct  i2c_master_transfer_t
     Non-blocking transfer descriptor structure. More...
    struct  i2c_master_config_t
     Structure with settings to initialize the I2C master module. More...
     
    struct  i2c_master_transfer_t
     Non-blocking transfer descriptor structure. More...
     
    struct  i2c_master_handle_t
     Driver handle for master non-blocking APIs. More...
     
    struct  i2c_master_handle_t
     Driver handle for master non-blocking APIs. More...
     
    - +

    Typedefs

    typedef void(* i2c_master_transfer_callback_t )(I2C_Type *base, i2c_master_handle_t *handle, status_t completionStatus, void *userData)
    typedef void(* i2c_master_transfer_callback_t )(I2C_Type *base, i2c_master_handle_t *handle, status_t completionStatus, void *userData)
     Master completion callback function pointer type. More...
     
    @@ -164,10 +164,10 @@ Enumerations
    - + - + @@ -212,22 +212,22 @@ Bus operations - + - + - + - + - + - +

    Initialization and deinitialization

    void I2C_MasterGetDefaultConfig (i2c_master_config_t *masterConfig)
    void I2C_MasterGetDefaultConfig (i2c_master_config_t *masterConfig)
     Provides a default configuration for the I2C master peripheral. More...
     
    void I2C_MasterInit (I2C_Type *base, const i2c_master_config_t *masterConfig, uint32_t srcClock_Hz)
    void I2C_MasterInit (I2C_Type *base, const i2c_master_config_t *masterConfig, uint32_t srcClock_Hz)
     Initializes the I2C master peripheral. More...
     
    void I2C_MasterDeinit (I2C_Type *base)
    static bool I2C_MasterGetBusIdleState (I2C_Type *base)
     Returns whether the bus is idle. More...
     
    status_t I2C_MasterStart (I2C_Type *base, uint8_t address, i2c_direction_t direction)
    status_t I2C_MasterStart (I2C_Type *base, uint8_t address, i2c_direction_t direction)
     Sends a START on the I2C bus. More...
     
    status_t I2C_MasterStop (I2C_Type *base)
    status_t I2C_MasterStop (I2C_Type *base)
     Sends a STOP signal on the I2C bus. More...
     
    static status_t I2C_MasterRepeatedStart (I2C_Type *base, uint8_t address, i2c_direction_t direction)
    static status_t I2C_MasterRepeatedStart (I2C_Type *base, uint8_t address, i2c_direction_t direction)
     Sends a REPEATED START on the I2C bus. More...
     
    status_t I2C_MasterWriteBlocking (I2C_Type *base, const void *txBuff, size_t txSize, uint32_t flags)
    status_t I2C_MasterWriteBlocking (I2C_Type *base, const void *txBuff, size_t txSize, uint32_t flags)
     Performs a polling send transfer on the I2C bus. More...
     
    status_t I2C_MasterReadBlocking (I2C_Type *base, void *rxBuff, size_t rxSize, uint32_t flags)
    status_t I2C_MasterReadBlocking (I2C_Type *base, void *rxBuff, size_t rxSize, uint32_t flags)
     Performs a polling receive transfer on the I2C bus. More...
     
    status_t I2C_MasterTransferBlocking (I2C_Type *base, i2c_master_transfer_t *xfer)
    status_t I2C_MasterTransferBlocking (I2C_Type *base, i2c_master_transfer_t *xfer)
     Performs a master polling transfer on the I2C bus. More...
     
    @@ -236,13 +236,13 @@ Non-blocking - + - + - +
    void I2C_MasterTransferCreateHandle (I2C_Type *base, i2c_master_handle_t *handle, i2c_master_transfer_callback_t callback, void *userData)
     Creates a new handle for the I2C master non-blocking APIs. More...
     
    status_t I2C_MasterTransferNonBlocking (I2C_Type *base, i2c_master_handle_t *handle, i2c_master_transfer_t *xfer)
    status_t I2C_MasterTransferNonBlocking (I2C_Type *base, i2c_master_handle_t *handle, i2c_master_transfer_t *xfer)
     Performs a non-blocking transaction on the I2C bus. More...
     
    status_t I2C_MasterTransferGetCount (I2C_Type *base, i2c_master_handle_t *handle, size_t *count)
    status_t I2C_MasterTransferGetCount (I2C_Type *base, i2c_master_handle_t *handle, size_t *count)
     Returns number of bytes transferred so far. More...
     
    status_t I2C_MasterTransferAbort (I2C_Type *base, i2c_master_handle_t *handle)
    status_t I2C_MasterTransferAbort (I2C_Type *base, i2c_master_handle_t *handle)
     Terminates a non-blocking I2C master transmission early. More...
     
    @@ -253,7 +253,7 @@ IRQ handler
     

    Data Structure Documentation

    - +
    @@ -316,7 +316,7 @@ IRQ handler - +
    @@ -442,7 +442,7 @@ IRQ handler - +
    @@ -560,7 +560,7 @@ uint32_t  - + @@ -683,7 +683,7 @@ uint32_t  - + @@ -1132,7 +1132,7 @@ uint32_t  diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_d488d26126eac48f68b56f6b2e0f43d9.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_d488d26126eac48f68b56f6b2e0f43d9.html index d447087..3a9a41d 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_d488d26126eac48f68b56f6b2e0f43d9.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_d488d26126eac48f68b56f6b2e0f43d9.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/lpc_acomp Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/lpc_acomp Directory Reference @@ -32,7 +32,7 @@ @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_d488d26126eac48f68b56f6b2e0f43d9.h
    - +
    typedef void(* i2c_master_transfer_callback_t)(I2C_Type *base, i2c_master_handle_t *handle, status_t completionStatus, void *userData)typedef void(* i2c_master_transfer_callback_t)(I2C_Type *base, i2c_master_handle_t *handle, status_t completionStatus, void *userData)
    @@ -669,7 +669,7 @@ uint32_t 
    void I2C_MasterGetDefaultConfig (i2c_master_config_ti2c_master_config_t masterConfig)
    I2C_MasterInit().

    Parameters
    - +
    [out]masterConfigUser provided configuration structure for default values. Refer to i2c_master_config_t.
    [out]masterConfigUser provided configuration structure for default values. Refer to i2c_master_config_t.
    @@ -703,7 +703,7 @@ uint32_t 
    const i2c_master_config_tconst i2c_master_config_t masterConfig,
    - + @@ -1180,7 +1180,7 @@ uint32_t  diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c7e9d45afd61725c3e80b2f8c629533d.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c7e9d45afd61725c3e80b2f8c629533d.html index f0131f3..bce96d7 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c7e9d45afd61725c3e80b2f8c629533d.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c7e9d45afd61725c3e80b2f8c629533d.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/lpc_adc Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/lpc_adc Directory Reference @@ -32,7 +32,7 @@ @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_c7e9d45afd61725c3e80b2f8c629533d.h
    status_t I2C_MasterStart status_t I2C_MasterStart ( I2C_Type *  base, - + @@ -1206,7 +1206,7 @@ uint32_t  @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_c48a66ee7236adaad29cf263b70b3b16.h
    status_t I2C_MasterStop status_t I2C_MasterStop ( I2C_Type *  base) - + @@ -1258,7 +1258,7 @@ uint32_t  diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_b81d5557345932eec08d61eeb5afa2f0.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_b81d5557345932eec08d61eeb5afa2f0.html index b1b7a75..47e8be7 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_b81d5557345932eec08d61eeb5afa2f0.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_b81d5557345932eec08d61eeb5afa2f0.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/lpc_minispi Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/lpc_minispi Directory Reference @@ -32,7 +32,7 @@ @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_b81d5557345932eec08d61eeb5afa2f0.h
    static status_t I2C_MasterRepeatedStart static status_t I2C_MasterRepeatedStart ( I2C_Type *  base, - + @@ -1288,7 +1288,7 @@ uint32_t  @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_a3e8f1feb8c30c12d24fc9c7995a15c1.h
    status_t I2C_MasterWriteBlocking status_t I2C_MasterWriteBlocking ( I2C_Type *  base, -

    Sends up to txSize number of bytes to the previously addressed slave device. The slave may reply with a NAK to any byte in order to terminate the transfer early. If this happens, this function returns kStatus_I2C_Nak.

    +

    Sends up to txSize number of bytes to the previously addressed slave device. The slave may reply with a NAK to any byte in order to terminate the transfer early. If this happens, this function returns kStatus_I2C_Nak.

    Parameters
    @@ -1301,9 +1301,9 @@ uint32_t  @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_a343a3e9cbb710280ccd0de2056b945d.h
    baseThe I2C peripheral base address.
    Return values
    - - - + + +
    kStatus_SuccessData was sent successfully.
    kStatus_I2C_BusyAnother master is currently utilizing the bus.
    kStatus_I2C_NakThe slave device sent a NAK in response to a byte.
    kStatus_I2C_ArbitrationLostArbitration lost error.
    kStatus_I2C_BusyAnother master is currently utilizing the bus.
    kStatus_I2C_NakThe slave device sent a NAK in response to a byte.
    kStatus_I2C_ArbitrationLostArbitration lost error.
    @@ -1315,7 +1315,7 @@ uint32_t 
    - + @@ -1357,9 +1357,9 @@ uint32_t  - +
    status_t I2C_MasterReadBlocking status_t I2C_MasterReadBlocking ( I2C_Type *  base,
    Return values
    - - - + + +
    kStatus_SuccessData was received successfully.
    kStatus_I2C_BusyAnother master is currently utilizing the bus.
    kStatus_I2C_NakThe slave device sent a NAK in response to a byte.
    kStatus_I2C_ArbitrationLostArbitration lost error.
    kStatus_I2C_BusyAnother master is currently utilizing the bus.
    kStatus_I2C_NakThe slave device sent a NAK in response to a byte.
    kStatus_I2C_ArbitrationLostArbitration lost error.
    @@ -1371,7 +1371,7 @@ uint32_t 
    - + @@ -1463,7 +1463,7 @@ uint32_t 
    status_t I2C_MasterTransferBlocking status_t I2C_MasterTransferBlocking ( I2C_Type *  base, - + @@ -1498,7 +1498,7 @@ uint32_t 
    status_t I2C_MasterTransferNonBlocking status_t I2C_MasterTransferNonBlocking ( I2C_Type *  base,
    Return values
    - +
    kStatus_SuccessThe transaction was started successfully.
    kStatus_I2C_BusyEither another master is currently utilizing the bus, or a non-blocking transaction is already in progress.
    kStatus_I2C_BusyEither another master is currently utilizing the bus, or a non-blocking transaction is already in progress.
    @@ -1510,7 +1510,7 @@ uint32_t 
    - + @@ -1545,7 +1545,7 @@ uint32_t 
    status_t I2C_MasterTransferGetCount status_t I2C_MasterTransferGetCount ( I2C_Type *  base,
    Return values
    - +
    kStatus_Success
    kStatus_I2C_Busy
    kStatus_I2C_Busy
    @@ -1557,7 +1557,7 @@ uint32_t 
    - + @@ -1586,7 +1586,7 @@ uint32_t  @@ -103,29 +103,29 @@ $(document).ready(function(){initNavTree('a00016.html','');}); Typedefs | Enumerations +

    Overview

    status_t I2C_MasterTransferAbort status_t I2C_MasterTransferAbort ( I2C_Type *  base,
    Return values
    - +
    kStatus_SuccessA transaction was successfully aborted.
    kStatus_I2C_TimeoutAbort failure due to flags polling timeout.
    kStatus_I2C_TimeoutAbort failure due to flags polling timeout.
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00015.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00015.js index 482c550..d935882 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00015.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00015.js @@ -1,11 +1,11 @@ var a00015 = [ - [ "i2c_master_config_t", "a00015.html#a00112", [ + [ "i2c_master_config_t", "a00015.html#a00113", [ [ "enableMaster", "a00015.html#a2419131a10906475fd31950f17ac8895", null ], [ "baudRate_Bps", "a00015.html#a2186844dc87bcde999fc12005f4c550a", null ], [ "enableTimeout", "a00015.html#a1c6831135c83c013d2a3cfc3e7210357", null ] ] ], - [ "i2c_master_transfer_t", "a00015.html#a00096", [ + [ "i2c_master_transfer_t", "a00015.html#a00097", [ [ "flags", "a00015.html#a8835787e1b0f9a4b8868e7cbe53e45d5", null ], [ "slaveAddress", "a00015.html#a97891bd050609c6dcd1276714277e480", null ], [ "direction", "a00015.html#ac5b89dc9115b7760431c981e0c1fd443", null ], @@ -14,7 +14,7 @@ var a00015 = [ "data", "a00015.html#a8c7f63bd1e7de04e40f5cd733f5c7388", null ], [ "dataSize", "a00015.html#a68e2dd69e1e34b1b505270e1e07e8a34", null ] ] ], - [ "i2c_master_handle_t", "a00015.html#a00095", [ + [ "i2c_master_handle_t", "a00015.html#a00096", [ [ "state", "a00015.html#add7ec18bc8239c5c87ffcec2fbcf5dd8", null ], [ "transferCount", "a00015.html#a5943d16f5ed6e7c4ebd334cdbc0e2afc", null ], [ "remainingBytes", "a00015.html#aca481b5d3ca6dce53cf440b534ff80c2", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00016.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00016.html index 70c8460..7a922c3 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00016.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00016.html @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - - - - - + + - - + + - - - + + + + + +

    Data Structures

    struct  i2c_slave_address_t
     Data structure with 7-bit Slave address and Slave address disable. More...
     
    struct  i2c_slave_config_t
     Structure with settings to initialize the I2C slave module. More...
    struct  i2c_slave_address_t
     Data structure with 7-bit Slave address and Slave address disable. More...
     
    struct  i2c_slave_transfer_t
     I2C slave transfer structure. More...
    struct  i2c_slave_config_t
     Structure with settings to initialize the I2C slave module. More...
     
    struct  i2c_slave_handle_t
     I2C slave handle structure. More...
     
    struct  i2c_slave_transfer_t
     I2C slave transfer structure. More...
     
    struct  i2c_slave_handle_t
     I2C slave handle structure. More...
     
    - + @@ -191,10 +191,10 @@ Enumerations

    Typedefs

    typedef void(* i2c_slave_transfer_callback_t )(I2C_Type *base, volatile i2c_slave_transfer_t *transfer, void *userData)
    typedef void(* i2c_slave_transfer_callback_t )(I2C_Type *base, volatile i2c_slave_transfer_t *transfer, void *userData)
     Slave event callback function pointer type. More...
     
    typedef void(* i2c_isr_t )(I2C_Type *base, void *i2cHandle)
    - + - + @@ -215,10 +215,10 @@ Slave status

    Slave initialization and deinitialization

    void I2C_SlaveGetDefaultConfig (i2c_slave_config_t *slaveConfig)
    void I2C_SlaveGetDefaultConfig (i2c_slave_config_t *slaveConfig)
     Provides a default configuration for the I2C slave peripheral. More...
     
    status_t I2C_SlaveInit (I2C_Type *base, const i2c_slave_config_t *slaveConfig, uint32_t srcClock_Hz)
    status_t I2C_SlaveInit (I2C_Type *base, const i2c_slave_config_t *slaveConfig, uint32_t srcClock_Hz)
     Initializes the I2C slave peripheral. More...
     
    void I2C_SlaveSetAddress (I2C_Type *base, i2c_slave_address_register_t addressRegister, uint8_t address, bool addressDisable)
    - + - +

    Slave bus operations

    status_t I2C_SlaveWriteBlocking (I2C_Type *base, const uint8_t *txBuff, size_t txSize)
    status_t I2C_SlaveWriteBlocking (I2C_Type *base, const uint8_t *txBuff, size_t txSize)
     Performs a polling send transfer on the I2C bus. More...
     
    status_t I2C_SlaveReadBlocking (I2C_Type *base, uint8_t *rxBuff, size_t rxSize)
    status_t I2C_SlaveReadBlocking (I2C_Type *base, uint8_t *rxBuff, size_t rxSize)
     Performs a polling receive transfer on the I2C bus. More...
     
    @@ -227,22 +227,22 @@ Slave non-blocking - + - + - + - + - +
    void I2C_SlaveTransferCreateHandle (I2C_Type *base, i2c_slave_handle_t *handle, i2c_slave_transfer_callback_t callback, void *userData)
     Creates a new handle for the I2C slave non-blocking APIs. More...
     
    status_t I2C_SlaveTransferNonBlocking (I2C_Type *base, i2c_slave_handle_t *handle, uint32_t eventMask)
    status_t I2C_SlaveTransferNonBlocking (I2C_Type *base, i2c_slave_handle_t *handle, uint32_t eventMask)
     Starts accepting slave transfers. More...
     
    status_t I2C_SlaveSetSendBuffer (I2C_Type *base, volatile i2c_slave_transfer_t *transfer, const void *txData, size_t txSize, uint32_t eventMask)
    status_t I2C_SlaveSetSendBuffer (I2C_Type *base, volatile i2c_slave_transfer_t *transfer, const void *txData, size_t txSize, uint32_t eventMask)
     Starts accepting master read from slave requests. More...
     
    status_t I2C_SlaveSetReceiveBuffer (I2C_Type *base, volatile i2c_slave_transfer_t *transfer, void *rxData, size_t rxSize, uint32_t eventMask)
    status_t I2C_SlaveSetReceiveBuffer (I2C_Type *base, volatile i2c_slave_transfer_t *transfer, void *rxData, size_t rxSize, uint32_t eventMask)
     Starts accepting master write to slave requests. More...
     
    static uint32_t I2C_SlaveGetReceivedAddress (I2C_Type *base, volatile i2c_slave_transfer_t *transfer)
    static uint32_t I2C_SlaveGetReceivedAddress (I2C_Type *base, volatile i2c_slave_transfer_t *transfer)
     Returns the slave address sent by the I2C master. More...
     
    void I2C_SlaveTransferAbort (I2C_Type *base, i2c_slave_handle_t *handle)
     Aborts the slave non-blocking transfers. More...
     
    status_t I2C_SlaveTransferGetCount (I2C_Type *base, i2c_slave_handle_t *handle, size_t *count)
    status_t I2C_SlaveTransferGetCount (I2C_Type *base, i2c_slave_handle_t *handle, size_t *count)
     Gets the slave transfer remaining bytes during a interrupt non-blocking transfer. More...
     
    @@ -253,7 +253,7 @@ Slave IRQ handler
     

    Data Structure Documentation

    - +
    @@ -299,7 +299,7 @@ Slave IRQ handler - +
    @@ -312,16 +312,16 @@ Slave IRQ handler

    The configuration structure can be made constant so it resides in flash.

    - + - + - + - + @@ -343,7 +343,7 @@ Slave IRQ handler

    Data Fields

    i2c_slave_address_t address0
    i2c_slave_address_t address0
     Slave's 7-bit address and disable. More...
     
    i2c_slave_address_t address1
    i2c_slave_address_t address1
     Alternate slave 7-bit address and disable. More...
     
    i2c_slave_address_t address2
    i2c_slave_address_t address2
     Alternate slave 7-bit address and disable. More...
     
    i2c_slave_address_t address3
    i2c_slave_address_t address3
     Alternate slave 7-bit address and disable. More...
     
    i2c_slave_address_qual_mode_t qualMode
    - +
    i2c_slave_address_t i2c_slave_config_t::address0i2c_slave_address_t i2c_slave_config_t::address0
    @@ -355,7 +355,7 @@ Slave IRQ handler
    - +
    i2c_slave_address_t i2c_slave_config_t::address1i2c_slave_address_t i2c_slave_config_t::address1
    @@ -367,7 +367,7 @@ Slave IRQ handler
    - +
    i2c_slave_address_t i2c_slave_config_t::address2i2c_slave_address_t i2c_slave_config_t::address2
    @@ -379,7 +379,7 @@ Slave IRQ handler
    - +
    i2c_slave_address_t i2c_slave_config_t::address3i2c_slave_address_t i2c_slave_config_t::address3
    @@ -438,7 +438,7 @@ Slave IRQ handler
    @@ -480,7 +480,7 @@ size_t  - +
    size_t transferredCount
     Number of bytes transferred during this transfer. More...
     
    status_t completionStatus
    status_t completionStatus
     Success or error code describing how the transfer completed. More...
     
    @@ -551,7 +551,7 @@ size_t 
    - +
    status_t i2c_slave_transfer_t::completionStatusstatus_t i2c_slave_transfer_t::completionStatus
    @@ -562,7 +562,7 @@ size_t 
    +
    @@ -575,7 +575,7 @@ size_t  @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_a2da420fdde962bd10156eaa17d1670b.h
    Note
    The contents of this structure are private and subject to change.
    - + @@ -597,7 +597,7 @@ size_t  - + @@ -835,7 +835,7 @@ size_t  - + diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00017.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00017.js index 49e22e4..3da9ec8 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00017.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00017.js @@ -1,6 +1,6 @@ var a00017 = [ - [ "iocon_group_t", "a00017.html#a00116", null ], + [ "iocon_group_t", "a00017.html#a00117", null ], [ "LPC_IOCON_DRIVER_VERSION", "a00017.html#gac4193f0e0cb9b0469f58af2648024059", null ], [ "IOCON_PinMuxSet", "a00017.html#gaf9258f56192a94928f67940eee5dd879", null ], [ "IOCON_SetPinMuxing", "a00017.html#gaf2cc5d2d92b4e2898a197479e77a326e", null ] diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00018.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00018.html index 4eef64e..5b21c0b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00018.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00018.html @@ -32,7 +32,7 @@ @@ -126,7 +126,7 @@ Start and Stop timer operations

    The function MRT_StopTimer() stops the timer counting.

    Get and release channel

    -

    These functions can be used to reserve and release a channel. The function MRT_GetIdleChannel() finds the available channel. This function returns the lowest available channel number. The function MRT_ReleaseChannel() release the channel when the timer is using the multi-task mode. In multi-task mode, the INUSE flags allow more control over when MRT channels are released for further use.

    +

    These functions can be used to reserve and release a channel. The function MRT_GetIdleChannel() finds the available channel. This function returns the lowest available channel number. The function MRT_ReleaseChannel() release the channel when the timer is using the multi-task mode. In multi-task mode, the INUSE flags allow more control over when MRT channels are released for further use.

    Status

    Provides functions to get and clear the PIT status.

    @@ -141,14 +141,14 @@ MRT tick example

    Data Fields

    volatile i2c_slave_transfer_t transfer
    volatile i2c_slave_transfer_t transfer
     I2C slave transfer. More...
     
    volatile bool isBusy
    - +
    volatile i2c_slave_transfer_t i2c_slave_handle_t::transfervolatile i2c_slave_transfer_t i2c_slave_handle_t::transfer
    @@ -661,7 +661,7 @@ size_t 
    - +
    typedef void(* i2c_slave_transfer_callback_t)(I2C_Type *base, volatile i2c_slave_transfer_t *transfer, void *userData)typedef void(* i2c_slave_transfer_callback_t)(I2C_Type *base, volatile i2c_slave_transfer_t *transfer, void *userData)
    @@ -817,7 +817,7 @@ size_t 
    void I2C_SlaveGetDefaultConfig (i2c_slave_config_ti2c_slave_config_t slaveConfig)
    I2C_SlaveInit(). Be sure to override at least the address0.address member of the configuration structure with the desired slave address.

    Parameters
    - +
    [out]slaveConfigUser provided configuration structure that is set to default values. Refer to i2c_slave_config_t.
    [out]slaveConfigUser provided configuration structure that is set to default values. Refer to i2c_slave_config_t.
    @@ -847,7 +847,7 @@ size_t 
    - + @@ -855,7 +855,7 @@ size_t  - + @@ -1047,7 +1047,7 @@ size_t  - + @@ -1431,7 +1431,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav
    Return values
    status_t I2C_SlaveInit status_t I2C_SlaveInit ( I2C_Type *  base, const i2c_slave_config_tconst i2c_slave_config_t slaveConfig,
    - + @@ -1091,7 +1091,7 @@ kStatus_Fail Unexpected slave state (master data write while master read from sl
    status_t I2C_SlaveWriteBlocking status_t I2C_SlaveWriteBlocking ( I2C_Type *  base,
    - + @@ -1183,7 +1183,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav
    status_t I2C_SlaveReadBlocking status_t I2C_SlaveReadBlocking ( I2C_Type *  base,
    - + @@ -1221,7 +1221,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav
    Return values
    status_t I2C_SlaveTransferNonBlocking status_t I2C_SlaveTransferNonBlocking ( I2C_Type *  base,
    - +
    kStatus_SuccessSlave transfers were successfully started.
    kStatus_I2C_BusySlave transfers have already been started on this handle.
    kStatus_I2C_BusySlave transfers have already been started on this handle.
    @@ -1233,7 +1233,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav
    - + @@ -1241,7 +1241,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav - + @@ -1274,7 +1274,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav
    Parameters
    status_t I2C_SlaveSetSendBuffer status_t I2C_SlaveSetSendBuffer ( I2C_Type *  base,
    volatile i2c_slave_transfer_tvolatile i2c_slave_transfer_t transfer,
    - + @@ -1284,7 +1284,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav
    Return values
    baseThe I2C peripheral base address.
    transferPointer to i2c_slave_transfer_t structure.
    transferPointer to i2c_slave_transfer_t structure.
    txDataPointer to data to send to master.
    txSizeSize of txData in bytes.
    eventMaskBit mask formed by OR'ing together i2c_slave_transfer_event_t enumerators to specify which events to send to the callback. Other accepted values are 0 to get a default set of only the transmit and receive events, and kI2C_SlaveAllEvents to enable all events.
    - +
    kStatus_SuccessSlave transfers were successfully started.
    kStatus_I2C_BusySlave transfers have already been started on this handle.
    kStatus_I2C_BusySlave transfers have already been started on this handle.
    @@ -1296,7 +1296,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav
    - + @@ -1304,7 +1304,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav - + @@ -1337,7 +1337,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav
    Parameters
    status_t I2C_SlaveSetReceiveBuffer status_t I2C_SlaveSetReceiveBuffer ( I2C_Type *  base,
    volatile i2c_slave_transfer_tvolatile i2c_slave_transfer_t transfer,
    - + @@ -1347,7 +1347,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav
    Return values
    baseThe I2C peripheral base address.
    transferPointer to i2c_slave_transfer_t structure.
    transferPointer to i2c_slave_transfer_t structure.
    rxDataPointer to data to store data from master.
    rxSizeSize of rxData in bytes.
    eventMaskBit mask formed by OR'ing together i2c_slave_transfer_event_t enumerators to specify which events to send to the callback. Other accepted values are 0 to get a default set of only the transmit and receive events, and kI2C_SlaveAllEvents to enable all events.
    - +
    kStatus_SuccessSlave transfers were successfully started.
    kStatus_I2C_BusySlave transfers have already been started on this handle.
    kStatus_I2C_BusySlave transfers have already been started on this handle.
    @@ -1370,7 +1370,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav
    volatile i2c_slave_transfer_tvolatile i2c_slave_transfer_t transfer 
    - +
    kStatus_Success
    kStatus_I2C_Idle
    kStatus_I2C_Idle
    @@ -1443,7 +1443,7 @@ kStatus_Fail Unexpected slave state (master data read while master write to slav
    - + diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00016.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00016.js index 9ba4826..0b6a25d 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00016.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00016.js @@ -1,10 +1,10 @@ var a00016 = [ - [ "i2c_slave_address_t", "a00016.html#a00113", [ + [ "i2c_slave_address_t", "a00016.html#a00114", [ [ "address", "a00016.html#ad7af2a58faba6a178daa97bd06ebce36", null ], [ "addressDisable", "a00016.html#aca3dcdb3ab2710d991ada52d64bf102c", null ] ] ], - [ "i2c_slave_config_t", "a00016.html#a00114", [ + [ "i2c_slave_config_t", "a00016.html#a00115", [ [ "address0", "a00016.html#a4738c7cd55260f7e8a3825d0b2278a34", null ], [ "address1", "a00016.html#ae19c45c96699bb3a6821150ab820b029", null ], [ "address2", "a00016.html#ae855ba5c53f7e585c44eae8bada85e9d", null ], @@ -14,7 +14,7 @@ var a00016 = [ "busSpeed", "a00016.html#a14acc40a290c779fde0825f3a8bdbb25", null ], [ "enableSlave", "a00016.html#a92d20835618a946b8f7702455877ef7a", null ] ] ], - [ "i2c_slave_transfer_t", "a00016.html#a00115", [ + [ "i2c_slave_transfer_t", "a00016.html#a00116", [ [ "handle", "a00016.html#ab74516c1edb1424ddb1554de7cae69bc", null ], [ "event", "a00016.html#ad0a9e837e9df16d89bab98a78af5bd10", null ], [ "receivedAddress", "a00016.html#ad6f3b291ee81b69cf91c161ae26d65ae", null ], @@ -26,7 +26,7 @@ var a00016 = [ "transferredCount", "a00016.html#a0394563c8d0f9eeeecd242a65cee2ad4", null ], [ "completionStatus", "a00016.html#a35adbf64ca65dd2c1b52f9260f5b9e90", null ] ] ], - [ "i2c_slave_handle_t", "a00016.html#a00097", [ + [ "i2c_slave_handle_t", "a00016.html#a00098", [ [ "transfer", "a00016.html#a9934cd1a4d4b4e02ac5856e28f86a229", null ], [ "isBusy", "a00016.html#a81ece18a362fc9779750be91f7cc6b30", null ], [ "slaveFsm", "a00016.html#a18589a5de9b06b2eaf7d4260eac03a58", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00017.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00017.html index 2663a89..f6e1364 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00017.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00017.html @@ -32,7 +32,7 @@ @@ -122,32 +122,32 @@ Typical use case
    status_t I2C_SlaveTransferGetCount status_t I2C_SlaveTransferGetCount ( I2C_Type *  base,
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_iocon.h
    file  fsl_iocon.h
     
    - - - + + +

    Data Structures

    struct  iocon_group_t
     Array of IOCON pin definitions passed to IOCON_SetPinMuxing() must be in this format. More...
     
    struct  iocon_group_t
     Array of IOCON pin definitions passed to IOCON_SetPinMuxing() must be in this format. More...
     
    - +

    Functions

    __STATIC_INLINE void IOCON_PinMuxSet (IOCON_Type *base, uint8_t ionumber, uint32_t modefunc)
     IOCON function and mode selection definitions. More...
     
    __STATIC_INLINE void IOCON_SetPinMuxing (IOCON_Type *base, const iocon_group_t *pinArray, uint32_t arrayLength)
    __STATIC_INLINE void IOCON_SetPinMuxing (IOCON_Type *base, const iocon_group_t *pinArray, uint32_t arrayLength)
     Set all I/O Control pin muxing. More...
     
    - - + +

    Driver version

    #define LPC_IOCON_DRIVER_VERSION   (MAKE_VERSION(2, 0, 1))
     IOCON driver version 2.0.1. More...
    #define LPC_IOCON_DRIVER_VERSION   (MAKE_VERSION(2, 0, 2))
     IOCON driver version 2.0.2. More...
     

    Data Structure Documentation

    -
    +
    @@ -165,7 +165,7 @@ Driver version
    - +
    #define LPC_IOCON_DRIVER_VERSION   (MAKE_VERSION(2, 0, 1))#define LPC_IOCON_DRIVER_VERSION   (MAKE_VERSION(2, 0, 2))
    @@ -228,7 +228,7 @@ Driver version
    const iocon_group_tconst iocon_group_t pinArray,
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_mrt.h
    file  fsl_mrt.h
     
    - - - + + +

    Data Structures

    struct  mrt_config_t
     MRT configuration structure. More...
     
    struct  mrt_config_t
     MRT configuration structure. More...
     
    @@ -190,19 +190,19 @@ Enumerations +#define 

    Enumerations

    Driver version

    -#define FSL_MRT_DRIVER_VERSION   (MAKE_VERSION(2, 0, 3))
    FSL_MRT_DRIVER_VERSION   (MAKE_VERSION(2, 0, 3))
     Version 2.0.3.
     
    - + - + @@ -253,9 +253,12 @@ Get & release channel + + +

    Initialization and deinitialization

    void MRT_Init (MRT_Type *base, const mrt_config_t *config)
    void MRT_Init (MRT_Type *base, const mrt_config_t *config)
     Ungates the MRT clock and configures the peripheral for basic operation. More...
     
    void MRT_Deinit (MRT_Type *base)
     Gate the MRT clock. More...
     
    static void MRT_GetDefaultConfig (mrt_config_t *config)
    static void MRT_GetDefaultConfig (mrt_config_t *config)
     Fill in the MRT config struct with the default settings. More...
     
    static void MRT_SetupChannelMode (MRT_Type *base, mrt_chnl_t channel, const mrt_timer_mode_t mode)
    static uint32_t MRT_GetIdleChannel (MRT_Type *base)
     Find the available channel. More...
     
    static void MRT_ReleaseChannel (MRT_Type *base, mrt_chnl_t channel)
     Release the channel when the timer is using the multi-task mode. More...
     

    Data Structure Documentation

    - +
    @@ -377,7 +380,7 @@ bool  - + @@ -430,7 +433,7 @@ bool  - + @@ -943,6 +946,48 @@ bool  @@ -106,7 +106,7 @@ $(document).ready(function(){initNavTree('a00019.html','');}); Enumerations | Functions +

    Overview

    @@ -114,30 +114,30 @@ $(document).ready(function(){initNavTree('a00019.html','');});
    const mrt_config_tconst mrt_config_t config 
    static void MRT_GetDefaultConfig (mrt_config_tmrt_config_t config)
    +
    +
    + + + + + +
    + + + + + + + + + + + + + + + + + + +
    static void MRT_ReleaseChannel (MRT_Type * base,
    mrt_chnl_t channel 
    )
    +
    +inlinestatic
    +
    +

    In multi-task mode, the INUSE flags allow more control over when MRT channels are released for further use. The user can hold on to a channel acquired by calling MRT_GetIdleChannel() for as long as it is needed and release it by calling this function. This removes the need to ask for an available channel for every use.

    +
    Parameters
    + + + +
    baseMulti-Rate timer peripheral base address
    channelTimer channel number.
    +
    +
    +
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00018.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00018.js index 4ac4667..b7ce70f 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00018.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00018.js @@ -1,6 +1,6 @@ var a00018 = [ - [ "mrt_config_t", "a00018.html#a00117", [ + [ "mrt_config_t", "a00018.html#a00118", [ [ "enableMultiTask", "a00018.html#aa8d8b810ee17770fd48674454f59bb08", null ] ] ], [ "FSL_MRT_DRIVER_VERSION", "a00018.html#gae39f6122bd73a5156d40291962f7507f", null ], @@ -35,5 +35,6 @@ var a00018 = [ "MRT_GetCurrentTimerCount", "a00018.html#ga7c0bbb20b4abd7450f860277ef9d8a20", null ], [ "MRT_StartTimer", "a00018.html#ga388dd5041e6c179d637e17cd6e5a607e", null ], [ "MRT_StopTimer", "a00018.html#ga7620866a54acdcc17abab2b46a94dc6c", null ], - [ "MRT_GetIdleChannel", "a00018.html#ga320ba098c93a30174254eb763dd37dde", null ] + [ "MRT_GetIdleChannel", "a00018.html#ga320ba098c93a30174254eb763dd37dde", null ], + [ "MRT_ReleaseChannel", "a00018.html#ga8e55bb859e4f9b98ea8ec580ed7b106a", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00019.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00019.html index b554318..11b6f79 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00019.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00019.html @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_spi.h
    file  fsl_spi.h
     
    - - - - - + + - - + + - - + + - - - + + + + + +

    Data Structures

    struct  spi_delay_config_t
     SPI delay time configure structure. More...
     
    struct  spi_master_config_t
     SPI master user configure structure. More...
    struct  spi_delay_config_t
     SPI delay time configure structure. More...
     
    struct  spi_slave_config_t
     SPI slave user configure structure. More...
    struct  spi_master_config_t
     SPI master user configure structure. More...
     
    struct  spi_transfer_t
     SPI transfer structure. More...
    struct  spi_slave_config_t
     SPI slave user configure structure. More...
     
    struct  spi_master_handle_t
     SPI transfer handle structure. More...
     
    struct  spi_transfer_t
     SPI transfer structure. More...
     
    struct  spi_master_handle_t
     SPI transfer handle structure. More...
     
    - + @@ -151,11 +151,11 @@ typedef spi_master_handle_t  +typedef void(*  +typedef void(* 

    Macros

    #define SPI_DUMMYDATA   (0xFFFFU)
    #define SPI_DUMMYDATA   (0x00U)
     SPI dummy transfer data, the data is sent while txBuff is NULL. More...
     
    #define SPI_RETRY_TIMES   0U /* Define to zero means keep waiting until the flag is assert/deassert. */
    <
     Slave handle type.
     
    -typedef void(* spi_master_callback_t )(SPI_Type *base, spi_master_handle_t *handle, status_t status, void *userData)
    spi_master_callback_t )(SPI_Type *base, spi_master_handle_t *handle, status_t status, void *userData)
     SPI master callback for finished transmit.
     
    -typedef void(* spi_slave_callback_t )(SPI_Type *base, spi_slave_handle_t *handle, status_t status, void *userData)
    spi_slave_callback_t )(SPI_Type *base, spi_slave_handle_t *handle, status_t status, void *userData)
     SPI slave callback for finished transmit.
     
    @@ -293,22 +293,22 @@ Functions
    - +

    Driver version

    #define FSL_SPI_DRIVER_VERSION   (MAKE_VERSION(2, 0, 5))
    #define FSL_SPI_DRIVER_VERSION   (MAKE_VERSION(2, 0, 6))
     SPI driver version. More...
     
    - + - + - + - + @@ -341,7 +341,7 @@ Bus Operations - + @@ -356,25 +356,25 @@ Bus Operations - + - +

    Initialization and deinitialization

    void SPI_MasterGetDefaultConfig (spi_master_config_t *config)
    void SPI_MasterGetDefaultConfig (spi_master_config_t *config)
     Sets the SPI master configuration structure to default values. More...
     
    status_t SPI_MasterInit (SPI_Type *base, const spi_master_config_t *config, uint32_t srcClock_Hz)
    status_t SPI_MasterInit (SPI_Type *base, const spi_master_config_t *config, uint32_t srcClock_Hz)
     Initializes the SPI with master configuration. More...
     
    void SPI_SlaveGetDefaultConfig (spi_slave_config_t *config)
    void SPI_SlaveGetDefaultConfig (spi_slave_config_t *config)
     Sets the SPI slave configuration structure to default values. More...
     
    status_t SPI_SlaveInit (SPI_Type *base, const spi_slave_config_t *config)
    status_t SPI_SlaveInit (SPI_Type *base, const spi_slave_config_t *config)
     Initializes the SPI with slave configuration. More...
     
    void SPI_Deinit (SPI_Type *base)
    static bool SPI_IsMaster (SPI_Type *base)
     Returns whether the SPI module is in master mode. More...
     
    status_t SPI_MasterSetBaudRate (SPI_Type *base, uint32_t baudrate_Bps, uint32_t srcClock_Hz)
    status_t SPI_MasterSetBaudRate (SPI_Type *base, uint32_t baudrate_Bps, uint32_t srcClock_Hz)
     Sets the baud rate for SPI transfer. More...
     
    static void SPI_WriteData (SPI_Type *base, uint16_t data)
    static uint32_t SPI_ReadData (SPI_Type *base)
     Gets a data from the SPI data register. More...
     
    void SPI_SetTransferDelay (SPI_Type *base, const spi_delay_config_t *config)
    void SPI_SetTransferDelay (SPI_Type *base, const spi_delay_config_t *config)
     Set delay time for transfer. More...
     
    void SPI_SetDummyData (SPI_Type *base, uint16_t dummyData)
     Set up the dummy data. More...
     
    status_t SPI_MasterTransferBlocking (SPI_Type *base, spi_transfer_t *xfer)
    status_t SPI_MasterTransferBlocking (SPI_Type *base, spi_transfer_t *xfer)
     Transfers a block of data using a polling method. More...
     
    - + - + - + @@ -383,13 +383,13 @@ Transactional - + - + - + @@ -400,7 +400,7 @@ Transactional

    Transactional

    status_t SPI_MasterTransferCreateHandle (SPI_Type *base, spi_master_handle_t *handle, spi_master_callback_t callback, void *userData)
    status_t SPI_MasterTransferCreateHandle (SPI_Type *base, spi_master_handle_t *handle, spi_master_callback_t callback, void *userData)
     Initializes the SPI master handle. More...
     
    status_t SPI_MasterTransferNonBlocking (SPI_Type *base, spi_master_handle_t *handle, spi_transfer_t *xfer)
    status_t SPI_MasterTransferNonBlocking (SPI_Type *base, spi_master_handle_t *handle, spi_transfer_t *xfer)
     Performs a non-blocking SPI interrupt transfer. More...
     
    status_t SPI_MasterTransferGetCount (SPI_Type *base, spi_master_handle_t *handle, size_t *count)
    status_t SPI_MasterTransferGetCount (SPI_Type *base, spi_master_handle_t *handle, size_t *count)
     Gets the master transfer count. More...
     
    void SPI_MasterTransferAbort (SPI_Type *base, spi_master_handle_t *handle)
    void SPI_MasterTransferHandleIRQ (SPI_Type *base, spi_master_handle_t *handle)
     Interrupts the handler for the SPI. More...
     
    status_t SPI_SlaveTransferCreateHandle (SPI_Type *base, spi_slave_handle_t *handle, spi_slave_callback_t callback, void *userData)
    status_t SPI_SlaveTransferCreateHandle (SPI_Type *base, spi_slave_handle_t *handle, spi_slave_callback_t callback, void *userData)
     Initializes the SPI slave handle. More...
     
    status_t SPI_SlaveTransferNonBlocking (SPI_Type *base, spi_slave_handle_t *handle, spi_transfer_t *xfer)
    status_t SPI_SlaveTransferNonBlocking (SPI_Type *base, spi_slave_handle_t *handle, spi_transfer_t *xfer)
     Performs a non-blocking SPI slave interrupt transfer. More...
     
    static status_t SPI_SlaveTransferGetCount (SPI_Type *base, spi_slave_handle_t *handle, size_t *count)
    static status_t SPI_SlaveTransferGetCount (SPI_Type *base, spi_slave_handle_t *handle, size_t *count)
     Gets the slave transfer count. More...
     
    static void SPI_SlaveTransferAbort (SPI_Type *base, spi_slave_handle_t *handle)
     

    Data Structure Documentation

    - +
    @@ -476,7 +476,7 @@ Transactional - +
    @@ -523,7 +523,7 @@ uint8_t  - +
    spi_spol_t sselPolarity
     Configure active CS polarity.
     
    spi_delay_config_t delayConfig
    spi_delay_config_t delayConfig
     Configure for delay time. More...
     
    @@ -533,7 +533,7 @@ uint8_t 
    - +
    spi_delay_config_t spi_master_config_t::delayConfigspi_delay_config_t spi_master_config_t::delayConfig
    @@ -543,7 +543,7 @@ uint8_t 
    +
    @@ -582,7 +582,7 @@ uint8_t  @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_9e0a0b363f74c0efadbd1d6e4c01cac5.h
    +
    @@ -625,7 +625,7 @@ size_t  @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_97879f77cafaedc6c6b7c8e7e6bcf419.h
    +

    The purpose of this API is to get the configuration structure initialized for use in SPI_MasterInit(). User may use the initialized structure unchanged in SPI_MasterInit(), or modify some fields of the structure before calling SPI_MasterInit(). After calling this API, the master is ready to transfer. Example:

    -
    +
    Parameters
    @@ -1033,7 +1033,7 @@ uint8_t  diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_83c4f67939b2e50b6dc50fe3fc259b2d.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_83c4f67939b2e50b6dc50fe3fc259b2d.html index d67b0a6..40a3589 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_83c4f67939b2e50b6dc50fe3fc259b2d.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_83c4f67939b2e50b6dc50fe3fc259b2d.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers Directory Reference @@ -32,7 +32,7 @@ diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_8ceb0280e3a6924da33c684985152a52.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_8ceb0280e3a6924da33c684985152a52.html index 434b5f0..f6f3071 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_8ceb0280e3a6924da33c684985152a52.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_8ceb0280e3a6924da33c684985152a52.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/wkt Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/wkt Directory Reference @@ -32,7 +32,7 @@ @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_8ceb0280e3a6924da33c684985152a52.h
    - + @@ -1041,7 +1041,7 @@ uint8_t  - + @@ -1058,7 +1058,7 @@ uint8_t  - +
    status_t SPI_MasterInit status_t SPI_MasterInit ( SPI_Type *  base, const spi_master_config_tconst spi_master_config_t config,

    The configuration structure can be filled by user from scratch, or be set with default values by SPI_MasterGetDefaultConfig(). After calling this API, the slave is ready to transfer. Example

    -
    +
    .baudRate_Bps = 500000,
    ...
    };
    @@ -1081,14 +1081,14 @@ uint8_t 
    void SPI_SlaveGetDefaultConfig (spi_slave_config_tspi_slave_config_t config)

    The purpose of this API is to get the configuration structure initialized for use in SPI_SlaveInit(). Modify some fields of the structure before calling SPI_SlaveInit(). Example:

    -
    +
    Parameters
    @@ -1104,7 +1104,7 @@ uint8_t  @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_75214fd834f54a955adf2012023f7050.h
    - + @@ -1112,7 +1112,7 @@ uint8_t  - + @@ -1123,7 +1123,7 @@ uint8_t  @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_5b772dd4d456f495cb29becefd143ee2.h
    status_t SPI_SlaveInit status_t SPI_SlaveInit ( SPI_Type *  base, const spi_slave_config_tconst spi_slave_config_t config 

    The configuration structure can be filled by user from scratch or be set with default values by SPI_SlaveGetDefaultConfig(). After calling this API, the slave is ready to transfer. Example

    -
    +
    .direction = kSPI_MsbFirst;
    @@ -1402,7 +1402,7 @@ uint8_t 
    - + @@ -1604,7 +1604,7 @@ uint8_t  - + @@ -1618,7 +1618,7 @@ uint8_t  diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_54b70da16fda314d8ea08e7fa656437e.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_54b70da16fda314d8ea08e7fa656437e.html index 4e36d27..e65ec8e 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_54b70da16fda314d8ea08e7fa656437e.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_54b70da16fda314d8ea08e7fa656437e.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/plu Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/plu Directory Reference @@ -32,7 +32,7 @@ @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_54b70da16fda314d8ea08e7fa656437e.h
    status_t SPI_MasterSetBaudRate status_t SPI_MasterSetBaudRate ( SPI_Type *  base, const spi_delay_config_tconst spi_delay_config_t config 
    Parameters
    - +
    baseSPI base pointer
    configconfiguration for delay option spi_delay_config_t.
    configconfiguration for delay option spi_delay_config_t.
    @@ -1664,7 +1664,7 @@ uint8_t 
    - + @@ -1672,7 +1672,7 @@ uint8_t  - + @@ -1705,7 +1705,7 @@ uint8_t  @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_3d87c2df58032c55a697acbe8461844d.h
    status_t SPI_MasterTransferBlocking status_t SPI_MasterTransferBlocking ( SPI_Type *  base, spi_transfer_tspi_transfer_t xfer 
    - + @@ -1753,7 +1753,7 @@ uint8_t  @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_3803c7c40becdc1a8f991e020a425f6b.h
    status_t SPI_MasterTransferCreateHandle status_t SPI_MasterTransferCreateHandle ( SPI_Type *  base, - + @@ -1767,7 +1767,7 @@ uint8_t  - + @@ -1801,7 +1801,7 @@ uint8_t  @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_2c6aa0e2bbb2234cdccf71ce9920a505.h
    status_t SPI_MasterTransferNonBlocking status_t SPI_MasterTransferNonBlocking ( SPI_Type *  base, spi_transfer_tspi_transfer_t xfer 
    - + @@ -1910,7 +1910,7 @@ uint8_t  @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_22cbbd27e16e87caa10f675e5ce8b9df.h
    status_t SPI_MasterTransferGetCount status_t SPI_MasterTransferGetCount ( SPI_Type *  base, - + @@ -1958,7 +1958,7 @@ uint8_t  @@ -80,7 +80,7 @@ var searchBox = new SearchBox("searchBox", "search",false,'Search');
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_07b86ffc266b39efa5bbdc0e9fdb330a.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_07b86ffc266b39efa5bbdc0e9fdb330a.html index ea0abf5..f330530 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_07b86ffc266b39efa5bbdc0e9fdb330a.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_07b86ffc266b39efa5bbdc0e9fdb330a.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/devices Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/devices Directory Reference @@ -32,7 +32,7 @@
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_1331922326a697e1e9aa2323d085217f.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_1331922326a697e1e9aa2323d085217f.html index 980f28c..0527300 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_1331922326a697e1e9aa2323d085217f.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_1331922326a697e1e9aa2323d085217f.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/swm Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/swm Directory Reference @@ -32,7 +32,7 @@ @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_1331922326a697e1e9aa2323d085217f.h
    status_t SPI_SlaveTransferCreateHandle status_t SPI_SlaveTransferCreateHandle ( SPI_Type *  base, - + @@ -1972,7 +1972,7 @@ uint8_t  - + @@ -2010,7 +2010,7 @@ uint8_t  @@ -98,128 +98,669 @@ $(document).ready(function(){initNavTree('a00092.html','');});
    +
    -
    +
    Debug Console Lite
    -

    Semihosting is a mechanism for ARM targets to communicate input/output requests from application code to a host computer running a debugger. This mechanism can be used, for example, to enable functions in the C library, such as printf() and scanf(), to use the screen and keyboard of the host rather than having a screen and keyboard on the target system.

    -

    -Guide Semihosting for IAR

    -

    NOTE: After the setting both "printf" and "scanf" are available for debugging.

    -

    Step 1: Setting up the environment

    -
      -
    1. To set debugger options, choose Project>Options. In the Debugger category, click the Setup tab.
    2. -
    3. Select Run to main and click OK. This ensures that the debug session starts by running the main function.
    4. -
    5. The project is now ready to be built.
    6. -
    -

    Step 2: Building the project

    -
      -
    1. Compile and link the project by choosing Project>Make or F7.
    2. -
    3. Alternatively, click the Make button on the tool bar. The Make command compiles and links those files that have been modified.
    4. -
    -

    Step 3: Starting semihosting

    -
      -
    1. Choose "Semihosting_IAR" project -> "Options" -> "Debugger" -> "J-Link/J-Trace".
    2. -
    3. Choose tab "J-Link/J-Trace" -> "Connection" tab -> "SWD".
    4. -
    5. Choose tab "General Options" -> "Library Configurations", select Semihosted, select Via semihosting. Please Make sure the SDK_DEBUGCONSOLE_UART is not defined in project settings.
    6. -
    7. Start the project by choosing Project>Download and Debug.
    8. -
    9. Choose View>Terminal I/O to display the output from the I/O operations.
    10. -
    -

    -Guide Semihosting for Keil µVision

    -

    NOTE: Semihosting is not support by MDK-ARM, use the retargeting functionality of MDK-ARM instead.

    -

    -Guide Semihosting for MCUXpresso IDE

    -

    Step 1: Setting up the environment

    -
      -
    1. To set debugger options, choose Project>Properties. select the setting category.
    2. -
    3. Select Tool Settings, unfold MCU C Compile.
    4. -
    5. Select Preprocessor item.
    6. -
    7. Set SDK_DEBUGCONSOLE=0, if set SDK_DEBUGCONSOLE=1, the log will be redirect to the UART.
    8. -
    -

    Step 2: Building the project

    -
      -
    1. Compile and link the project.
    2. -
    -

    Step 3: Starting semihosting

    -
      -
    1. Download and debug the project.
    2. -
    3. When the project runs successfully, the result can be seen in the Console window.
    4. -
    -

    Semihosting can also be selected through the "Quick settings" menu in the left bottom window, Quick settings->SDK Debug Console->Semihost console.

    -

    -Guide Semihosting for ARMGCC

    -

    Step 1: Setting up the environment

    -
      -
    1. Turn on "J-LINK GDB Server" -> Select suitable "Target device" -> "OK".
    2. -
    3. Turn on "PuTTY". Set up as follows.
        -
      • "Host Name (or IP address)" : localhost
      • -
      • "Port" :2333
      • -
      • "Connection type" : Telet.
      • -
      • Click "Open".
      • +

        Overview

        +

        This chapter describes the programming interface of the debug console driver.

        +

        The debug console enables debug log messages to be output via the specified peripheral with frequency of the peripheral source clock and base address at the specified baud rate. Additionally, it provides input and output functions to scan and print formatted data.

        +

        +Function groups

        +

        +Initialization

        +

        To initialize the debug console, call the DbgConsole_Init() function with these parameters. This function automatically enables the module and the clock.

        +
        +
        status_t DbgConsole_Init(uint8_t instance, uint32_t baudRate, serial_port_type_t device, uint32_t clkSrcFreq);
        +

        Selects the supported debug console hardware device type, such as

        +
        typedef enum _serial_port_type
        +
        {
        +
        kSerialPort_None = 0U,
        +
        kSerialPort_Uart = 1U,
        +
        } serial_port_type_t;
        +

        After the initialization is successful, stdout and stdin are connected to the selected peripheral. The debug console state is stored in the debug_console_state_t structure, such as shown here.

        +
        typedef struct DebugConsoleState
        +
        {
        +
        uint8_t uartHandleBuffer[HAL_UART_HANDLE_SIZE];
        +
        hal_uart_status_t (*putChar)(hal_uart_handle_t handle, const uint8_t *data, size_t length);
        +
        hal_uart_status_t (*getChar)(hal_uart_handle_t handle, uint8_t *data, size_t length);
        +
        serial_port_type_t type;
        +
        } debug_console_state_t;
        +

        This example shows how to call the DbgConsole_Init() given the user configuration structure.

        +
        DbgConsole_Init(BOARD_DEBUG_USART_INSTANCE, BOARD_DEBUG_USART_BAUDRATE, BOARD_DEBUG_USART_TYPE,
        +
        BOARD_DEBUG_USART_CLK_FREQ);
        +

        +Advanced Feature

        +

        The debug console provides input and output functions to scan and print formatted data.

        +
          +
        • Support a format specifier for PRINTF following this prototype " %[flags][width][.precision][length]specifier", which is explained below
        - -
      • Increase "Heap/Stack" for GCC to 0x2000:
      • -
    -

    Add to "CMakeLists.txt"

    -

    SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} --defsym=__stack_size__=0x2000")

    -

    SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} --defsym=__stack_size__=0x2000")

    -

    SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} --defsym=__heap_size__=0x2000")

    -

    SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} --defsym=__heap_size__=0x2000")

    -

    Step 2: Building the project

    -
      -
    1. -

      Change "CMakeLists.txt":

      -

      Change "SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} –specs=nano.specs")"

      -

      to "SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} –specs=rdimon.specs")"

      -

      -

      Replace paragraph

      -

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -fno-common")

      -

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -ffunction-sections")

      -

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -fdata-sections")

      -

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -ffreestanding")

      -

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -fno-builtin")

      -

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -mthumb")

      -

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -mapcs")

      -

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -Xlinker")

      -

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} --gc-sections")

      -

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -Xlinker")

      -

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -static")

      -

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -Xlinker")

      -

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -z")

      -

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -Xlinker")

      -

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} muldefs")

      -

      -

      To

      -

      -

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} --specs=rdimon.specs ")

      -

      -

      -

      Remove

      -

      target_link_libraries(semihosting_ARMGCC.elf debug nosys)

      -

      -
    2. -
    3. -Run "build_debug.bat" to build project
    4. -
    -

    Step 3: Starting semihosting

    -
      -
    1. Download the image and set as follows.
      cd D:\mcu-sdk-2.0-origin\boards\twrk64f120m\driver_examples\semihosting\armgcc\debug
      -
      d:
      -
      C:\PROGRA~2\GNUTOO~1\4BD65~1.920\bin\arm-none-eabi-gdb.exe
      -
      target remote localhost:2331
      -
      monitor reset
      -
      monitor semihosting enable
      -
      monitor semihosting thumbSWI 0xAB
      -
      monitor semihosting IOClient 1
      -
      monitor flash device = MK64FN1M0xxx12
      -
      load semihosting_ARMGCC.elf
      -
      monitor reg pc = (0x00000004)
      -
      monitor reg sp = (0x00000000)
      -
      continue
      -
    2. -
    3. After the setting, press "enter". The PuTTY window now shows the printf() output.
    4. -
    +
    status_t SPI_SlaveTransferNonBlocking status_t SPI_SlaveTransferNonBlocking ( SPI_Type *  base, spi_transfer_tspi_transfer_t xfer 
    - + diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00019.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00019.js index f493a5d..29ea43b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00019.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00019.js @@ -1,12 +1,12 @@ var a00019 = [ - [ "spi_delay_config_t", "a00019.html#a00118", [ + [ "spi_delay_config_t", "a00019.html#a00119", [ [ "preDelay", "a00019.html#a24a2584817f03d1ace0a6cecc718bc09", null ], [ "postDelay", "a00019.html#a960b87887e431dabbb5641109cb56d90", null ], [ "frameDelay", "a00019.html#a9609e5c510bf5d0c120a403ed40aed42", null ], [ "transferDelay", "a00019.html#a7ea0733fc746e2bafe1c0999db7d4804", null ] ] ], - [ "spi_master_config_t", "a00019.html#a00119", [ + [ "spi_master_config_t", "a00019.html#a00120", [ [ "enableLoopback", "a00019.html#a384bc00ef4cd5b4e9cb6a4d48ec336bd", null ], [ "enableMaster", "a00019.html#aa033bd20cfbb1a14f0fd43f4b31bb27e", null ], [ "baudRate_Bps", "a00019.html#ae7695987e044d80983fd98a43812b1ea", null ], @@ -18,7 +18,7 @@ var a00019 = [ "sselPolarity", "a00019.html#ab514b4866ee539808abd92a75ca8be51", null ], [ "delayConfig", "a00019.html#a787477e7b0ae7833dcbdb1c85fef361c", null ] ] ], - [ "spi_slave_config_t", "a00019.html#a00120", [ + [ "spi_slave_config_t", "a00019.html#a00121", [ [ "enableSlave", "a00019.html#a9fcd3fae6d886c7dfd0c3fd4cd51e6fe", null ], [ "clockPolarity", "a00019.html#afd5bb71a7dc1908cb8a1860564601a45", null ], [ "clockPhase", "a00019.html#a56c657f64c2e26fe7dd772c1a77efb9e", null ], @@ -26,13 +26,13 @@ var a00019 = [ "dataWidth", "a00019.html#adc19252b2493f3f9d12f029e57908ce5", null ], [ "sselPolarity", "a00019.html#a0d0bceaaae2e5bae690fb2c3289f48f6", null ] ] ], - [ "spi_transfer_t", "a00019.html#a00121", [ + [ "spi_transfer_t", "a00019.html#a00122", [ [ "txData", "a00019.html#addc4f20760a1a62c4d20cdf9443f3af3", null ], [ "rxData", "a00019.html#a28b878b99d5ec790b5c8f3bafe140da0", null ], [ "dataSize", "a00019.html#ae003337c9398e5f5e3189f5b2ba6335b", null ], [ "configFlags", "a00019.html#a582eea734badd0049c98ea3cf89b3e4b", null ] ] ], - [ "spi_master_handle_t", "a00019.html#a00098", [ + [ "spi_master_handle_t", "a00019.html#a00099", [ [ "txData", "a00019.html#a6cb4626f6b63c70fa21fe2e3338dc915", null ], [ "rxData", "a00019.html#a69f260bde2e1728233f835a148b2f51b", null ], [ "txRemainingBytes", "a00019.html#a62fa101ddfc970e7b6bcba0b2eb6b869", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00020.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00020.html index d797461..8a7aa41 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00020.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00020.html @@ -32,7 +32,7 @@ @@ -104,22 +104,22 @@ $(document).ready(function(){initNavTree('a00020.html','');}); Typedefs | Enumerations +

    Overview

    static status_t SPI_SlaveTransferGetCount static status_t SPI_SlaveTransferGetCount ( SPI_Type *  base,
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - - - - - + + - - - + + + + + +

    Data Structures

    struct  usart_config_t
     USART configuration structure. More...
     
    struct  usart_transfer_t
     USART transfer structure. More...
    struct  usart_config_t
     USART configuration structure. More...
     
    struct  usart_handle_t
     USART handle structure. More...
     
    struct  usart_transfer_t
     USART transfer structure. More...
     
    struct  usart_handle_t
     USART handle structure. More...
     
    @@ -135,7 +135,7 @@ Macros

    Macros

    - +

    Typedefs

    typedef void(* usart_transfer_callback_t )(USART_Type *base, usart_handle_t *handle, status_t status, void *userData)
    typedef void(* usart_transfer_callback_t )(USART_Type *base, usart_handle_t *handle, status_t status, void *userData)
     USART transfer callback function. More...
     
    @@ -220,8 +220,6 @@ Enumerations
      kUSART_TxReadyInterruptEnable = (USART_INTENSET_TXRDYEN_MASK),
    -  kUSART_TxIdleInterruptEnable = (USART_INTENSET_TXIDLEEN_MASK), -
      kUSART_DeltaCtsInterruptEnable = (USART_INTENSET_DELTACTSEN_MASK),
      kUSART_TxDisableInterruptEnable = (USART_INTENSET_TXDISEN_MASK), @@ -238,8 +236,6 @@ Enumerations
      kUSART_RxNoiseInterruptEnable = (USART_INTENSET_RXNOISEEN_MASK),
    -  kUSART_AutoBaudErrorInterruptEnable = (USART_INTENSET_ABERREN_MASK), -
      kUSART_AllInterruptEnable
    } @@ -270,9 +266,7 @@ Enumerations
      kUSART_ParityErrorFlag = (USART_STAT_PARITYERRINT_MASK),
    -  kUSART_RxNoiseFlag = (USART_STAT_RXNOISEINT_MASK), -
    -  kUSART_AutoBaudErrorFlag = (USART_STAT_ABERR_MASK) +  kUSART_RxNoiseFlag = (USART_STAT_RXNOISEINT_MASK)
    } @@ -280,7 +274,7 @@ Enumerations
     USART status flags. More...
    - +

    Driver version

    #define FSL_USART_DRIVER_VERSION   (MAKE_VERSION(2, 5, 0))
    #define FSL_USART_DRIVER_VERSION   (MAKE_VERSION(2, 5, 0))
     USART driver version. More...
     
    @@ -292,16 +286,16 @@ Get the instance of USART
    - + - + - +

    Initialization and deinitialization

    status_t USART_Init (USART_Type *base, const usart_config_t *config, uint32_t srcClock_Hz)
    status_t USART_Init (USART_Type *base, const usart_config_t *config, uint32_t srcClock_Hz)
     Initializes a USART instance with user configuration structure and peripheral clock. More...
     
    void USART_Deinit (USART_Type *base)
     Deinitializes a USART instance. More...
     
    void USART_GetDefaultConfig (usart_config_t *config)
    void USART_GetDefaultConfig (usart_config_t *config)
     Gets the default configuration structure. More...
     
    status_t USART_SetBaudRate (USART_Type *base, uint32_t baudrate_Bps, uint32_t srcClock_Hz)
    status_t USART_SetBaudRate (USART_Type *base, uint32_t baudrate_Bps, uint32_t srcClock_Hz)
     Sets the USART instance baud rate. More...
     
    @@ -349,19 +343,19 @@ Bus Operations - + - +
    static uint8_t USART_ReadByte (USART_Type *base)
     Reads the RXDAT directly. More...
     
    status_t USART_WriteBlocking (USART_Type *base, const uint8_t *data, size_t length)
    status_t USART_WriteBlocking (USART_Type *base, const uint8_t *data, size_t length)
     Writes to the TX register using a blocking method. More...
     
    status_t USART_ReadBlocking (USART_Type *base, uint8_t *data, size_t length)
    status_t USART_ReadBlocking (USART_Type *base, uint8_t *data, size_t length)
     Read RX data register using a blocking method. More...
     
    - + - + @@ -376,16 +370,16 @@ Transactional - + - + - + @@ -393,7 +387,7 @@ Transactional

    Transactional

    status_t USART_TransferCreateHandle (USART_Type *base, usart_handle_t *handle, usart_transfer_callback_t callback, void *userData)
    status_t USART_TransferCreateHandle (USART_Type *base, usart_handle_t *handle, usart_transfer_callback_t callback, void *userData)
     Initializes the USART handle. More...
     
    status_t USART_TransferSendNonBlocking (USART_Type *base, usart_handle_t *handle, usart_transfer_t *xfer)
    status_t USART_TransferSendNonBlocking (USART_Type *base, usart_handle_t *handle, usart_transfer_t *xfer)
     Transmits a buffer of data using the interrupt method. More...
     
    void USART_TransferStartRingBuffer (USART_Type *base, usart_handle_t *handle, uint8_t *ringBuffer, size_t ringBufferSize)
    void USART_TransferAbortSend (USART_Type *base, usart_handle_t *handle)
     Aborts the interrupt-driven data transmit. More...
     
    status_t USART_TransferGetSendCount (USART_Type *base, usart_handle_t *handle, uint32_t *count)
    status_t USART_TransferGetSendCount (USART_Type *base, usart_handle_t *handle, uint32_t *count)
     Get the number of bytes that have been written to USART TX register. More...
     
    status_t USART_TransferReceiveNonBlocking (USART_Type *base, usart_handle_t *handle, usart_transfer_t *xfer, size_t *receivedBytes)
    status_t USART_TransferReceiveNonBlocking (USART_Type *base, usart_handle_t *handle, usart_transfer_t *xfer, size_t *receivedBytes)
     Receives a buffer of data using an interrupt method. More...
     
    void USART_TransferAbortReceive (USART_Type *base, usart_handle_t *handle)
     Aborts the interrupt-driven data receiving. More...
     
    status_t USART_TransferGetReceiveCount (USART_Type *base, usart_handle_t *handle, uint32_t *count)
    status_t USART_TransferGetReceiveCount (USART_Type *base, usart_handle_t *handle, uint32_t *count)
     Get the number of bytes that have been received. More...
     
    void USART_TransferHandleIRQ (USART_Type *base, usart_handle_t *handle)
     

    Data Structure Documentation

    - +
    @@ -508,7 +502,7 @@ bool  @@ -99,431 +99,102 @@ $(document).ready(function(){initNavTree('a00091.html','');});
    -
    Debug Console Lite
    +
    SYSCON: System Configuration

    Overview

    -

    This chapter describes the programming interface of the debug console driver.

    -

    The debug console enables debug log messages to be output via the specified peripheral with frequency of the peripheral source clock and base address at the specified baud rate. Additionally, it provides input and output functions to scan and print formatted data.

    -

    -Function groups

    -

    -Initialization

    -

    To initialize the debug console, call the DbgConsole_Init() function with these parameters. This function automatically enables the module and the clock.

    -
    -
    status_t DbgConsole_Init(uint8_t instance, uint32_t baudRate, serial_port_type_t device, uint32_t clkSrcFreq);
    -

    Selects the supported debug console hardware device type, such as

    -
    typedef enum _serial_port_type
    -
    {
    -
    kSerialPort_None = 0U,
    -
    kSerialPort_Uart = 1U,
    -
    } serial_port_type_t;
    -

    After the initialization is successful, stdout and stdin are connected to the selected peripheral. The debug console state is stored in the debug_console_state_t structure, such as shown here.

    -
    typedef struct DebugConsoleState
    -
    {
    -
    uint8_t uartHandleBuffer[HAL_UART_HANDLE_SIZE];
    -
    hal_uart_status_t (*putChar)(hal_uart_handle_t handle, const uint8_t *data, size_t length);
    -
    hal_uart_status_t (*getChar)(hal_uart_handle_t handle, uint8_t *data, size_t length);
    -
    serial_port_type_t type;
    -
    } debug_console_state_t;
    -

    This example shows how to call the DbgConsole_Init() given the user configuration structure.

    -
    DbgConsole_Init(BOARD_DEBUG_USART_INSTANCE, BOARD_DEBUG_USART_BAUDRATE, BOARD_DEBUG_USART_TYPE,
    -
    BOARD_DEBUG_USART_CLK_FREQ);
    -

    -Advanced Feature

    -

    The debug console provides input and output functions to scan and print formatted data.

    -
      -
    • Support a format specifier for PRINTF following this prototype " %[flags][width][.precision][length]specifier", which is explained below
    • -
    -
    +
    @@ -584,7 +578,7 @@ bool  @@ -99,739 +99,549 @@ $(document).ready(function(){initNavTree('a00088.html','');});
    -
    PLU: Programmable Logic Unit
    +
    PINT: Pin Interrupt and Pattern Match Driver

    Overview

    -

    The MCUXpresso SDK provides a peripheral driver for the Programmable Logic Unit module of MCUXpresso SDK devices.

    -

    -Function groups

    -

    The PLU driver supports the creation of small combinatorial and/or sequential logic networks including simple state machines.

    -

    -Initialization and de-initialization

    -

    The function PLU_Init() enables the PLU clock and reset the module.

    -

    The function PIT_Deinit() gates the PLU clock.

    -

    -Set input/output source and Truth Table

    -

    The function PLU_SetLutInputSource() sets the input source for the LUT element.

    -

    The function PLU_SetOutputSource() sets output source of the PLU module.

    -

    The function PLU_SetLutTruthTable() sets the truth table for the LUT element.

    -

    -Read current Output State

    -

    The function PLU_ReadOutputState() reads the current state of the 8 designated PLU Outputs.

    -

    -Wake-up/Interrupt Control

    -

    The function PLU_EnableWakeIntRequest() enables the wake-up/interrupt request on a PLU output pin with a optional configuration to eliminate the glitches. The function PLU_GetDefaultWakeIntConfig() gets the default configuration which can be used in a case with a given PLU_CLKIN.

    -

    The function PLU_LatchInterrupt() latches the interrupt and it can be cleared by function PLU_ClearLatchedInterrupt().

    -

    -Typical use case

    -

    -PLU combination example

    -

    Create a simple combinatorial logic network to control the LED. Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/plu/combination

    +

    The MCUXpresso SDK provides a driver for the Pin Interrupt and Pattern match (PINT).
    +
    +It can configure one or more pins to generate a pin interrupt when the pin or pattern match conditions are met. The pins do not have to be configured as gpio pins however they must be connected to PINT via INPUTMUX. Only the pin interrupt or pattern match function can be active for interrupt generation. If the pin interrupt function is enabled then the pattern match function can be used for wakeup via RXEV.
    +

    +

    +Pin Interrupt and Pattern match Driver operation

    +

    PINT_PinInterruptConfig() function configures the pins for pin interrupt.

    +

    PINT_PatternMatchConfig() function configures the pins for pattern match.

    +

    +Pin Interrupt use case

    +

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/pint

    +

    +Pattern match use case

    +

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/pint

    +
    @@ -805,7 +799,7 @@ volatile uint8_t  - @@ -1067,9 +1058,6 @@ volatile uint8_t  - @@ -1127,9 +1115,6 @@ volatile uint8_t  -
    - +
    #define FSL_USART_DRIVER_VERSION   (MAKE_VERSION(2, 5, 0))#define FSL_USART_DRIVER_VERSION   (MAKE_VERSION(2, 5, 0))
    @@ -857,7 +851,7 @@ volatile uint8_t 
    - +
    typedef void(* usart_transfer_callback_t)(USART_Type *base, usart_handle_t *handle, status_t status, void *userData)typedef void(* usart_transfer_callback_t)(USART_Type *base, usart_handle_t *handle, status_t status, void *userData)
    @@ -1040,9 +1034,6 @@ volatile uint8_t 
    kUSART_TxReadyInterruptEnable 

    Transmit ready interrupt.

    kUSART_TxIdleInterruptEnable  -

    Transmit idle interrupt.

    -
    kUSART_DeltaCtsInterruptEnable 

    Cts pin change interrupt.

    kUSART_RxNoiseInterruptEnable 

    Receive noise error interrupt.

    kUSART_AutoBaudErrorInterruptEnable  -

    Receive auto baud error interrupt.

    -
    kUSART_AllInterruptEnable 

    All interrupt.

    kUSART_RxNoiseFlag 

    Receive noise flag.

    kUSART_AutoBaudErrorFlag  -

    Auto baud error flag.

    -
    @@ -1156,7 +1141,7 @@ volatile uint8_t 
    - + @@ -1164,7 +1149,7 @@ volatile uint8_t  - + @@ -1181,7 +1166,7 @@ volatile uint8_t  - + @@ -1257,7 +1242,7 @@ volatile uint8_t  @@ -99,1600 +99,41 @@ $(document).ready(function(){initNavTree('a00087.html','');});
    -
    PINT: Pin Interrupt and Pattern Match Driver
    +
    USART: Universal Asynchronous Receiver/Transmitter Driver

    Overview

    -

    The MCUXpresso SDK provides a driver for the Pin Interrupt and Pattern match (PINT).
    -
    -It can configure one or more pins to generate a pin interrupt when the pin or pattern match conditions are met. The pins do not have to be configured as gpio pins however they must be connected to PINT via INPUTMUX. Only the pin interrupt or pattern match function can be active for interrupt generation. If the pin interrupt function is enabled then the pattern match function can be used for wakeup via RXEV.
    -

    -

    -Pin Interrupt and Pattern match Driver operation

    -

    PINT_PinInterruptConfig() function configures the pins for pin interrupt.

    -

    PINT_PatternMatchConfig() function configures the pins for pattern match.

    -

    -Pin Interrupt use case

    -

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/pint

    -

    -Pattern match use case

    -

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/pint

    +

    The MCUXpresso SDK provides a peripheral USART driver for the Universal Synchronous Receiver/Transmitter (USART) module of MCUXpresso SDK devices. The driver does not support synchronous mode.

    +

    The USART driver includes two parts: functional APIs and transactional APIs.

    +

    Functional APIs are used for USART initialization/configuration/operation for optimization/customization purpose. Using the functional API requires the knowledge of the USART peripheral and know how to organize functional APIs to meet the application requirements. All functional API use the peripheral base address as the first parameter. USART functional operation groups provide the functional APIs set.

    +

    Transactional APIs can be used to enable the peripheral quickly and in the application if the code size and performance of transactional APIs can satisfy the requirements. If the code size and performance are critical requirements, see the transactional API implementation and write custom code. All transactional APIs use the usart_handle_t as the second parameter. Initialize the handle by calling the USART_TransferCreateHandle() API.

    +

    Transactional APIs support asynchronous transfer, which means that the functions USART_TransferSendNonBlocking() and USART_TransferReceiveNonBlocking() set up an interrupt for data transfer. When the transfer completes, the upper layer is notified through a callback function with the kStatus_USART_TxIdle and kStatus_USART_RxIdle.

    +

    Transactional receive APIs support the ring buffer. Prepare the memory for the ring buffer and pass in the start address and size while calling the USART_TransferCreateHandle(). If passing NULL, the ring buffer feature is disabled. When the ring buffer is enabled, the received data is saved to the ring buffer in the background. The USART_TransferReceiveNonBlocking() function first gets data from the ring buffer. If the ring buffer does not have enough data, the function first returns the data in the ring buffer and then saves the received data to user memory. When all data is received, the upper layer is informed through a callback with the kStatus_USART_RxIdle.

    +

    If the receive ring buffer is full, the upper layer is informed through a callback with the kStatus_USART_RxRingBufferOverrun. In the callback function, the upper layer reads data out from the ring buffer. If not, the oldest data is overwritten by the new data.

    +

    The ring buffer size is specified when creating the handle. Note that one byte is reserved for the ring buffer maintenance. When creating handle using the following code:

    +

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/usart In this example, the buffer size is 32, but only 31 bytes are used for saving data.

    +

    +Typical use case

    +

    +USART Send/receive using a polling method

    +

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/usart

    +

    +USART Send/receive using an interrupt method

    +

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/usart

    +

    +USART Receive using the ringbuffer feature

    +

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/usart

    +

    +USART Send/Receive using the DMA method

    +

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/usart

    status_t USART_Init status_t USART_Init ( USART_Type *  base, const usart_config_tconst usart_config_t config,

    This function configures the USART module with the user-defined settings. The user can configure the configuration structure and also get the default configuration by using the USART_GetDefaultConfig() function. Example below shows how to use this API to configure USART.

    -
    * usart_config_t usartConfig;
    +
    * usart_config_t usartConfig;
    * usartConfig.baudRate_Bps = 115200U;
    @@ -1236,7 +1221,7 @@ volatile uint8_t 
    void USART_GetDefaultConfig (usart_config_tusart_config_t config)
    - + @@ -1792,7 +1777,7 @@ volatile uint8_t  @@ -101,37 +101,23 @@ $(document).ready(function(){initNavTree('a00086.html','');});
    -
    USART: Universal Asynchronous Receiver/Transmitter Driver
    +
    SPI: Serial Peripheral Interface Driver

    Overview

    -

    The MCUXpresso SDK provides a peripheral USART driver for the Universal Synchronous Receiver/Transmitter (USART) module of MCUXpresso SDK devices. The driver does not support synchronous mode.

    -

    The USART driver includes two parts: functional APIs and transactional APIs.

    -

    Functional APIs are used for USART initialization/configuration/operation for optimization/customization purpose. Using the functional API requires the knowledge of the USART peripheral and know how to organize functional APIs to meet the application requirements. All functional API use the peripheral base address as the first parameter. USART functional operation groups provide the functional APIs set.

    -

    Transactional APIs can be used to enable the peripheral quickly and in the application if the code size and performance of transactional APIs can satisfy the requirements. If the code size and performance are critical requirements, see the transactional API implementation and write custom code. All transactional APIs use the usart_handle_t as the second parameter. Initialize the handle by calling the USART_TransferCreateHandle() API.

    -

    Transactional APIs support asynchronous transfer, which means that the functions USART_TransferSendNonBlocking() and USART_TransferReceiveNonBlocking() set up an interrupt for data transfer. When the transfer completes, the upper layer is notified through a callback function with the kStatus_USART_TxIdle and kStatus_USART_RxIdle.

    -

    Transactional receive APIs support the ring buffer. Prepare the memory for the ring buffer and pass in the start address and size while calling the USART_TransferCreateHandle(). If passing NULL, the ring buffer feature is disabled. When the ring buffer is enabled, the received data is saved to the ring buffer in the background. The USART_TransferReceiveNonBlocking() function first gets data from the ring buffer. If the ring buffer does not have enough data, the function first returns the data in the ring buffer and then saves the received data to user memory. When all data is received, the upper layer is informed through a callback with the kStatus_USART_RxIdle.

    -

    If the receive ring buffer is full, the upper layer is informed through a callback with the kStatus_USART_RxRingBufferOverrun. In the callback function, the upper layer reads data out from the ring buffer. If not, the oldest data is overwritten by the new data.

    -

    The ring buffer size is specified when creating the handle. Note that one byte is reserved for the ring buffer maintenance. When creating handle using the following code:

    -

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/usart In this example, the buffer size is 32, but only 31 bytes are used for saving data.

    -

    +

    SPI driver includes functional APIs and transactional APIs.

    +

    Functional APIs are feature/property target low-level APIs. Functional APIs can be used for SPI initialization/configuration/operation for the purpose of optimization/customization. Using the functional API requires the knowledge of the SPI peripheral and how to organize functional APIs to meet the application requirements. All functional API use the peripheral base address as the first parameter. SPI functional operation groups provide the functional API set.

    +

    Transactional APIs are transaction target high level APIs. Transactional APIs can be used to enable the peripheral and in the application if the code size and performance of transactional APIs satisfy the requirements. If the code size and performance are a critical requirement, see the transactional API implementation and write a custom code. All transactional APIs use the spi_handle_t as the first parameter. Initialize the handle by calling the SPI_MasterTransferCreateHandle() or SPI_SlaveTransferCreateHandle() API.

    +

    Transactional APIs support asynchronous transfer. This means that the functions SPI_MasterTransferNonBlocking() and SPI_SlaveTransferNonBlocking() set up the interrupt for data transfer. When the transfer completes, the upper layer is notified through a callback function with the kStatus_SPI_Idle status.

    +

    Typical use case

    -

    -USART Send/receive using a polling method

    -

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/usart

    -

    -USART Send/receive using an interrupt method

    -

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/usart

    -

    -USART Receive using the ringbuffer feature

    -

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/usart

    -

    -USART Send/Receive using the DMA method

    -

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/usart

    +

    +SPI master transfer using an interrupt method

    +

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/spi

    status_t USART_SetBaudRate status_t USART_SetBaudRate ( USART_Type *  base, - + @@ -1840,7 +1825,7 @@ volatile uint8_t  @@ -99,27 +99,159 @@ $(document).ready(function(){initNavTree('a00085.html','');});
    -
    SPI: Serial Peripheral Interface Driver
    +

    Overview

    -

    SPI driver includes functional APIs and transactional APIs.

    -

    Functional APIs are feature/property target low-level APIs. Functional APIs can be used for SPI initialization/configuration/operation for the purpose of optimization/customization. Using the functional API requires the knowledge of the SPI peripheral and how to organize functional APIs to meet the application requirements. All functional API use the peripheral base address as the first parameter. SPI functional operation groups provide the functional API set.

    -

    Transactional APIs are transaction target high level APIs. Transactional APIs can be used to enable the peripheral and in the application if the code size and performance of transactional APIs satisfy the requirements. If the code size and performance are a critical requirement, see the transactional API implementation and write a custom code. All transactional APIs use the spi_handle_t as the first parameter. Initialize the handle by calling the SPI_MasterTransferCreateHandle() or SPI_SlaveTransferCreateHandle() API.

    -

    Transactional APIs support asynchronous transfer. This means that the functions SPI_MasterTransferNonBlocking() and SPI_SlaveTransferNonBlocking() set up the interrupt for data transfer. When the transfer completes, the upper layer is notified through a callback function with the kStatus_SPI_Idle status.

    -

    -Typical use case

    -

    -SPI master transfer using an interrupt method

    -

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/spi

    status_t USART_WriteBlocking status_t USART_WriteBlocking ( USART_Type *  base, - + @@ -1892,7 +1877,7 @@ volatile uint8_t  @@ -99,159 +99,41 @@ $(document).ready(function(){initNavTree('a00084.html','');}); +
    I2C: Inter-Integrated Circuit Driver

    Overview

    +

    The MCUXpresso SDK provides a peripheral driver for the Inter-Integrated Circuit (I2C) module of MCUXpresso SDK devices.

    +

    The I2C driver includes functional APIs and transactional APIs.

    +

    Functional APIs are feature/property target low-level APIs. Functional APIs can be used for the I2C master/slave initialization/configuration/operation for optimization/customization purpose. Using the functional APIs requires the knowledge of the I2C master peripheral and how to organize functional APIs to meet the application requirements. The I2C functional operation groups provide the functional APIs set.

    +

    Transactional APIs are transaction target high-level APIs. The transactional APIs can be used to enable the peripheral quickly and also in the application if the code size and performance of transactional APIs satisfy the requirements. If the code size and performance are critical requirements, see the transactional API implementation and write custom code using the functional APIs or accessing the hardware registers.

    +

    Transactional APIs support asynchronous transfer. This means that the functions I2C_MasterTransferNonBlocking() set up the interrupt non-blocking transfer. When the transfer completes, the upper layer is notified through a callback function with the status.

    +

    +Typical use case

    +

    +Master Operation in functional method

    +

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/i2cRefer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/i2c

    +

    +Master Operation in DMA transactional method

    +

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/i2c

    +

    +Slave Operation in functional method

    +

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/i2c

    +

    +Slave Operation in interrupt transactional method

    +

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/i2c

    status_t USART_ReadBlocking status_t USART_ReadBlocking ( USART_Type *  base, - + @@ -1940,7 +1925,7 @@ volatile uint8_t  @@ -99,41 +99,441 @@ $(document).ready(function(){initNavTree('a00083.html','');});
    -
    I2C: Inter-Integrated Circuit Driver
    +
    IAP: In Application Programming Driver

    Overview

    -

    The MCUXpresso SDK provides a peripheral driver for the Inter-Integrated Circuit (I2C) module of MCUXpresso SDK devices.

    -

    The I2C driver includes functional APIs and transactional APIs.

    -

    Functional APIs are feature/property target low-level APIs. Functional APIs can be used for the I2C master/slave initialization/configuration/operation for optimization/customization purpose. Using the functional APIs requires the knowledge of the I2C master peripheral and how to organize functional APIs to meet the application requirements. The I2C functional operation groups provide the functional APIs set.

    -

    Transactional APIs are transaction target high-level APIs. The transactional APIs can be used to enable the peripheral quickly and also in the application if the code size and performance of transactional APIs satisfy the requirements. If the code size and performance are critical requirements, see the transactional API implementation and write custom code using the functional APIs or accessing the hardware registers.

    -

    Transactional APIs support asynchronous transfer. This means that the functions I2C_MasterTransferNonBlocking() set up the interrupt non-blocking transfer. When the transfer completes, the upper layer is notified through a callback function with the status.

    -

    +

    The MCUXpresso SDK provides a driver for the In Application Programming (IAP) module of MCUXpresso SDK devices.

    +

    +Function groups

    +

    The driver provides a set of functions to call the on-chip in application programming interface. User code executing from on-chip RAM can call these functions to read information like part id; read and write flash, EEPROM and FAIM.

    +

    +Basic operations

    +

    The function IAP_ReadPartID() reads the part id of the board.

    +

    The function IAP_ReadBootCodeVersion() reads the boot code Version.

    +

    The function IAP_ReadUniqueID() reads the unique id of the boards.

    +

    The function IAP_ReinvokeISP() reinvokes the ISP mode.

    +

    The function IAP_ReadFactorySettings() reads the factory settings.

    +

    +Flash operations

    +

    The function IAP_PrepareSectorForWrite() prepares a sector for write or erase operation. Then, the function IAP_CopyRamToFlash() programs the flash memory.

    +

    The function IAP_EraseSector() erases a flash sector while the function IAP_ErasePage() erases a flash page.

    +

    The function IAP_BlankCheckSector() is used to blank check a sector or multiple sectors of on-chip flash memory.

    +

    The function IAP_Compare() is used to compare the memory contents at two locations. The user can compare several bytes (must be a multiple of 4) content in two different flash locations.

    +

    The function IAP_ReadFlashSignature() can get the 32-bits signature of the entire flash and the function IAP_ExtendedFlashSignatureRead() can calculate the signature of one or more flash pages.

    +

    +EEPROM operations

    +

    The function IAP_ReadEEPROMPage() reads the 128 bytes content of an EEPROM page and IAP_WriteEEPROMPage() writes 128 bytes content in an EEPROM page

    +

    +FAIM operations

    +

    The function IAP_ReadEEPROMPage() reads the 32 bits content of an FAIM page and IAP_WriteEEPROMPage() writes 32 bits content in an FAIM page

    +

    Typical use case

    -

    -Master Operation in functional method

    -

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/i2cRefer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/i2c

    -

    -Master Operation in DMA transactional method

    -

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/i2c

    -

    -Slave Operation in functional method

    -

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/i2c

    -

    -Slave Operation in interrupt transactional method

    -

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/i2c

    +

    +IAP Basic Operations

    +

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/iap/iap_basic/

    +

    +IAP Flash Operations

    +

    Refer to the driver example codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/iap/iap_flash/

    +

    +IAP EEPROM Operations

    +

    Refer to the driver example codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/iap/iap_eeprom/

    +

    +IAP FAIM Operations

    +

    Refer to the driver example codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/iap/iap_faim/

    status_t USART_TransferCreateHandle status_t USART_TransferCreateHandle ( USART_Type *  base, - + @@ -1954,7 +1939,7 @@ volatile uint8_t  - + @@ -1970,7 +1955,7 @@ volatile uint8_t  - +
    status_t USART_TransferSendNonBlocking status_t USART_TransferSendNonBlocking ( USART_Type *  base, usart_transfer_tusart_transfer_t xfer 
    baseUSART peripheral base address.
    handleUSART handle pointer.
    xferUSART transfer structure. See usart_transfer_t.
    xferUSART transfer structure. See usart_transfer_t.
    @@ -2131,7 +2116,7 @@ volatile uint8_t 
    - + @@ -2180,7 +2165,7 @@ volatile uint8_t  @@ -129,14 +129,14 @@ Typical use case
    status_t USART_TransferGetSendCount status_t USART_TransferGetSendCount ( USART_Type *  base, - + @@ -2194,7 +2179,7 @@ volatile uint8_t  - + @@ -2215,7 +2200,7 @@ volatile uint8_t  - +
    status_t USART_TransferReceiveNonBlocking status_t USART_TransferReceiveNonBlocking ( USART_Type *  base, usart_transfer_tusart_transfer_t xfer,
    baseUSART peripheral base address.
    handleUSART handle pointer.
    xferUSART transfer structure, see usart_transfer_t.
    xferUSART transfer structure, see usart_transfer_t.
    receivedBytesBytes received from the ring buffer directly.
    @@ -2270,7 +2255,7 @@ volatile uint8_t 
    - + diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00020.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00020.js index 03d7ef3..3fae303 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00020.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00020.js @@ -1,6 +1,6 @@ var a00020 = [ - [ "usart_config_t", "a00020.html#a00122", [ + [ "usart_config_t", "a00020.html#a00123", [ [ "baudRate_Bps", "a00020.html#a5d2631bc772901b4114b01770f9bb337", null ], [ "enableRx", "a00020.html#a8b9813693fdfd2116e0d6019ea39b41d", null ], [ "enableTx", "a00020.html#a2c3b5faf44be34a152232b9dfaaf064d", null ], @@ -13,13 +13,13 @@ var a00020 = [ "syncMode", "a00020.html#ad5debb2aa90fc8fa732edd1ab4bdbbea", null ], [ "clockPolarity", "a00020.html#ac808e4908f1d6f9ca78fec3d0b8f118a", null ] ] ], - [ "usart_transfer_t", "a00020.html#a00123", [ + [ "usart_transfer_t", "a00020.html#a00124", [ [ "data", "a00020.html#acad21ced979ce0c2af98f0b1837863c9", null ], [ "rxData", "a00020.html#a44bd38d7d89b7e185ac9bb49bd7214ea", null ], [ "txData", "a00020.html#ad081b93c86f16dedd57dfc105a71e7fa", null ], [ "dataSize", "a00020.html#a605c0a56df2815ffb3461aaaf116752a", null ] ] ], - [ "usart_handle_t", "a00020.html#a00099", [ + [ "usart_handle_t", "a00020.html#a00100", [ [ "txData", "a00020.html#a2e0eada6edeef87ca5609381a495caa0", null ], [ "txDataSize", "a00020.html#aafb090dd1831c9e83df2d60cf3cd25f0", null ], [ "txDataSizeAll", "a00020.html#add7cbfa096ebb8bfe5084c9940d0a9d8", null ], @@ -79,7 +79,6 @@ var a00020 = [ "_usart_interrupt_enable", "a00020.html#ga78b340bf3b25bfae957d0c5532b9b853", [ [ "kUSART_RxReadyInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853addb8c45f45a91da558ae553a72557445", null ], [ "kUSART_TxReadyInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a42c8946331d417404af48aed9fe53f88", null ], - [ "kUSART_TxIdleInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ac5611ab6b973e6777a7d7db8321f62f2", null ], [ "kUSART_DeltaCtsInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ac01c5ca3a2671c568e35d196ea223ada", null ], [ "kUSART_TxDisableInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a2dae162d4f0509695fce62b0ed1b9e4b", null ], [ "kUSART_HardwareOverRunInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853afb9ef5dc29f02f42773fb5b7fcda2e3e", null ], @@ -88,7 +87,6 @@ var a00020 = [ "kUSART_FramErrorInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853acc912dfbb3e827b37e9a942bf601672d", null ], [ "kUSART_ParityErrorInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a3dc275bab22f77a1182bbab5fd5cea3b", null ], [ "kUSART_RxNoiseInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a7d342596a68c59d4e38bfe33c60f53c9", null ], - [ "kUSART_AutoBaudErrorInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a7154c6ede60243bf5f9e727a5cb18ce5", null ], [ "kUSART_AllInterruptEnable", "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ad97d89d6e3a853cc07c854838a4f31f9", null ] ] ], [ "_usart_flags", "a00020.html#gaa6a81e7e82e236bb1c3c5ba306466610", [ @@ -104,8 +102,7 @@ var a00020 = [ "kUSART_RxStartFlag", "a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a2fab8368a6a3246714c83405202626b3", null ], [ "kUSART_FramErrorFlag", "a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a2187b4e63a575e94e6d3a1b7a5ce51d7", null ], [ "kUSART_ParityErrorFlag", "a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a46d487d50d8392d25c5c4e76cdfb6674", null ], - [ "kUSART_RxNoiseFlag", "a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610aef9ca7e74e386c6a6668cc2a0f43c9cd", null ], - [ "kUSART_AutoBaudErrorFlag", "a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610aa655bdfd278003a00cf5195986a38892", null ] + [ "kUSART_RxNoiseFlag", "a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610aef9ca7e74e386c6a6668cc2a0f43c9cd", null ] ] ], [ "USART_GetInstance", "a00020.html#ga0433386bbc6c2ac7e2ec7925fea263db", null ], [ "USART_Init", "a00020.html#ga2aeb4e11fdf0eb515d090865ffcf2ba2", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00021.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00021.html index 71ea96a..9b183df 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00021.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00021.html @@ -32,7 +32,7 @@ @@ -133,14 +133,14 @@ WKT tick example
    status_t USART_TransferGetReceiveCount status_t USART_TransferGetReceiveCount ( USART_Type *  base,
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_wkt.h
    file  fsl_wkt.h
     
    - - - + + +

    Data Structures

    struct  wkt_config_t
     Describes WKT configuration structure. More...
     
    struct  wkt_config_t
     Describes WKT configuration structure. More...
     
    @@ -162,19 +162,19 @@ Enumerations +#define 

    Enumerations

    Driver version

    -#define FSL_WKT_DRIVER_VERSION   (MAKE_VERSION(2, 0, 2))
    FSL_WKT_DRIVER_VERSION   (MAKE_VERSION(2, 0, 2))
     Version 2.0.2.
     
    - + - +

    Initialization and deinitialization

    void WKT_Init (WKT_Type *base, const wkt_config_t *config)
    void WKT_Init (WKT_Type *base, const wkt_config_t *config)
     Ungates the WKT clock and configures the peripheral for basic operation. More...
     
    void WKT_Deinit (WKT_Type *base)
     Gate the WKT clock. More...
     
    static void WKT_GetDefaultConfig (wkt_config_t *config)
    static void WKT_GetDefaultConfig (wkt_config_t *config)
     Initializes the WKT configuration structure. More...
     
    @@ -203,7 +203,7 @@ Timer Start and Stop
     

    Data Structure Documentation

    -
    +
    @@ -277,7 +277,7 @@ Timer Start and Stop - + @@ -330,7 +330,7 @@ Timer Start and Stop - + @@ -350,7 +350,7 @@ Timer Start and Stop
    const wkt_config_tconst wkt_config_t config 
    static void WKT_GetDefaultConfig (wkt_config_twkt_config_t config)
    -
    See Also
    wkt_config_t
    +
    See Also
    wkt_config_t
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00021.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00021.js index 8f64c05..3786d12 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00021.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00021.js @@ -1,6 +1,6 @@ var a00021 = [ - [ "wkt_config_t", "a00021.html#a00124", [ + [ "wkt_config_t", "a00021.html#a00125", [ [ "clockSource", "a00021.html#ae5078ce05e0689966c2c5c49f9aaf89a", null ] ] ], [ "FSL_WKT_DRIVER_VERSION", "a00021.html#ga0411f3587cc78cbde38b765e8bf3ba0c", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00022.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00022.html index dc46652..3eac492 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00022.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00022.html @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_wwdt.h
    file  fsl_wwdt.h
     
    - - - + + +

    Data Structures

    struct  wwdt_config_t
     Describes WWDT configuration structure. More...
     
    struct  wwdt_config_t
     Describes WWDT configuration structure. More...
     
    @@ -151,7 +151,7 @@ Enumerations

    Enumerations

    - +

    Driver version

    #define FSL_WWDT_DRIVER_VERSION   (MAKE_VERSION(2, 1, 9))
    #define FSL_WWDT_DRIVER_VERSION   (MAKE_VERSION(2, 1, 9))
     Defines WWDT driver version. More...
     
    @@ -168,10 +168,10 @@ Refresh sequence
    - + - + @@ -206,7 +206,7 @@ WWDT Functional Operation

    WWDT Initialization and De-initialization

    void WWDT_GetDefaultConfig (wwdt_config_t *config)
    void WWDT_GetDefaultConfig (wwdt_config_t *config)
     Initializes WWDT configure structure. More...
     
    void WWDT_Init (WWDT_Type *base, const wwdt_config_t *config)
    void WWDT_Init (WWDT_Type *base, const wwdt_config_t *config)
     Initializes the WWDT. More...
     
    void WWDT_Deinit (WWDT_Type *base)
     

    Data Structure Documentation

    -
    +
    @@ -283,7 +283,7 @@ uint32_t  - + @@ -341,7 +341,7 @@ uint32_t  - + @@ -370,7 +370,7 @@ uint32_t  @@ -99,186 +99,1119 @@ $(document).ready(function(){initNavTree('a00082.html','');});
    -
    IAP: In Application Programming Driver
    +
    Common Driver

    Overview

    -

    The MCUXpresso SDK provides a driver for the In Application Programming (IAP) module of MCUXpresso SDK devices.

    -

    -Function groups

    -

    The driver provides a set of functions to call the on-chip in application programming interface. User code executing from on-chip RAM can call these functions to read information like part id; read and write flash, EEPROM and FAIM.

    -

    -Basic operations

    -

    The function IAP_ReadPartID() reads the part id of the board.

    -

    The function IAP_ReadBootCodeVersion() reads the boot code Version.

    -

    The function IAP_ReadUniqueID() reads the unique id of the boards.

    -

    The function IAP_ReinvokeISP() reinvokes the ISP mode.

    -

    The function IAP_ReadFactorySettings() reads the factory settings.

    -

    -Flash operations

    -

    The function IAP_PrepareSectorForWrite() prepares a sector for write or erase operation. Then, the function IAP_CopyRamToFlash() programs the flash memory.

    -

    The function IAP_EraseSector() erases a flash sector while the function IAP_ErasePage() erases a flash page.

    -

    The function IAP_BlankCheckSector() is used to blank check a sector or multiple sectors of on-chip flash memory.

    -

    The function IAP_Compare() is used to compare the memory contents at two locations. The user can compare several bytes (must be a multiple of 4) content in two different flash locations.

    -

    The function IAP_ReadFlashSignature() can get the 32-bits signature of the entire flash and the function IAP_ExtendedFlashSignatureRead() can calculate the signature of one or more flash pages.

    -

    -EEPROM operations

    -

    The function IAP_ReadEEPROMPage() reads the 128 bytes content of an EEPROM page and IAP_WriteEEPROMPage() writes 128 bytes content in an EEPROM page

    -

    -FAIM operations

    -

    The function IAP_ReadEEPROMPage() reads the 32 bits content of an FAIM page and IAP_WriteEEPROMPage() writes 32 bits content in an FAIM page

    -

    -Typical use case

    -

    -IAP Basic Operations

    -

    Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/iap/iap_basic/

    -

    -IAP Flash Operations

    -

    Refer to the driver example codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/iap/iap_flash/

    -

    -IAP EEPROM Operations

    -

    Refer to the driver example codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/iap/iap_eeprom/

    -

    -IAP FAIM Operations

    -

    Refer to the driver example codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/iap/iap_faim/

    +

    The MCUXpresso SDK provides a driver for the common module of MCUXpresso SDK devices.

    - +
    #define FSL_WWDT_DRIVER_VERSION   (MAKE_VERSION(2, 1, 9))#define FSL_WWDT_DRIVER_VERSION   (MAKE_VERSION(2, 1, 9))
    @@ -320,7 +320,7 @@ uint32_t 
    void WWDT_GetDefaultConfig (wwdt_config_twwdt_config_t config)
    See Also
    wwdt_config_t
    +
    See Also
    wwdt_config_t
    @@ -358,7 +358,7 @@ uint32_t 
    const wwdt_config_tconst wwdt_config_t config 

    This function initializes the WWDT. When called, the WWDT runs according to the configuration.

    Example:

    -
    +
    * wwdt_config_t config;
    * config.timeoutValue = 0x7ffU;
    * WWDT_Init(wwdt_base,&config);
    @@ -460,7 +460,7 @@ uint32_t 
    -
    Deprecated:
    Do not use this function. It will be deleted in next release version, for once the bit field of WDEN written with a 1, it can not be re-written with a 0.
    +
    Deprecated:
    Do not use this function. It will be deleted in next release version, for once the bit field of WDEN written with a 1, it can not be re-written with a 0.

    This function write value into WWDT_MOD register to disable the WWDT.

    Parameters
    @@ -617,7 +617,7 @@ uint32_t  @@ -99,164 +99,781 @@ $(document).ready(function(){initNavTree('a00079.html','');});
    -
    Power Driver
    +
    Clock Driver

    Overview

    -

    Power driver provides APIs to control peripherals power and control the system power mode.

    -
    -

    This function sets the timeout value. Every time a feed sequence occurs the value in the TC register is loaded into the Watchdog timer. Writing a value below 0xFF will cause 0xFF to be loaded into the TC register. Thus the minimum time-out interval is TWDCLK*256*4. If enableWatchdogProtect flag is true in wwdt_config_t config structure, any attempt to change the timeout value before the watchdog counter is below the warning and window values will cause a watchdog reset and set the WDTOF flag.

    +

    This function sets the timeout value. Every time a feed sequence occurs the value in the TC register is loaded into the Watchdog timer. Writing a value below 0xFF will cause 0xFF to be loaded into the TC register. Thus the minimum time-out interval is TWDCLK*256*4. If enableWatchdogProtect flag is true in wwdt_config_t config structure, any attempt to change the timeout value before the watchdog counter is below the warning and window values will cause a watchdog reset and set the WDTOF flag.

    Parameters
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00022.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00022.js index ef661b0..615114b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00022.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00022.js @@ -1,6 +1,6 @@ var a00022 = [ - [ "wwdt_config_t", "a00022.html#a00125", [ + [ "wwdt_config_t", "a00022.html#a00126", [ [ "enableWwdt", "a00022.html#a405b63e15703dc92b3179b5089319e38", null ], [ "enableWatchdogReset", "a00022.html#a78ce1ec4de049becaae7e3be51772313", null ], [ "enableWatchdogProtect", "a00022.html#adc32c01dcffb7f140c8e1fd049862928", null ], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00078.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00078.html deleted file mode 100644 index 2a59391..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00078.html +++ /dev/null @@ -1,1550 +0,0 @@ - - - - - - -MCUXpresso SDK API Reference Manual: Clock Driver - - - - - - - - - - - - - - -
    -
    -
    baseWWDT peripheral base address
    - - - - - - -
    -
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 -
    -
    NXP Semiconductors
    -
    - - - - - - -
    - -
    -
    -
    - -
    - - - - -
    - -
    - -
    - -
    -
    Clock Driver
    -
    -
    -

    Overview

    -

    The MCUXpresso SDK provides APIs for MCUXpresso SDK devices' clock operation.

    -

    The clock driver supports:

    -
      -
    • Clock generator (PLL, FLL, and so on) configuration
    • -
    • Clock mux and divider configuration
    • -
    • Getting clock frequency
    • -
    -

    The MCUXpresso SDK provides a peripheral clock driver for the SYSCON module of MCUXpresso SDK devices.

    -

    -Function description

    -

    Clock driver provides these functions:

    -
      -
    • Functions to initialize the Core clock to given frequency
    • -
    • Functions to configure the clock selection muxes.
    • -
    • Functions to setup peripheral clock dividers
    • -
    • Functions to set the flash wait states for the input freuqency
    • -
    • Functions to get the frequency of the selected clock
    • -
    • Functions to set PLL frequency
    • -
    -

    -SYSCON Clock frequency functions

    -

    SYSCON clock module provides clocks, such as MCLKCLK, ADCCLK, DMICCLK, MCGFLLCLK, FXCOMCLK, WDTOSC, RTCOSC, USBCLK, and SYSPLL. The functions CLOCK_EnableClock() and CLOCK_DisableClock() enables and disables the various clocks. CLOCK_SetupFROClocking() initializes the FRO to 12 MHz, 48 MHz, or 96 MHz frequency. CLOCK_SetupPLLData(), CLOCK_SetupSystemPLLPrec(), and CLOCK_SetPLLFreq() functions are used to setup the PLL. The SYSCON clock driver provides functions to get the frequency of these clocks, such as CLOCK_GetFreq(), CLOCK_GetFro12MFreq(), CLOCK_GetExtClkFreq(), CLOCK_GetWdtOscFreq(), CLOCK_GetFroHfFreq(), CLOCK_GetPllOutFreq(), CLOCK_GetOsc32KFreq(), CLOCK_GetCoreSysClkFreq(), CLOCK_GetI2SMClkFreq(), CLOCK_GetFlexCommClkFreq, and CLOCK_GetAsyncApbClkFreq.

    -

    -SYSCON clock Selection Muxes

    -

    The SYSCON clock driver provides the function to configure the clock selected. The function CLOCK_AttachClk() is implemented for this. The function selects the clock source for a particular peripheral like MAINCLK, DMIC, FLEXCOMM, USB, ADC, and PLL.

    -

    -SYSCON clock dividers

    -

    The SYSCON clock module provides the function to setup the peripheral clock dividers. The function CLOCK_SetClkDiv() configures the CLKDIV registers for various periperals like USB, DMIC, I2S, SYSTICK, AHB, ADC, and also CLKOUT and TRACE functions.

    -

    -SYSCON flash wait states

    -

    The SYSCON clock driver provides the function CLOCK_SetFLASHAccessCyclesForFreq() that configures FLASHCFG register with a selected FLASHTIM value.

    -

    -Typical use case

    -
    POWER_DisablePD(kPDRUNCFG_PD_FRO_EN); /*!< Ensure FRO is on so that we can switch to its 12MHz mode temporarily 
    - - - -

    -Files

    file  fsl_clock.h
     
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

    -Macros

    #define CLOCK_FRO_SETTING_API_ROM_ADDRESS   (0x0F001CD3U)
     FRO clock setting API address in ROM. More...
     
    -#define CLOCK_FAIM_BASE   (0x50010000U)
     FAIM base address.
     
    #define ADC_CLOCKS
     Clock ip name array for ADC. More...
     
    #define ACMP_CLOCKS
     Clock ip name array for ACMP. More...
     
    #define DAC_CLOCKS
     Clock ip name array for DAC. More...
     
    #define SWM_CLOCKS
     Clock ip name array for SWM. More...
     
    #define ROM_CLOCKS
     Clock ip name array for ROM. More...
     
    #define SRAM_CLOCKS
     Clock ip name array for SRAM. More...
     
    #define IOCON_CLOCKS
     Clock ip name array for IOCON. More...
     
    #define GPIO_CLOCKS
     Clock ip name array for GPIO. More...
     
    #define GPIO_INT_CLOCKS
     Clock ip name array for GPIO_INT. More...
     
    #define CRC_CLOCKS
     Clock ip name array for CRC. More...
     
    #define WWDT_CLOCKS
     Clock ip name array for WWDT. More...
     
    #define SCT_CLOCKS
     Clock ip name array for SCT0. More...
     
    #define I2C_CLOCKS
     Clock ip name array for I2C. More...
     
    #define USART_CLOCKS
     Clock ip name array for I2C. More...
     
    #define SPI_CLOCKS
     Clock ip name array for SPI. More...
     
    #define CAPT_CLOCKS
     Clock ip name array for CAPT. More...
     
    #define CTIMER_CLOCKS
     Clock ip name array for CTIMER. More...
     
    #define MRT_CLOCKS
     Clock ip name array for MRT. More...
     
    #define WKT_CLOCKS
     Clock ip name array for WKT. More...
     
    #define PLU_CLOCKS
     Clock ip name array for PLU. More...
     
    #define CLK_GATE_DEFINE(reg, bit)   ((((reg)&0xFFU) << 8U) | ((bit)&0xFFU))
     Internal used Clock definition only. More...
     
    - - - - - - - - - - - - - - - - - - - -

    -Enumerations

    enum  clock_ip_name_t {
    -  kCLOCK_IpInvalid = 0U, -
    -  kCLOCK_Sys = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 0U), -
    -  kCLOCK_Rom = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 1U), -
    -  kCLOCK_Ram0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 2U), -
    -  kCLOCK_Flash = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 4U), -
    -  kCLOCK_I2c0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 5U), -
    -  kCLOCK_Gpio0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 6U), -
    -  kCLOCK_Swm = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 7U), -
    -  kCLOCK_Wkt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 9U), -
    -  kCLOCK_Mrt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 10U), -
    -  kCLOCK_Spi0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 11U), -
    -  kCLOCK_Crc = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 13U), -
    -  kCLOCK_Uart0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 14U), -
    -  kCLOCK_Uart1 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 15U), -
    -  kCLOCK_Wwdt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 17U), -
    -  kCLOCK_Iocon = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 18U), -
    -  kCLOCK_Acmp = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 19U), -
    -  kCLOCK_I2c1 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 21U), -
    -  kCLOCK_Adc = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 24U), -
    -  kCLOCK_Ctimer0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 25U), -
    -  kCLOCK_Dac = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 27U), -
    -  kCLOCK_GpioInt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 28U), -
    -  kCLOCK_Capt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL1, 0U), -
    -  kCLOCK_PLU = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL1, 5U) -
    - }
     Clock gate name used for CLOCK_EnableClock/CLOCK_DisableClock. More...
     
    enum  clock_name_t {
    -  kCLOCK_CoreSysClk, -
    -  kCLOCK_MainClk, -
    -  kCLOCK_Fro, -
    -  kCLOCK_FroDiv, -
    -  kCLOCK_ExtClk, -
    -  kCLOCK_LPOsc, -
    -  kCLOCK_Frg0 -
    - }
     Clock name used to get clock frequency. More...
     
    enum  clock_select_t {
    -  kCAPT_Clk_From_Fro = CLK_MUX_DEFINE(CAPTCLKSEL, 0U), -
    -  kCAPT_Clk_From_MainClk = CLK_MUX_DEFINE(CAPTCLKSEL, 1U), -
    -  kCAPT_Clk_From_Fro_Div = CLK_MUX_DEFINE(CAPTCLKSEL, 3U), -
    -  kCAPT_Clk_From_LPOsc = CLK_MUX_DEFINE(CAPTCLKSEL, 4U), -
    -  kADC_Clk_From_Fro = CLK_MUX_DEFINE(ADCCLKSEL, 0U), -
    -  kADC_Clk_From_Extclk = CLK_MUX_DEFINE(ADCCLKSEL, 1U), -
    -  kUART0_Clk_From_Fro = CLK_MUX_DEFINE(UART0CLKSEL, 0U), -
    -  kUART0_Clk_From_MainClk = CLK_MUX_DEFINE(UART0CLKSEL, 1U), -
    -  kUART0_Clk_From_Frg0Clk = CLK_MUX_DEFINE(UART0CLKSEL, 2U), -
    -  kUART0_Clk_From_Fro_Div = CLK_MUX_DEFINE(UART0CLKSEL, 4U), -
    -  kUART1_Clk_From_Fro = CLK_MUX_DEFINE(UART1CLKSEL, 0U), -
    -  kUART1_Clk_From_MainClk = CLK_MUX_DEFINE(UART1CLKSEL, 1U), -
    -  kUART1_Clk_From_Frg0Clk = CLK_MUX_DEFINE(UART1CLKSEL, 2U), -
    -  kUART1_Clk_From_Fro_Div = CLK_MUX_DEFINE(UART1CLKSEL, 4U), -
    -  kI2C0_Clk_From_Fro = CLK_MUX_DEFINE(I2C0CLKSEL, 0U), -
    -  kI2C0_Clk_From_MainClk = CLK_MUX_DEFINE(I2C0CLKSEL, 1U), -
    -  kI2C0_Clk_From_Frg0Clk = CLK_MUX_DEFINE(I2C0CLKSEL, 2U), -
    -  kI2C0_Clk_From_Fro_Div = CLK_MUX_DEFINE(I2C0CLKSEL, 4U), -
    -  kI2C1_Clk_From_Fro = CLK_MUX_DEFINE(I2C1CLKSEL, 0U), -
    -  kI2C1_Clk_From_MainClk = CLK_MUX_DEFINE(I2C1CLKSEL, 1U), -
    -  kI2C1_Clk_From_Frg0Clk = CLK_MUX_DEFINE(I2C1CLKSEL, 2U), -
    -  kI2C1_Clk_From_Fro_Div = CLK_MUX_DEFINE(I2C1CLKSEL, 4U), -
    -  kSPI0_Clk_From_Fro = CLK_MUX_DEFINE(SPI0CLKSEL, 0U), -
    -  kSPI0_Clk_From_MainClk = CLK_MUX_DEFINE(SPI0CLKSEL, 1U), -
    -  kSPI0_Clk_From_Frg0Clk = CLK_MUX_DEFINE(SPI0CLKSEL, 2U), -
    -  kSPI0_Clk_From_Fro_Div = CLK_MUX_DEFINE(SPI0CLKSEL, 4U), -
    -  kFRG0_Clk_From_Fro = CLK_MUX_DEFINE(FRG[0].FRGCLKSEL, 0U), -
    -  kFRG0_Clk_From_MainClk = CLK_MUX_DEFINE(FRG[0].FRGCLKSEL, 1U), -
    -  kCLKOUT_From_Fro = CLK_MUX_DEFINE(CLKOUTSEL, 0U), -
    -  kCLKOUT_From_MainClk = CLK_MUX_DEFINE(CLKOUTSEL, 1U), -
    -  kCLKOUT_From_ExtClk = CLK_MUX_DEFINE(CLKOUTSEL, 3U), -
    -  kCLKOUT_From_Lposc = CLK_MUX_DEFINE(CLKOUTSEL, 4U) -
    - }
     Clock Mux Switches CLK_MUX_DEFINE(reg, mux) reg is used to define the mux register mux is used to define the mux value. More...
     
    enum  clock_divider_t {
    -  kCLOCK_DivAhbClk = CLK_DIV_DEFINE(SYSAHBCLKDIV), -
    -  kCLOCK_DivAdcClk = CLK_DIV_DEFINE(ADCCLKDIV), -
    -  kCLOCK_DivClkOut = CLK_DIV_DEFINE(CLKOUTDIV) -
    - }
     Clock divider. More...
     
    enum  clock_fro_osc_freq_t {
    -  kCLOCK_FroOscOut18M = 18000U, -
    -  kCLOCK_FroOscOut24M = 24000U, -
    -  kCLOCK_FroOscOut30M = 30000U -
    - }
     fro output frequency source definition More...
     
    enum  clock_main_clk_src_t {
    -  kCLOCK_MainClkSrcFro = CLK_MAIN_CLK_MUX_DEFINE(0U, 0U), -
    -  kCLOCK_MainClkSrcExtClk = CLK_MAIN_CLK_MUX_DEFINE(1U, 0U), -
    -  kCLOCK_MainClkSrcLPOsc = CLK_MAIN_CLK_MUX_DEFINE(2U, 0U), -
    -  kCLOCK_MainClkSrcFroDiv = CLK_MAIN_CLK_MUX_DEFINE(3U, 0U) -
    - }
     PLL clock definition. More...
     
    - - - - - - - - - - -

    -Variables

    volatile uint32_t g_LP_Osc_Freq
     lower power oscilltor clock frequency. More...
     
    volatile uint32_t g_Ext_Clk_Freq
     external clock frequency. More...
     
    volatile uint32_t g_Fro_Osc_Freq
     external clock frequency. More...
     
    - - - - -

    -Driver version

    #define FSL_CLOCK_DRIVER_VERSION   (MAKE_VERSION(2, 3, 3))
     CLOCK driver version 2.3.3. More...
     
    - - - - - - - - - - - - - - - - - - - -

    -Clock gate, mux, and divider.

    PLL configuration structure

    -
    -static void CLOCK_EnableClock (clock_ip_name_t clk)
     
    -static void CLOCK_DisableClock (clock_ip_name_t clk)
     
    -static void CLOCK_Select (clock_select_t sel)
     
    -static void CLOCK_SetClkDivider (clock_divider_t name, uint32_t value)
     
    -static uint32_t CLOCK_GetClkDivider (clock_divider_t name)
     
    -static void CLOCK_SetCoreSysClkDiv (uint32_t value)
     
    void CLOCK_SetMainClkSrc (clock_main_clk_src_t src)
     Set main clock reference source. More...
     
    -static void CLOCK_SetFRGClkMul (uint32_t *base, uint32_t mul)
     
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

    -Get frequency

    Set FRO clock source

    -
    Parameters
    - - -
    src,pleasereference _clock_fro_src definition.
    -
    -
    -
    uint32_t CLOCK_GetFRG0ClkFreq (void)
     Return Frequency of FRG0 Clock. More...
     
    uint32_t CLOCK_GetMainClkFreq (void)
     Return Frequency of Main Clock. More...
     
    uint32_t CLOCK_GetFroFreq (void)
     Return Frequency of FRO. More...
     
    static uint32_t CLOCK_GetCoreSysClkFreq (void)
     Return Frequency of core. More...
     
    uint32_t CLOCK_GetClockOutClkFreq (void)
     Return Frequency of ClockOut. More...
     
    uint32_t CLOCK_GetUart0ClkFreq (void)
     Get UART0 frequency. More...
     
    uint32_t CLOCK_GetUart1ClkFreq (void)
     Get UART1 frequency. More...
     
    uint32_t CLOCK_GetFreq (clock_name_t clockName)
     Return Frequency of selected clock. More...
     
    static uint32_t CLOCK_GetLPOscFreq (void)
     Get watch dog OSC frequency. More...
     
    static uint32_t CLOCK_GetExtClkFreq (void)
     Get external clock frequency. More...
     
    - - - - - -

    -Fractional clock operations

    System PLL initialize.

    -
    Parameters
    - - -
    configSystem PLL configurations.
    -
    -
    -
    bool CLOCK_SetFRG0ClkFreq (uint32_t freq)
     Set FRG0 output frequency. More...
     
    - - - - - - - - - - -

    -External/internal oscillator clock operations

    void CLOCK_InitExtClkin (uint32_t clkInFreq)
     Init external CLK IN, select the CLKIN as the external clock source. More...
     
    -static void CLOCK_DeinitLpOsc (void)
     Deinit watch dog OSC.
     
    void CLOCK_SetFroOscFreq (clock_fro_osc_freq_t freq)
     Set FRO oscillator output frequency. More...
     
    -

    Macro Definition Documentation

    - -
    -
    - - - - -
    #define FSL_CLOCK_DRIVER_VERSION   (MAKE_VERSION(2, 3, 3))
    -
    - -
    -
    - -
    -
    - - - - -
    #define CLOCK_FRO_SETTING_API_ROM_ADDRESS   (0x0F001CD3U)
    -
    - -
    -
    - -
    -
    - - - - -
    #define ADC_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: Adc.
    Definition: fsl_clock.h:209
    -
    -
    -
    - -
    -
    - - - - -
    #define ACMP_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: Acmp.
    Definition: fsl_clock.h:207
    -
    -
    -
    - -
    -
    - - - - -
    #define DAC_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: Dac.
    Definition: fsl_clock.h:211
    -
    -
    -
    - -
    -
    - - - - -
    #define SWM_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: Swm.
    Definition: fsl_clock.h:198
    -
    -
    -
    - -
    -
    - - - - -
    #define ROM_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: Rom.
    Definition: fsl_clock.h:193
    -
    -
    -
    - -
    -
    - - - - -
    #define SRAM_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: Ram0.
    Definition: fsl_clock.h:194
    -
    -
    -
    - -
    -
    - - - - -
    #define IOCON_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: Iocon.
    Definition: fsl_clock.h:206
    -
    -
    -
    - -
    -
    - - - - -
    #define GPIO_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: Gpio0.
    Definition: fsl_clock.h:197
    -
    -
    -
    - -
    -
    - - - - -
    #define GPIO_INT_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: GpioInt.
    Definition: fsl_clock.h:212
    -
    -
    -
    - -
    -
    - - - - -
    #define CRC_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: Crc.
    Definition: fsl_clock.h:202
    -
    -
    -
    - -
    -
    - - - - -
    #define WWDT_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: Wwdt.
    Definition: fsl_clock.h:205
    -
    -
    -
    - -
    -
    - - - - -
    #define SCT_CLOCKS
    -
    -Value:
    { \
    -
    kCLOCK_Sct, \
    -
    }
    -
    -
    -
    - -
    -
    - - - - -
    #define I2C_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: I2c1.
    Definition: fsl_clock.h:208
    -
    Clock gate name: I2c0.
    Definition: fsl_clock.h:196
    -
    -
    -
    - -
    -
    - - - - -
    #define USART_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: Uart0.
    Definition: fsl_clock.h:203
    -
    Clock gate name: Uart1.
    Definition: fsl_clock.h:204
    -
    -
    -
    - -
    -
    - - - - -
    #define SPI_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: Spi0.
    Definition: fsl_clock.h:201
    -
    -
    -
    - -
    -
    - - - - -
    #define CAPT_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: Capt.
    Definition: fsl_clock.h:213
    -
    -
    -
    - -
    -
    - - - - -
    #define CTIMER_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: Ctimer0.
    Definition: fsl_clock.h:210
    -
    -
    -
    - -
    -
    - - - - -
    #define MRT_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: Mrt.
    Definition: fsl_clock.h:200
    -
    -
    -
    - -
    -
    - - - - -
    #define WKT_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: Wkt.
    Definition: fsl_clock.h:199
    -
    -
    -
    - -
    -
    - - - - -
    #define PLU_CLOCKS
    -
    -Value:
    { \
    - -
    }
    -
    Clock gate name: PLU.
    Definition: fsl_clock.h:214
    -
    -
    -
    - -
    -
    - - - - - - - - - - - - - - - - - - -
    #define CLK_GATE_DEFINE( reg,
     bit 
    )   ((((reg)&0xFFU) << 8U) | ((bit)&0xFFU))
    -
    - -
    -
    -

    Enumeration Type Documentation

    - -
    -
    - - - - -
    enum clock_ip_name_t
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - -
    Enumerator
    kCLOCK_IpInvalid  -

    Invalid Ip Name.

    -
    kCLOCK_Sys  -

    Clock gate name: Sys.

    -
    kCLOCK_Rom  -

    Clock gate name: Rom.

    -
    kCLOCK_Ram0  -

    Clock gate name: Ram0.

    -
    kCLOCK_Flash  -

    Clock gate name: Flash.

    -
    kCLOCK_I2c0  -

    Clock gate name: I2c0.

    -
    kCLOCK_Gpio0  -

    Clock gate name: Gpio0.

    -
    kCLOCK_Swm  -

    Clock gate name: Swm.

    -
    kCLOCK_Wkt  -

    Clock gate name: Wkt.

    -
    kCLOCK_Mrt  -

    Clock gate name: Mrt.

    -
    kCLOCK_Spi0  -

    Clock gate name: Spi0.

    -
    kCLOCK_Crc  -

    Clock gate name: Crc.

    -
    kCLOCK_Uart0  -

    Clock gate name: Uart0.

    -
    kCLOCK_Uart1  -

    Clock gate name: Uart1.

    -
    kCLOCK_Wwdt  -

    Clock gate name: Wwdt.

    -
    kCLOCK_Iocon  -

    Clock gate name: Iocon.

    -
    kCLOCK_Acmp  -

    Clock gate name: Acmp.

    -
    kCLOCK_I2c1  -

    Clock gate name: I2c1.

    -
    kCLOCK_Adc  -

    Clock gate name: Adc.

    -
    kCLOCK_Ctimer0  -

    Clock gate name: Ctimer0.

    -
    kCLOCK_Dac  -

    Clock gate name: Dac.

    -
    kCLOCK_GpioInt  -

    Clock gate name: GpioInt.

    -
    kCLOCK_Capt  -

    Clock gate name: Capt.

    -
    kCLOCK_PLU  -

    Clock gate name: PLU.

    -
    - -
    -
    - -
    -
    - - - - -
    enum clock_name_t
    -
    - - - - - - - - -
    Enumerator
    kCLOCK_CoreSysClk  -

    Cpu/AHB/AHB matrix/Memories,etc.

    -
    kCLOCK_MainClk  -

    Main clock.

    -
    kCLOCK_Fro  -

    FRO18/24/30.

    -
    kCLOCK_FroDiv  -

    FRO div clock.

    -
    kCLOCK_ExtClk  -

    External Clock.

    -
    kCLOCK_LPOsc  -

    Watchdog Oscillator.

    -
    kCLOCK_Frg0  -

    fractional rate0

    -
    - -
    -
    - -
    -
    - - - - -
    enum clock_select_t
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Enumerator
    kCAPT_Clk_From_Fro  -

    Mux CAPT_Clk from Fro.

    -
    kCAPT_Clk_From_MainClk  -

    Mux CAPT_Clk from MainClk.

    -
    kCAPT_Clk_From_Fro_Div  -

    Mux CAPT_Clk from Fro_Div.

    -
    kCAPT_Clk_From_LPOsc  -

    Mux CAPT_Clk from LPOsc.

    -
    kADC_Clk_From_Fro  -

    Mux ADC_Clk from Fro.

    -
    kADC_Clk_From_Extclk  -

    Mux ADC_Clk from Extclk.

    -
    kUART0_Clk_From_Fro  -

    Mux UART0_Clk from Fro.

    -
    kUART0_Clk_From_MainClk  -

    Mux UART0_Clk from MainClk.

    -
    kUART0_Clk_From_Frg0Clk  -

    Mux UART0_Clk from Frg0Clk.

    -
    kUART0_Clk_From_Fro_Div  -

    Mux UART0_Clk from Fro_Div.

    -
    kUART1_Clk_From_Fro  -

    Mux UART1_Clk from Fro.

    -
    kUART1_Clk_From_MainClk  -

    Mux UART1_Clk from MainClk.

    -
    kUART1_Clk_From_Frg0Clk  -

    Mux UART1_Clk from Frg0Clk.

    -
    kUART1_Clk_From_Fro_Div  -

    Mux UART1_Clk from Fro_Div.

    -
    kI2C0_Clk_From_Fro  -

    Mux I2C0_Clk from Fro.

    -
    kI2C0_Clk_From_MainClk  -

    Mux I2C0_Clk from MainClk.

    -
    kI2C0_Clk_From_Frg0Clk  -

    Mux I2C0_Clk from Frg0Clk.

    -
    kI2C0_Clk_From_Fro_Div  -

    Mux I2C0_Clk from Fro_Div.

    -
    kI2C1_Clk_From_Fro  -

    Mux I2C1_Clk from Fro.

    -
    kI2C1_Clk_From_MainClk  -

    Mux I2C1_Clk from MainClk.

    -
    kI2C1_Clk_From_Frg0Clk  -

    Mux I2C1_Clk from Frg0Clk.

    -
    kI2C1_Clk_From_Fro_Div  -

    Mux I2C1_Clk from Fro_Div.

    -
    kSPI0_Clk_From_Fro  -

    Mux SPI0_Clk from Fro.

    -
    kSPI0_Clk_From_MainClk  -

    Mux SPI0_Clk from MainClk.

    -
    kSPI0_Clk_From_Frg0Clk  -

    Mux SPI0_Clk from Frg0Clk.

    -
    kSPI0_Clk_From_Fro_Div  -

    Mux SPI0_Clk from Fro_Div.

    -
    kFRG0_Clk_From_Fro  -

    Mux FRG0_Clk from Fro.

    -
    kFRG0_Clk_From_MainClk  -

    Mux FRG0_Clk from MainClk.

    -
    kCLKOUT_From_Fro  -

    Mux CLKOUT from Fro.

    -
    kCLKOUT_From_MainClk  -

    Mux CLKOUT from MainClk.

    -
    kCLKOUT_From_ExtClk  -

    Mux CLKOUT from ExtClk.

    -
    kCLKOUT_From_Lposc  -

    Mux CLKOUT from Lposc.

    -
    - -
    -
    - -
    -
    - - - - -
    enum clock_divider_t
    -
    - - - - -
    Enumerator
    kCLOCK_DivAhbClk  -

    Ahb Clock Divider.

    -
    kCLOCK_DivAdcClk  -

    Adc Clock Divider.

    -
    kCLOCK_DivClkOut  -

    Clk Out Divider.

    -
    - -
    -
    - -
    -
    - - - - -
    enum clock_fro_osc_freq_t
    -
    -

    fro oscillator output frequency value definition

    - - - - -
    Enumerator
    kCLOCK_FroOscOut18M  -

    FRO oscillator output 18M.

    -
    kCLOCK_FroOscOut24M  -

    FRO oscillator output 24M.

    -
    kCLOCK_FroOscOut30M  -

    FRO oscillator output 30M.

    -
    - -
    -
    - -
    -
    - - - - -
    enum clock_main_clk_src_t
    -
    -

    < Main clock source definition

    - - - - - -
    Enumerator
    kCLOCK_MainClkSrcFro  -

    main clock source from FRO

    -
    kCLOCK_MainClkSrcExtClk  -

    main clock source from Ext clock

    -
    kCLOCK_MainClkSrcLPOsc  -

    main clock source from lower power oscillator

    -
    kCLOCK_MainClkSrcFroDiv  -

    main clock source from FRO Div

    -
    - -
    -
    -

    Function Documentation

    - -
    -
    - - - - - - - - -
    void CLOCK_SetMainClkSrc (clock_main_clk_src_t src)
    -
    -
    Parameters
    - - -
    srcReference clock_main_clk_src_t to set the main clock source.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - -
    uint32_t CLOCK_GetFRG0ClkFreq (void )
    -
    -
    Returns
    Frequency of FRG0 Clock.
    - -
    -
    - -
    -
    - - - - - - - - -
    uint32_t CLOCK_GetMainClkFreq (void )
    -
    -
    Returns
    Frequency of Main Clock.
    - -
    -
    - -
    -
    - - - - - - - - -
    uint32_t CLOCK_GetFroFreq (void )
    -
    -
    Returns
    Frequency of FRO.
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - -
    static uint32_t CLOCK_GetCoreSysClkFreq (void )
    -
    -inlinestatic
    -
    -
    Returns
    Frequency of core.
    - -
    -
    - -
    -
    - - - - - - - - -
    uint32_t CLOCK_GetClockOutClkFreq (void )
    -
    -
    Returns
    Frequency of ClockOut
    - -
    -
    - -
    -
    - - - - - - - - -
    uint32_t CLOCK_GetUart0ClkFreq (void )
    -
    -
    Return values
    - - -
    UART0frequency value.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - -
    uint32_t CLOCK_GetUart1ClkFreq (void )
    -
    -
    Return values
    - - -
    UART1frequency value.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - -
    uint32_t CLOCK_GetFreq (clock_name_t clockName)
    -
    -
    Returns
    Frequency of selected clock
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - -
    static uint32_t CLOCK_GetLPOscFreq (void )
    -
    -inlinestatic
    -
    -
    Return values
    - - -
    watchdog OSC frequency value.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - -
    static uint32_t CLOCK_GetExtClkFreq (void )
    -
    -inlinestatic
    -
    -
    Return values
    - - -
    externalclock frequency value.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - -
    bool CLOCK_SetFRG0ClkFreq (uint32_t freq)
    -
    -
    Parameters
    - - -
    freqtarget output frequency,freq < input and (input / freq) < 2 should be satisfy.
    -
    -
    -
    Return values
    - - -
    true- successfully, false - input argument is invalid.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - -
    void CLOCK_InitExtClkin (uint32_t clkInFreq)
    -
    -
    Parameters
    - - -
    clkInFreqexternal clock in frequency.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - -
    void CLOCK_SetFroOscFreq (clock_fro_osc_freq_t freq)
    -
    -

    Initialize the FRO clock to given frequency (18, 24 or 30 MHz).

    -
    Parameters
    - - -
    freqPlease refer to definition of clock_fro_osc_freq_t, frequency must be one of 18000, 24000 or 30000 KHz.
    -
    -
    - -
    -
    -

    Variable Documentation

    - -
    -
    - - - - -
    volatile uint32_t g_LP_Osc_Freq
    -
    -

    This variable is used to store the lower power oscillator frequency which is set by CLOCK_InitLPOsc, and it is returned by CLOCK_GetLPOscFreq.

    - -
    -
    - -
    -
    - - - - -
    volatile uint32_t g_Ext_Clk_Freq
    -
    -

    This variable is used to store the external clock frequency which is include external oscillator clock and external clk in clock frequency value, it is set by CLOCK_InitExtClkin when CLK IN is used as external clock or by CLOCK_InitSysOsc when external oscillator is used as external clock ,and it is returned by CLOCK_GetExtClkFreq.

    - -
    -
    - -
    -
    - - - - -
    volatile uint32_t g_Fro_Osc_Freq
    -
    -

    This variable is used to store the FRO osc clock frequency.

    - -
    -
    -
    -
    - - - - - diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00078.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00078.js deleted file mode 100644 index eea4aab..0000000 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00078.js +++ /dev/null @@ -1,130 +0,0 @@ -var a00078 = -[ - [ "FSL_CLOCK_DRIVER_VERSION", "a00078.html#ga0c0cf401613f31feb4a9eb723ad51154", null ], - [ "CLOCK_FRO_SETTING_API_ROM_ADDRESS", "a00078.html#gaf4232ca3ff8c9ea3d8b3cbe4bac6d87a", null ], - [ "CLOCK_FAIM_BASE", "a00078.html#ga0da8ff794475b44b15cda07999bc8678", null ], - [ "ADC_CLOCKS", "a00078.html#gaef5bfe2c53a7ededa861c4e414cdbf36", null ], - [ "ACMP_CLOCKS", "a00078.html#gaa540fadbafefad92f9f7ae3f63b7a45c", null ], - [ "DAC_CLOCKS", "a00078.html#gab3c8a974e25f615fe023579c847fae6c", null ], - [ "SWM_CLOCKS", "a00078.html#gad0c6602129535798b81d753e67cabc99", null ], - [ "ROM_CLOCKS", "a00078.html#gaffc6cb67ee605165b0660db6031d5871", null ], - [ "SRAM_CLOCKS", "a00078.html#ga06cf7a516d7600127c0af0e1e0cc5759", null ], - [ "IOCON_CLOCKS", "a00078.html#ga7c6017a8657328bdaad58beb19de84e1", null ], - [ "GPIO_CLOCKS", "a00078.html#gac86986db423673c1d9b46882ccd6b3a9", null ], - [ "GPIO_INT_CLOCKS", "a00078.html#ga4b1754d575449d4dab7f7e3f0131650c", null ], - [ "CRC_CLOCKS", "a00078.html#ga661e3aff590ce2f086ba06745317480b", null ], - [ "WWDT_CLOCKS", "a00078.html#ga23dea91a4cc65d3b9ba93c83313496a1", null ], - [ "SCT_CLOCKS", "a00078.html#gac72477e518b30ceb277b83f555ac0d9e", null ], - [ "I2C_CLOCKS", "a00078.html#gac0c74371f4f724c78ef233de79c3cf14", null ], - [ "USART_CLOCKS", "a00078.html#ga2172b1f5c29b7d0bf0fe8a9c9d45ed43", null ], - [ "SPI_CLOCKS", "a00078.html#ga2323a706e6e7796fa2e352a5b5a70f59", null ], - [ "CAPT_CLOCKS", "a00078.html#gad73456f246d91b7e9dcbe6c6fc180a2f", null ], - [ "CTIMER_CLOCKS", "a00078.html#gabdb5fc301b32e8998fb9b52e86c5cc1a", null ], - [ "MRT_CLOCKS", "a00078.html#gac3a1bd1656603951722b345b45f888e9", null ], - [ "WKT_CLOCKS", "a00078.html#ga24f65b321ca1b862cf159bec396453bd", null ], - [ "PLU_CLOCKS", "a00078.html#gadfccab39614758cd5e147aafb5157610", null ], - [ "CLK_GATE_DEFINE", "a00078.html#gaea083b34e0ae608a94fce91da973a544", null ], - [ "clock_ip_name_t", "a00078.html#ga23c8b3ae62f7865b2e228408be95946d", [ - [ "kCLOCK_IpInvalid", "a00078.html#gga23c8b3ae62f7865b2e228408be95946da11dd047399d3cf7893682897e34682de", null ], - [ "kCLOCK_Sys", "a00078.html#gga23c8b3ae62f7865b2e228408be95946da0a7890c63167a690ed5199ec17d46670", null ], - [ "kCLOCK_Rom", "a00078.html#gga23c8b3ae62f7865b2e228408be95946da1b9f348e8d5bc1715af7366be3ca87c7", null ], - [ "kCLOCK_Ram0", "a00078.html#gga23c8b3ae62f7865b2e228408be95946da89556150c0329c54c4b6150030e416ff", null ], - [ "kCLOCK_Flash", "a00078.html#gga23c8b3ae62f7865b2e228408be95946da00b88c0e9bb08d8b622140c46416d4b9", null ], - [ "kCLOCK_I2c0", "a00078.html#gga23c8b3ae62f7865b2e228408be95946dac6e6ee6e9f7b28e5c3818324c852b05f", null ], - [ "kCLOCK_Gpio0", "a00078.html#gga23c8b3ae62f7865b2e228408be95946da9ec19029dc1ad34cb7ae0091e97b7f7d", null ], - [ "kCLOCK_Swm", "a00078.html#gga23c8b3ae62f7865b2e228408be95946da63bcbbef2627c667b204eae91c3a0718", null ], - [ "kCLOCK_Wkt", "a00078.html#gga23c8b3ae62f7865b2e228408be95946dad386313192805ba225b0da24e078d387", null ], - [ "kCLOCK_Mrt", "a00078.html#gga23c8b3ae62f7865b2e228408be95946daace73b709cda5c34ba5bdf6888605f1f", null ], - [ "kCLOCK_Spi0", "a00078.html#gga23c8b3ae62f7865b2e228408be95946dac6997ec12e66b5e4fad9aa52ef6a2db0", null ], - [ "kCLOCK_Crc", "a00078.html#gga23c8b3ae62f7865b2e228408be95946daa1cfbe8497997fc6dff0d7f0c070aa5a", null ], - [ "kCLOCK_Uart0", "a00078.html#gga23c8b3ae62f7865b2e228408be95946da26876b4bdecc15c46c379a289441b3c6", null ], - [ "kCLOCK_Uart1", "a00078.html#gga23c8b3ae62f7865b2e228408be95946da1d4898f8634e2f18e6a154e2b1a4120e", null ], - [ "kCLOCK_Wwdt", "a00078.html#gga23c8b3ae62f7865b2e228408be95946daf1e8b91e80a3a9a4db038c0b4cf7e381", null ], - [ "kCLOCK_Iocon", "a00078.html#gga23c8b3ae62f7865b2e228408be95946da909ccfe84f4275dd7b6ba09235583230", null ], - [ "kCLOCK_Acmp", "a00078.html#gga23c8b3ae62f7865b2e228408be95946da5c44f9347603d8c7fd8eda74e3b3e40f", null ], - [ "kCLOCK_I2c1", "a00078.html#gga23c8b3ae62f7865b2e228408be95946da689e957d82ca723a1a0cc671ba216bf5", null ], - [ "kCLOCK_Adc", "a00078.html#gga23c8b3ae62f7865b2e228408be95946da2bc16e3ee343b4abfc46ae9506461e97", null ], - [ "kCLOCK_Ctimer0", "a00078.html#gga23c8b3ae62f7865b2e228408be95946dad2e9ebc3825a65fae3c13efbc805a128", null ], - [ "kCLOCK_Dac", "a00078.html#gga23c8b3ae62f7865b2e228408be95946da32dc8a8723c16dbf0af95927f54a4dcc", null ], - [ "kCLOCK_GpioInt", "a00078.html#gga23c8b3ae62f7865b2e228408be95946da3922459ccb3f2fd90ac39813c0dc39f0", null ], - [ "kCLOCK_Capt", "a00078.html#gga23c8b3ae62f7865b2e228408be95946da0ffae7aa0d9dbc52524beb5f82ced038", null ], - [ "kCLOCK_PLU", "a00078.html#gga23c8b3ae62f7865b2e228408be95946da9facf6722503308f657e1d1da679065a", null ] - ] ], - [ "clock_name_t", "a00078.html#gaf74854e9bcee544d7646c5bafdc00bd3", [ - [ "kCLOCK_CoreSysClk", "a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a1de4a40f5399eb025d5faefda7491918", null ], - [ "kCLOCK_MainClk", "a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a86d48329b3492ab94a5da3925c73622f", null ], - [ "kCLOCK_Fro", "a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01a946b874db04d12aba4c3bbe461580", null ], - [ "kCLOCK_FroDiv", "a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01d0c099adda9e435281c887b12095a8", null ], - [ "kCLOCK_ExtClk", "a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a30e6333c132740b84bf2ce94b8c44a8f", null ], - [ "kCLOCK_LPOsc", "a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3aab25a81f1aefcd33b6de1e10e3169c41", null ], - [ "kCLOCK_Frg0", "a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3aa27972710b7df62d66918584843aee06", null ] - ] ], - [ "clock_select_t", "a00078.html#ga59a363f0296ea51011dd86b405d5db58", [ - [ "kCAPT_Clk_From_Fro", "a00078.html#gga59a363f0296ea51011dd86b405d5db58af1d8576caccfd1e48d121fae4315c10d", null ], - [ "kCAPT_Clk_From_MainClk", "a00078.html#gga59a363f0296ea51011dd86b405d5db58a28b61eb46fb752a05934e2d44450acc0", null ], - [ "kCAPT_Clk_From_Fro_Div", "a00078.html#gga59a363f0296ea51011dd86b405d5db58abae02f94616cc17800067d5601943b5e", null ], - [ "kCAPT_Clk_From_LPOsc", "a00078.html#gga59a363f0296ea51011dd86b405d5db58aea7f16ca2ff13032c4cc33a2c45332ae", null ], - [ "kADC_Clk_From_Fro", "a00078.html#gga59a363f0296ea51011dd86b405d5db58a91f272ba2ca7171ce0689d39f9a894af", null ], - [ "kADC_Clk_From_Extclk", "a00078.html#gga59a363f0296ea51011dd86b405d5db58a62d1ae5b5b1bb400be6618e4e2ad45ea", null ], - [ "kUART0_Clk_From_Fro", "a00078.html#gga59a363f0296ea51011dd86b405d5db58a67d2eb5235a0677d1ef161e5e1c2b340", null ], - [ "kUART0_Clk_From_MainClk", "a00078.html#gga59a363f0296ea51011dd86b405d5db58a841785f980e4c406eeecdcf07559fe66", null ], - [ "kUART0_Clk_From_Frg0Clk", "a00078.html#gga59a363f0296ea51011dd86b405d5db58ad0784125581fd7bf09f4b3bab3af725d", null ], - [ "kUART0_Clk_From_Fro_Div", "a00078.html#gga59a363f0296ea51011dd86b405d5db58af06770587aa45bbb62d1e4fe36d03d81", null ], - [ "kUART1_Clk_From_Fro", "a00078.html#gga59a363f0296ea51011dd86b405d5db58a9fbd759cc60932bb0896625543477290", null ], - [ "kUART1_Clk_From_MainClk", "a00078.html#gga59a363f0296ea51011dd86b405d5db58a066679b9e0a01ccd0aad6e6caa643f2f", null ], - [ "kUART1_Clk_From_Frg0Clk", "a00078.html#gga59a363f0296ea51011dd86b405d5db58af45a833dead43235df03a982dc25ea33", null ], - [ "kUART1_Clk_From_Fro_Div", "a00078.html#gga59a363f0296ea51011dd86b405d5db58a1b183d67629d90ddc1a9b9baf2ebc285", null ], - [ "kI2C0_Clk_From_Fro", "a00078.html#gga59a363f0296ea51011dd86b405d5db58ab8065d6f5e9b16e9410feccef7f1c10b", null ], - [ "kI2C0_Clk_From_MainClk", "a00078.html#gga59a363f0296ea51011dd86b405d5db58ab434281b6471a116a444172bf8a7bf91", null ], - [ "kI2C0_Clk_From_Frg0Clk", "a00078.html#gga59a363f0296ea51011dd86b405d5db58a92f67286aebd8e0fde633cf4b3bd8b57", null ], - [ "kI2C0_Clk_From_Fro_Div", "a00078.html#gga59a363f0296ea51011dd86b405d5db58a9fe34f41e6cfa3cd3a0ef15ba9184bb1", null ], - [ "kI2C1_Clk_From_Fro", "a00078.html#gga59a363f0296ea51011dd86b405d5db58ae19e4e49559079c2f95f998c37029642", null ], - [ "kI2C1_Clk_From_MainClk", "a00078.html#gga59a363f0296ea51011dd86b405d5db58a5cff4ddf26d639e435ce79fe5e83d866", null ], - [ "kI2C1_Clk_From_Frg0Clk", "a00078.html#gga59a363f0296ea51011dd86b405d5db58ac891ded4c265bfab790d733acbacb753", null ], - [ "kI2C1_Clk_From_Fro_Div", "a00078.html#gga59a363f0296ea51011dd86b405d5db58a8670e7d6a9f2afb045fbf54dc13c4bb2", null ], - [ "kSPI0_Clk_From_Fro", "a00078.html#gga59a363f0296ea51011dd86b405d5db58a225c7ee90596ed70ba883f4db61aa8a7", null ], - [ "kSPI0_Clk_From_MainClk", "a00078.html#gga59a363f0296ea51011dd86b405d5db58ae27646652709428349bea6c7044c070e", null ], - [ "kSPI0_Clk_From_Frg0Clk", "a00078.html#gga59a363f0296ea51011dd86b405d5db58a845c271da53362455548e3a2d785e46f", null ], - [ "kSPI0_Clk_From_Fro_Div", "a00078.html#gga59a363f0296ea51011dd86b405d5db58aad0657c34aef515cd39d693c8a7896b2", null ], - [ "kFRG0_Clk_From_Fro", "a00078.html#gga59a363f0296ea51011dd86b405d5db58afa871e16cf926d8a08dce1a52f418066", null ], - [ "kFRG0_Clk_From_MainClk", "a00078.html#gga59a363f0296ea51011dd86b405d5db58a1fe8170ca9a5fcee3e0b3fa1453eb481", null ], - [ "kCLKOUT_From_Fro", "a00078.html#gga59a363f0296ea51011dd86b405d5db58af2ea89b865003dc40f8923f67d7bb366", null ], - [ "kCLKOUT_From_MainClk", "a00078.html#gga59a363f0296ea51011dd86b405d5db58a20d47beaa61ee59b61c34fa4f7e30d21", null ], - [ "kCLKOUT_From_ExtClk", "a00078.html#gga59a363f0296ea51011dd86b405d5db58a8671f2c92f6e049ed8b2f3b7a5b9b296", null ], - [ "kCLKOUT_From_Lposc", "a00078.html#gga59a363f0296ea51011dd86b405d5db58a34737a1ca71167db472e07d6d9bd4b39", null ] - ] ], - [ "clock_divider_t", "a00078.html#gac344a8334a482bcbcbdf0c4828db872c", [ - [ "kCLOCK_DivAhbClk", "a00078.html#ggac344a8334a482bcbcbdf0c4828db872caf4f25357ef9794d7d72417977d27ffb2", null ], - [ "kCLOCK_DivAdcClk", "a00078.html#ggac344a8334a482bcbcbdf0c4828db872ca7b3998fdf96eb884e35ff56f40feffbd", null ], - [ "kCLOCK_DivClkOut", "a00078.html#ggac344a8334a482bcbcbdf0c4828db872caa7236a2c00237ca7ee5b2ff55058ded6", null ] - ] ], - [ "clock_fro_osc_freq_t", "a00078.html#ga85bf7440c0d77269e3db764083110334", [ - [ "kCLOCK_FroOscOut18M", "a00078.html#gga85bf7440c0d77269e3db764083110334a60f89d729c96295d1aaa741a412d58ab", null ], - [ "kCLOCK_FroOscOut24M", "a00078.html#gga85bf7440c0d77269e3db764083110334a01135115df0c0b0525f2b1391ee9d9ca", null ], - [ "kCLOCK_FroOscOut30M", "a00078.html#gga85bf7440c0d77269e3db764083110334a29c4fdcb48fba40928b278765d0e3843", null ] - ] ], - [ "clock_main_clk_src_t", "a00078.html#gab68022e8d8f60a72f86a6a6c13b6e2f4", [ - [ "kCLOCK_MainClkSrcFro", "a00078.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a0aecd9451c5bf4525fe17a7b4734f58f", null ], - [ "kCLOCK_MainClkSrcExtClk", "a00078.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a85dbf0a96c672abcfbc08dfc231088d6", null ], - [ "kCLOCK_MainClkSrcLPOsc", "a00078.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4acb9810ab5676cca7da756b2c28205c12", null ], - [ "kCLOCK_MainClkSrcFroDiv", "a00078.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4afa92bbf8fcfb74ceea172667409f7643", null ] - ] ], - [ "CLOCK_SetMainClkSrc", "a00078.html#gaa6be3b84fd2a57ec1165954d6c4564e8", null ], - [ "CLOCK_GetFRG0ClkFreq", "a00078.html#ga7dd0bd48c4a5a68fef7f9524d8999b4b", null ], - [ "CLOCK_GetMainClkFreq", "a00078.html#gaaa964f2c87ddd18a09c5201e22925fe6", null ], - [ "CLOCK_GetFroFreq", "a00078.html#gafe0b410109a29c465df0b4dcbb338ff3", null ], - [ "CLOCK_GetCoreSysClkFreq", "a00078.html#gaca0f0dcb61434d727340ddde5f131d91", null ], - [ "CLOCK_GetClockOutClkFreq", "a00078.html#gaf85cf02f515cd756873bf2a74ee143e2", null ], - [ "CLOCK_GetUart0ClkFreq", "a00078.html#ga47b7ce0a4c83a359f4bc1f2714a13761", null ], - [ "CLOCK_GetUart1ClkFreq", "a00078.html#ga10c8c8ccc077404a00e1121b5d18e4a8", null ], - [ "CLOCK_GetFreq", "a00078.html#ga53acae220d651789bb505c53c73ecf2b", null ], - [ "CLOCK_GetLPOscFreq", "a00078.html#ga5a9205e0888bdc8579d3851313662671", null ], - [ "CLOCK_GetExtClkFreq", "a00078.html#ga2d33480c8967a6bac98512e0c47c6b07", null ], - [ "CLOCK_SetFRG0ClkFreq", "a00078.html#ga4eb9737ada73b9a575250de526433dff", null ], - [ "CLOCK_InitExtClkin", "a00078.html#ga6506e7cdad8dcf18347cdcb1acd8c17d", null ], - [ "CLOCK_DeinitLpOsc", "a00078.html#gabfcd2e2eae3bb847bb6268557ad9e50f", null ], - [ "CLOCK_SetFroOscFreq", "a00078.html#ga4f99eead2ff26c245d72917e9308ac1d", null ], - [ "g_LP_Osc_Freq", "a00078.html#gaee8dd95ea14cf8363042356e9fb2e5cb", null ], - [ "g_Ext_Clk_Freq", "a00078.html#ga386d59c21453d1914f3c8194010ff277", null ], - [ "g_Fro_Osc_Freq", "a00078.html#ga62ebb12d760ba0fe5ca71ac1f7a77709", null ] -]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00079.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00079.html index 66dc575..af1b9db 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00079.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00079.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: Power Driver +MCUXpresso SDK API Reference Manual: Clock Driver @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    +

    The MCUXpresso SDK provides APIs for MCUXpresso SDK devices' clock operation.

    +

    The clock driver supports:

    +
      +
    • Clock generator (PLL, FLL, and so on) configuration
    • +
    • Clock mux and divider configuration
    • +
    • Getting clock frequency
    • +
    +

    The MCUXpresso SDK provides a peripheral clock driver for the SYSCON module of MCUXpresso SDK devices.

    +

    +Function description

    +

    Clock driver provides these functions:

    +
      +
    • Functions to initialize the Core clock to given frequency
    • +
    • Functions to configure the clock selection muxes.
    • +
    • Functions to setup peripheral clock dividers
    • +
    • Functions to set the flash wait states for the input freuqency
    • +
    • Functions to get the frequency of the selected clock
    • +
    • Functions to set PLL frequency
    • +
    +

    +SYSCON Clock frequency functions

    +

    SYSCON clock module provides clocks, such as MCLKCLK, ADCCLK, DMICCLK, MCGFLLCLK, FXCOMCLK, WDTOSC, RTCOSC, USBCLK, and SYSPLL. The functions CLOCK_EnableClock() and CLOCK_DisableClock() enables and disables the various clocks. CLOCK_SetupFROClocking() initializes the FRO to 12 MHz, 48 MHz, or 96 MHz frequency. CLOCK_SetupPLLData(), CLOCK_SetupSystemPLLPrec(), and CLOCK_SetPLLFreq() functions are used to setup the PLL. The SYSCON clock driver provides functions to get the frequency of these clocks, such as CLOCK_GetFreq(), CLOCK_GetFro12MFreq(), CLOCK_GetExtClkFreq(), CLOCK_GetWdtOscFreq(), CLOCK_GetFroHfFreq(), CLOCK_GetPllOutFreq(), CLOCK_GetOsc32KFreq(), CLOCK_GetCoreSysClkFreq(), CLOCK_GetI2SMClkFreq(), CLOCK_GetFlexCommClkFreq, and CLOCK_GetAsyncApbClkFreq.

    +

    +SYSCON clock Selection Muxes

    +

    The SYSCON clock driver provides the function to configure the clock selected. The function CLOCK_AttachClk() is implemented for this. The function selects the clock source for a particular peripheral like MAINCLK, DMIC, FLEXCOMM, USB, ADC, and PLL.

    +

    +SYSCON clock dividers

    +

    The SYSCON clock module provides the function to setup the peripheral clock dividers. The function CLOCK_SetClkDiv() configures the CLKDIV registers for various periperals like USB, DMIC, I2S, SYSTICK, AHB, ADC, and also CLKOUT and TRACE functions.

    +

    +SYSCON flash wait states

    +

    The SYSCON clock driver provides the function CLOCK_SetFLASHAccessCyclesForFreq() that configures FLASHCFG register with a selected FLASHTIM value.

    +

    +Typical use case

    +
    POWER_DisablePD(kPDRUNCFG_PD_FRO_EN); /*!< Ensure FRO is on so that we can switch to its 12MHz mode temporarily 
    + + + +

    +Files

    file  fsl_clock.h
     
    - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

    Macros

    -#define PMUC_PCON_RESERVED_MASK   ((0xf << 4) | (0x6 << 8) | 0xfffff000u)
     PMU PCON reserved mask, used to clear reserved field which should not write 1.
     
    #define CLOCK_FRO_SETTING_API_ROM_ADDRESS   (0x0F001CD3U)
     FRO clock setting API address in ROM. More...
     
    +#define CLOCK_FAIM_BASE   (0x50010000U)
     FAIM base address.
     
    #define ADC_CLOCKS
     Clock ip name array for ADC. More...
     
    #define ACMP_CLOCKS
     Clock ip name array for ACMP. More...
     
    #define DAC_CLOCKS
     Clock ip name array for DAC. More...
     
    #define SWM_CLOCKS
     Clock ip name array for SWM. More...
     
    #define ROM_CLOCKS
     Clock ip name array for ROM. More...
     
    #define SRAM_CLOCKS
     Clock ip name array for SRAM. More...
     
    #define IOCON_CLOCKS
     Clock ip name array for IOCON. More...
     
    #define GPIO_CLOCKS
     Clock ip name array for GPIO. More...
     
    #define GPIO_INT_CLOCKS
     Clock ip name array for GPIO_INT. More...
     
    #define CRC_CLOCKS
     Clock ip name array for CRC. More...
     
    #define WWDT_CLOCKS
     Clock ip name array for WWDT. More...
     
    #define SCT_CLOCKS
     Clock ip name array for SCT0. More...
     
    #define I2C_CLOCKS
     Clock ip name array for I2C. More...
     
    #define USART_CLOCKS
     Clock ip name array for I2C. More...
     
    #define SPI_CLOCKS
     Clock ip name array for SPI. More...
     
    #define CAPT_CLOCKS
     Clock ip name array for CAPT. More...
     
    #define CTIMER_CLOCKS
     Clock ip name array for CTIMER. More...
     
    #define MRT_CLOCKS
     Clock ip name array for MRT. More...
     
    #define WKT_CLOCKS
     Clock ip name array for WKT. More...
     
    #define PLU_CLOCKS
     Clock ip name array for PLU. More...
     
    #define CLK_GATE_DEFINE(reg, bit)   ((((reg)&0xFFU) << 8U) | ((bit)&0xFFU))
     Internal used Clock definition only. More...
     
    - - - - - - - - - - - - - - - - + + - - + + + + + + + + + + + + + + +

    Enumerations

    enum  _power_wakeup
     Deep sleep and power down mode wake up configurations.
     
    enum  _power_dpd_wakeup_pin
     Deep power down mode wake up pins.
     
    enum  _power_deep_sleep_active
     Deep sleep/power down mode active part.
     
    enum  power_gen_reg_t {
    -  kPmu_GenReg0 = 0U, +
    enum  clock_ip_name_t {
    +  kCLOCK_IpInvalid = 0U,
    -  kPmu_GenReg1 = 1U, +  kCLOCK_Sys = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 0U),
    -  kPmu_GenReg2 = 2U, +  kCLOCK_Rom = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 1U),
    -  kPmu_GenReg3 = 3U, +  kCLOCK_Ram0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 2U),
    -  kPmu_GenReg4 = 4U +  kCLOCK_Flash = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 4U), +
    +  kCLOCK_I2c0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 5U), +
    +  kCLOCK_Gpio0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 6U), +
    +  kCLOCK_Swm = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 7U), +
    +  kCLOCK_Wkt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 9U), +
    +  kCLOCK_Mrt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 10U), +
    +  kCLOCK_Spi0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 11U), +
    +  kCLOCK_Crc = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 13U), +
    +  kCLOCK_Uart0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 14U), +
    +  kCLOCK_Uart1 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 15U), +
    +  kCLOCK_Wwdt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 17U), +
    +  kCLOCK_Iocon = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 18U), +
    +  kCLOCK_Acmp = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 19U), +
    +  kCLOCK_I2c1 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 21U), +
    +  kCLOCK_Adc = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 24U), +
    +  kCLOCK_Ctimer0 = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 25U), +
    +  kCLOCK_Dac = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 27U), +
    +  kCLOCK_GpioInt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL0, 28U), +
    +  kCLOCK_Capt = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL1, 0U), +
    +  kCLOCK_PLU = CLK_GATE_DEFINE(SYS_AHB_CLK_CTRL1, 5U)
    }
     pmu general purpose register index More...
     
    enum  power_bod_reset_level_t { kBod_ResetLevel0 = 0U - }
     BOD reset level, if VDD below reset level value, the reset will be asserted. More...
     
    enum  power_bod_interrupt_level_t {
    -  kBod_InterruptLevelReserved = 0U, +
     Clock gate name used for CLOCK_EnableClock/CLOCK_DisableClock. More...
     
    enum  clock_name_t {
    +  kCLOCK_CoreSysClk,
    -  kBod_InterruptLevel1, +  kCLOCK_MainClk,
    -  kBod_InterruptLevel2, +  kCLOCK_Fro,
    -  kBod_InterruptLevel3 +  kCLOCK_FroDiv, +
    +  kCLOCK_ExtClk, +
    +  kCLOCK_LPOsc, +
    +  kCLOCK_Frg0
    }
     BOD interrupt level, if VDD below interrupt level value, the BOD interrupt will be asserted. More...
     
     Clock name used to get clock frequency. More...
     
    enum  clock_select_t {
    +  kCAPT_Clk_From_Fro = CLK_MUX_DEFINE(CAPTCLKSEL, 0U), +
    +  kCAPT_Clk_From_MainClk = CLK_MUX_DEFINE(CAPTCLKSEL, 1U), +
    +  kCAPT_Clk_From_Fro_Div = CLK_MUX_DEFINE(CAPTCLKSEL, 3U), +
    +  kCAPT_Clk_From_LPOsc = CLK_MUX_DEFINE(CAPTCLKSEL, 4U), +
    +  kADC_Clk_From_Fro = CLK_MUX_DEFINE(ADCCLKSEL, 0U), +
    +  kADC_Clk_From_Extclk = CLK_MUX_DEFINE(ADCCLKSEL, 1U), +
    +  kUART0_Clk_From_Fro = CLK_MUX_DEFINE(UART0CLKSEL, 0U), +
    +  kUART0_Clk_From_MainClk = CLK_MUX_DEFINE(UART0CLKSEL, 1U), +
    +  kUART0_Clk_From_Frg0Clk = CLK_MUX_DEFINE(UART0CLKSEL, 2U), +
    +  kUART0_Clk_From_Fro_Div = CLK_MUX_DEFINE(UART0CLKSEL, 4U), +
    +  kUART1_Clk_From_Fro = CLK_MUX_DEFINE(UART1CLKSEL, 0U), +
    +  kUART1_Clk_From_MainClk = CLK_MUX_DEFINE(UART1CLKSEL, 1U), +
    +  kUART1_Clk_From_Frg0Clk = CLK_MUX_DEFINE(UART1CLKSEL, 2U), +
    +  kUART1_Clk_From_Fro_Div = CLK_MUX_DEFINE(UART1CLKSEL, 4U), +
    +  kI2C0_Clk_From_Fro = CLK_MUX_DEFINE(I2C0CLKSEL, 0U), +
    +  kI2C0_Clk_From_MainClk = CLK_MUX_DEFINE(I2C0CLKSEL, 1U), +
    +  kI2C0_Clk_From_Frg0Clk = CLK_MUX_DEFINE(I2C0CLKSEL, 2U), +
    +  kI2C0_Clk_From_Fro_Div = CLK_MUX_DEFINE(I2C0CLKSEL, 4U), +
    +  kI2C1_Clk_From_Fro = CLK_MUX_DEFINE(I2C1CLKSEL, 0U), +
    +  kI2C1_Clk_From_MainClk = CLK_MUX_DEFINE(I2C1CLKSEL, 1U), +
    +  kI2C1_Clk_From_Frg0Clk = CLK_MUX_DEFINE(I2C1CLKSEL, 2U), +
    +  kI2C1_Clk_From_Fro_Div = CLK_MUX_DEFINE(I2C1CLKSEL, 4U), +
    +  kSPI0_Clk_From_Fro = CLK_MUX_DEFINE(SPI0CLKSEL, 0U), +
    +  kSPI0_Clk_From_MainClk = CLK_MUX_DEFINE(SPI0CLKSEL, 1U), +
    +  kSPI0_Clk_From_Frg0Clk = CLK_MUX_DEFINE(SPI0CLKSEL, 2U), +
    +  kSPI0_Clk_From_Fro_Div = CLK_MUX_DEFINE(SPI0CLKSEL, 4U), +
    +  kFRG0_Clk_From_Fro = CLK_MUX_DEFINE(FRG[0].FRGCLKSEL, 0U), +
    +  kFRG0_Clk_From_MainClk = CLK_MUX_DEFINE(FRG[0].FRGCLKSEL, 1U), +
    +  kCLKOUT_From_Fro = CLK_MUX_DEFINE(CLKOUTSEL, 0U), +
    +  kCLKOUT_From_MainClk = CLK_MUX_DEFINE(CLKOUTSEL, 1U), +
    +  kCLKOUT_From_ExtClk = CLK_MUX_DEFINE(CLKOUTSEL, 3U), +
    +  kCLKOUT_From_Lposc = CLK_MUX_DEFINE(CLKOUTSEL, 4U) +
    + }
     Clock Mux Switches CLK_MUX_DEFINE(reg, mux) reg is used to define the mux register mux is used to define the mux value. More...
     
    enum  clock_divider_t {
    +  kCLOCK_DivAhbClk = CLK_DIV_DEFINE(SYSAHBCLKDIV), +
    +  kCLOCK_DivAdcClk = CLK_DIV_DEFINE(ADCCLKDIV), +
    +  kCLOCK_DivClkOut = CLK_DIV_DEFINE(CLKOUTDIV) +
    + }
     Clock divider. More...
     
    enum  clock_fro_osc_freq_t {
    +  kCLOCK_FroOscOut18M = 18000U, +
    +  kCLOCK_FroOscOut24M = 24000U, +
    +  kCLOCK_FroOscOut30M = 30000U +
    + }
     fro output frequency source definition More...
     
    enum  clock_main_clk_src_t {
    +  kCLOCK_MainClkSrcFro = CLK_MAIN_CLK_MUX_DEFINE(0U, 0U), +
    +  kCLOCK_MainClkSrcExtClk = CLK_MAIN_CLK_MUX_DEFINE(1U, 0U), +
    +  kCLOCK_MainClkSrcLPOsc = CLK_MAIN_CLK_MUX_DEFINE(2U, 0U), +
    +  kCLOCK_MainClkSrcFroDiv = CLK_MAIN_CLK_MUX_DEFINE(3U, 0U) +
    + }
     PLL clock definition. More...
     
    + + + + + + + + + +

    +Variables

    volatile uint32_t g_LP_Osc_Freq
     lower power oscilltor clock frequency. More...
     
    volatile uint32_t g_Ext_Clk_Freq
     external clock frequency. More...
     
    volatile uint32_t g_Fro_Osc_Freq
     external clock frequency. More...
     
    - - - + + +

    Driver version

    #define FSL_POWER_DRIVER_VERSION   (MAKE_VERSION(2, 1, 0))
     power driver version 2.1.0. More...
     
    #define FSL_CLOCK_DRIVER_VERSION   (MAKE_VERSION(2, 3, 3))
     CLOCK driver version 2.3.3. More...
     
    - - - - - - +Clock gate, mux, and divider. + + + + + + + + + + + + + + + + + +

    -SYSCON Power Configuration

    static void POWER_EnablePD (pd_bit_t en)
     API to enable PDRUNCFG bit in the Syscon. More...
     
    static void POWER_DisablePD (pd_bit_t en)
     API to disable PDRUNCFG bit in the Syscon. More...
     

    PLL configuration structure

    +
    +static void CLOCK_EnableClock (clock_ip_name_t clk)
     
    +static void CLOCK_DisableClock (clock_ip_name_t clk)
     
    +static void CLOCK_Select (clock_select_t sel)
     
    +static void CLOCK_SetClkDivider (clock_divider_t name, uint32_t value)
     
    +static uint32_t CLOCK_GetClkDivider (clock_divider_t name)
     
    +static void CLOCK_SetCoreSysClkDiv (uint32_t value)
     
    void CLOCK_SetMainClkSrc (clock_main_clk_src_t src)
     Set main clock reference source. More...
     
    +static void CLOCK_SetFRGClkMul (uint32_t *base, uint32_t mul)
     
    - - - - - - -

    -ARM core Power Configuration

    static void POWER_EnableDeepSleep (void)
     API to enable deep sleep bit in the ARM Core. More...
     
    static void POWER_DisableDeepSleep (void)
     API to disable deep sleep bit in the ARM Core. More...
     
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

    -PMU functionality

    void POWER_EnterSleep (void)
     API to enter sleep power mode. More...
     
    void POWER_EnterDeepSleep (uint32_t activePart)
     API to enter deep sleep power mode. More...
     
    void POWER_EnterPowerDown (uint32_t activePart)
     API to enter power down mode. More...
     
    void POWER_EnterDeepPowerDownMode (void)
     API to enter deep power down mode. More...
     
    static uint32_t POWER_GetSleepModeFlag (void)
     API to get sleep mode flag. More...
     
    -static void POWER_ClrSleepModeFlag (void)
     API to clear sleep mode flag.
     
    static uint32_t POWER_GetDeepPowerDownModeFlag (void)
     API to get deep power down mode flag. More...
     
    -static void POWER_ClrDeepPowerDownModeFlag (void)
     API to clear deep power down mode flag.
     
    -static void POWER_ClrWakeupPinFlag (void)
     API to clear wake up pin status flag.
     
    static void POWER_EnableNonDpd (bool enable)
     API to enable non deep power down mode. More...
     
    static void POWER_EnableLPO (bool enable)
     API to enable LPO. More...
     
    static void POWER_WakeUpConfig (uint32_t mask, bool powerDown)
     API to config wakeup configurations for deep sleep mode and power down mode. More...
     
    static void POWER_DeepSleepConfig (uint32_t mask, bool powerDown)
     API to config active part for deep sleep mode and power down mode. More...
     
    - - + - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

    -API to enable wake up pin for deep power down mode

    +Get frequency

    Set FRO clock source

    Parameters
    - +
    wakeup_pinwake up pin for which to enable.reference _power_dpd_wakeup_pin.
    src,pleasereference _clock_fro_src definition.
    -
    Returns
    none
    -static void POWER_DeepPowerDownWakeupSourceSelect (uint32_t wakeup_pin)
     
    static void POWER_SetRetainData (power_gen_reg_t index, uint32_t data)
     API to retore data to general purpose register which can be retain during deep power down mode. More...
     
    static uint32_t POWER_GetRetainData (power_gen_reg_t index)
     API to get data from general purpose register which retain during deep power down mode. More...
     
    static void POWER_SetBodLevel (power_bod_reset_level_t resetLevel, power_bod_interrupt_level_t interruptLevel, bool enable)
     Set Bod interrupt level and reset level. More...
     
    uint32_t CLOCK_GetFRG0ClkFreq (void)
     Return Frequency of FRG0 Clock. More...
     
    uint32_t CLOCK_GetMainClkFreq (void)
     Return Frequency of Main Clock. More...
     
    uint32_t CLOCK_GetFroFreq (void)
     Return Frequency of FRO. More...
     
    static uint32_t CLOCK_GetCoreSysClkFreq (void)
     Return Frequency of core. More...
     
    uint32_t CLOCK_GetClockOutClkFreq (void)
     Return Frequency of ClockOut. More...
     
    uint32_t CLOCK_GetUart0ClkFreq (void)
     Get UART0 frequency. More...
     
    uint32_t CLOCK_GetUart1ClkFreq (void)
     Get UART1 frequency. More...
     
    uint32_t CLOCK_GetFreq (clock_name_t clockName)
     Return Frequency of selected clock. More...
     
    static uint32_t CLOCK_GetLPOscFreq (void)
     Get watch dog OSC frequency. More...
     
    static uint32_t CLOCK_GetExtClkFreq (void)
     Get external clock frequency. More...
     
    + + + + + +

    +Fractional clock operations

    System PLL initialize.

    +
    Parameters
    + + +
    configSystem PLL configurations.
    +
    +
    +
    bool CLOCK_SetFRG0ClkFreq (uint32_t freq)
     Set FRG0 output frequency. More...
     
    + + + + + + + + + +

    +External/internal oscillator clock operations

    void CLOCK_InitExtClkin (uint32_t clkInFreq)
     Init external CLK IN, select the CLKIN as the external clock source. More...
     
    +static void CLOCK_DeinitLpOsc (void)
     Deinit watch dog OSC.
     
    void CLOCK_SetFroOscFreq (clock_fro_osc_freq_t freq)
     Set FRO oscillator output frequency. More...
     

    Macro Definition Documentation

    - +
    - + + +
    #define FSL_POWER_DRIVER_VERSION   (MAKE_VERSION(2, 1, 0))#define FSL_CLOCK_DRIVER_VERSION   (MAKE_VERSION(2, 3, 3))
    +
    + +
    +
    + +
    +
    + + + + +
    #define CLOCK_FRO_SETTING_API_ROM_ADDRESS   (0x0F001CD3U)
    +
    + +
    +
    + +
    +
    + + + + +
    #define ADC_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: Adc.
    Definition: fsl_clock.h:209
    +
    +
    +
    + +
    +
    + + + + +
    #define ACMP_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: Acmp.
    Definition: fsl_clock.h:207
    +
    +
    +
    + +
    +
    + + + + +
    #define DAC_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: Dac.
    Definition: fsl_clock.h:211
    +
    +
    +
    + +
    +
    + + + + +
    #define SWM_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: Swm.
    Definition: fsl_clock.h:198
    +
    +
    +
    + +
    +
    + + + + +
    #define ROM_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: Rom.
    Definition: fsl_clock.h:193
    +
    +
    +
    + +
    +
    + + + + +
    #define SRAM_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: Ram0.
    Definition: fsl_clock.h:194
    +
    +
    +
    + +
    +
    + + + + +
    #define IOCON_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: Iocon.
    Definition: fsl_clock.h:206
    +
    +
    +
    + +
    +
    + + + + +
    #define GPIO_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: Gpio0.
    Definition: fsl_clock.h:197
    +
    +
    +
    + +
    +
    + + + + +
    #define GPIO_INT_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: GpioInt.
    Definition: fsl_clock.h:212
    +
    +
    +
    + +
    +
    + + + + +
    #define CRC_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: Crc.
    Definition: fsl_clock.h:202
    +
    +
    +
    + +
    +
    + + + + +
    #define WWDT_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: Wwdt.
    Definition: fsl_clock.h:205
    +
    +
    +
    + +
    +
    + + + + +
    #define SCT_CLOCKS
    +
    +Value:
    { \
    +
    kCLOCK_Sct, \
    +
    }
    +
    +
    +
    + +
    +
    + + + + +
    #define I2C_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: I2c1.
    Definition: fsl_clock.h:208
    +
    Clock gate name: I2c0.
    Definition: fsl_clock.h:196
    +
    +
    +
    + +
    +
    + + + + +
    #define USART_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: Uart0.
    Definition: fsl_clock.h:203
    +
    Clock gate name: Uart1.
    Definition: fsl_clock.h:204
    +
    +
    +
    + +
    +
    + + + + +
    #define SPI_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: Spi0.
    Definition: fsl_clock.h:201
    +
    +
    +
    + +
    +
    + + + + +
    #define CAPT_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: Capt.
    Definition: fsl_clock.h:213
    +
    +
    +
    + +
    +
    + + + + +
    #define CTIMER_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: Ctimer0.
    Definition: fsl_clock.h:210
    +
    +
    +
    + +
    +
    + + + + +
    #define MRT_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: Mrt.
    Definition: fsl_clock.h:200
    +
    +
    +
    + +
    +
    + + + + +
    #define WKT_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: Wkt.
    Definition: fsl_clock.h:199
    +
    +
    +
    + +
    +
    + + + + +
    #define PLU_CLOCKS
    +
    +Value:
    { \
    + +
    }
    +
    Clock gate name: PLU.
    Definition: fsl_clock.h:214
    +
    +
    +
    + +
    +
    + + + + + + + + + + + + + + + + +
    #define CLK_GATE_DEFINE( reg,
     bit 
    )   ((((reg)&0xFFU) << 8U) | ((bit)&0xFFU))
    @@ -264,112 +881,386 @@ static void 
    POWER_DeepPowe

    Enumeration Type Documentation

    - +
    - - - - - + + + + + + + + + + + + + + + + + + +
    Enumerator
    kPmu_GenReg0  -

    general purpose register0

    +
    Enumerator
    kCLOCK_IpInvalid  +

    Invalid Ip Name.

    kPmu_GenReg1  -

    general purpose register1

    +
    kCLOCK_Sys  +

    Clock gate name: Sys.

    kPmu_GenReg2  -

    general purpose register2

    +
    kCLOCK_Rom  +

    Clock gate name: Rom.

    kPmu_GenReg3  -

    general purpose register3

    +
    kCLOCK_Ram0  +

    Clock gate name: Ram0.

    kPmu_GenReg4  -

    general purpose reguster4

    +
    kCLOCK_Flash  +

    Clock gate name: Flash.

    +
    kCLOCK_I2c0  +

    Clock gate name: I2c0.

    +
    kCLOCK_Gpio0  +

    Clock gate name: Gpio0.

    +
    kCLOCK_Swm  +

    Clock gate name: Swm.

    +
    kCLOCK_Wkt  +

    Clock gate name: Wkt.

    +
    kCLOCK_Mrt  +

    Clock gate name: Mrt.

    +
    kCLOCK_Spi0  +

    Clock gate name: Spi0.

    +
    kCLOCK_Crc  +

    Clock gate name: Crc.

    +
    kCLOCK_Uart0  +

    Clock gate name: Uart0.

    +
    kCLOCK_Uart1  +

    Clock gate name: Uart1.

    +
    kCLOCK_Wwdt  +

    Clock gate name: Wwdt.

    +
    kCLOCK_Iocon  +

    Clock gate name: Iocon.

    +
    kCLOCK_Acmp  +

    Clock gate name: Acmp.

    +
    kCLOCK_I2c1  +

    Clock gate name: I2c1.

    +
    kCLOCK_Adc  +

    Clock gate name: Adc.

    +
    kCLOCK_Ctimer0  +

    Clock gate name: Ctimer0.

    +
    kCLOCK_Dac  +

    Clock gate name: Dac.

    +
    kCLOCK_GpioInt  +

    Clock gate name: GpioInt.

    +
    kCLOCK_Capt  +

    Clock gate name: Capt.

    +
    kCLOCK_PLU  +

    Clock gate name: PLU.

    - +
    - + + + + + +
    Enumerator
    kBod_ResetLevel0  -

    BOD Reset Level0: 1.51V.

    +
    Enumerator
    kCLOCK_CoreSysClk  +

    Cpu/AHB/AHB matrix/Memories,etc.

    +
    kCLOCK_MainClk  +

    Main clock.

    +
    kCLOCK_Fro  +

    FRO18/24/30.

    +
    kCLOCK_FroDiv  +

    FRO div clock.

    +
    kCLOCK_ExtClk  +

    External Clock.

    +
    kCLOCK_LPOsc  +

    Watchdog Oscillator.

    +
    kCLOCK_Frg0  +

    fractional rate0

    - +
    - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Enumerator
    kBod_InterruptLevelReserved  -

    BOD interrupt level reserved.

    +
    Enumerator
    kCAPT_Clk_From_Fro  +

    Mux CAPT_Clk from Fro.

    kBod_InterruptLevel1  -

    BOD interrupt level1: 2.24V.

    +
    kCAPT_Clk_From_MainClk  +

    Mux CAPT_Clk from MainClk.

    kBod_InterruptLevel2  -

    BOD interrupt level2: 2.52V.

    +
    kCAPT_Clk_From_Fro_Div  +

    Mux CAPT_Clk from Fro_Div.

    kBod_InterruptLevel3  -

    BOD interrupt level3: 2.81V.

    +
    kCAPT_Clk_From_LPOsc  +

    Mux CAPT_Clk from LPOsc.

    +
    kADC_Clk_From_Fro  +

    Mux ADC_Clk from Fro.

    +
    kADC_Clk_From_Extclk  +

    Mux ADC_Clk from Extclk.

    +
    kUART0_Clk_From_Fro  +

    Mux UART0_Clk from Fro.

    +
    kUART0_Clk_From_MainClk  +

    Mux UART0_Clk from MainClk.

    +
    kUART0_Clk_From_Frg0Clk  +

    Mux UART0_Clk from Frg0Clk.

    +
    kUART0_Clk_From_Fro_Div  +

    Mux UART0_Clk from Fro_Div.

    +
    kUART1_Clk_From_Fro  +

    Mux UART1_Clk from Fro.

    +
    kUART1_Clk_From_MainClk  +

    Mux UART1_Clk from MainClk.

    +
    kUART1_Clk_From_Frg0Clk  +

    Mux UART1_Clk from Frg0Clk.

    +
    kUART1_Clk_From_Fro_Div  +

    Mux UART1_Clk from Fro_Div.

    +
    kI2C0_Clk_From_Fro  +

    Mux I2C0_Clk from Fro.

    +
    kI2C0_Clk_From_MainClk  +

    Mux I2C0_Clk from MainClk.

    +
    kI2C0_Clk_From_Frg0Clk  +

    Mux I2C0_Clk from Frg0Clk.

    +
    kI2C0_Clk_From_Fro_Div  +

    Mux I2C0_Clk from Fro_Div.

    +
    kI2C1_Clk_From_Fro  +

    Mux I2C1_Clk from Fro.

    +
    kI2C1_Clk_From_MainClk  +

    Mux I2C1_Clk from MainClk.

    +
    kI2C1_Clk_From_Frg0Clk  +

    Mux I2C1_Clk from Frg0Clk.

    +
    kI2C1_Clk_From_Fro_Div  +

    Mux I2C1_Clk from Fro_Div.

    +
    kSPI0_Clk_From_Fro  +

    Mux SPI0_Clk from Fro.

    +
    kSPI0_Clk_From_MainClk  +

    Mux SPI0_Clk from MainClk.

    +
    kSPI0_Clk_From_Frg0Clk  +

    Mux SPI0_Clk from Frg0Clk.

    +
    kSPI0_Clk_From_Fro_Div  +

    Mux SPI0_Clk from Fro_Div.

    +
    kFRG0_Clk_From_Fro  +

    Mux FRG0_Clk from Fro.

    +
    kFRG0_Clk_From_MainClk  +

    Mux FRG0_Clk from MainClk.

    +
    kCLKOUT_From_Fro  +

    Mux CLKOUT from Fro.

    +
    kCLKOUT_From_MainClk  +

    Mux CLKOUT from MainClk.

    +
    kCLKOUT_From_ExtClk  +

    Mux CLKOUT from ExtClk.

    +
    kCLKOUT_From_Lposc  +

    Mux CLKOUT from Lposc.

    +
    + +
    +
    + +
    +
    + + + + +
    enum clock_divider_t
    +
    + + + + +
    Enumerator
    kCLOCK_DivAhbClk  +

    Ahb Clock Divider.

    +
    kCLOCK_DivAdcClk  +

    Adc Clock Divider.

    +
    kCLOCK_DivClkOut  +

    Clk Out Divider.

    +
    + +
    +
    + +
    +
    + + + + +
    enum clock_fro_osc_freq_t
    +
    +

    fro oscillator output frequency value definition

    + + + + +
    Enumerator
    kCLOCK_FroOscOut18M  +

    FRO oscillator output 18M.

    +
    kCLOCK_FroOscOut24M  +

    FRO oscillator output 24M.

    +
    kCLOCK_FroOscOut30M  +

    FRO oscillator output 30M.

    +
    + +
    +
    + +
    +
    + + + + +
    enum clock_main_clk_src_t
    +
    +

    < Main clock source definition

    + + + + +
    Enumerator
    kCLOCK_MainClkSrcFro  +

    main clock source from FRO

    +
    kCLOCK_MainClkSrcExtClk  +

    main clock source from Ext clock

    +
    kCLOCK_MainClkSrcLPOsc  +

    main clock source from lower power oscillator

    +
    kCLOCK_MainClkSrcFroDiv  +

    main clock source from FRO Div

    Function Documentation

    - +
    - - - - - -
    - + - - + +
    static void POWER_EnablePD void CLOCK_SetMainClkSrc (pd_bit_t en)clock_main_clk_src_t src)
    -
    -inlinestatic
    -

    Note that enabling the bit powers down the peripheral

    Parameters
    - +
    enperipheral for which to enable the PDRUNCFG bit
    srcReference clock_main_clk_src_t to set the main clock source.
    -
    Returns
    none
    - + +
    +
    + + + + + + + + +
    uint32_t CLOCK_GetFRG0ClkFreq (void )
    +
    +
    Returns
    Frequency of FRG0 Clock.
    + +
    +
    + +
    +
    + + + + + + + + +
    uint32_t CLOCK_GetMainClkFreq (void )
    +
    +
    Returns
    Frequency of Main Clock.
    + +
    +
    + +
    +
    + + + + + + + + +
    uint32_t CLOCK_GetFroFreq (void )
    +
    +
    Returns
    Frequency of FRO.
    + +
    +
    +
    @@ -377,10 +1268,10 @@ static void 
    POWER_DeepPowe - + - - + +
    static void POWER_DisablePD static uint32_t CLOCK_GetCoreSysClkFreq (pd_bit_t en)void )
    @@ -390,18 +1281,89 @@ static void 
    POWER_DeepPowe
    -

    Note that disabling the bit powers up the peripheral

    -
    Parameters
    - - +
    Returns
    Frequency of core.
    + + + + +
    +
    +
    enperipheral for which to disable the PDRUNCFG bit
    + + + + + + + +
    uint32_t CLOCK_GetClockOutClkFreq (void )
    +
    +
    Returns
    Frequency of ClockOut
    + +
    +
    + +
    +
    + + + + + + + + +
    uint32_t CLOCK_GetUart0ClkFreq (void )
    +
    +
    Return values
    + +
    UART0frequency value.
    -
    Returns
    none
    - + +
    +
    + + + + + + + + +
    uint32_t CLOCK_GetUart1ClkFreq (void )
    +
    +
    Return values
    + + +
    UART1frequency value.
    +
    +
    + +
    +
    + +
    +
    + + + + + + + + +
    uint32_t CLOCK_GetFreq (clock_name_t clockName)
    +
    +
    Returns
    Frequency of selected clock
    + +
    +
    +
    @@ -409,7 +1371,7 @@ static void  @@ -100,1124 +100,203 @@ $(document).ready(function(){initNavTree('a00081.html','');});
    -
    Common Driver
    +
    Reset Driver

    Overview

    -

    The MCUXpresso SDK provides a driver for the common module of MCUXpresso SDK devices.

    +

    Reset driver supports peripheral reset and system reset.

    POWER_DeepPowe - + @@ -422,11 +1384,16 @@ static void 
    static void POWER_EnableDeepSleep static uint32_t CLOCK_GetLPOscFreq ( void  )POWER_DeepPowe
    -
    Returns
    none
    +
    Return values
    + + +
    watchdog OSC frequency value.
    +
    +
    - +
    @@ -434,7 +1401,7 @@ static void  @@ -100,203 +100,743 @@ $(document).ready(function(){initNavTree('a00080.html','');});
    -
    Reset Driver
    +
    Power Driver

    Overview

    -

    Reset driver supports peripheral reset and system reset.

    +

    Power driver provides APIs to control peripherals power and control the system power mode.

    POWER_DeepPowe - + @@ -447,399 +1414,125 @@ static void 
    static void POWER_DisableDeepSleep static uint32_t CLOCK_GetExtClkFreq ( void  )POWER_DeepPowe
    -
    Returns
    none
    +
    Return values
    + + +
    externalclock frequency value.
    +
    +
    - +
    - - - - - - -
    void POWER_EnterSleep (void )
    -
    -
    Returns
    none
    - -
    -
    - -
    -
    - - - + - +
    void POWER_EnterDeepSleep bool CLOCK_SetFRG0ClkFreq ( uint32_t activePart)freq)
    Parameters
    - + +
    activePart,:should be a single or combine value of _power_deep_sleep_active .
    freqtarget output frequency,freq < input and (input / freq) < 2 should be satisfy.
    +
    +
    +
    Return values
    + +
    true- successfully, false - input argument is invalid.
    -
    Returns
    none
    - +
    - + - +
    void POWER_EnterPowerDown void CLOCK_InitExtClkin ( uint32_t activePart)clkInFreq)
    Parameters
    - +
    activePart,:should be a single or combine value of _power_deep_sleep_active .
    clkInFreqexternal clock in frequency.
    -
    Returns
    none
    - +
    - + - - + +
    void POWER_EnterDeepPowerDownMode void CLOCK_SetFroOscFreq (void )clock_fro_osc_freq_t freq)
    -
    Returns
    none
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - -
    static uint32_t POWER_GetSleepModeFlag (void )
    -
    -inlinestatic
    -
    -
    Returns
    sleep mode flag: 0 is active mode, 1 is sleep mode entered.
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - -
    static uint32_t POWER_GetDeepPowerDownModeFlag (void )
    -
    -inlinestatic
    -
    -
    Returns
    sleep mode flag: 0 not deep power down, 1 is deep power down mode entered.
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - -
    static void POWER_EnableNonDpd (bool enable)
    -
    -inlinestatic
    -
    +

    Initialize the FRO clock to given frequency (18, 24 or 30 MHz).

    Parameters
    - +
    enable,:true is enable non deep power down, otherwise disable.
    freqPlease refer to definition of clock_fro_osc_freq_t, frequency must be one of 18000, 24000 or 30000 KHz.
    - +

    Variable Documentation

    +
    - - - - - -
    - - - - - +
    static void POWER_EnableLPO (bool enable)volatile uint32_t g_LP_Osc_Freq
    -
    -inlinestatic
    -
    Parameters
    - - -
    enable,:true to enable LPO, false to disable LPO.
    -
    -
    +

    This variable is used to store the lower power oscillator frequency which is set by CLOCK_InitLPOsc, and it is returned by CLOCK_GetLPOscFreq.

    - +
    - - - - - -
    - - - - - - - - - - - - - - - +
    static void POWER_WakeUpConfig (uint32_t mask,
    bool powerDown 
    )volatile uint32_t g_Ext_Clk_Freq
    -
    -inlinestatic
    -
    Parameters
    - - - -
    mask,:wake up configurations for deep sleep mode and power down mode, reference _power_wakeup.
    powerDown,:true is power down the mask part, false is powered part.
    -
    -
    +

    This variable is used to store the external clock frequency which is include external oscillator clock and external clk in clock frequency value, it is set by CLOCK_InitExtClkin when CLK IN is used as external clock or by CLOCK_InitSysOsc when external oscillator is used as external clock ,and it is returned by CLOCK_GetExtClkFreq.

    - +
    - - - - - -
    - - - - - - - - - - - - - - - +
    static void POWER_DeepSleepConfig (uint32_t mask,
    bool powerDown 
    )volatile uint32_t g_Fro_Osc_Freq
    -
    -inlinestatic
    -
    Parameters
    - - - -
    mask,:active part configurations for deep sleep mode and power down mode, reference _power_deep_sleep_active.
    powerDown,:true is power down the mask part, false is powered part.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - - - - - - - - - - - -
    static void POWER_SetRetainData (power_gen_reg_t index,
    uint32_t data 
    )
    -
    -inlinestatic
    -
    -
    Parameters
    - - - -
    index,:general purpose data register index.
    data,:data to restore.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - -
    static uint32_t POWER_GetRetainData (power_gen_reg_t index)
    -
    -inlinestatic
    -
    -
    Parameters
    - - -
    index,:general purpose data register index.
    -
    -
    -
    Returns
    data stored in the general purpose register.
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - - - - - - - - - - - - - - - - - -
    static void POWER_SetBodLevel (power_bod_reset_level_t resetLevel,
    power_bod_interrupt_level_t interruptLevel,
    bool enable 
    )
    -
    -inlinestatic
    -
    -
    Parameters
    - - - - -
    resetLevelBOD reset threshold level, please refer to power_bod_reset_level_t.
    interruptLevelBOD interrupt threshold level, please refer to power_bod_interrupt_level_t.
    enableUsed to enable/disable the BOD interrupt and BOD reset.
    -
    -
    +

    This variable is used to store the FRO osc clock frequency.

    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00079.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00079.js index a015a24..89fa20c 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00079.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00079.js @@ -1,44 +1,130 @@ var a00079 = [ - [ "FSL_POWER_DRIVER_VERSION", "a00079.html#ga566dde551cccfbff838b7f6f474e525b", null ], - [ "PMUC_PCON_RESERVED_MASK", "a00079.html#gacc5b8fefeacc0f1909d657be9cedddf3", null ], - [ "_power_wakeup", "a00079.html#ga1680834b74ccfaca0cc98b954cfef0ec", null ], - [ "_power_dpd_wakeup_pin", "a00079.html#ga774c3c091d12f8f4ca768d112a7fda8d", null ], - [ "_power_deep_sleep_active", "a00079.html#gab5d33167d8a4c9d9a407cc1bdd6346a9", null ], - [ "power_gen_reg_t", "a00079.html#gaacea8a22b7f4706814e61973550d3492", [ - [ "kPmu_GenReg0", "a00079.html#ggaacea8a22b7f4706814e61973550d3492a80dcccd621286706e1c8a4ebe9c10f0a", null ], - [ "kPmu_GenReg1", "a00079.html#ggaacea8a22b7f4706814e61973550d3492a740c74b8de11afea3adf94099430d43f", null ], - [ "kPmu_GenReg2", "a00079.html#ggaacea8a22b7f4706814e61973550d3492ad0fed29c216cb44973a5720f27c25b57", null ], - [ "kPmu_GenReg3", "a00079.html#ggaacea8a22b7f4706814e61973550d3492a8464e8acd4980abf527cdc08a1039f03", null ], - [ "kPmu_GenReg4", "a00079.html#ggaacea8a22b7f4706814e61973550d3492ad41dcf0263e8b148f96f850b2be396ac", null ] + [ "FSL_CLOCK_DRIVER_VERSION", "a00079.html#ga0c0cf401613f31feb4a9eb723ad51154", null ], + [ "CLOCK_FRO_SETTING_API_ROM_ADDRESS", "a00079.html#gaf4232ca3ff8c9ea3d8b3cbe4bac6d87a", null ], + [ "CLOCK_FAIM_BASE", "a00079.html#ga0da8ff794475b44b15cda07999bc8678", null ], + [ "ADC_CLOCKS", "a00079.html#gaef5bfe2c53a7ededa861c4e414cdbf36", null ], + [ "ACMP_CLOCKS", "a00079.html#gaa540fadbafefad92f9f7ae3f63b7a45c", null ], + [ "DAC_CLOCKS", "a00079.html#gab3c8a974e25f615fe023579c847fae6c", null ], + [ "SWM_CLOCKS", "a00079.html#gad0c6602129535798b81d753e67cabc99", null ], + [ "ROM_CLOCKS", "a00079.html#gaffc6cb67ee605165b0660db6031d5871", null ], + [ "SRAM_CLOCKS", "a00079.html#ga06cf7a516d7600127c0af0e1e0cc5759", null ], + [ "IOCON_CLOCKS", "a00079.html#ga7c6017a8657328bdaad58beb19de84e1", null ], + [ "GPIO_CLOCKS", "a00079.html#gac86986db423673c1d9b46882ccd6b3a9", null ], + [ "GPIO_INT_CLOCKS", "a00079.html#ga4b1754d575449d4dab7f7e3f0131650c", null ], + [ "CRC_CLOCKS", "a00079.html#ga661e3aff590ce2f086ba06745317480b", null ], + [ "WWDT_CLOCKS", "a00079.html#ga23dea91a4cc65d3b9ba93c83313496a1", null ], + [ "SCT_CLOCKS", "a00079.html#gac72477e518b30ceb277b83f555ac0d9e", null ], + [ "I2C_CLOCKS", "a00079.html#gac0c74371f4f724c78ef233de79c3cf14", null ], + [ "USART_CLOCKS", "a00079.html#ga2172b1f5c29b7d0bf0fe8a9c9d45ed43", null ], + [ "SPI_CLOCKS", "a00079.html#ga2323a706e6e7796fa2e352a5b5a70f59", null ], + [ "CAPT_CLOCKS", "a00079.html#gad73456f246d91b7e9dcbe6c6fc180a2f", null ], + [ "CTIMER_CLOCKS", "a00079.html#gabdb5fc301b32e8998fb9b52e86c5cc1a", null ], + [ "MRT_CLOCKS", "a00079.html#gac3a1bd1656603951722b345b45f888e9", null ], + [ "WKT_CLOCKS", "a00079.html#ga24f65b321ca1b862cf159bec396453bd", null ], + [ "PLU_CLOCKS", "a00079.html#gadfccab39614758cd5e147aafb5157610", null ], + [ "CLK_GATE_DEFINE", "a00079.html#gaea083b34e0ae608a94fce91da973a544", null ], + [ "clock_ip_name_t", "a00079.html#ga23c8b3ae62f7865b2e228408be95946d", [ + [ "kCLOCK_IpInvalid", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da11dd047399d3cf7893682897e34682de", null ], + [ "kCLOCK_Sys", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da0a7890c63167a690ed5199ec17d46670", null ], + [ "kCLOCK_Rom", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da1b9f348e8d5bc1715af7366be3ca87c7", null ], + [ "kCLOCK_Ram0", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da89556150c0329c54c4b6150030e416ff", null ], + [ "kCLOCK_Flash", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da00b88c0e9bb08d8b622140c46416d4b9", null ], + [ "kCLOCK_I2c0", "a00079.html#gga23c8b3ae62f7865b2e228408be95946dac6e6ee6e9f7b28e5c3818324c852b05f", null ], + [ "kCLOCK_Gpio0", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da9ec19029dc1ad34cb7ae0091e97b7f7d", null ], + [ "kCLOCK_Swm", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da63bcbbef2627c667b204eae91c3a0718", null ], + [ "kCLOCK_Wkt", "a00079.html#gga23c8b3ae62f7865b2e228408be95946dad386313192805ba225b0da24e078d387", null ], + [ "kCLOCK_Mrt", "a00079.html#gga23c8b3ae62f7865b2e228408be95946daace73b709cda5c34ba5bdf6888605f1f", null ], + [ "kCLOCK_Spi0", "a00079.html#gga23c8b3ae62f7865b2e228408be95946dac6997ec12e66b5e4fad9aa52ef6a2db0", null ], + [ "kCLOCK_Crc", "a00079.html#gga23c8b3ae62f7865b2e228408be95946daa1cfbe8497997fc6dff0d7f0c070aa5a", null ], + [ "kCLOCK_Uart0", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da26876b4bdecc15c46c379a289441b3c6", null ], + [ "kCLOCK_Uart1", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da1d4898f8634e2f18e6a154e2b1a4120e", null ], + [ "kCLOCK_Wwdt", "a00079.html#gga23c8b3ae62f7865b2e228408be95946daf1e8b91e80a3a9a4db038c0b4cf7e381", null ], + [ "kCLOCK_Iocon", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da909ccfe84f4275dd7b6ba09235583230", null ], + [ "kCLOCK_Acmp", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da5c44f9347603d8c7fd8eda74e3b3e40f", null ], + [ "kCLOCK_I2c1", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da689e957d82ca723a1a0cc671ba216bf5", null ], + [ "kCLOCK_Adc", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da2bc16e3ee343b4abfc46ae9506461e97", null ], + [ "kCLOCK_Ctimer0", "a00079.html#gga23c8b3ae62f7865b2e228408be95946dad2e9ebc3825a65fae3c13efbc805a128", null ], + [ "kCLOCK_Dac", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da32dc8a8723c16dbf0af95927f54a4dcc", null ], + [ "kCLOCK_GpioInt", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da3922459ccb3f2fd90ac39813c0dc39f0", null ], + [ "kCLOCK_Capt", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da0ffae7aa0d9dbc52524beb5f82ced038", null ], + [ "kCLOCK_PLU", "a00079.html#gga23c8b3ae62f7865b2e228408be95946da9facf6722503308f657e1d1da679065a", null ] ] ], - [ "power_bod_reset_level_t", "a00079.html#gad4414c07be8e296fdf41c256e605c161", [ - [ "kBod_ResetLevel0", "a00079.html#ggad4414c07be8e296fdf41c256e605c161a6767e4aee5d7fbe50704f21d413f5442", null ] + [ "clock_name_t", "a00079.html#gaf74854e9bcee544d7646c5bafdc00bd3", [ + [ "kCLOCK_CoreSysClk", "a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a1de4a40f5399eb025d5faefda7491918", null ], + [ "kCLOCK_MainClk", "a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a86d48329b3492ab94a5da3925c73622f", null ], + [ "kCLOCK_Fro", "a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01a946b874db04d12aba4c3bbe461580", null ], + [ "kCLOCK_FroDiv", "a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01d0c099adda9e435281c887b12095a8", null ], + [ "kCLOCK_ExtClk", "a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a30e6333c132740b84bf2ce94b8c44a8f", null ], + [ "kCLOCK_LPOsc", "a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3aab25a81f1aefcd33b6de1e10e3169c41", null ], + [ "kCLOCK_Frg0", "a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3aa27972710b7df62d66918584843aee06", null ] ] ], - [ "power_bod_interrupt_level_t", "a00079.html#gad856ab8003eb9953525518cddbc7814c", [ - [ "kBod_InterruptLevelReserved", "a00079.html#ggad856ab8003eb9953525518cddbc7814cabc8bc042a952213b5364fcc75fb2e459", null ], - [ "kBod_InterruptLevel1", "a00079.html#ggad856ab8003eb9953525518cddbc7814ca186e757d5a202f806afd889315af3639", null ], - [ "kBod_InterruptLevel2", "a00079.html#ggad856ab8003eb9953525518cddbc7814ca2094c3f450488ea077bf45edabd227ba", null ], - [ "kBod_InterruptLevel3", "a00079.html#ggad856ab8003eb9953525518cddbc7814cab28fa5a4937806aefbc4d259a419d354", null ] + [ "clock_select_t", "a00079.html#ga59a363f0296ea51011dd86b405d5db58", [ + [ "kCAPT_Clk_From_Fro", "a00079.html#gga59a363f0296ea51011dd86b405d5db58af1d8576caccfd1e48d121fae4315c10d", null ], + [ "kCAPT_Clk_From_MainClk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a28b61eb46fb752a05934e2d44450acc0", null ], + [ "kCAPT_Clk_From_Fro_Div", "a00079.html#gga59a363f0296ea51011dd86b405d5db58abae02f94616cc17800067d5601943b5e", null ], + [ "kCAPT_Clk_From_LPOsc", "a00079.html#gga59a363f0296ea51011dd86b405d5db58aea7f16ca2ff13032c4cc33a2c45332ae", null ], + [ "kADC_Clk_From_Fro", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a91f272ba2ca7171ce0689d39f9a894af", null ], + [ "kADC_Clk_From_Extclk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a62d1ae5b5b1bb400be6618e4e2ad45ea", null ], + [ "kUART0_Clk_From_Fro", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a67d2eb5235a0677d1ef161e5e1c2b340", null ], + [ "kUART0_Clk_From_MainClk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a841785f980e4c406eeecdcf07559fe66", null ], + [ "kUART0_Clk_From_Frg0Clk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58ad0784125581fd7bf09f4b3bab3af725d", null ], + [ "kUART0_Clk_From_Fro_Div", "a00079.html#gga59a363f0296ea51011dd86b405d5db58af06770587aa45bbb62d1e4fe36d03d81", null ], + [ "kUART1_Clk_From_Fro", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a9fbd759cc60932bb0896625543477290", null ], + [ "kUART1_Clk_From_MainClk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a066679b9e0a01ccd0aad6e6caa643f2f", null ], + [ "kUART1_Clk_From_Frg0Clk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58af45a833dead43235df03a982dc25ea33", null ], + [ "kUART1_Clk_From_Fro_Div", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a1b183d67629d90ddc1a9b9baf2ebc285", null ], + [ "kI2C0_Clk_From_Fro", "a00079.html#gga59a363f0296ea51011dd86b405d5db58ab8065d6f5e9b16e9410feccef7f1c10b", null ], + [ "kI2C0_Clk_From_MainClk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58ab434281b6471a116a444172bf8a7bf91", null ], + [ "kI2C0_Clk_From_Frg0Clk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a92f67286aebd8e0fde633cf4b3bd8b57", null ], + [ "kI2C0_Clk_From_Fro_Div", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a9fe34f41e6cfa3cd3a0ef15ba9184bb1", null ], + [ "kI2C1_Clk_From_Fro", "a00079.html#gga59a363f0296ea51011dd86b405d5db58ae19e4e49559079c2f95f998c37029642", null ], + [ "kI2C1_Clk_From_MainClk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a5cff4ddf26d639e435ce79fe5e83d866", null ], + [ "kI2C1_Clk_From_Frg0Clk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58ac891ded4c265bfab790d733acbacb753", null ], + [ "kI2C1_Clk_From_Fro_Div", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a8670e7d6a9f2afb045fbf54dc13c4bb2", null ], + [ "kSPI0_Clk_From_Fro", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a225c7ee90596ed70ba883f4db61aa8a7", null ], + [ "kSPI0_Clk_From_MainClk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58ae27646652709428349bea6c7044c070e", null ], + [ "kSPI0_Clk_From_Frg0Clk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a845c271da53362455548e3a2d785e46f", null ], + [ "kSPI0_Clk_From_Fro_Div", "a00079.html#gga59a363f0296ea51011dd86b405d5db58aad0657c34aef515cd39d693c8a7896b2", null ], + [ "kFRG0_Clk_From_Fro", "a00079.html#gga59a363f0296ea51011dd86b405d5db58afa871e16cf926d8a08dce1a52f418066", null ], + [ "kFRG0_Clk_From_MainClk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a1fe8170ca9a5fcee3e0b3fa1453eb481", null ], + [ "kCLKOUT_From_Fro", "a00079.html#gga59a363f0296ea51011dd86b405d5db58af2ea89b865003dc40f8923f67d7bb366", null ], + [ "kCLKOUT_From_MainClk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a20d47beaa61ee59b61c34fa4f7e30d21", null ], + [ "kCLKOUT_From_ExtClk", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a8671f2c92f6e049ed8b2f3b7a5b9b296", null ], + [ "kCLKOUT_From_Lposc", "a00079.html#gga59a363f0296ea51011dd86b405d5db58a34737a1ca71167db472e07d6d9bd4b39", null ] ] ], - [ "POWER_EnablePD", "a00079.html#gacf3a138e73725bb8c50290295259bfa4", null ], - [ "POWER_DisablePD", "a00079.html#ga40a1d0c80f5189e9c56133a2bc15a4c2", null ], - [ "POWER_EnableDeepSleep", "a00079.html#gae9733cfb042126b04d237cc8db40dba0", null ], - [ "POWER_DisableDeepSleep", "a00079.html#gabea720a67b6b06b187a5781b9955dc9c", null ], - [ "POWER_EnterSleep", "a00079.html#ga6ad77a0ddbf43076a49a207534c6b7f8", null ], - [ "POWER_EnterDeepSleep", "a00079.html#ga4b0c77a348378a9c77539fc160c239df", null ], - [ "POWER_EnterPowerDown", "a00079.html#ga85cddf883d8412b35f3648fa5a62b136", null ], - [ "POWER_EnterDeepPowerDownMode", "a00079.html#gafd390219700f64b63df3ded05212bda5", null ], - [ "POWER_GetSleepModeFlag", "a00079.html#gaa25d9a439cb1779e76c107cfc9c1a86e", null ], - [ "POWER_ClrSleepModeFlag", "a00079.html#ga7069e59acd0a3e196bb54b7a5bcead3d", null ], - [ "POWER_GetDeepPowerDownModeFlag", "a00079.html#ga7b82522f086af61786aa316142d3540c", null ], - [ "POWER_ClrDeepPowerDownModeFlag", "a00079.html#ga4b9f6c3aa18e60b7a85edfa8857906ed", null ], - [ "POWER_ClrWakeupPinFlag", "a00079.html#ga6f4c4db233f8d1cb2aafa7b7a3c34f84", null ], - [ "POWER_EnableNonDpd", "a00079.html#ga7bfb95e1397b0fc1b02b740458667d4c", null ], - [ "POWER_EnableLPO", "a00079.html#ga86ad2183a772150f3c72d5aaf158afaa", null ], - [ "POWER_WakeUpConfig", "a00079.html#ga83d78eac8ce2faa5f92738c681846d5b", null ], - [ "POWER_DeepSleepConfig", "a00079.html#ga64687ae9aee146f932c9d822633ed751", null ], - [ "POWER_SetRetainData", "a00079.html#gada7daa74280566725bfa2854613c91cc", null ], - [ "POWER_GetRetainData", "a00079.html#ga2cef71a7429671e6aaf71b3c40f1bc23", null ], - [ "POWER_SetBodLevel", "a00079.html#ga85b6d46e43b818ba54dba92256db4499", null ] + [ "clock_divider_t", "a00079.html#gac344a8334a482bcbcbdf0c4828db872c", [ + [ "kCLOCK_DivAhbClk", "a00079.html#ggac344a8334a482bcbcbdf0c4828db872caf4f25357ef9794d7d72417977d27ffb2", null ], + [ "kCLOCK_DivAdcClk", "a00079.html#ggac344a8334a482bcbcbdf0c4828db872ca7b3998fdf96eb884e35ff56f40feffbd", null ], + [ "kCLOCK_DivClkOut", "a00079.html#ggac344a8334a482bcbcbdf0c4828db872caa7236a2c00237ca7ee5b2ff55058ded6", null ] + ] ], + [ "clock_fro_osc_freq_t", "a00079.html#ga85bf7440c0d77269e3db764083110334", [ + [ "kCLOCK_FroOscOut18M", "a00079.html#gga85bf7440c0d77269e3db764083110334a60f89d729c96295d1aaa741a412d58ab", null ], + [ "kCLOCK_FroOscOut24M", "a00079.html#gga85bf7440c0d77269e3db764083110334a01135115df0c0b0525f2b1391ee9d9ca", null ], + [ "kCLOCK_FroOscOut30M", "a00079.html#gga85bf7440c0d77269e3db764083110334a29c4fdcb48fba40928b278765d0e3843", null ] + ] ], + [ "clock_main_clk_src_t", "a00079.html#gab68022e8d8f60a72f86a6a6c13b6e2f4", [ + [ "kCLOCK_MainClkSrcFro", "a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a0aecd9451c5bf4525fe17a7b4734f58f", null ], + [ "kCLOCK_MainClkSrcExtClk", "a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a85dbf0a96c672abcfbc08dfc231088d6", null ], + [ "kCLOCK_MainClkSrcLPOsc", "a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4acb9810ab5676cca7da756b2c28205c12", null ], + [ "kCLOCK_MainClkSrcFroDiv", "a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4afa92bbf8fcfb74ceea172667409f7643", null ] + ] ], + [ "CLOCK_SetMainClkSrc", "a00079.html#gaa6be3b84fd2a57ec1165954d6c4564e8", null ], + [ "CLOCK_GetFRG0ClkFreq", "a00079.html#ga7dd0bd48c4a5a68fef7f9524d8999b4b", null ], + [ "CLOCK_GetMainClkFreq", "a00079.html#gaaa964f2c87ddd18a09c5201e22925fe6", null ], + [ "CLOCK_GetFroFreq", "a00079.html#gafe0b410109a29c465df0b4dcbb338ff3", null ], + [ "CLOCK_GetCoreSysClkFreq", "a00079.html#gaca0f0dcb61434d727340ddde5f131d91", null ], + [ "CLOCK_GetClockOutClkFreq", "a00079.html#gaf85cf02f515cd756873bf2a74ee143e2", null ], + [ "CLOCK_GetUart0ClkFreq", "a00079.html#ga47b7ce0a4c83a359f4bc1f2714a13761", null ], + [ "CLOCK_GetUart1ClkFreq", "a00079.html#ga10c8c8ccc077404a00e1121b5d18e4a8", null ], + [ "CLOCK_GetFreq", "a00079.html#ga53acae220d651789bb505c53c73ecf2b", null ], + [ "CLOCK_GetLPOscFreq", "a00079.html#ga5a9205e0888bdc8579d3851313662671", null ], + [ "CLOCK_GetExtClkFreq", "a00079.html#ga2d33480c8967a6bac98512e0c47c6b07", null ], + [ "CLOCK_SetFRG0ClkFreq", "a00079.html#ga4eb9737ada73b9a575250de526433dff", null ], + [ "CLOCK_InitExtClkin", "a00079.html#ga6506e7cdad8dcf18347cdcb1acd8c17d", null ], + [ "CLOCK_DeinitLpOsc", "a00079.html#gabfcd2e2eae3bb847bb6268557ad9e50f", null ], + [ "CLOCK_SetFroOscFreq", "a00079.html#ga4f99eead2ff26c245d72917e9308ac1d", null ], + [ "g_LP_Osc_Freq", "a00079.html#gaee8dd95ea14cf8363042356e9fb2e5cb", null ], + [ "g_Ext_Clk_Freq", "a00079.html#ga386d59c21453d1914f3c8194010ff277", null ], + [ "g_Fro_Osc_Freq", "a00079.html#ga62ebb12d760ba0fe5ca71ac1f7a77709", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00080.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00080.html index c7d46b0..a027916 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00080.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00080.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: Reset Driver +MCUXpresso SDK API Reference Manual: Power Driver @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - - + + +

    Macros

    #define FLASH_RSTS_N
     
    +#define PMUC_PCON_RESERVED_MASK   ((0xf << 4) | (0x6 << 8) | 0xfffff000u)
     PMU PCON reserved mask, used to clear reserved field which should not write 1.
     
    - + + + + + + + + + - - -

    Enumerations

    enum  SYSCON_RSTn_t {
    -  kFLASH_RST_N_SHIFT_RSTn = 0 | 4U, +
    enum  _power_wakeup
     Deep sleep and power down mode wake up configurations.
     
    enum  _power_dpd_wakeup_pin
     Deep power down mode wake up pins.
     
    enum  _power_deep_sleep_active
     Deep sleep/power down mode active part.
     
    enum  power_gen_reg_t {
    +  kPmu_GenReg0 = 0U,
    -  kI2C0_RST_N_SHIFT_RSTn = 0 | 5U, +  kPmu_GenReg1 = 1U,
    -  kGPIO0_RST_N_SHIFT_RSTn = 0 | 6U, +  kPmu_GenReg2 = 2U,
    -  kSWM_RST_N_SHIFT_RSTn = 0 | 7U, +  kPmu_GenReg3 = 3U,
    -  kWKT_RST_N_SHIFT_RSTn = 0 | 9U, -
    -  kMRT_RST_N_SHIFT_RSTn = 0 | 10U, -
    -  kSPI0_RST_N_SHIFT_RSTn = 0 | 11U, -
    -  kCRC_RST_SHIFT_RSTn = 0 | 13U, -
    -  kUART0_RST_N_SHIFT_RSTn = 0 | 14U, -
    -  kUART1_RST_N_SHIFT_RSTn = 0 | 15U, -
    -  kIOCON_RST_N_SHIFT_RSTn = 0 | 18U, -
    -  kACMP_RST_N_SHIFT_RSTn = 0 | 19U, -
    -  kI2C1_RST_N_SHIFT_RSTn = 0 | 21U, -
    -  kADC_RST_N_SHIFT_RSTn = 0 | 24U, -
    -  kCTIMER0_RST_N_SHIFT_RSTn = 0 | 25U, -
    -  kDAC0_RST_N_SHIFT_RSTn = 0 | 27U, -
    -  kGPIOINT_RST_N_SHIFT_RSTn = 0 | 28U, -
    -  kCAPT_RST_N_SHIFT_RSTn = 65536 | 0U, -
    -  kFRG0_RST_N_SHIFT_RSTn = 65536 | 3U, -
    -  kPLU_RST_N_SHIFT_RSTn = 65536 | 5U +  kPmu_GenReg4 = 4U
    }
     Enumeration for peripheral reset control bits. More...
     
    - - - - + + + + + + + +

    -Functions

    void RESET_PeripheralReset (reset_ip_name_t peripheral)
     Reset peripheral module. More...
     
     pmu general purpose register index More...
     
    enum  power_bod_reset_level_t { kBod_ResetLevel0 = 0U + }
     BOD reset level, if VDD below reset level value, the reset will be asserted. More...
     
    enum  power_bod_interrupt_level_t {
    +  kBod_InterruptLevelReserved = 0U, +
    +  kBod_InterruptLevel1, +
    +  kBod_InterruptLevel2, +
    +  kBod_InterruptLevel3 +
    + }
     BOD interrupt level, if VDD below interrupt level value, the BOD interrupt will be asserted. More...
     
    - - - + + + +

    Driver version

    #define FSL_RESET_DRIVER_VERSION   (MAKE_VERSION(2, 0, 1))
     reset driver version 2.0.1. More...
     
    #define FSL_POWER_DRIVER_VERSION   (MAKE_VERSION(2, 1, 0))
     power driver version 2.1.0. More...
     
    + + + + + + + +

    +SYSCON Power Configuration

    static void POWER_EnablePD (pd_bit_t en)
     API to enable PDRUNCFG bit in the Syscon. More...
     
    static void POWER_DisablePD (pd_bit_t en)
     API to disable PDRUNCFG bit in the Syscon. More...
     
    + + + + + + + +

    +ARM core Power Configuration

    static void POWER_EnableDeepSleep (void)
     API to enable deep sleep bit in the ARM Core. More...
     
    static void POWER_DisableDeepSleep (void)
     API to disable deep sleep bit in the ARM Core. More...
     
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

    +PMU functionality

    void POWER_EnterSleep (void)
     API to enter sleep power mode. More...
     
    void POWER_EnterDeepSleep (uint32_t activePart)
     API to enter deep sleep power mode. More...
     
    void POWER_EnterPowerDown (uint32_t activePart)
     API to enter power down mode. More...
     
    void POWER_EnterDeepPowerDownMode (void)
     API to enter deep power down mode. More...
     
    static uint32_t POWER_GetSleepModeFlag (void)
     API to get sleep mode flag. More...
     
    +static void POWER_ClrSleepModeFlag (void)
     API to clear sleep mode flag.
     
    static uint32_t POWER_GetDeepPowerDownModeFlag (void)
     API to get deep power down mode flag. More...
     
    +static void POWER_ClrDeepPowerDownModeFlag (void)
     API to clear deep power down mode flag.
     
    +static void POWER_ClrWakeupPinFlag (void)
     API to clear wake up pin status flag.
     
    static void POWER_EnableNonDpd (bool enable)
     API to enable non deep power down mode. More...
     
    static void POWER_EnableLPO (bool enable)
     API to enable LPO. More...
     
    static void POWER_WakeUpConfig (uint32_t mask, bool powerDown)
     API to config wakeup configurations for deep sleep mode and power down mode. More...
     
    static void POWER_DeepSleepConfig (uint32_t mask, bool powerDown)
     API to config active part for deep sleep mode and power down mode. More...
     
    + + + + + + + + + + + + +

    +API to enable wake up pin for deep power down mode

    +
    Parameters
    + + +
    wakeup_pinwake up pin for which to enable.reference _power_dpd_wakeup_pin.
    +
    +
    +
    Returns
    none
    +
    +static void POWER_DeepPowerDownWakeupSourceSelect (uint32_t wakeup_pin)
     
    static void POWER_SetRetainData (power_gen_reg_t index, uint32_t data)
     API to retore data to general purpose register which can be retain during deep power down mode. More...
     
    static uint32_t POWER_GetRetainData (power_gen_reg_t index)
     API to get data from general purpose register which retain during deep power down mode. More...
     
    static void POWER_SetBodLevel (power_bod_reset_level_t resetLevel, power_bod_interrupt_level_t interruptLevel, bool enable)
     Set Bod interrupt level and reset level. More...
     

    Macro Definition Documentation

    - +
    - +
    #define FSL_RESET_DRIVER_VERSION   (MAKE_VERSION(2, 0, 1))#define FSL_POWER_DRIVER_VERSION   (MAKE_VERSION(2, 1, 0))
    -
    -
    - -
    -
    - - - - -
    #define FLASH_RSTS_N
    -
    -Value:
    { \
    - -
    } /* Reset bits for Flash peripheral */
    -
    Definition: fsl_reset.h:39
    -

    Array initializers with peripheral reset bits

    -

    Enumeration Type Documentation

    - +
    -

    Defines the enumeration for peripheral reset control bits in PRESETCTRL/ASYNCPRESETCTRL registers

    - - - - - -
    Enumerator
    kFLASH_RST_N_SHIFT_RSTn  -

    Flash controller reset control

    +
    Enumerator
    kPmu_GenReg0  +

    general purpose register0

    kI2C0_RST_N_SHIFT_RSTn  -

    I2C0 reset control

    +
    kPmu_GenReg1  +

    general purpose register1

    kGPIO0_RST_N_SHIFT_RSTn  -

    GPIO0 reset control

    +
    kPmu_GenReg2  +

    general purpose register2

    kSWM_RST_N_SHIFT_RSTn  -

    SWM reset control

    +
    kPmu_GenReg3  +

    general purpose register3

    kWKT_RST_N_SHIFT_RSTn  -

    Self-wake-up timer(WKT) reset control

    +
    kPmu_GenReg4  +

    general purpose reguster4

    kMRT_RST_N_SHIFT_RSTn  -

    Multi-rate timer(MRT) reset control

    +
    + +
    +
    + +
    +
    + + + + +
    enum power_bod_reset_level_t
    +
    + + -
    Enumerator
    kBod_ResetLevel0  +

    BOD Reset Level0: 1.51V.

    kSPI0_RST_N_SHIFT_RSTn  -

    SPI0 reset control.

    +
    + +
    +
    + +
    +
    + + + + +
    enum power_bod_interrupt_level_t
    +
    + + - - - - - - - - - - - - -
    Enumerator
    kBod_InterruptLevelReserved  +

    BOD interrupt level reserved.

    kCRC_RST_SHIFT_RSTn  -

    CRC reset control

    +
    kBod_InterruptLevel1  +

    BOD interrupt level1: 2.24V.

    kUART0_RST_N_SHIFT_RSTn  -

    UART0 reset control

    +
    kBod_InterruptLevel2  +

    BOD interrupt level2: 2.52V.

    kUART1_RST_N_SHIFT_RSTn  -

    UART1 reset control

    -
    kIOCON_RST_N_SHIFT_RSTn  -

    IOCON reset control

    -
    kACMP_RST_N_SHIFT_RSTn  -

    Analog comparator reset control

    -
    kI2C1_RST_N_SHIFT_RSTn  -

    I2C1 reset control

    -
    kADC_RST_N_SHIFT_RSTn  -

    ADC reset control

    -
    kCTIMER0_RST_N_SHIFT_RSTn  -

    CTIMER0 reset control

    -
    kDAC0_RST_N_SHIFT_RSTn  -

    DAC0 reset control

    -
    kGPIOINT_RST_N_SHIFT_RSTn  -

    GPIOINT reset control

    -
    kCAPT_RST_N_SHIFT_RSTn  -

    Capacitive Touch reset control

    -
    kFRG0_RST_N_SHIFT_RSTn  -

    Fractional baud rate generator 0 reset control

    -
    kPLU_RST_N_SHIFT_RSTn  -

    PLU reset control

    +
    kBod_InterruptLevel3  +

    BOD interrupt level3: 2.81V.

    Function Documentation

    - + +
    +
    + + + + + +
    + + + + + + + + +
    static void POWER_EnablePD (pd_bit_t en)
    +
    +inlinestatic
    +
    +

    Note that enabling the bit powers down the peripheral

    +
    Parameters
    + + +
    enperipheral for which to enable the PDRUNCFG bit
    +
    +
    +
    Returns
    none
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static void POWER_DisablePD (pd_bit_t en)
    +
    +inlinestatic
    +
    +

    Note that disabling the bit powers up the peripheral

    +
    Parameters
    + + +
    enperipheral for which to disable the PDRUNCFG bit
    +
    +
    +
    Returns
    none
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static void POWER_EnableDeepSleep (void )
    +
    +inlinestatic
    +
    +
    Returns
    none
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static void POWER_DisableDeepSleep (void )
    +
    +inlinestatic
    +
    +
    Returns
    none
    + +
    +
    +
    - + - - + + + + +
    void RESET_PeripheralReset void POWER_EnterSleep (reset_ip_name_t peripheral)void )
    +
    +
    Returns
    none
    + +
    +
    + +
    +
    + + + + + +
    void POWER_EnterDeepSleep (uint32_t activePart)
    -

    Reset peripheral module.

    Parameters
    - + +
    peripheralPeripheral to reset. The enum argument contains encoding of reset register and reset bit position in the reset register.
    activePart,:should be a single or combine value of _power_deep_sleep_active .
    +
    +
    +
    Returns
    none
    + +
    +
    + +
    +
    + + + + + + + + +
    void POWER_EnterPowerDown (uint32_t activePart)
    +
    +
    Parameters
    + + +
    activePart,:should be a single or combine value of _power_deep_sleep_active .
    +
    +
    +
    Returns
    none
    + +
    +
    + +
    +
    + + + + + + + + +
    void POWER_EnterDeepPowerDownMode (void )
    +
    +
    Returns
    none
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static uint32_t POWER_GetSleepModeFlag (void )
    +
    +inlinestatic
    +
    +
    Returns
    sleep mode flag: 0 is active mode, 1 is sleep mode entered.
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static uint32_t POWER_GetDeepPowerDownModeFlag (void )
    +
    +inlinestatic
    +
    +
    Returns
    sleep mode flag: 0 not deep power down, 1 is deep power down mode entered.
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static void POWER_EnableNonDpd (bool enable)
    +
    +inlinestatic
    +
    +
    Parameters
    + + +
    enable,:true is enable non deep power down, otherwise disable.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static void POWER_EnableLPO (bool enable)
    +
    +inlinestatic
    +
    +
    Parameters
    + + +
    enable,:true to enable LPO, false to disable LPO.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + + + + + + + + + + + +
    static void POWER_WakeUpConfig (uint32_t mask,
    bool powerDown 
    )
    +
    +inlinestatic
    +
    +
    Parameters
    + + + +
    mask,:wake up configurations for deep sleep mode and power down mode, reference _power_wakeup.
    powerDown,:true is power down the mask part, false is powered part.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + + + + + + + + + + + +
    static void POWER_DeepSleepConfig (uint32_t mask,
    bool powerDown 
    )
    +
    +inlinestatic
    +
    +
    Parameters
    + + + +
    mask,:active part configurations for deep sleep mode and power down mode, reference _power_deep_sleep_active.
    powerDown,:true is power down the mask part, false is powered part.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + + + + + + + + + + + +
    static void POWER_SetRetainData (power_gen_reg_t index,
    uint32_t data 
    )
    +
    +inlinestatic
    +
    +
    Parameters
    + + + +
    index,:general purpose data register index.
    data,:data to restore.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static uint32_t POWER_GetRetainData (power_gen_reg_t index)
    +
    +inlinestatic
    +
    +
    Parameters
    + + +
    index,:general purpose data register index.
    +
    +
    +
    Returns
    data stored in the general purpose register.
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + +
    static void POWER_SetBodLevel (power_bod_reset_level_t resetLevel,
    power_bod_interrupt_level_t interruptLevel,
    bool enable 
    )
    +
    +inlinestatic
    +
    +
    Parameters
    + + + +
    resetLevelBOD reset threshold level, please refer to power_bod_reset_level_t.
    interruptLevelBOD interrupt threshold level, please refer to power_bod_interrupt_level_t.
    enableUsed to enable/disable the BOD interrupt and BOD reset.
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00080.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00080.js index a91e2ab..4674657 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00080.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00080.js @@ -1,28 +1,44 @@ var a00080 = [ - [ "FSL_RESET_DRIVER_VERSION", "a00080.html#gaf2dd9adbeea910141dc143654a1065db", null ], - [ "FLASH_RSTS_N", "a00080.html#ga337911597d5b1d45ac9ac6abb705a5ef", null ], - [ "SYSCON_RSTn_t", "a00080.html#gac287530f011b42355162470f09975770", [ - [ "kFLASH_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770ac1601058bee0888d89675b528432a496", null ], - [ "kI2C0_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770aee6d4f65797043b10be7d4a7ae95d206", null ], - [ "kGPIO0_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770a244e5cce727a529563a83a1a78f8b3f1", null ], - [ "kSWM_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770abbd5b2eb886157d35a4ff88077fa192b", null ], - [ "kWKT_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770a80aae2b47fdc2701cb923d27ca9e5a7a", null ], - [ "kMRT_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770a81a00b3196e6197af1ca45b8a5bac450", null ], - [ "kSPI0_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770ac5dc2b0f880a50d33e7d79f7af4c4f33", null ], - [ "kCRC_RST_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770a194baa96cd93ef108f2724fa98352387", null ], - [ "kUART0_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770aa294a3c09c1565947ebcd78694acff24", null ], - [ "kUART1_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770a8bc751e4927f0c20a0695b284fc40c38", null ], - [ "kIOCON_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770ab95a1dd8a7fbc479365344d58ac55737", null ], - [ "kACMP_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770ac1a3b1156e6dbc9d6fecb867f1486c63", null ], - [ "kI2C1_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770ad1ef18ed84027fdc4d41567ae894ea10", null ], - [ "kADC_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770a99e18503426294e439f4bb181a451999", null ], - [ "kCTIMER0_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770a900b1deecf2f2316b30e40ff81330499", null ], - [ "kDAC0_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770a25d5f742c3cbcf85a4e9c388c5c9e708", null ], - [ "kGPIOINT_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770a20fd1ac8739a143dddb40a6349a758a6", null ], - [ "kCAPT_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770a6946809531736fcf4d7067e31f73813b", null ], - [ "kFRG0_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770a7a5b1b185218feb35ce91ce8f77b2106", null ], - [ "kPLU_RST_N_SHIFT_RSTn", "a00080.html#ggac287530f011b42355162470f09975770ac0e9dc6f492774ceef33623e62882da5", null ] + [ "FSL_POWER_DRIVER_VERSION", "a00080.html#ga566dde551cccfbff838b7f6f474e525b", null ], + [ "PMUC_PCON_RESERVED_MASK", "a00080.html#gacc5b8fefeacc0f1909d657be9cedddf3", null ], + [ "_power_wakeup", "a00080.html#ga1680834b74ccfaca0cc98b954cfef0ec", null ], + [ "_power_dpd_wakeup_pin", "a00080.html#ga774c3c091d12f8f4ca768d112a7fda8d", null ], + [ "_power_deep_sleep_active", "a00080.html#gab5d33167d8a4c9d9a407cc1bdd6346a9", null ], + [ "power_gen_reg_t", "a00080.html#gaacea8a22b7f4706814e61973550d3492", [ + [ "kPmu_GenReg0", "a00080.html#ggaacea8a22b7f4706814e61973550d3492a80dcccd621286706e1c8a4ebe9c10f0a", null ], + [ "kPmu_GenReg1", "a00080.html#ggaacea8a22b7f4706814e61973550d3492a740c74b8de11afea3adf94099430d43f", null ], + [ "kPmu_GenReg2", "a00080.html#ggaacea8a22b7f4706814e61973550d3492ad0fed29c216cb44973a5720f27c25b57", null ], + [ "kPmu_GenReg3", "a00080.html#ggaacea8a22b7f4706814e61973550d3492a8464e8acd4980abf527cdc08a1039f03", null ], + [ "kPmu_GenReg4", "a00080.html#ggaacea8a22b7f4706814e61973550d3492ad41dcf0263e8b148f96f850b2be396ac", null ] ] ], - [ "RESET_PeripheralReset", "a00080.html#gac33f3428cb55ce07ae67802e5f534ffc", null ] + [ "power_bod_reset_level_t", "a00080.html#gad4414c07be8e296fdf41c256e605c161", [ + [ "kBod_ResetLevel0", "a00080.html#ggad4414c07be8e296fdf41c256e605c161a6767e4aee5d7fbe50704f21d413f5442", null ] + ] ], + [ "power_bod_interrupt_level_t", "a00080.html#gad856ab8003eb9953525518cddbc7814c", [ + [ "kBod_InterruptLevelReserved", "a00080.html#ggad856ab8003eb9953525518cddbc7814cabc8bc042a952213b5364fcc75fb2e459", null ], + [ "kBod_InterruptLevel1", "a00080.html#ggad856ab8003eb9953525518cddbc7814ca186e757d5a202f806afd889315af3639", null ], + [ "kBod_InterruptLevel2", "a00080.html#ggad856ab8003eb9953525518cddbc7814ca2094c3f450488ea077bf45edabd227ba", null ], + [ "kBod_InterruptLevel3", "a00080.html#ggad856ab8003eb9953525518cddbc7814cab28fa5a4937806aefbc4d259a419d354", null ] + ] ], + [ "POWER_EnablePD", "a00080.html#gacf3a138e73725bb8c50290295259bfa4", null ], + [ "POWER_DisablePD", "a00080.html#ga40a1d0c80f5189e9c56133a2bc15a4c2", null ], + [ "POWER_EnableDeepSleep", "a00080.html#gae9733cfb042126b04d237cc8db40dba0", null ], + [ "POWER_DisableDeepSleep", "a00080.html#gabea720a67b6b06b187a5781b9955dc9c", null ], + [ "POWER_EnterSleep", "a00080.html#ga6ad77a0ddbf43076a49a207534c6b7f8", null ], + [ "POWER_EnterDeepSleep", "a00080.html#ga4b0c77a348378a9c77539fc160c239df", null ], + [ "POWER_EnterPowerDown", "a00080.html#ga85cddf883d8412b35f3648fa5a62b136", null ], + [ "POWER_EnterDeepPowerDownMode", "a00080.html#gafd390219700f64b63df3ded05212bda5", null ], + [ "POWER_GetSleepModeFlag", "a00080.html#gaa25d9a439cb1779e76c107cfc9c1a86e", null ], + [ "POWER_ClrSleepModeFlag", "a00080.html#ga7069e59acd0a3e196bb54b7a5bcead3d", null ], + [ "POWER_GetDeepPowerDownModeFlag", "a00080.html#ga7b82522f086af61786aa316142d3540c", null ], + [ "POWER_ClrDeepPowerDownModeFlag", "a00080.html#ga4b9f6c3aa18e60b7a85edfa8857906ed", null ], + [ "POWER_ClrWakeupPinFlag", "a00080.html#ga6f4c4db233f8d1cb2aafa7b7a3c34f84", null ], + [ "POWER_EnableNonDpd", "a00080.html#ga7bfb95e1397b0fc1b02b740458667d4c", null ], + [ "POWER_EnableLPO", "a00080.html#ga86ad2183a772150f3c72d5aaf158afaa", null ], + [ "POWER_WakeUpConfig", "a00080.html#ga83d78eac8ce2faa5f92738c681846d5b", null ], + [ "POWER_DeepSleepConfig", "a00080.html#ga64687ae9aee146f932c9d822633ed751", null ], + [ "POWER_SetRetainData", "a00080.html#gada7daa74280566725bfa2854613c91cc", null ], + [ "POWER_GetRetainData", "a00080.html#ga2cef71a7429671e6aaf71b3c40f1bc23", null ], + [ "POWER_SetBodLevel", "a00080.html#ga85b6d46e43b818ba54dba92256db4499", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00081.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00081.html index e94b003..4857eb8 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00081.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00081.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: Common Driver +MCUXpresso SDK API Reference Manual: Reset Driver @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

    Macros

    #define FSL_DRIVER_TRANSFER_DOUBLE_WEAK_IRQ   1
     Macro to use the default weak IRQ handler in drivers. More...
     
    #define MAKE_STATUS(group, code)   ((((group)*100L) + (code)))
     Construct a status code value from a group and code number. More...
     
    #define MAKE_VERSION(major, minor, bugfix)   (((major)*65536L) + ((minor)*256L) + (bugfix))
     Construct the version number for drivers. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_NONE   0U
     No debug console. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_UART   1U
     Debug console based on UART. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_LPUART   2U
     Debug console based on LPUART. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_LPSCI   3U
     Debug console based on LPSCI. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_USBCDC   4U
     Debug console based on USBCDC. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_FLEXCOMM   5U
     Debug console based on FLEXCOMM. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_IUART   6U
     Debug console based on i.MX UART. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_VUSART   7U
     Debug console based on LPC_VUSART. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_MINI_USART   8U
     Debug console based on LPC_USART. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_SWO   9U
     Debug console based on SWO. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_QSCI   10U
     Debug console based on QSCI. More...
     
    #define ARRAY_SIZE(x)   (sizeof(x) / sizeof((x)[0]))
     Computes the number of elements in an array. More...
     
    - - - - + +

    -Typedefs

    typedef int32_t status_t
     Type used for all status and error return values. More...
     
    #define FLASH_RSTS_N
     
    - - - - - - + +

    Enumerations

    enum  _status_groups {
    -  kStatusGroup_Generic = 0, +
    enum  SYSCON_RSTn_t {
    +  kFLASH_RST_N_SHIFT_RSTn = 0 | 4U,
    -  kStatusGroup_FLASH = 1, +  kI2C0_RST_N_SHIFT_RSTn = 0 | 5U,
    -  kStatusGroup_LPSPI = 4, +  kGPIO0_RST_N_SHIFT_RSTn = 0 | 6U,
    -  kStatusGroup_FLEXIO_SPI = 5, +  kSWM_RST_N_SHIFT_RSTn = 0 | 7U,
    -  kStatusGroup_DSPI = 6, +  kWKT_RST_N_SHIFT_RSTn = 0 | 9U,
    -  kStatusGroup_FLEXIO_UART = 7, +  kMRT_RST_N_SHIFT_RSTn = 0 | 10U,
    -  kStatusGroup_FLEXIO_I2C = 8, +  kSPI0_RST_N_SHIFT_RSTn = 0 | 11U,
    -  kStatusGroup_LPI2C = 9, +  kCRC_RST_SHIFT_RSTn = 0 | 13U,
    -  kStatusGroup_UART = 10, +  kUART0_RST_N_SHIFT_RSTn = 0 | 14U,
    -  kStatusGroup_I2C = 11, +  kUART1_RST_N_SHIFT_RSTn = 0 | 15U,
    -  kStatusGroup_LPSCI = 12, +  kIOCON_RST_N_SHIFT_RSTn = 0 | 18U,
    -  kStatusGroup_LPUART = 13, +  kACMP_RST_N_SHIFT_RSTn = 0 | 19U,
    -  kStatusGroup_SPI = 14, +  kI2C1_RST_N_SHIFT_RSTn = 0 | 21U,
    -  kStatusGroup_XRDC = 15, +  kADC_RST_N_SHIFT_RSTn = 0 | 24U,
    -  kStatusGroup_SEMA42 = 16, +  kCTIMER0_RST_N_SHIFT_RSTn = 0 | 25U,
    -  kStatusGroup_SDHC = 17, +  kDAC0_RST_N_SHIFT_RSTn = 0 | 27U,
    -  kStatusGroup_SDMMC = 18, +  kGPIOINT_RST_N_SHIFT_RSTn = 0 | 28U,
    -  kStatusGroup_SAI = 19, +  kCAPT_RST_N_SHIFT_RSTn = 65536 | 0U,
    -  kStatusGroup_MCG = 20, +  kFRG0_RST_N_SHIFT_RSTn = 65536 | 3U,
    -  kStatusGroup_SCG = 21, -
    -  kStatusGroup_SDSPI = 22, -
    -  kStatusGroup_FLEXIO_I2S = 23, -
    -  kStatusGroup_FLEXIO_MCULCD = 24, -
    -  kStatusGroup_FLASHIAP = 25, -
    -  kStatusGroup_FLEXCOMM_I2C = 26, -
    -  kStatusGroup_I2S = 27, -
    -  kStatusGroup_IUART = 28, -
    -  kStatusGroup_CSI = 29, -
    -  kStatusGroup_MIPI_DSI = 30, -
    -  kStatusGroup_SDRAMC = 35, -
    -  kStatusGroup_POWER = 39, -
    -  kStatusGroup_ENET = 40, -
    -  kStatusGroup_PHY = 41, -
    -  kStatusGroup_TRGMUX = 42, -
    -  kStatusGroup_SMARTCARD = 43, -
    -  kStatusGroup_LMEM = 44, -
    -  kStatusGroup_QSPI = 45, -
    -  kStatusGroup_DMA = 50, -
    -  kStatusGroup_EDMA = 51, -
    -  kStatusGroup_DMAMGR = 52, -
    -  kStatusGroup_FLEXCAN = 53, -
    -  kStatusGroup_LTC = 54, -
    -  kStatusGroup_FLEXIO_CAMERA = 55, -
    -  kStatusGroup_LPC_SPI = 56, -
    -  kStatusGroup_LPC_USART = 57, -
    -  kStatusGroup_DMIC = 58, -
    -  kStatusGroup_SDIF = 59, -
    -  kStatusGroup_SPIFI = 60, -
    -  kStatusGroup_OTP = 61, -
    -  kStatusGroup_MCAN = 62, -
    -  kStatusGroup_CAAM = 63, -
    -  kStatusGroup_ECSPI = 64, -
    -  kStatusGroup_USDHC = 65, -
    -  kStatusGroup_LPC_I2C = 66, -
    -  kStatusGroup_DCP = 67, -
    -  kStatusGroup_MSCAN = 68, -
    -  kStatusGroup_ESAI = 69, -
    -  kStatusGroup_FLEXSPI = 70, -
    -  kStatusGroup_MMDC = 71, -
    -  kStatusGroup_PDM = 72, -
    -  kStatusGroup_SDMA = 73, -
    -  kStatusGroup_ICS = 74, -
    -  kStatusGroup_SPDIF = 75, -
    -  kStatusGroup_LPC_MINISPI = 76, -
    -  kStatusGroup_HASHCRYPT = 77, -
    -  kStatusGroup_LPC_SPI_SSP = 78, -
    -  kStatusGroup_I3C = 79, -
    -  kStatusGroup_LPC_I2C_1 = 97, -
    -  kStatusGroup_NOTIFIER = 98, -
    -  kStatusGroup_DebugConsole = 99, -
    -  kStatusGroup_SEMC = 100, -
    -  kStatusGroup_ApplicationRangeStart = 101, -
    -  kStatusGroup_IAP = 102, -
    -  kStatusGroup_SFA = 103, -
    -  kStatusGroup_SPC = 104, -
    -  kStatusGroup_PUF = 105, -
    -  kStatusGroup_TOUCH_PANEL = 106, -
    -  kStatusGroup_VBAT = 107, -
    -  kStatusGroup_HAL_GPIO = 121, -
    -  kStatusGroup_HAL_UART = 122, -
    -  kStatusGroup_HAL_TIMER = 123, -
    -  kStatusGroup_HAL_SPI = 124, -
    -  kStatusGroup_HAL_I2C = 125, -
    -  kStatusGroup_HAL_FLASH = 126, -
    -  kStatusGroup_HAL_PWM = 127, -
    -  kStatusGroup_HAL_RNG = 128, -
    -  kStatusGroup_HAL_I2S = 129, -
    -  kStatusGroup_TIMERMANAGER = 135, -
    -  kStatusGroup_SERIALMANAGER = 136, -
    -  kStatusGroup_LED = 137, -
    -  kStatusGroup_BUTTON = 138, -
    -  kStatusGroup_EXTERN_EEPROM = 139, -
    -  kStatusGroup_SHELL = 140, -
    -  kStatusGroup_MEM_MANAGER = 141, -
    -  kStatusGroup_LIST = 142, -
    -  kStatusGroup_OSA = 143, -
    -  kStatusGroup_COMMON_TASK = 144, -
    -  kStatusGroup_MSG = 145, -
    -  kStatusGroup_SDK_OCOTP = 146, -
    -  kStatusGroup_SDK_FLEXSPINOR = 147, -
    -  kStatusGroup_CODEC = 148, -
    -  kStatusGroup_ASRC = 149, -
    -  kStatusGroup_OTFAD = 150, -
    -  kStatusGroup_SDIOSLV = 151, -
    -  kStatusGroup_MECC = 152, -
    -  kStatusGroup_ENET_QOS = 153, -
    -  kStatusGroup_LOG = 154, -
    -  kStatusGroup_I3CBUS = 155, -
    -  kStatusGroup_QSCI = 156, -
    -  kStatusGroup_SNT = 157, -
    -  kStatusGroup_QUEUEDSPI = 158, -
    -  kStatusGroup_POWER_MANAGER = 159, -
    -  kStatusGroup_IPED = 160, -
    -  kStatusGroup_CSS_PKC = 161, -
    -  kStatusGroup_HOSTIF = 162, -
    -  kStatusGroup_CLIF = 163, -
    -  kStatusGroup_BMA = 164, -
    -  kStatusGroup_NETC = 165 +  kPLU_RST_N_SHIFT_RSTn = 65536 | 5U
    }
     Status group numbers. More...
     
    enum  {
    -  kStatus_Success = MAKE_STATUS(kStatusGroup_Generic, 0), -
    -  kStatus_Fail = MAKE_STATUS(kStatusGroup_Generic, 1), -
    -  kStatus_ReadOnly = MAKE_STATUS(kStatusGroup_Generic, 2), -
    -  kStatus_OutOfRange = MAKE_STATUS(kStatusGroup_Generic, 3), -
    -  kStatus_InvalidArgument = MAKE_STATUS(kStatusGroup_Generic, 4), -
    -  kStatus_Timeout = MAKE_STATUS(kStatusGroup_Generic, 5), -
    -  kStatus_NoTransferInProgress, -
    -  kStatus_Busy = MAKE_STATUS(kStatusGroup_Generic, 7), -
    -  kStatus_NoData -
    - }
     Generic status return codes. More...
     
     Enumeration for peripheral reset control bits. More...
     
    - - - - - - - - - + + +

    Functions

    void * SDK_Malloc (size_t size, size_t alignbytes)
     Allocate memory with given alignment and aligned size. More...
     
    void SDK_Free (void *ptr)
     Free memory. More...
     
    void SDK_DelayAtLeastUs (uint32_t delayTime_us, uint32_t coreClock_Hz)
     Delay at least for some time. More...
     
    void RESET_PeripheralReset (reset_ip_name_t peripheral)
     Reset peripheral module. More...
     
    - - - -

    Driver version

    #define FSL_COMMON_DRIVER_VERSION   (MAKE_VERSION(2, 4, 0))
     common driver version. More...
     
    - - - - - -

    -Min/max macros

    -#define MIN(a, b)   (((a) < (b)) ? (a) : (b))
     
    -#define MAX(a, b)   (((a) > (b)) ? (a) : (b))
     
    - - - - - -

    -UINT16_MAX/UINT32_MAX value

    -#define UINT16_MAX   ((uint16_t)-1)
     
    -#define UINT32_MAX   ((uint32_t)-1)
     
    - - - + + +

    -Suppress fallthrough warning macro

    -#define SUPPRESS_FALL_THROUGH_WARNING()
     
    #define FSL_RESET_DRIVER_VERSION   (MAKE_VERSION(2, 0, 1))
     reset driver version 2.0.1. More...
     

    Macro Definition Documentation

    - +
    - +
    #define FSL_DRIVER_TRANSFER_DOUBLE_WEAK_IRQ   1#define FSL_RESET_DRIVER_VERSION   (MAKE_VERSION(2, 0, 1))
    - +
    - - - - - - - - - - - - - - - - -
    #define MAKE_STATUS( group,
     code 
    )   ((((group)*100L) + (code)))
    -
    - -
    -
    - -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - -
    #define MAKE_VERSION( major,
     minor,
     bugfix 
    )   (((major)*65536L) + ((minor)*256L) + (bugfix))
    -
    -

    The driver version is a 32-bit number, for both 32-bit platforms(such as Cortex M) and 16-bit platforms(such as DSC).

    -
    | Unused    || Major Version || Minor Version ||  Bug Fix    |
    -31        25  24           17  16            9  8            0
    -
    -
    - -
    -
    - - - - -
    #define FSL_COMMON_DRIVER_VERSION   (MAKE_VERSION(2, 4, 0))
    -
    - -
    -
    - -
    -
    - - - - -
    #define DEBUG_CONSOLE_DEVICE_TYPE_NONE   0U
    -
    - -
    -
    - -
    -
    - - - - -
    #define DEBUG_CONSOLE_DEVICE_TYPE_UART   1U
    -
    - -
    -
    - -
    -
    - - - - -
    #define DEBUG_CONSOLE_DEVICE_TYPE_LPUART   2U
    -
    - -
    -
    - -
    -
    - - - - -
    #define DEBUG_CONSOLE_DEVICE_TYPE_LPSCI   3U
    -
    - -
    -
    - -
    -
    - - - - -
    #define DEBUG_CONSOLE_DEVICE_TYPE_USBCDC   4U
    -
    - -
    -
    - -
    -
    - - - - -
    #define DEBUG_CONSOLE_DEVICE_TYPE_FLEXCOMM   5U
    -
    - -
    -
    - -
    -
    - - - - -
    #define DEBUG_CONSOLE_DEVICE_TYPE_IUART   6U
    -
    - -
    -
    - -
    -
    - - - - -
    #define DEBUG_CONSOLE_DEVICE_TYPE_VUSART   7U
    -
    - -
    -
    - -
    -
    - - - - -
    #define DEBUG_CONSOLE_DEVICE_TYPE_MINI_USART   8U
    -
    - -
    -
    - -
    -
    - - - - -
    #define DEBUG_CONSOLE_DEVICE_TYPE_SWO   9U
    -
    - -
    -
    - -
    -
    - - - - -
    #define DEBUG_CONSOLE_DEVICE_TYPE_QSCI   10U
    -
    - -
    -
    - -
    -
    - - - - - - - - -
    #define ARRAY_SIZE( x)   (sizeof(x) / sizeof((x)[0]))
    -
    - -
    -
    -

    Typedef Documentation

    - -
    -
    - - - +
    typedef int32_t status_t#define FLASH_RSTS_N
    +Value:
    { \
    + +
    } /* Reset bits for Flash peripheral */
    +
    Definition: fsl_reset.h:39
    +

    Array initializers with peripheral reset bits

    Enumeration Type Documentation

    - +
    +

    Defines the enumeration for peripheral reset control bits in PRESETCTRL/ASYNCPRESETCTRL registers

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Enumerator
    kStatusGroup_Generic  -

    Group number for generic status codes.

    +
    Enumerator
    kFLASH_RST_N_SHIFT_RSTn  +

    Flash controller reset control

    kStatusGroup_FLASH  -

    Group number for FLASH status codes.

    +
    kI2C0_RST_N_SHIFT_RSTn  +

    I2C0 reset control

    kStatusGroup_LPSPI  -

    Group number for LPSPI status codes.

    +
    kGPIO0_RST_N_SHIFT_RSTn  +

    GPIO0 reset control

    kStatusGroup_FLEXIO_SPI  -

    Group number for FLEXIO SPI status codes.

    +
    kSWM_RST_N_SHIFT_RSTn  +

    SWM reset control

    kStatusGroup_DSPI  -

    Group number for DSPI status codes.

    +
    kWKT_RST_N_SHIFT_RSTn  +

    Self-wake-up timer(WKT) reset control

    kStatusGroup_FLEXIO_UART  -

    Group number for FLEXIO UART status codes.

    +
    kMRT_RST_N_SHIFT_RSTn  +

    Multi-rate timer(MRT) reset control

    kStatusGroup_FLEXIO_I2C  -

    Group number for FLEXIO I2C status codes.

    +
    kSPI0_RST_N_SHIFT_RSTn  +

    SPI0 reset control.

    kStatusGroup_LPI2C  -

    Group number for LPI2C status codes.

    +
    kCRC_RST_SHIFT_RSTn  +

    CRC reset control

    kStatusGroup_UART  -

    Group number for UART status codes.

    +
    kUART0_RST_N_SHIFT_RSTn  +

    UART0 reset control

    kStatusGroup_I2C  -

    Group number for UART status codes.

    +
    kUART1_RST_N_SHIFT_RSTn  +

    UART1 reset control

    kStatusGroup_LPSCI  -

    Group number for LPSCI status codes.

    +
    kIOCON_RST_N_SHIFT_RSTn  +

    IOCON reset control

    kStatusGroup_LPUART  -

    Group number for LPUART status codes.

    +
    kACMP_RST_N_SHIFT_RSTn  +

    Analog comparator reset control

    kStatusGroup_SPI  -

    Group number for SPI status code.

    +
    kI2C1_RST_N_SHIFT_RSTn  +

    I2C1 reset control

    kStatusGroup_XRDC  -

    Group number for XRDC status code.

    +
    kADC_RST_N_SHIFT_RSTn  +

    ADC reset control

    kStatusGroup_SEMA42  -

    Group number for SEMA42 status code.

    +
    kCTIMER0_RST_N_SHIFT_RSTn  +

    CTIMER0 reset control

    kStatusGroup_SDHC  -

    Group number for SDHC status code.

    +
    kDAC0_RST_N_SHIFT_RSTn  +

    DAC0 reset control

    kStatusGroup_SDMMC  -

    Group number for SDMMC status code.

    +
    kGPIOINT_RST_N_SHIFT_RSTn  +

    GPIOINT reset control

    kStatusGroup_SAI  -

    Group number for SAI status code.

    +
    kCAPT_RST_N_SHIFT_RSTn  +

    Capacitive Touch reset control

    kStatusGroup_MCG  -

    Group number for MCG status codes.

    +
    kFRG0_RST_N_SHIFT_RSTn  +

    Fractional baud rate generator 0 reset control

    kStatusGroup_SCG  -

    Group number for SCG status codes.

    -
    kStatusGroup_SDSPI  -

    Group number for SDSPI status codes.

    -
    kStatusGroup_FLEXIO_I2S  -

    Group number for FLEXIO I2S status codes.

    -
    kStatusGroup_FLEXIO_MCULCD  -

    Group number for FLEXIO LCD status codes.

    -
    kStatusGroup_FLASHIAP  -

    Group number for FLASHIAP status codes.

    -
    kStatusGroup_FLEXCOMM_I2C  -

    Group number for FLEXCOMM I2C status codes.

    -
    kStatusGroup_I2S  -

    Group number for I2S status codes.

    -
    kStatusGroup_IUART  -

    Group number for IUART status codes.

    -
    kStatusGroup_CSI  -

    Group number for CSI status codes.

    -
    kStatusGroup_MIPI_DSI  -

    Group number for MIPI DSI status codes.

    -
    kStatusGroup_SDRAMC  -

    Group number for SDRAMC status codes.

    -
    kStatusGroup_POWER  -

    Group number for POWER status codes.

    -
    kStatusGroup_ENET  -

    Group number for ENET status codes.

    -
    kStatusGroup_PHY  -

    Group number for PHY status codes.

    -
    kStatusGroup_TRGMUX  -

    Group number for TRGMUX status codes.

    -
    kStatusGroup_SMARTCARD  -

    Group number for SMARTCARD status codes.

    -
    kStatusGroup_LMEM  -

    Group number for LMEM status codes.

    -
    kStatusGroup_QSPI  -

    Group number for QSPI status codes.

    -
    kStatusGroup_DMA  -

    Group number for DMA status codes.

    -
    kStatusGroup_EDMA  -

    Group number for EDMA status codes.

    -
    kStatusGroup_DMAMGR  -

    Group number for DMAMGR status codes.

    -
    kStatusGroup_FLEXCAN  -

    Group number for FlexCAN status codes.

    -
    kStatusGroup_LTC  -

    Group number for LTC status codes.

    -
    kStatusGroup_FLEXIO_CAMERA  -

    Group number for FLEXIO CAMERA status codes.

    -
    kStatusGroup_LPC_SPI  -

    Group number for LPC_SPI status codes.

    -
    kStatusGroup_LPC_USART  -

    Group number for LPC_USART status codes.

    -
    kStatusGroup_DMIC  -

    Group number for DMIC status codes.

    -
    kStatusGroup_SDIF  -

    Group number for SDIF status codes.

    -
    kStatusGroup_SPIFI  -

    Group number for SPIFI status codes.

    -
    kStatusGroup_OTP  -

    Group number for OTP status codes.

    -
    kStatusGroup_MCAN  -

    Group number for MCAN status codes.

    -
    kStatusGroup_CAAM  -

    Group number for CAAM status codes.

    -
    kStatusGroup_ECSPI  -

    Group number for ECSPI status codes.

    -
    kStatusGroup_USDHC  -

    Group number for USDHC status codes.

    -
    kStatusGroup_LPC_I2C  -

    Group number for LPC_I2C status codes.

    -
    kStatusGroup_DCP  -

    Group number for DCP status codes.

    -
    kStatusGroup_MSCAN  -

    Group number for MSCAN status codes.

    -
    kStatusGroup_ESAI  -

    Group number for ESAI status codes.

    -
    kStatusGroup_FLEXSPI  -

    Group number for FLEXSPI status codes.

    -
    kStatusGroup_MMDC  -

    Group number for MMDC status codes.

    -
    kStatusGroup_PDM  -

    Group number for MIC status codes.

    -
    kStatusGroup_SDMA  -

    Group number for SDMA status codes.

    -
    kStatusGroup_ICS  -

    Group number for ICS status codes.

    -
    kStatusGroup_SPDIF  -

    Group number for SPDIF status codes.

    -
    kStatusGroup_LPC_MINISPI  -

    Group number for LPC_MINISPI status codes.

    -
    kStatusGroup_HASHCRYPT  -

    Group number for Hashcrypt status codes.

    -
    kStatusGroup_LPC_SPI_SSP  -

    Group number for LPC_SPI_SSP status codes.

    -
    kStatusGroup_I3C  -

    Group number for I3C status codes.

    -
    kStatusGroup_LPC_I2C_1  -

    Group number for LPC_I2C_1 status codes.

    -
    kStatusGroup_NOTIFIER  -

    Group number for NOTIFIER status codes.

    -
    kStatusGroup_DebugConsole  -

    Group number for debug console status codes.

    -
    kStatusGroup_SEMC  -

    Group number for SEMC status codes.

    -
    kStatusGroup_ApplicationRangeStart  -

    Starting number for application groups.

    -
    kStatusGroup_IAP  -

    Group number for IAP status codes.

    -
    kStatusGroup_SFA  -

    Group number for SFA status codes.

    -
    kStatusGroup_SPC  -

    Group number for SPC status codes.

    -
    kStatusGroup_PUF  -

    Group number for PUF status codes.

    -
    kStatusGroup_TOUCH_PANEL  -

    Group number for touch panel status codes.

    -
    kStatusGroup_VBAT  -

    Group number for VBAT status codes.

    -
    kStatusGroup_HAL_GPIO  -

    Group number for HAL GPIO status codes.

    -
    kStatusGroup_HAL_UART  -

    Group number for HAL UART status codes.

    -
    kStatusGroup_HAL_TIMER  -

    Group number for HAL TIMER status codes.

    -
    kStatusGroup_HAL_SPI  -

    Group number for HAL SPI status codes.

    -
    kStatusGroup_HAL_I2C  -

    Group number for HAL I2C status codes.

    -
    kStatusGroup_HAL_FLASH  -

    Group number for HAL FLASH status codes.

    -
    kStatusGroup_HAL_PWM  -

    Group number for HAL PWM status codes.

    -
    kStatusGroup_HAL_RNG  -

    Group number for HAL RNG status codes.

    -
    kStatusGroup_HAL_I2S  -

    Group number for HAL I2S status codes.

    -
    kStatusGroup_TIMERMANAGER  -

    Group number for TiMER MANAGER status codes.

    -
    kStatusGroup_SERIALMANAGER  -

    Group number for SERIAL MANAGER status codes.

    -
    kStatusGroup_LED  -

    Group number for LED status codes.

    -
    kStatusGroup_BUTTON  -

    Group number for BUTTON status codes.

    -
    kStatusGroup_EXTERN_EEPROM  -

    Group number for EXTERN EEPROM status codes.

    -
    kStatusGroup_SHELL  -

    Group number for SHELL status codes.

    -
    kStatusGroup_MEM_MANAGER  -

    Group number for MEM MANAGER status codes.

    -
    kStatusGroup_LIST  -

    Group number for List status codes.

    -
    kStatusGroup_OSA  -

    Group number for OSA status codes.

    -
    kStatusGroup_COMMON_TASK  -

    Group number for Common task status codes.

    -
    kStatusGroup_MSG  -

    Group number for messaging status codes.

    -
    kStatusGroup_SDK_OCOTP  -

    Group number for OCOTP status codes.

    -
    kStatusGroup_SDK_FLEXSPINOR  -

    Group number for FLEXSPINOR status codes.

    -
    kStatusGroup_CODEC  -

    Group number for codec status codes.

    -
    kStatusGroup_ASRC  -

    Group number for codec status ASRC.

    -
    kStatusGroup_OTFAD  -

    Group number for codec status codes.

    -
    kStatusGroup_SDIOSLV  -

    Group number for SDIOSLV status codes.

    -
    kStatusGroup_MECC  -

    Group number for MECC status codes.

    -
    kStatusGroup_ENET_QOS  -

    Group number for ENET_QOS status codes.

    -
    kStatusGroup_LOG  -

    Group number for LOG status codes.

    -
    kStatusGroup_I3CBUS  -

    Group number for I3CBUS status codes.

    -
    kStatusGroup_QSCI  -

    Group number for QSCI status codes.

    -
    kStatusGroup_SNT  -

    Group number for SNT status codes.

    -
    kStatusGroup_QUEUEDSPI  -

    Group number for QSPI status codes.

    -
    kStatusGroup_POWER_MANAGER  -

    Group number for POWER_MANAGER status codes.

    -
    kStatusGroup_IPED  -

    Group number for IPED status codes.

    -
    kStatusGroup_CSS_PKC  -

    Group number for CSS PKC status codes.

    -
    kStatusGroup_HOSTIF  -

    Group number for HOSTIF status codes.

    -
    kStatusGroup_CLIF  -

    Group number for CLIF status codes.

    -
    kStatusGroup_BMA  -

    Group number for BMA status codes.

    -
    kStatusGroup_NETC  -

    Group number for NETC status codes.

    -
    - -
    -
    - -
    -
    - - - - -
    anonymous enum
    -
    - - - - - - - - - -
    Enumerator
    kStatus_Success  -

    Generic status for Success.

    -
    kStatus_Fail  -

    Generic status for Fail.

    -
    kStatus_ReadOnly  -

    Generic status for read only failure.

    -
    kStatus_OutOfRange  -

    Generic status for out of range access.

    -
    kStatus_InvalidArgument  -

    Generic status for invalid argument check.

    -
    kStatus_Timeout  -

    Generic status for timeout.

    -
    kStatus_NoTransferInProgress  -

    Generic status for no transfer in progress.

    -
    kStatus_Busy  -

    Generic status for module is busy.

    -
    kStatus_NoData  -

    Generic status for no data is found for the operation.

    +
    kPLU_RST_N_SHIFT_RSTn  +

    PLU reset control

    Function Documentation

    - +
    - + - - - - - - - - - - - - - - -
    void* SDK_Malloc void RESET_PeripheralReset (size_t size,
    size_t alignbytes 
    )
    -
    -

    This is provided to support the dynamically allocated memory used in cache-able region.

    -
    Parameters
    - - - -
    sizeThe length required to malloc.
    alignbytesThe alignment size.
    -
    -
    -
    Return values
    - - -
    Theallocated memory.
    -
    -
    - -
    -
    - -
    -
    - - - - - - + +
    void SDK_Free (void * ptr)reset_ip_name_t peripheral)
    +

    Reset peripheral module.

    Parameters
    - -
    ptrThe memory to be release.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - - - - - - - - - - - -
    void SDK_DelayAtLeastUs (uint32_t delayTime_us,
    uint32_t coreClock_Hz 
    )
    -
    -

    Please note that, this API uses while loop for delay, different run-time environments make the time not precise, if precise delay count was needed, please implement a new delay function with hardware timer.

    -
    Parameters
    - - - +
    delayTime_usDelay time in unit of microsecond.
    coreClock_HzCore clock frequency with Hz.
    peripheralPeripheral to reset. The enum argument contains encoding of reset register and reset bit position in the reset register.
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00081.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00081.js index c21f4dd..2344aa2 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00081.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00081.js @@ -1,152 +1,28 @@ var a00081 = [ - [ "FSL_DRIVER_TRANSFER_DOUBLE_WEAK_IRQ", "a00081.html#ga9a10f3f762d9eb7c5db73535db6a9d78", null ], - [ "MAKE_STATUS", "a00081.html#gad76dfbb0423d0cd3a7b77cbcd9043146", null ], - [ "MAKE_VERSION", "a00081.html#ga812138aa3315b0c6953c1a26130bcc37", null ], - [ "FSL_COMMON_DRIVER_VERSION", "a00081.html#gac6e3c4c6fa592fc0da7fab541e7019f7", null ], - [ "DEBUG_CONSOLE_DEVICE_TYPE_NONE", "a00081.html#gaa7fc9e15d36453236a580b3c8116ee85", null ], - [ "DEBUG_CONSOLE_DEVICE_TYPE_UART", "a00081.html#ga59764d32e5394455906edbf03cff0b9f", null ], - [ "DEBUG_CONSOLE_DEVICE_TYPE_LPUART", "a00081.html#ga1a04b7330b5196f73ed4ffe7fa2bae6c", null ], - [ "DEBUG_CONSOLE_DEVICE_TYPE_LPSCI", "a00081.html#ga6b4add5f2d938a89da56f58ac0b4e89b", null ], - [ "DEBUG_CONSOLE_DEVICE_TYPE_USBCDC", "a00081.html#ga262e149f9ce1ef4cf2e539e9494d3cca", null ], - [ "DEBUG_CONSOLE_DEVICE_TYPE_FLEXCOMM", "a00081.html#gad4f7f7e51a84c34f06bbbb34973ae97a", null ], - [ "DEBUG_CONSOLE_DEVICE_TYPE_IUART", "a00081.html#ga75a9e86134df04f6a8b66025d11e25c2", null ], - [ "DEBUG_CONSOLE_DEVICE_TYPE_VUSART", "a00081.html#ga2c85202f838f94e51a0bb7b33856c78d", null ], - [ "DEBUG_CONSOLE_DEVICE_TYPE_MINI_USART", "a00081.html#ga5a951951cdb6063d949523052aa5b5e4", null ], - [ "DEBUG_CONSOLE_DEVICE_TYPE_SWO", "a00081.html#ga9d142691c3a4d810f8bdabc41e89dfd7", null ], - [ "DEBUG_CONSOLE_DEVICE_TYPE_QSCI", "a00081.html#ga74389c7c04a9f53548d05287c7c665cd", null ], - [ "ARRAY_SIZE", "a00081.html#ga6242a25f9d996f0cc4f4cdb911218b75", null ], - [ "status_t", "a00081.html#gaaabdaf7ee58ca7269bd4bf24efcde092", null ], - [ "_status_groups", "a00081.html#ga7ff0b98bb1341c07acefb1473b6eda29", [ - [ "kStatusGroup_Generic", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7c95e11ceb8067c9c2703f96e51aca7", null ], - [ "kStatusGroup_FLASH", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8db8bea33da992b22cafbae1dcf65bb0", null ], - [ "kStatusGroup_LPSPI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a491dbb2373725b607970c032f4e04ee3", null ], - [ "kStatusGroup_FLEXIO_SPI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bc2ecc10af8973a1ecc4f0163fb9b53", null ], - [ "kStatusGroup_DSPI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aee549dc5fb5e05a0d8daaa61a089b222", null ], - [ "kStatusGroup_FLEXIO_UART", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7514134f14004b1835d27cac48bd991", null ], - [ "kStatusGroup_FLEXIO_I2C", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a67e5d3d11fb3f47ec11d9ee612b98b94", null ], - [ "kStatusGroup_LPI2C", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a0d6c6a34fc0b6dbcb323f010556a3be1", null ], - [ "kStatusGroup_UART", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aeeb2ed6ef4ce59c7e1d416466f55bfb7", null ], - [ "kStatusGroup_I2C", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a5c67a60e98e49151455f565c2834d228", null ], - [ "kStatusGroup_LPSCI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a9f1b2d0eeee16f209d7eed3bdb1cf533", null ], - [ "kStatusGroup_LPUART", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bcb0be184f8d5bb76d4be8e99b4e45e", null ], - [ "kStatusGroup_SPI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a60c31c70600aff4f290ca2d790622977", null ], - [ "kStatusGroup_XRDC", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29af98b407f4e66e7046a3173031b45253d", null ], - [ "kStatusGroup_SEMA42", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a073c6e3ccd0dbcf1b812cb21da5e7df9", null ], - [ "kStatusGroup_SDHC", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aba83e94d1735b695119429a4e55bb3a4", null ], - [ "kStatusGroup_SDMMC", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1c5e35d9d03724b7ccadcae8e0451384", null ], - [ "kStatusGroup_SAI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae4461a3a085ea13b5fe7908bf1e109f8", null ], - [ "kStatusGroup_MCG", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8210c55fe061ff24e75a0f928dbfcb39", null ], - [ "kStatusGroup_SCG", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a9771abafdcf43bf924599a0081e92bf2", null ], - [ "kStatusGroup_SDSPI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a85685b8cde1285d240569b9518c32fc0", null ], - [ "kStatusGroup_FLEXIO_I2S", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae834c896c69c50855c5cf07768a6cba3", null ], - [ "kStatusGroup_FLEXIO_MCULCD", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a055d3788919f48c2d69463819d02d903", null ], - [ "kStatusGroup_FLASHIAP", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae5b7283daca81a85091e27b80ece629a", null ], - [ "kStatusGroup_FLEXCOMM_I2C", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aedd1abcbca188de6e16a6f088d59e92e", null ], - [ "kStatusGroup_I2S", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a0b66f34ec90c9b6a702e0f00f5ddae43", null ], - [ "kStatusGroup_IUART", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a33255aee9de4a1d093770b218f944d0f", null ], - [ "kStatusGroup_CSI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d1d1a595e9f00c6c9f80c19ce1b8ec5", null ], - [ "kStatusGroup_MIPI_DSI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29abdf3fca48a7a13b67d0207aeb59bc581", null ], - [ "kStatusGroup_SDRAMC", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3b3116c6f4aa1a88e6e7abc45df58938", null ], - [ "kStatusGroup_POWER", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a94d2a6fe8021fed5487169a46421d47e", null ], - [ "kStatusGroup_ENET", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7d6b922ab2a42d6ef35cfb2be4f80251", null ], - [ "kStatusGroup_PHY", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a521a4b8ac1776d0f41af044886a71b3b", null ], - [ "kStatusGroup_TRGMUX", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29adb8c69c2f8cd344f3e2dc0b0db4a1631", null ], - [ "kStatusGroup_SMARTCARD", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae16d6bba44ae6f24187946960fb826dd", null ], - [ "kStatusGroup_LMEM", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a376f952aee5cd45d903da520a37e4c52", null ], - [ "kStatusGroup_QSPI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a4a488e0f7cc1d3baa449ab4ca99e7b34", null ], - [ "kStatusGroup_DMA", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a210ff4fa30e99618f8d3e978df03a7b6", null ], - [ "kStatusGroup_EDMA", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a0ec7f0302a8f7eb082f449933880a1ad", null ], - [ "kStatusGroup_DMAMGR", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3091dcef1c0c7cd48658d8b43b52fbaf", null ], - [ "kStatusGroup_FLEXCAN", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1461a28ac2297f07aa34581074cf9923", null ], - [ "kStatusGroup_LTC", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a64b54e0423e0ad8a227a38cad4ad4eda", null ], - [ "kStatusGroup_FLEXIO_CAMERA", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a214d1cc4faa574be18fa9d865f2ca36d", null ], - [ "kStatusGroup_LPC_SPI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ac4c2c9d32010087f0602dbdf2c389857", null ], - [ "kStatusGroup_LPC_USART", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a02f52b9532ae0d4af04f5c054f3fab84", null ], - [ "kStatusGroup_DMIC", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a679444433f12ae5649cb02c2032ca20e", null ], - [ "kStatusGroup_SDIF", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a25fb824a9ad702e631276a8ea5d03603", null ], - [ "kStatusGroup_SPIFI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ac071c3c3d14ed8afadb2bb6de249c722", null ], - [ "kStatusGroup_OTP", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d9b7b66bfaaf53b42aad8462c88ea62", null ], - [ "kStatusGroup_MCAN", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab7f77dd7e0c3d068baf39117ac08a0b9", null ], - [ "kStatusGroup_CAAM", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a298049d9d9c8be1b2e7a42f38a734d87", null ], - [ "kStatusGroup_ECSPI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab99693af818132e3cb4f9198965ad637", null ], - [ "kStatusGroup_USDHC", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae2f54d029f0e74c8fed8812e24000a74", null ], - [ "kStatusGroup_LPC_I2C", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab597c78848ce0e63d2518f14e7bac82b", null ], - [ "kStatusGroup_DCP", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e7465155c679388316b2f874a284e9e", null ], - [ "kStatusGroup_MSCAN", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a858563b1d87d6fa57a8f442025655201", null ], - [ "kStatusGroup_ESAI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a01101c0342017a7fbe7225a7aa285bad", null ], - [ "kStatusGroup_FLEXSPI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a22f1bdf5e7abbeb0bdfe18cda0bc5aca", null ], - [ "kStatusGroup_MMDC", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a67a884fec4d6adb5b455d50a0a5617a5", null ], - [ "kStatusGroup_PDM", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0b4ea9f05497a624e32811c4f36a3be", null ], - [ "kStatusGroup_SDMA", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab55df75d85633505960f611180054524", null ], - [ "kStatusGroup_ICS", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a01bf442d671041dcbd1649ec0428c3b6", null ], - [ "kStatusGroup_SPDIF", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8bea2b5f8b1458aaa0dc29ddbb972d87", null ], - [ "kStatusGroup_LPC_MINISPI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab0104dd99e52fbf948f4ae030de5313d", null ], - [ "kStatusGroup_HASHCRYPT", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1dcb6a7c2605c9ed77388fcb25b01feb", null ], - [ "kStatusGroup_LPC_SPI_SSP", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa2c382624b5763cf24cf9727fbfadde3", null ], - [ "kStatusGroup_I3C", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a6dbe13107a9dbb857242cf05e2319f9d", null ], - [ "kStatusGroup_LPC_I2C_1", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a749eaf601d6fe3124f054bcb796b348c", null ], - [ "kStatusGroup_NOTIFIER", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a56134a73f0cfd393dd7cf7fb2395dd99", null ], - [ "kStatusGroup_DebugConsole", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a499ec238a1614827065533960716e652", null ], - [ "kStatusGroup_SEMC", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a2fef671dda58af09262787022fe321fe", null ], - [ "kStatusGroup_ApplicationRangeStart", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0c3a94577af5271a1042757d3c8fdc1", null ], - [ "kStatusGroup_IAP", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a097d515214e888371df5c588b839529d", null ], - [ "kStatusGroup_SFA", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a9cf111a176ebe1210ae6faaa950aac54", null ], - [ "kStatusGroup_SPC", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7491bc6ede9a2eb6e7f292bb1530b7d7", null ], - [ "kStatusGroup_PUF", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a984927be2e0e57c4125e8e8f5d9c0f48", null ], - [ "kStatusGroup_TOUCH_PANEL", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a381f1929c41dcaef62d2d44326719db8", null ], - [ "kStatusGroup_VBAT", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29af4cabd4468ae9e670d9c188064c56f41", null ], - [ "kStatusGroup_HAL_GPIO", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a18ce0675c0cc628b2ff29a1bafce8b58", null ], - [ "kStatusGroup_HAL_UART", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a6510cce112fc6ed1e0523b5d7b307ca2", null ], - [ "kStatusGroup_HAL_TIMER", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa44796b25ed6ed37f7fb25f6f4076c2d", null ], - [ "kStatusGroup_HAL_SPI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aba70aa1970435b3ccd39ac54f9d7a68c", null ], - [ "kStatusGroup_HAL_I2C", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aaa30b9e3fdd5e93bae58e66521b3015d", null ], - [ "kStatusGroup_HAL_FLASH", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa5afa714de4adf37bc00faf6c3dc589e", null ], - [ "kStatusGroup_HAL_PWM", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa4609e941ad1155a86c40bdfcb20a155", null ], - [ "kStatusGroup_HAL_RNG", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa0d0535965c8d28434b036961f6a8c20", null ], - [ "kStatusGroup_HAL_I2S", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ac509445aa04b715b77e6461993b50085", null ], - [ "kStatusGroup_TIMERMANAGER", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a4603f8fedc8ad994788e17baae895013", null ], - [ "kStatusGroup_SERIALMANAGER", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a39a54cda2a1cac31e1c00be0eb4a7620", null ], - [ "kStatusGroup_LED", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a84ab69a4e7f3f1c39f1c3a64d74af08c", null ], - [ "kStatusGroup_BUTTON", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7415efb189bfb31491ef0ae45fb24d90", null ], - [ "kStatusGroup_EXTERN_EEPROM", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e4a33b100580c2c1606d66d5ffdfaa3", null ], - [ "kStatusGroup_SHELL", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a660addd059be8786f2aa3d9a1a196ae1", null ], - [ "kStatusGroup_MEM_MANAGER", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29acdfe26eb8bbbaaea438470512401e09a", null ], - [ "kStatusGroup_LIST", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e3bc103af2d3db7b628f87174d2bff8", null ], - [ "kStatusGroup_OSA", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a5b65c75456471a2536a97a30db4b8da3", null ], - [ "kStatusGroup_COMMON_TASK", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7771d56430ad7ff2553a1258aba16e02", null ], - [ "kStatusGroup_MSG", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a6d0dedbec7ee218b0ae03f23edad02fd", null ], - [ "kStatusGroup_SDK_OCOTP", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a539b147c0d1409a0e11c3ae340886fa8", null ], - [ "kStatusGroup_SDK_FLEXSPINOR", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a830e5b42a05424dc026bd36d30f143a5", null ], - [ "kStatusGroup_CODEC", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7c488d28bc9be2e29bd0d133bce7389a", null ], - [ "kStatusGroup_ASRC", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a713723bd8764655328f1e5283a8e6020", null ], - [ "kStatusGroup_OTFAD", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a4f53ab1f39031629ac12159920f0cde1", null ], - [ "kStatusGroup_SDIOSLV", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3e59e90d903b97bd45037175997f4ad6", null ], - [ "kStatusGroup_MECC", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ad85b4bef880b9407425398fc584e16a0", null ], - [ "kStatusGroup_ENET_QOS", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aae462c0663af9e2d180cd06c5798ac3b", null ], - [ "kStatusGroup_LOG", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a2af6421e7b473bd882f5372ad1fa6d0e", null ], - [ "kStatusGroup_I3CBUS", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae28000753ef8046bc9b08e03fc8a1899", null ], - [ "kStatusGroup_QSCI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a025ed41827a0bd0b7bf60b853a921c7d", null ], - [ "kStatusGroup_SNT", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae67462f0d7dbac886d8a1272a29b846c", null ], - [ "kStatusGroup_QUEUEDSPI", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7712fcacc6d5e9698366daafc59ea644", null ], - [ "kStatusGroup_POWER_MANAGER", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a6c52b0b8b2d2ca670a5f8eca66212f54", null ], - [ "kStatusGroup_IPED", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a79d16666510da650e32b1499cf102aa5", null ], - [ "kStatusGroup_CSS_PKC", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a9ef29ac5ce94ff0617434c39574b4f91", null ], - [ "kStatusGroup_HOSTIF", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a51bf18cc27f6df31f1602db81a2f77f5", null ], - [ "kStatusGroup_CLIF", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a50aada436b514e0056476e275e1fd203", null ], - [ "kStatusGroup_BMA", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29af5e618b56733c41397941d8dec581030", null ], - [ "kStatusGroup_NETC", "a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e0ac8d81af0520d13c650cd3a3bcdde", null ], - [ "kStatus_Success", "a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba829bee76c6b02225d9c891ae8ef70881", null ], - [ "kStatus_Fail", "a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba8692e71089c7e81bd5f4503ff55035db", null ], - [ "kStatus_ReadOnly", "a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba69927473662d55dfe6a4b8b1ea529f67", null ], - [ "kStatus_OutOfRange", "a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba96d166071c2c0b2672ddaa3307899a0b", null ], - [ "kStatus_InvalidArgument", "a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba9743ab3bec5065667c0b12510317e76c", null ], - [ "kStatus_Timeout", "a00081.html#gga06fc87d81c62e9abb8790b6e5713c55badf7f172a5d4f1a44d5cb8a1121dcafcb", null ], - [ "kStatus_NoTransferInProgress", "a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba82fc7f2a425a9c3cfcf6636b8c05c06c", null ], - [ "kStatus_Busy", "a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba31e314ec45f0b673257687c06b6fe764", null ], - [ "kStatus_NoData", "a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba94770b392eceab60898d03ffcf0cc145", null ] + [ "FSL_RESET_DRIVER_VERSION", "a00081.html#gaf2dd9adbeea910141dc143654a1065db", null ], + [ "FLASH_RSTS_N", "a00081.html#ga337911597d5b1d45ac9ac6abb705a5ef", null ], + [ "SYSCON_RSTn_t", "a00081.html#gac287530f011b42355162470f09975770", [ + [ "kFLASH_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770ac1601058bee0888d89675b528432a496", null ], + [ "kI2C0_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770aee6d4f65797043b10be7d4a7ae95d206", null ], + [ "kGPIO0_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a244e5cce727a529563a83a1a78f8b3f1", null ], + [ "kSWM_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770abbd5b2eb886157d35a4ff88077fa192b", null ], + [ "kWKT_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a80aae2b47fdc2701cb923d27ca9e5a7a", null ], + [ "kMRT_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a81a00b3196e6197af1ca45b8a5bac450", null ], + [ "kSPI0_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770ac5dc2b0f880a50d33e7d79f7af4c4f33", null ], + [ "kCRC_RST_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a194baa96cd93ef108f2724fa98352387", null ], + [ "kUART0_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770aa294a3c09c1565947ebcd78694acff24", null ], + [ "kUART1_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a8bc751e4927f0c20a0695b284fc40c38", null ], + [ "kIOCON_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770ab95a1dd8a7fbc479365344d58ac55737", null ], + [ "kACMP_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770ac1a3b1156e6dbc9d6fecb867f1486c63", null ], + [ "kI2C1_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770ad1ef18ed84027fdc4d41567ae894ea10", null ], + [ "kADC_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a99e18503426294e439f4bb181a451999", null ], + [ "kCTIMER0_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a900b1deecf2f2316b30e40ff81330499", null ], + [ "kDAC0_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a25d5f742c3cbcf85a4e9c388c5c9e708", null ], + [ "kGPIOINT_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a20fd1ac8739a143dddb40a6349a758a6", null ], + [ "kCAPT_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a6946809531736fcf4d7067e31f73813b", null ], + [ "kFRG0_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770a7a5b1b185218feb35ce91ce8f77b2106", null ], + [ "kPLU_RST_N_SHIFT_RSTn", "a00081.html#ggac287530f011b42355162470f09975770ac0e9dc6f492774ceef33623e62882da5", null ] ] ], - [ "SDK_Malloc", "a00081.html#ga4e1420d9e817ebe0e8973420411de015", null ], - [ "SDK_Free", "a00081.html#ga0be5caec9b8493d87cc849714bd47865", null ], - [ "SDK_DelayAtLeastUs", "a00081.html#ga4f9121590e5b8fe025d706ff2fe5da36", null ] + [ "RESET_PeripheralReset", "a00081.html#gac33f3428cb55ce07ae67802e5f534ffc", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00082.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00082.html index 8ac8c55..5ec64f0 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00082.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00082.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: IAP: In Application Programming Driver +MCUXpresso SDK API Reference Manual: Common Driver @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

    -Files

    file  fsl_iap.h
     

    +Macros

    #define FSL_DRIVER_TRANSFER_DOUBLE_WEAK_IRQ   1
     Macro to use the default weak IRQ handler in drivers. More...
     
    #define MAKE_STATUS(group, code)   ((((group)*100L) + (code)))
     Construct a status code value from a group and code number. More...
     
    #define MAKE_VERSION(major, minor, bugfix)   (((major)*65536L) + ((minor)*256L) + (bugfix))
     Construct the version number for drivers. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_NONE   0U
     No debug console. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_UART   1U
     Debug console based on UART. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_LPUART   2U
     Debug console based on LPUART. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_LPSCI   3U
     Debug console based on LPSCI. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_USBCDC   4U
     Debug console based on USBCDC. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_FLEXCOMM   5U
     Debug console based on FLEXCOMM. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_IUART   6U
     Debug console based on i.MX UART. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_VUSART   7U
     Debug console based on LPC_VUSART. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_MINI_USART   8U
     Debug console based on LPC_USART. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_SWO   9U
     Debug console based on SWO. More...
     
    #define DEBUG_CONSOLE_DEVICE_TYPE_QSCI   10U
     Debug console based on QSCI. More...
     
    #define ARRAY_SIZE(x)   (sizeof(x) / sizeof((x)[0]))
     Computes the number of elements in an array. More...
     
    + + + +

    +Typedefs

    typedef int32_t status_t
     Type used for all status and error return values. More...
     
    - - - - + + - - - - - + + +

    Enumerations

    enum  {
    -  kStatus_IAP_Success = kStatus_Success, +
    enum  _status_groups {
    +  kStatusGroup_Generic = 0,
    -  kStatus_IAP_InvalidCommand = MAKE_STATUS(kStatusGroup_IAP, 1U), +  kStatusGroup_FLASH = 1,
    -  kStatus_IAP_SrcAddrError = MAKE_STATUS(kStatusGroup_IAP, 2U), +  kStatusGroup_LPSPI = 4,
    -  kStatus_IAP_DstAddrError, +  kStatusGroup_FLEXIO_SPI = 5,
    -  kStatus_IAP_SrcAddrNotMapped, +  kStatusGroup_DSPI = 6,
    -  kStatus_IAP_DstAddrNotMapped, +  kStatusGroup_FLEXIO_UART = 7,
    -  kStatus_IAP_CountError, +  kStatusGroup_FLEXIO_I2C = 8,
    -  kStatus_IAP_InvalidSector, +  kStatusGroup_LPI2C = 9,
    -  kStatus_IAP_SectorNotblank = MAKE_STATUS(kStatusGroup_IAP, 8U), +  kStatusGroup_UART = 10,
    -  kStatus_IAP_NotPrepared, +  kStatusGroup_I2C = 11,
    -  kStatus_IAP_CompareError, +  kStatusGroup_LPSCI = 12,
    -  kStatus_IAP_Busy = MAKE_STATUS(kStatusGroup_IAP, 11U), +  kStatusGroup_LPUART = 13,
    -  kStatus_IAP_ParamError, +  kStatusGroup_SPI = 14,
    -  kStatus_IAP_AddrError = MAKE_STATUS(kStatusGroup_IAP, 13U), +  kStatusGroup_XRDC = 15,
    -  kStatus_IAP_AddrNotMapped = MAKE_STATUS(kStatusGroup_IAP, 14U), +  kStatusGroup_SEMA42 = 16,
    -  kStatus_IAP_NoPower = MAKE_STATUS(kStatusGroup_IAP, 24U), +  kStatusGroup_SDHC = 17,
    -  kStatus_IAP_NoClock = MAKE_STATUS(kStatusGroup_IAP, 27U), +  kStatusGroup_SDMMC = 18,
    -  kStatus_IAP_ReinvokeISPConfig = MAKE_STATUS(kStatusGroup_IAP, 0x1CU) +  kStatusGroup_SAI = 19, +
    +  kStatusGroup_MCG = 20, +
    +  kStatusGroup_SCG = 21, +
    +  kStatusGroup_SDSPI = 22, +
    +  kStatusGroup_FLEXIO_I2S = 23, +
    +  kStatusGroup_FLEXIO_MCULCD = 24, +
    +  kStatusGroup_FLASHIAP = 25, +
    +  kStatusGroup_FLEXCOMM_I2C = 26, +
    +  kStatusGroup_I2S = 27, +
    +  kStatusGroup_IUART = 28, +
    +  kStatusGroup_CSI = 29, +
    +  kStatusGroup_MIPI_DSI = 30, +
    +  kStatusGroup_SDRAMC = 35, +
    +  kStatusGroup_POWER = 39, +
    +  kStatusGroup_ENET = 40, +
    +  kStatusGroup_PHY = 41, +
    +  kStatusGroup_TRGMUX = 42, +
    +  kStatusGroup_SMARTCARD = 43, +
    +  kStatusGroup_LMEM = 44, +
    +  kStatusGroup_QSPI = 45, +
    +  kStatusGroup_DMA = 50, +
    +  kStatusGroup_EDMA = 51, +
    +  kStatusGroup_DMAMGR = 52, +
    +  kStatusGroup_FLEXCAN = 53, +
    +  kStatusGroup_LTC = 54, +
    +  kStatusGroup_FLEXIO_CAMERA = 55, +
    +  kStatusGroup_LPC_SPI = 56, +
    +  kStatusGroup_LPC_USART = 57, +
    +  kStatusGroup_DMIC = 58, +
    +  kStatusGroup_SDIF = 59, +
    +  kStatusGroup_SPIFI = 60, +
    +  kStatusGroup_OTP = 61, +
    +  kStatusGroup_MCAN = 62, +
    +  kStatusGroup_CAAM = 63, +
    +  kStatusGroup_ECSPI = 64, +
    +  kStatusGroup_USDHC = 65, +
    +  kStatusGroup_LPC_I2C = 66, +
    +  kStatusGroup_DCP = 67, +
    +  kStatusGroup_MSCAN = 68, +
    +  kStatusGroup_ESAI = 69, +
    +  kStatusGroup_FLEXSPI = 70, +
    +  kStatusGroup_MMDC = 71, +
    +  kStatusGroup_PDM = 72, +
    +  kStatusGroup_SDMA = 73, +
    +  kStatusGroup_ICS = 74, +
    +  kStatusGroup_SPDIF = 75, +
    +  kStatusGroup_LPC_MINISPI = 76, +
    +  kStatusGroup_HASHCRYPT = 77, +
    +  kStatusGroup_LPC_SPI_SSP = 78, +
    +  kStatusGroup_I3C = 79, +
    +  kStatusGroup_LPC_I2C_1 = 97, +
    +  kStatusGroup_NOTIFIER = 98, +
    +  kStatusGroup_DebugConsole = 99, +
    +  kStatusGroup_SEMC = 100, +
    +  kStatusGroup_ApplicationRangeStart = 101, +
    +  kStatusGroup_IAP = 102, +
    +  kStatusGroup_SFA = 103, +
    +  kStatusGroup_SPC = 104, +
    +  kStatusGroup_PUF = 105, +
    +  kStatusGroup_TOUCH_PANEL = 106, +
    +  kStatusGroup_VBAT = 107, +
    +  kStatusGroup_HAL_GPIO = 121, +
    +  kStatusGroup_HAL_UART = 122, +
    +  kStatusGroup_HAL_TIMER = 123, +
    +  kStatusGroup_HAL_SPI = 124, +
    +  kStatusGroup_HAL_I2C = 125, +
    +  kStatusGroup_HAL_FLASH = 126, +
    +  kStatusGroup_HAL_PWM = 127, +
    +  kStatusGroup_HAL_RNG = 128, +
    +  kStatusGroup_HAL_I2S = 129, +
    +  kStatusGroup_HAL_ADC_SENSOR = 130, +
    +  kStatusGroup_TIMERMANAGER = 135, +
    +  kStatusGroup_SERIALMANAGER = 136, +
    +  kStatusGroup_LED = 137, +
    +  kStatusGroup_BUTTON = 138, +
    +  kStatusGroup_EXTERN_EEPROM = 139, +
    +  kStatusGroup_SHELL = 140, +
    +  kStatusGroup_MEM_MANAGER = 141, +
    +  kStatusGroup_LIST = 142, +
    +  kStatusGroup_OSA = 143, +
    +  kStatusGroup_COMMON_TASK = 144, +
    +  kStatusGroup_MSG = 145, +
    +  kStatusGroup_SDK_OCOTP = 146, +
    +  kStatusGroup_SDK_FLEXSPINOR = 147, +
    +  kStatusGroup_CODEC = 148, +
    +  kStatusGroup_ASRC = 149, +
    +  kStatusGroup_OTFAD = 150, +
    +  kStatusGroup_SDIOSLV = 151, +
    +  kStatusGroup_MECC = 152, +
    +  kStatusGroup_ENET_QOS = 153, +
    +  kStatusGroup_LOG = 154, +
    +  kStatusGroup_I3CBUS = 155, +
    +  kStatusGroup_QSCI = 156, +
    +  kStatusGroup_SNT = 157, +
    +  kStatusGroup_QUEUEDSPI = 158, +
    +  kStatusGroup_POWER_MANAGER = 159, +
    +  kStatusGroup_IPED = 160, +
    +  kStatusGroup_ELS_PKC = 161, +
    +  kStatusGroup_CSS_PKC = 162, +
    +  kStatusGroup_HOSTIF = 163, +
    +  kStatusGroup_CLIF = 164, +
    +  kStatusGroup_BMA = 165, +
    +  kStatusGroup_NETC = 166
    }
     iap status codes. More...
     
    enum  _iap_commands {
    -  kIapCmd_IAP_ReadFactorySettings = 40U, +
     Status group numbers. More...
     
    enum  {
    +  kStatus_Success = MAKE_STATUS(kStatusGroup_Generic, 0),
    -  kIapCmd_IAP_PrepareSectorforWrite = 50U, +  kStatus_Fail = MAKE_STATUS(kStatusGroup_Generic, 1),
    -  kIapCmd_IAP_CopyRamToFlash = 51U, +  kStatus_ReadOnly = MAKE_STATUS(kStatusGroup_Generic, 2),
    -  kIapCmd_IAP_EraseSector = 52U, +  kStatus_OutOfRange = MAKE_STATUS(kStatusGroup_Generic, 3),
    -  kIapCmd_IAP_BlankCheckSector = 53U, +  kStatus_InvalidArgument = MAKE_STATUS(kStatusGroup_Generic, 4),
    -  kIapCmd_IAP_ReadPartId = 54U, +  kStatus_Timeout = MAKE_STATUS(kStatusGroup_Generic, 5),
    -  kIapCmd_IAP_Read_BootromVersion = 55U, +  kStatus_NoTransferInProgress,
    -  kIapCmd_IAP_Compare = 56U, +  kStatus_Busy = MAKE_STATUS(kStatusGroup_Generic, 7),
    -  kIapCmd_IAP_ReinvokeISP = 57U, -
    -  kIapCmd_IAP_ReadUid = 58U, -
    -  kIapCmd_IAP_ErasePage = 59U, -
    -  kIapCmd_IAP_ReadSignature = 70U, -
    -  kIapCmd_IAP_ExtendedReadSignature = 73U, -
    -  kIapCmd_IAP_ReadEEPROMPage = 80U, -
    -  kIapCmd_IAP_WriteEEPROMPage = 81U +  kStatus_NoData
    }
     iap command codes. More...
     
    enum  _flash_access_time { ,
    -  kFlash_IAP_TwoSystemClockTime = 1U, -
    -  kFlash_IAP_ThreeSystemClockTime = 2U -
    - }
     Flash memory access time. More...
     
     Generic status return codes. More...
     
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

    +Functions

    void * SDK_Malloc (size_t size, size_t alignbytes)
     Allocate memory with given alignment and aligned size. More...
     
    void SDK_Free (void *ptr)
     Free memory. More...
     
    void SDK_DelayAtLeastUs (uint32_t delayTime_us, uint32_t coreClock_Hz)
     Delay at least for some time. More...
     
    static status_t EnableIRQ (IRQn_Type interrupt)
     Enable specific interrupt. More...
     
    static status_t DisableIRQ (IRQn_Type interrupt)
     Disable specific interrupt. More...
     
    static status_t EnableIRQWithPriority (IRQn_Type interrupt, uint8_t priNum)
     Enable the IRQ, and also set the interrupt priority. More...
     
    static status_t IRQ_SetPriority (IRQn_Type interrupt, uint8_t priNum)
     Set the IRQ priority. More...
     
    static status_t IRQ_ClearPendingIRQ (IRQn_Type interrupt)
     Clear the pending IRQ flag. More...
     
    static uint32_t DisableGlobalIRQ (void)
     Disable the global IRQ. More...
     
    static void EnableGlobalIRQ (uint32_t primask)
     Enable the global IRQ. More...
     
    - - + + +

    Driver version

    -#define FSL_IAP_DRIVER_VERSION   (MAKE_VERSION(2, 0, 6))
     
    #define FSL_COMMON_DRIVER_VERSION   (MAKE_VERSION(2, 4, 0))
     common driver version. More...
     
    - - - - - - - - - - - - +Min/max macros + + + +

    -Basic operations

    status_t IAP_ReadPartID (uint32_t *partID)
     Read part identification number. More...
     
    status_t IAP_ReadBootCodeVersion (uint32_t *bootCodeVersion)
     Read boot code version number. More...
     
    void IAP_ReinvokeISP (uint8_t ispType, uint32_t *status)
     Reinvoke ISP. More...
     
    status_t IAP_ReadUniqueID (uint32_t *uniqueID)
     Read unique identification. More...
     
    +#define MIN(a, b)   (((a) < (b)) ? (a) : (b))
     
    +#define MAX(a, b)   (((a) > (b)) ? (a) : (b))
     
    - - - - - - - - - - - - - - - - - - +UINT16_MAX/UINT32_MAX value + + + + +

    -Flash operations

    status_t IAP_PrepareSectorForWrite (uint32_t startSector, uint32_t endSector)
     Prepare sector for write operation. More...
     
    status_t IAP_CopyRamToFlash (uint32_t dstAddr, uint32_t *srcAddr, uint32_t numOfBytes, uint32_t systemCoreClock)
     Copy RAM to flash. More...
     
    status_t IAP_EraseSector (uint32_t startSector, uint32_t endSector, uint32_t systemCoreClock)
     Erase sector. More...
     
    status_t IAP_ErasePage (uint32_t startPage, uint32_t endPage, uint32_t systemCoreClock)
     Erase page. More...
     
    status_t IAP_BlankCheckSector (uint32_t startSector, uint32_t endSector)
     Blank check sector(s) More...
     
    status_t IAP_Compare (uint32_t dstAddr, uint32_t *srcAddr, uint32_t numOfBytes)
     Compare memory contents of flash with ram. More...
     
    +#define UINT16_MAX   ((uint16_t)-1)
     
    +#define UINT32_MAX   ((uint32_t)-1)
     
    + + + +

    +Suppress fallthrough warning macro

    +#define SUPPRESS_FALL_THROUGH_WARNING()
     
    + + + + + + + + + + + + + + +

    +Atomic modification

    These macros are used for atomic access, such as read-modify-write to the peripheral registers.

    +
      +
    • SDK_ATOMIC_LOCAL_ADD
    • +
    • SDK_ATOMIC_LOCAL_SET
    • +
    • SDK_ATOMIC_LOCAL_CLEAR
    • +
    • SDK_ATOMIC_LOCAL_TOGGLE
    • +
    • SDK_ATOMIC_LOCAL_CLEAR_AND_SET
    • +
    +

    Take SDK_ATOMIC_LOCAL_CLEAR_AND_SET as an example: the parameter addr means the address of the peripheral register or variable you want to modify atomically, the parameter clearBits is the bits to clear, the parameter setBits it the bits to set. For example, to set a 32-bit register bit1:bit0 to 0b10, use like this:

    +
    volatile uint32_t * reg = (volatile uint32_t *)REG_ADDR;
    +
    +
    SDK_ATOMIC_LOCAL_CLEAR_AND_SET(reg, 0x03, 0x02);
    +

    In this example, the register bit1:bit0 are cleared and bit1 is set, as a result, register bit1:bit0 = 0b10.

    +
    Note
    For the platforms don't support exclusive load and store, these macros disable the global interrupt to pretect the modification.
    +
    +These macros only guarantee the local processor atomic operations. For the multi-processor devices, use hardware semaphore such as SEMA42 to guarantee exclusive access if necessary.
    +
    +#define SDK_ATOMIC_LOCAL_ADD(addr, val)
     
    +#define SDK_ATOMIC_LOCAL_SUB(addr, val)
     
    +#define SDK_ATOMIC_LOCAL_SET(addr, bits)
     
    +#define SDK_ATOMIC_LOCAL_CLEAR(addr, bits)
     
    +#define SDK_ATOMIC_LOCAL_TOGGLE(addr, bits)
     
    +#define SDK_ATOMIC_LOCAL_CLEAR_AND_SET(addr, clearBits, setBits)
     
    + + + + + + + + + + + + + +

    +Timer utilities

    +#define USEC_TO_COUNT(us, clockFreqInHz)   (uint64_t)(((uint64_t)(us) * (clockFreqInHz)) / 1000000U)
     Macro to convert a microsecond period to raw count value.
     
    +#define COUNT_TO_USEC(count, clockFreqInHz)   (uint64_t)((uint64_t)(count)*1000000U / (clockFreqInHz))
     Macro to convert a raw count value to microsecond.
     
    +#define MSEC_TO_COUNT(ms, clockFreqInHz)   (uint64_t)((uint64_t)(ms) * (clockFreqInHz) / 1000U)
     Macro to convert a millisecond period to raw count value.
     
    +#define COUNT_TO_MSEC(count, clockFreqInHz)   (uint64_t)((uint64_t)(count)*1000U / (clockFreqInHz))
     Macro to convert a raw count value to millisecond.
     
    + + + + + + +

    +Alignment variable definition macros

    +#define SDK_L1DCACHE_ALIGN(var)   SDK_ALIGN(var, FSL_FEATURE_L1DCACHE_LINESIZE_BYTE)
     Macro to define a variable with L1 d-cache line size alignment.
     
    #define SDK_SIZEALIGN(var, alignbytes)   ((unsigned int)((var) + ((alignbytes)-1U)) & (unsigned int)(~(unsigned int)((alignbytes)-1U)))
     Macro to define a variable with L2 cache line size alignment. More...
     
    +

    Macro Definition Documentation

    + +
    +
    + + + + +
    #define FSL_DRIVER_TRANSFER_DOUBLE_WEAK_IRQ   1
    +
    + +
    +
    + +
    +
    + + + + + + + + + + + + + + + + + + +
    #define MAKE_STATUS( group,
     code 
    )   ((((group)*100L) + (code)))
    +
    + +
    +
    + +
    +
    + + + + + + + + + + + + + + + + + + + + + + + + +
    #define MAKE_VERSION( major,
     minor,
     bugfix 
    )   (((major)*65536L) + ((minor)*256L) + (bugfix))
    +
    +

    The driver version is a 32-bit number, for both 32-bit platforms(such as Cortex M) and 16-bit platforms(such as DSC).

    +
    | Unused    || Major Version || Minor Version ||  Bug Fix    |
    +31        25  24           17  16            9  8            0
    +
    +
    + +
    +
    + + + + +
    #define FSL_COMMON_DRIVER_VERSION   (MAKE_VERSION(2, 4, 0))
    +
    + +
    +
    + +
    +
    + + + + +
    #define DEBUG_CONSOLE_DEVICE_TYPE_NONE   0U
    +
    + +
    +
    + +
    +
    + + + + +
    #define DEBUG_CONSOLE_DEVICE_TYPE_UART   1U
    +
    + +
    +
    + +
    +
    + + + + +
    #define DEBUG_CONSOLE_DEVICE_TYPE_LPUART   2U
    +
    + +
    +
    + +
    +
    + + + + +
    #define DEBUG_CONSOLE_DEVICE_TYPE_LPSCI   3U
    +
    + +
    +
    + +
    +
    + + + + +
    #define DEBUG_CONSOLE_DEVICE_TYPE_USBCDC   4U
    +
    + +
    +
    + +
    +
    + + + + +
    #define DEBUG_CONSOLE_DEVICE_TYPE_FLEXCOMM   5U
    +
    + +
    +
    + +
    +
    + + + + +
    #define DEBUG_CONSOLE_DEVICE_TYPE_IUART   6U
    +
    + +
    +
    + +
    +
    + + + + +
    #define DEBUG_CONSOLE_DEVICE_TYPE_VUSART   7U
    +
    + +
    +
    + +
    +
    + + + + +
    #define DEBUG_CONSOLE_DEVICE_TYPE_MINI_USART   8U
    +
    + +
    +
    + +
    +
    + + + + +
    #define DEBUG_CONSOLE_DEVICE_TYPE_SWO   9U
    +
    + +
    +
    + +
    +
    + + + + +
    #define DEBUG_CONSOLE_DEVICE_TYPE_QSCI   10U
    +
    + +
    +
    + +
    +
    + + + + + + + + +
    #define ARRAY_SIZE( x)   (sizeof(x) / sizeof((x)[0]))
    +
    + +
    +
    + +
    +
    + + + + + + + + + + + + + + + + + + +
    #define SDK_SIZEALIGN( var,
     alignbytes 
    )   ((unsigned int)((var) + ((alignbytes)-1U)) & (unsigned int)(~(unsigned int)((alignbytes)-1U)))
    +
    +

    Macro to change a value to a given size aligned value

    + +
    +
    +

    Typedef Documentation

    + +
    +
    + + + + +
    typedef int32_t status_t
    +
    + +
    +

    Enumeration Type Documentation

    - + +
    +
    + + + + +
    enum _status_groups
    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Enumerator
    kStatusGroup_Generic  +

    Group number for generic status codes.

    +
    kStatusGroup_FLASH  +

    Group number for FLASH status codes.

    +
    kStatusGroup_LPSPI  +

    Group number for LPSPI status codes.

    +
    kStatusGroup_FLEXIO_SPI  +

    Group number for FLEXIO SPI status codes.

    +
    kStatusGroup_DSPI  +

    Group number for DSPI status codes.

    +
    kStatusGroup_FLEXIO_UART  +

    Group number for FLEXIO UART status codes.

    +
    kStatusGroup_FLEXIO_I2C  +

    Group number for FLEXIO I2C status codes.

    +
    kStatusGroup_LPI2C  +

    Group number for LPI2C status codes.

    +
    kStatusGroup_UART  +

    Group number for UART status codes.

    +
    kStatusGroup_I2C  +

    Group number for UART status codes.

    +
    kStatusGroup_LPSCI  +

    Group number for LPSCI status codes.

    +
    kStatusGroup_LPUART  +

    Group number for LPUART status codes.

    +
    kStatusGroup_SPI  +

    Group number for SPI status code.

    +
    kStatusGroup_XRDC  +

    Group number for XRDC status code.

    +
    kStatusGroup_SEMA42  +

    Group number for SEMA42 status code.

    +
    kStatusGroup_SDHC  +

    Group number for SDHC status code.

    +
    kStatusGroup_SDMMC  +

    Group number for SDMMC status code.

    +
    kStatusGroup_SAI  +

    Group number for SAI status code.

    +
    kStatusGroup_MCG  +

    Group number for MCG status codes.

    +
    kStatusGroup_SCG  +

    Group number for SCG status codes.

    +
    kStatusGroup_SDSPI  +

    Group number for SDSPI status codes.

    +
    kStatusGroup_FLEXIO_I2S  +

    Group number for FLEXIO I2S status codes.

    +
    kStatusGroup_FLEXIO_MCULCD  +

    Group number for FLEXIO LCD status codes.

    +
    kStatusGroup_FLASHIAP  +

    Group number for FLASHIAP status codes.

    +
    kStatusGroup_FLEXCOMM_I2C  +

    Group number for FLEXCOMM I2C status codes.

    +
    kStatusGroup_I2S  +

    Group number for I2S status codes.

    +
    kStatusGroup_IUART  +

    Group number for IUART status codes.

    +
    kStatusGroup_CSI  +

    Group number for CSI status codes.

    +
    kStatusGroup_MIPI_DSI  +

    Group number for MIPI DSI status codes.

    +
    kStatusGroup_SDRAMC  +

    Group number for SDRAMC status codes.

    +
    kStatusGroup_POWER  +

    Group number for POWER status codes.

    +
    kStatusGroup_ENET  +

    Group number for ENET status codes.

    +
    kStatusGroup_PHY  +

    Group number for PHY status codes.

    +
    kStatusGroup_TRGMUX  +

    Group number for TRGMUX status codes.

    +
    kStatusGroup_SMARTCARD  +

    Group number for SMARTCARD status codes.

    +
    kStatusGroup_LMEM  +

    Group number for LMEM status codes.

    +
    kStatusGroup_QSPI  +

    Group number for QSPI status codes.

    +
    kStatusGroup_DMA  +

    Group number for DMA status codes.

    +
    kStatusGroup_EDMA  +

    Group number for EDMA status codes.

    +
    kStatusGroup_DMAMGR  +

    Group number for DMAMGR status codes.

    +
    kStatusGroup_FLEXCAN  +

    Group number for FlexCAN status codes.

    +
    kStatusGroup_LTC  +

    Group number for LTC status codes.

    +
    kStatusGroup_FLEXIO_CAMERA  +

    Group number for FLEXIO CAMERA status codes.

    +
    kStatusGroup_LPC_SPI  +

    Group number for LPC_SPI status codes.

    +
    kStatusGroup_LPC_USART  +

    Group number for LPC_USART status codes.

    +
    kStatusGroup_DMIC  +

    Group number for DMIC status codes.

    +
    kStatusGroup_SDIF  +

    Group number for SDIF status codes.

    +
    kStatusGroup_SPIFI  +

    Group number for SPIFI status codes.

    +
    kStatusGroup_OTP  +

    Group number for OTP status codes.

    +
    kStatusGroup_MCAN  +

    Group number for MCAN status codes.

    +
    kStatusGroup_CAAM  +

    Group number for CAAM status codes.

    +
    kStatusGroup_ECSPI  +

    Group number for ECSPI status codes.

    +
    kStatusGroup_USDHC  +

    Group number for USDHC status codes.

    +
    kStatusGroup_LPC_I2C  +

    Group number for LPC_I2C status codes.

    +
    kStatusGroup_DCP  +

    Group number for DCP status codes.

    +
    kStatusGroup_MSCAN  +

    Group number for MSCAN status codes.

    +
    kStatusGroup_ESAI  +

    Group number for ESAI status codes.

    +
    kStatusGroup_FLEXSPI  +

    Group number for FLEXSPI status codes.

    +
    kStatusGroup_MMDC  +

    Group number for MMDC status codes.

    +
    kStatusGroup_PDM  +

    Group number for MIC status codes.

    +
    kStatusGroup_SDMA  +

    Group number for SDMA status codes.

    +
    kStatusGroup_ICS  +

    Group number for ICS status codes.

    +
    kStatusGroup_SPDIF  +

    Group number for SPDIF status codes.

    +
    kStatusGroup_LPC_MINISPI  +

    Group number for LPC_MINISPI status codes.

    +
    kStatusGroup_HASHCRYPT  +

    Group number for Hashcrypt status codes.

    +
    kStatusGroup_LPC_SPI_SSP  +

    Group number for LPC_SPI_SSP status codes.

    +
    kStatusGroup_I3C  +

    Group number for I3C status codes.

    +
    kStatusGroup_LPC_I2C_1  +

    Group number for LPC_I2C_1 status codes.

    +
    kStatusGroup_NOTIFIER  +

    Group number for NOTIFIER status codes.

    +
    kStatusGroup_DebugConsole  +

    Group number for debug console status codes.

    +
    kStatusGroup_SEMC  +

    Group number for SEMC status codes.

    +
    kStatusGroup_ApplicationRangeStart  +

    Starting number for application groups.

    +
    kStatusGroup_IAP  +

    Group number for IAP status codes.

    +
    kStatusGroup_SFA  +

    Group number for SFA status codes.

    +
    kStatusGroup_SPC  +

    Group number for SPC status codes.

    +
    kStatusGroup_PUF  +

    Group number for PUF status codes.

    +
    kStatusGroup_TOUCH_PANEL  +

    Group number for touch panel status codes.

    +
    kStatusGroup_VBAT  +

    Group number for VBAT status codes.

    +
    kStatusGroup_HAL_GPIO  +

    Group number for HAL GPIO status codes.

    +
    kStatusGroup_HAL_UART  +

    Group number for HAL UART status codes.

    +
    kStatusGroup_HAL_TIMER  +

    Group number for HAL TIMER status codes.

    +
    kStatusGroup_HAL_SPI  +

    Group number for HAL SPI status codes.

    +
    kStatusGroup_HAL_I2C  +

    Group number for HAL I2C status codes.

    +
    kStatusGroup_HAL_FLASH  +

    Group number for HAL FLASH status codes.

    +
    kStatusGroup_HAL_PWM  +

    Group number for HAL PWM status codes.

    +
    kStatusGroup_HAL_RNG  +

    Group number for HAL RNG status codes.

    +
    kStatusGroup_HAL_I2S  +

    Group number for HAL I2S status codes.

    +
    kStatusGroup_HAL_ADC_SENSOR  +

    Group number for HAL ADC SENSOR status codes.

    +
    kStatusGroup_TIMERMANAGER  +

    Group number for TiMER MANAGER status codes.

    +
    kStatusGroup_SERIALMANAGER  +

    Group number for SERIAL MANAGER status codes.

    +
    kStatusGroup_LED  +

    Group number for LED status codes.

    +
    kStatusGroup_BUTTON  +

    Group number for BUTTON status codes.

    +
    kStatusGroup_EXTERN_EEPROM  +

    Group number for EXTERN EEPROM status codes.

    +
    kStatusGroup_SHELL  +

    Group number for SHELL status codes.

    +
    kStatusGroup_MEM_MANAGER  +

    Group number for MEM MANAGER status codes.

    +
    kStatusGroup_LIST  +

    Group number for List status codes.

    +
    kStatusGroup_OSA  +

    Group number for OSA status codes.

    +
    kStatusGroup_COMMON_TASK  +

    Group number for Common task status codes.

    +
    kStatusGroup_MSG  +

    Group number for messaging status codes.

    +
    kStatusGroup_SDK_OCOTP  +

    Group number for OCOTP status codes.

    +
    kStatusGroup_SDK_FLEXSPINOR  +

    Group number for FLEXSPINOR status codes.

    +
    kStatusGroup_CODEC  +

    Group number for codec status codes.

    +
    kStatusGroup_ASRC  +

    Group number for codec status ASRC.

    +
    kStatusGroup_OTFAD  +

    Group number for codec status codes.

    +
    kStatusGroup_SDIOSLV  +

    Group number for SDIOSLV status codes.

    +
    kStatusGroup_MECC  +

    Group number for MECC status codes.

    +
    kStatusGroup_ENET_QOS  +

    Group number for ENET_QOS status codes.

    +
    kStatusGroup_LOG  +

    Group number for LOG status codes.

    +
    kStatusGroup_I3CBUS  +

    Group number for I3CBUS status codes.

    +
    kStatusGroup_QSCI  +

    Group number for QSCI status codes.

    +
    kStatusGroup_SNT  +

    Group number for SNT status codes.

    +
    kStatusGroup_QUEUEDSPI  +

    Group number for QSPI status codes.

    +
    kStatusGroup_POWER_MANAGER  +

    Group number for POWER_MANAGER status codes.

    +
    kStatusGroup_IPED  +

    Group number for IPED status codes.

    +
    kStatusGroup_ELS_PKC  +

    Group number for ELS PKC status codes.

    +
    kStatusGroup_CSS_PKC  +

    Group number for CSS PKC status codes.

    +
    kStatusGroup_HOSTIF  +

    Group number for HOSTIF status codes.

    +
    kStatusGroup_CLIF  +

    Group number for CLIF status codes.

    +
    kStatusGroup_BMA  +

    Group number for BMA status codes.

    +
    kStatusGroup_NETC  +

    Group number for NETC status codes.

    +
    + +
    +
    +
    @@ -288,218 +1221,230 @@ Flash operations
    - - - - - - - - - - - - - - - - - - -
    Enumerator
    kStatus_IAP_Success  -

    Api is executed successfully.

    +
    Enumerator
    kStatus_Success  +

    Generic status for Success.

    kStatus_IAP_InvalidCommand  -

    Invalid command.

    +
    kStatus_Fail  +

    Generic status for Fail.

    kStatus_IAP_SrcAddrError  -

    Source address is not on word boundary.

    +
    kStatus_ReadOnly  +

    Generic status for read only failure.

    kStatus_IAP_DstAddrError  -

    Destination address is not on a correct boundary.

    +
    kStatus_OutOfRange  +

    Generic status for out of range access.

    kStatus_IAP_SrcAddrNotMapped  -

    Source address is not mapped in the memory map.

    +
    kStatus_InvalidArgument  +

    Generic status for invalid argument check.

    kStatus_IAP_DstAddrNotMapped  -

    Destination address is not mapped in the memory map.

    +
    kStatus_Timeout  +

    Generic status for timeout.

    kStatus_IAP_CountError  -

    Byte count is not multiple of 4 or is not a permitted value.

    +
    kStatus_NoTransferInProgress  +

    Generic status for no transfer in progress.

    kStatus_IAP_InvalidSector  -

    Sector/page number is invalid or end sector/page number is greater than start sector/page number.

    +
    kStatus_Busy  +

    Generic status for module is busy.

    kStatus_IAP_SectorNotblank  -

    One or more sectors are not blank.

    -
    kStatus_IAP_NotPrepared  -

    Command to prepare sector for write operation has not been executed.

    -
    kStatus_IAP_CompareError  -

    Destination and source memory contents do not match.

    -
    kStatus_IAP_Busy  -

    Flash programming hardware interface is busy.

    -
    kStatus_IAP_ParamError  -

    Insufficient number of parameters or invalid parameter.

    -
    kStatus_IAP_AddrError  -

    Address is not on word boundary.

    -
    kStatus_IAP_AddrNotMapped  -

    Address is not mapped in the memory map.

    -
    kStatus_IAP_NoPower  -

    Flash memory block is powered down.

    -
    kStatus_IAP_NoClock  -

    Flash memory block or controller is not clocked.

    -
    kStatus_IAP_ReinvokeISPConfig  -

    Reinvoke configuration error.

    -
    - -
    -
    - -
    -
    - - - - -
    enum _iap_commands
    -
    - - - - - - - - - - - - - - - - -
    Enumerator
    kIapCmd_IAP_ReadFactorySettings  -

    Read the factory settings.

    -
    kIapCmd_IAP_PrepareSectorforWrite  -

    Prepare Sector for write.

    -
    kIapCmd_IAP_CopyRamToFlash  -

    Copy RAM to flash.

    -
    kIapCmd_IAP_EraseSector  -

    Erase Sector.

    -
    kIapCmd_IAP_BlankCheckSector  -

    Blank check sector.

    -
    kIapCmd_IAP_ReadPartId  -

    Read part id.

    -
    kIapCmd_IAP_Read_BootromVersion  -

    Read bootrom version.

    -
    kIapCmd_IAP_Compare  -

    Compare.

    -
    kIapCmd_IAP_ReinvokeISP  -

    Reinvoke ISP.

    -
    kIapCmd_IAP_ReadUid  -

    Read Uid.

    -
    kIapCmd_IAP_ErasePage  -

    Erase Page.

    -
    kIapCmd_IAP_ReadSignature  -

    Read Signature.

    -
    kIapCmd_IAP_ExtendedReadSignature  -

    Extended Read Signature.

    -
    kIapCmd_IAP_ReadEEPROMPage  -

    Read EEPROM page.

    -
    kIapCmd_IAP_WriteEEPROMPage  -

    Write EEPROM page.

    -
    - -
    -
    - -
    -
    - - - - -
    enum _flash_access_time
    -
    - - -
    Enumerator
    kFlash_IAP_TwoSystemClockTime  -

    1 system clock flash access time

    -
    kFlash_IAP_ThreeSystemClockTime  -

    2 system clock flash access time

    +
    kStatus_NoData  +

    Generic status for no data is found for the operation.

    Function Documentation

    - +
    - + - - + + + + + + + + + + + +
    status_t IAP_ReadPartID void* SDK_Malloc (uint32_t * partID)size_t size,
    size_t alignbytes 
    )
    -

    This function is used to read the part identification number.

    +

    This is provided to support the dynamically allocated memory used in cache-able region.

    Parameters
    - + +
    partIDAddress to store the part identification number.
    sizeThe length required to malloc.
    alignbytesThe alignment size.
    Return values
    - +
    kStatus_IAP_SuccessApi has been executed successfully.
    Theallocated memory.
    - +
    - + - - + +
    status_t IAP_ReadBootCodeVersion void SDK_Free (uint32_t * bootCodeVersion)void * ptr)
    -

    This function is used to read the boot code version number.

    Parameters
    - + +
    bootCodeVersionAddress to store the boot code version.
    ptrThe memory to be release.
    +
    +
    + +
    +
    + +
    +
    + + + + + + + + + + + + + + + + + + +
    void SDK_DelayAtLeastUs (uint32_t delayTime_us,
    uint32_t coreClock_Hz 
    )
    +
    +

    Please note that, this API uses while loop for delay, different run-time environments make the time not precise, if precise delay count was needed, please implement a new delay function with hardware timer.

    +
    Parameters
    + + + +
    delayTime_usDelay time in unit of microsecond.
    coreClock_HzCore clock frequency with Hz.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static status_t EnableIRQ (IRQn_Type interrupt)
    +
    +inlinestatic
    +
    +

    Enable LEVEL1 interrupt. For some devices, there might be multiple interrupt levels. For example, there are NVIC and intmux. Here the interrupts connected to NVIC are the LEVEL1 interrupts, because they are routed to the core directly. The interrupts connected to intmux are the LEVEL2 interrupts, they are routed to NVIC first then routed to core.

    +

    This function only enables the LEVEL1 interrupts. The number of LEVEL1 interrupts is indicated by the feature macro FSL_FEATURE_NUMBER_OF_LEVEL1_INT_VECTORS.

    +
    Parameters
    + +
    interruptThe IRQ number.
    Return values
    - + +
    kStatus_IAP_SuccessApi has been executed successfully.
    kStatus_SuccessInterrupt enabled successfully
    kStatus_FailFailed to enable the interrupt
    -

    note Boot code version is two 32-bit words. Word 0 is the major version, word 1 is the minor version.

    - +
    + + + + + +
    - + + + + + +
    void IAP_ReinvokeISP static status_t DisableIRQ (IRQn_Type interrupt)
    +
    +inlinestatic
    +
    +

    Disable LEVEL1 interrupt. For some devices, there might be multiple interrupt levels. For example, there are NVIC and intmux. Here the interrupts connected to NVIC are the LEVEL1 interrupts, because they are routed to the core directly. The interrupts connected to intmux are the LEVEL2 interrupts, they are routed to NVIC first then routed to core.

    +

    This function only disables the LEVEL1 interrupts. The number of LEVEL1 interrupts is indicated by the feature macro FSL_FEATURE_NUMBER_OF_LEVEL1_INT_VECTORS.

    +
    Parameters
    + + +
    interruptThe IRQ number.
    +
    +
    +
    Return values
    + + + +
    kStatus_SuccessInterrupt disabled successfully
    kStatus_FailFailed to disable the interrupt
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + + + - - - - - - - + @@ -507,69 +1452,49 @@ Flash operations
    static status_t EnableIRQWithPriority (IRQn_Type interrupt,
    uint8_t ispType,
    uint32_t * status priNum 
    +
    +inlinestatic
    -

    This function is used to invoke the boot loader in ISP mode. It maps boot vectors and configures the peripherals for ISP.

    +

    Only handle LEVEL1 interrupt. For some devices, there might be multiple interrupt levels. For example, there are NVIC and intmux. Here the interrupts connected to NVIC are the LEVEL1 interrupts, because they are routed to the core directly. The interrupts connected to intmux are the LEVEL2 interrupts, they are routed to NVIC first then routed to core.

    +

    This function only handles the LEVEL1 interrupts. The number of LEVEL1 interrupts is indicated by the feature macro FSL_FEATURE_NUMBER_OF_LEVEL1_INT_VECTORS.

    Parameters
    - - + +
    ispTypeISP type selection.
    statusstore the possible status.
    interruptThe IRQ to Enable.
    priNumPriority number set to interrupt controller register.
    Return values
    - -
    kStatus_IAP_ReinvokeISPConfigreinvoke configuration error.
    -
    -
    -

    note The error response will be returned when IAP is disabled or an invalid ISP type selection appears. The call won't return unless an error occurs, so there can be no status code.

    - -
    -
    - -
    -
    - - - - - - - - -
    status_t IAP_ReadUniqueID (uint32_t * uniqueID)
    -
    -

    This function is used to read the unique id.

    -
    Parameters
    - - -
    uniqueIDstore the uniqueID.
    -
    -
    -
    Return values
    - - + +
    kStatus_IAP_SuccessApi has been executed successfully.
    kStatus_SuccessInterrupt priority set successfully
    kStatus_FailFailed to set the interrupt priority.
    - +
    + + + + + +
    - + - - + + - - + + @@ -577,285 +1502,121 @@ Flash operations
    status_t IAP_PrepareSectorForWrite static status_t IRQ_SetPriority (uint32_t startSector, IRQn_Type interrupt,
    uint32_t endSector uint8_t priNum 
    +
    +inlinestatic
    -

    This function prepares sector(s) for write/erase operation. This function must be called before calling the IAP_CopyRamToFlash() or IAP_EraseSector() or IAP_ErasePage() function. The end sector number must be greater than or equal to the start sector number.

    +

    Only handle LEVEL1 interrupt. For some devices, there might be multiple interrupt levels. For example, there are NVIC and intmux. Here the interrupts connected to NVIC are the LEVEL1 interrupts, because they are routed to the core directly. The interrupts connected to intmux are the LEVEL2 interrupts, they are routed to NVIC first then routed to core.

    +

    This function only handles the LEVEL1 interrupts. The number of LEVEL1 interrupts is indicated by the feature macro FSL_FEATURE_NUMBER_OF_LEVEL1_INT_VECTORS.

    Parameters
    - - + +
    startSectorStart sector number.
    endSectorEnd sector number.
    interruptThe IRQ to set.
    priNumPriority number set to interrupt controller register.
    Return values
    - - - - - + +
    kStatus_IAP_SuccessApi has been executed successfully.
    kStatus_IAP_NoPowerFlash memory block is powered down.
    kStatus_IAP_NoClockFlash memory block or controller is not clocked.
    kStatus_IAP_InvalidSectorSector number is invalid or end sector number is greater than start sector number.
    kStatus_IAP_BusyFlash programming hardware interface is busy.
    kStatus_SuccessInterrupt priority set successfully
    kStatus_FailFailed to set the interrupt priority.
    - +
    + + + + + +
    - + - - - - - + + - - - - - - - - - - - - - - - - - - -
    status_t IAP_CopyRamToFlash static status_t IRQ_ClearPendingIRQ (uint32_t dstAddr,
    IRQn_Type interrupt) uint32_t * srcAddr,
    uint32_t numOfBytes,
    uint32_t systemCoreClock 
    )
    +
    +inlinestatic
    -

    This function programs the flash memory. Corresponding sectors must be prepared via IAP_PrepareSectorForWrite before calling this function. The addresses should be a 256 byte boundary and the number of bytes should be 256 | 512 | 1024 | 4096.

    +

    Only handle LEVEL1 interrupt. For some devices, there might be multiple interrupt levels. For example, there are NVIC and intmux. Here the interrupts connected to NVIC are the LEVEL1 interrupts, because they are routed to the core directly. The interrupts connected to intmux are the LEVEL2 interrupts, they are routed to NVIC first then routed to core.

    +

    This function only handles the LEVEL1 interrupts. The number of LEVEL1 interrupts is indicated by the feature macro FSL_FEATURE_NUMBER_OF_LEVEL1_INT_VECTORS.

    Parameters
    - - - - +
    dstAddrDestination flash address where data bytes are to be written.
    srcAddrSource ram address from where data bytes are to be read.
    numOfBytesNumber of bytes to be written.
    systemCoreClockSystemCoreClock in Hz. It is converted to KHz before calling the rom IAP function. When the flash controller has a fixed reference clock, this parameter is bypassed.
    interruptThe flag which IRQ to clear.
    Return values
    - - - - - - - - - - + +
    kStatus_IAP_SuccessApi has been executed successfully.
    kStatus_IAP_NoPowerFlash memory block is powered down.
    kStatus_IAP_NoClockFlash memory block or controller is not clocked.
    kStatus_IAP_SrcAddrErrorSource address is not on word boundary.
    kStatus_IAP_DstAddrErrorDestination address is not on a correct boundary.
    kStatus_IAP_SrcAddrNotMappedSource address is not mapped in the memory map.
    kStatus_IAP_DstAddrNotMappedDestination address is not mapped in the memory map.
    kStatus_IAP_CountErrorByte count is not multiple of 4 or is not a permitted value.
    kStatus_IAP_NotPreparedCommand to prepare sector for write operation has not been executed.
    kStatus_IAP_BusyFlash programming hardware interface is busy.
    kStatus_SuccessInterrupt priority set successfully
    kStatus_FailFailed to set the interrupt priority.
    - +
    + + + + + +
    - + - - - - - + + - - - - - - - - - - - - -
    status_t IAP_EraseSector static uint32_t DisableGlobalIRQ (uint32_t startSector,
    void ) uint32_t endSector,
    uint32_t systemCoreClock 
    )
    +
    +inlinestatic
    -

    This function erases sector(s). The end sector number must be greater than or equal to the start sector number.

    -
    Parameters
    - - - - -
    startSectorStart sector number.
    endSectorEnd sector number.
    systemCoreClockSystemCoreClock in Hz. It is converted to KHz before calling the rom IAP function. When the flash controller has a fixed reference clock, this parameter is bypassed.
    -
    -
    -
    Return values
    - - - - - - - -
    kStatus_IAP_SuccessApi has been executed successfully.
    kStatus_IAP_NoPowerFlash memory block is powered down.
    kStatus_IAP_NoClockFlash memory block or controller is not clocked.
    kStatus_IAP_InvalidSectorSector number is invalid or end sector number is greater than start sector number.
    kStatus_IAP_NotPreparedCommand to prepare sector for write operation has not been executed.
    kStatus_IAP_BusyFlash programming hardware interface is busy.
    -
    -
    +

    Disable the global interrupt and return the current primask register. User is required to provided the primask register for the EnableGlobalIRQ().

    +
    Returns
    Current primask value.
    - +
    + + + + + +
    - + - - - - + - - - - - - - - - - - - -
    status_t IAP_ErasePage static void EnableGlobalIRQ ( uint32_t startPage,
    primask) uint32_t endPage,
    uint32_t systemCoreClock 
    )
    +
    +inlinestatic
    -

    This function erases page(s). The end page number must be greater than or equal to the start page number.

    +

    Set the primask register with the provided primask value but not just enable the primask. The idea is for the convenience of integration of RTOS. some RTOS get its own management mechanism of primask. User is required to use the EnableGlobalIRQ() and DisableGlobalIRQ() in pair.

    Parameters
    - - - -
    startPageStart page number.
    endPageEnd page number.
    systemCoreClockSystemCoreClock in Hz. It is converted to KHz before calling the rom IAP function. When the flash controller has a fixed reference clock, this parameter is bypassed.
    -
    -
    -
    Return values
    - - - - - - - -
    kStatus_IAP_SuccessApi has been executed successfully.
    kStatus_IAP_NoPowerFlash memory block is powered down.
    kStatus_IAP_NoClockFlash memory block or controller is not clocked.
    kStatus_IAP_InvalidSectorPage number is invalid or end page number is greater than start page number.
    kStatus_IAP_NotPreparedCommand to prepare sector for write operation has not been executed.
    kStatus_IAP_BusyFlash programming hardware interface is busy.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - - - - - - - - - - - -
    status_t IAP_BlankCheckSector (uint32_t startSector,
    uint32_t endSector 
    )
    -
    -

    Blank check single or multiples sectors of flash memory. The end sector number must be greater than or equal to the start sector number. It can be used to verify the sector erasure after IAP_EraseSector call.

    -
    Parameters
    - - - -
    startSectorStart sector number.
    endSectorEnd sector number.
    -
    -
    -
    Return values
    - - - - - -
    kStatus_IAP_SuccessOne or more sectors are in erased state.
    kStatus_IAP_NoPowerFlash memory block is powered down.
    kStatus_IAP_NoClockFlash memory block or controller is not clocked.
    kStatus_IAP_SectorNotblankOne or more sectors are not blank.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - -
    status_t IAP_Compare (uint32_t dstAddr,
    uint32_t * srcAddr,
    uint32_t numOfBytes 
    )
    -
    -

    This function compares the contents of flash and ram. It can be used to verify the flash memory contents after IAP_CopyRamToFlash call.

    -
    Parameters
    - - - - -
    dstAddrDestination flash address.
    srcAddrSource ram address.
    numOfBytesNumber of bytes to be compared.
    -
    -
    -
    Return values
    - - - - - - - - +
    kStatus_IAP_SuccessContents of flash and ram match.
    kStatus_IAP_NoPowerFlash memory block is powered down.
    kStatus_IAP_NoClockFlash memory block or controller is not clocked.
    kStatus_IAP_AddrErrorAddress is not on word boundary.
    kStatus_IAP_AddrNotMappedAddress is not mapped in the memory map.
    kStatus_IAP_CountErrorByte count is not multiple of 4 or is not a permitted value.
    kStatus_IAP_CompareErrorDestination and source memory contents do not match.
    primaskvalue of primask register to be restored. The primask value is supposed to be provided by the DisableGlobalIRQ().
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00082.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00082.js index 5acba1e..860c744 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00082.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00082.js @@ -1,52 +1,167 @@ var a00082 = [ - [ "_iap_commands", "a00082.html#gaae3267ca6081e1f5620b0aa674c5e8ca", [ - [ "kStatus_IAP_Success", "a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a35e8de33bf46beecd34105c59ec2bc6e", null ], - [ "kStatus_IAP_InvalidCommand", "a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a254b836a21eb98b6211cefd76037dde7", null ], - [ "kStatus_IAP_SrcAddrError", "a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab36f8ecf47da3052eb485015a1932c26", null ], - [ "kStatus_IAP_DstAddrError", "a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a37f09b865f86f3f6f58064be70121c02", null ], - [ "kStatus_IAP_SrcAddrNotMapped", "a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae69151d679a249f83fde025b5562196a", null ], - [ "kStatus_IAP_DstAddrNotMapped", "a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a0491cac2cd13971f08f754a5365ab356", null ], - [ "kStatus_IAP_CountError", "a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a947c74ac4418ae34ab4b8be2416e11cb", null ], - [ "kStatus_IAP_InvalidSector", "a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7affa7c3fd999eb6a4558a4e981aa29ccd", null ], - [ "kStatus_IAP_SectorNotblank", "a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a59fbec3050069d5bf473211cad8ab817", null ], - [ "kStatus_IAP_NotPrepared", "a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7aea4e342b4bc05dc9f04b2a50b5ccba4f", null ], - [ "kStatus_IAP_CompareError", "a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ac08832cd7dd8929746e5583b85050a43", null ], - [ "kStatus_IAP_Busy", "a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab171ff8674c9017605cde0067a84a375", null ], - [ "kStatus_IAP_ParamError", "a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a61e927398cdd5549301de26831ff5460", null ], - [ "kStatus_IAP_AddrError", "a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae4a180ae087b0f4a58a7c89cb081f400", null ], - [ "kStatus_IAP_AddrNotMapped", "a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a98ad8dfd7c9c06637a8ef77669248d44", null ], - [ "kStatus_IAP_NoPower", "a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a08741d113d7dd7358c0a548ed6bedaa9", null ], - [ "kStatus_IAP_NoClock", "a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7adfb58b377dc75167cff2cc8e003939ff", null ], - [ "kStatus_IAP_ReinvokeISPConfig", "a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ad9d50e05078873600322a78c0bd94b35", null ], - [ "kIapCmd_IAP_ReadFactorySettings", "a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caae8bcbc2ebc5c99fd75de395e3d18b021", null ], - [ "kIapCmd_IAP_PrepareSectorforWrite", "a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa5ccbc970e59fd2f3ea432bf5c00fea7e", null ], - [ "kIapCmd_IAP_CopyRamToFlash", "a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3fa0f400c5389e777ba1f8d6acb27d72", null ], - [ "kIapCmd_IAP_EraseSector", "a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa6c51c9bed9f8973d47f7b73e3592b2aa", null ], - [ "kIapCmd_IAP_BlankCheckSector", "a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9b439af9906d00c0f5a83e5c878c2e50", null ], - [ "kIapCmd_IAP_ReadPartId", "a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa0f88b3ef422116327baca297944ca597", null ], - [ "kIapCmd_IAP_Read_BootromVersion", "a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caab7decacdc717da7752b3a4637a228278", null ], - [ "kIapCmd_IAP_Compare", "a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caabda85aeb932e76c81db46f45a8c6f656", null ], - [ "kIapCmd_IAP_ReinvokeISP", "a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caaf09dfcba592f2a1e02727d9eeebee15d", null ], - [ "kIapCmd_IAP_ReadUid", "a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caae3058d7cb02b689b3993fae3c913e0f0", null ], - [ "kIapCmd_IAP_ErasePage", "a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caae674c1ed0ecf4b2851aefc44261004bc", null ], - [ "kIapCmd_IAP_ReadSignature", "a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3891f9959d7cdcc9b6ad7e00816b2fc8", null ], - [ "kIapCmd_IAP_ExtendedReadSignature", "a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa198048f7f05d882a431c4ea4c904174e", null ], - [ "kIapCmd_IAP_ReadEEPROMPage", "a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa8f9a3e93bf26895690836253d5d9a8bc", null ], - [ "kIapCmd_IAP_WriteEEPROMPage", "a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9d9f078feeaf1c2c85c6e1a57a043dd2", null ] + [ "FSL_DRIVER_TRANSFER_DOUBLE_WEAK_IRQ", "a00082.html#ga9a10f3f762d9eb7c5db73535db6a9d78", null ], + [ "MAKE_STATUS", "a00082.html#gad76dfbb0423d0cd3a7b77cbcd9043146", null ], + [ "MAKE_VERSION", "a00082.html#ga812138aa3315b0c6953c1a26130bcc37", null ], + [ "FSL_COMMON_DRIVER_VERSION", "a00082.html#gac6e3c4c6fa592fc0da7fab541e7019f7", null ], + [ "DEBUG_CONSOLE_DEVICE_TYPE_NONE", "a00082.html#gaa7fc9e15d36453236a580b3c8116ee85", null ], + [ "DEBUG_CONSOLE_DEVICE_TYPE_UART", "a00082.html#ga59764d32e5394455906edbf03cff0b9f", null ], + [ "DEBUG_CONSOLE_DEVICE_TYPE_LPUART", "a00082.html#ga1a04b7330b5196f73ed4ffe7fa2bae6c", null ], + [ "DEBUG_CONSOLE_DEVICE_TYPE_LPSCI", "a00082.html#ga6b4add5f2d938a89da56f58ac0b4e89b", null ], + [ "DEBUG_CONSOLE_DEVICE_TYPE_USBCDC", "a00082.html#ga262e149f9ce1ef4cf2e539e9494d3cca", null ], + [ "DEBUG_CONSOLE_DEVICE_TYPE_FLEXCOMM", "a00082.html#gad4f7f7e51a84c34f06bbbb34973ae97a", null ], + [ "DEBUG_CONSOLE_DEVICE_TYPE_IUART", "a00082.html#ga75a9e86134df04f6a8b66025d11e25c2", null ], + [ "DEBUG_CONSOLE_DEVICE_TYPE_VUSART", "a00082.html#ga2c85202f838f94e51a0bb7b33856c78d", null ], + [ "DEBUG_CONSOLE_DEVICE_TYPE_MINI_USART", "a00082.html#ga5a951951cdb6063d949523052aa5b5e4", null ], + [ "DEBUG_CONSOLE_DEVICE_TYPE_SWO", "a00082.html#ga9d142691c3a4d810f8bdabc41e89dfd7", null ], + [ "DEBUG_CONSOLE_DEVICE_TYPE_QSCI", "a00082.html#ga74389c7c04a9f53548d05287c7c665cd", null ], + [ "ARRAY_SIZE", "a00082.html#ga6242a25f9d996f0cc4f4cdb911218b75", null ], + [ "USEC_TO_COUNT", "a00082.html#gad5639aadf668899775fc7506a5e19dc1", null ], + [ "COUNT_TO_USEC", "a00082.html#ga601b69842ec962d1babd627e5a3541fd", null ], + [ "MSEC_TO_COUNT", "a00082.html#gaa9fb2136029d807bd7c349c30348b52a", null ], + [ "COUNT_TO_MSEC", "a00082.html#gafa0fe6bd95d5455c99aee949cb285b20", null ], + [ "SDK_L1DCACHE_ALIGN", "a00082.html#ga870e52d54fc8ec35f89fc99ca656fb0b", null ], + [ "SDK_SIZEALIGN", "a00082.html#ga44d24ac5229dbbd054f046331b58d43b", null ], + [ "status_t", "a00082.html#gaaabdaf7ee58ca7269bd4bf24efcde092", null ], + [ "_status_groups", "a00082.html#ga7ff0b98bb1341c07acefb1473b6eda29", [ + [ "kStatusGroup_Generic", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7c95e11ceb8067c9c2703f96e51aca7", null ], + [ "kStatusGroup_FLASH", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8db8bea33da992b22cafbae1dcf65bb0", null ], + [ "kStatusGroup_LPSPI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a491dbb2373725b607970c032f4e04ee3", null ], + [ "kStatusGroup_FLEXIO_SPI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bc2ecc10af8973a1ecc4f0163fb9b53", null ], + [ "kStatusGroup_DSPI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aee549dc5fb5e05a0d8daaa61a089b222", null ], + [ "kStatusGroup_FLEXIO_UART", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7514134f14004b1835d27cac48bd991", null ], + [ "kStatusGroup_FLEXIO_I2C", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a67e5d3d11fb3f47ec11d9ee612b98b94", null ], + [ "kStatusGroup_LPI2C", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0d6c6a34fc0b6dbcb323f010556a3be1", null ], + [ "kStatusGroup_UART", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aeeb2ed6ef4ce59c7e1d416466f55bfb7", null ], + [ "kStatusGroup_I2C", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a5c67a60e98e49151455f565c2834d228", null ], + [ "kStatusGroup_LPSCI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9f1b2d0eeee16f209d7eed3bdb1cf533", null ], + [ "kStatusGroup_LPUART", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bcb0be184f8d5bb76d4be8e99b4e45e", null ], + [ "kStatusGroup_SPI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a60c31c70600aff4f290ca2d790622977", null ], + [ "kStatusGroup_XRDC", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af98b407f4e66e7046a3173031b45253d", null ], + [ "kStatusGroup_SEMA42", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a073c6e3ccd0dbcf1b812cb21da5e7df9", null ], + [ "kStatusGroup_SDHC", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aba83e94d1735b695119429a4e55bb3a4", null ], + [ "kStatusGroup_SDMMC", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1c5e35d9d03724b7ccadcae8e0451384", null ], + [ "kStatusGroup_SAI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae4461a3a085ea13b5fe7908bf1e109f8", null ], + [ "kStatusGroup_MCG", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8210c55fe061ff24e75a0f928dbfcb39", null ], + [ "kStatusGroup_SCG", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9771abafdcf43bf924599a0081e92bf2", null ], + [ "kStatusGroup_SDSPI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a85685b8cde1285d240569b9518c32fc0", null ], + [ "kStatusGroup_FLEXIO_I2S", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae834c896c69c50855c5cf07768a6cba3", null ], + [ "kStatusGroup_FLEXIO_MCULCD", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a055d3788919f48c2d69463819d02d903", null ], + [ "kStatusGroup_FLASHIAP", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae5b7283daca81a85091e27b80ece629a", null ], + [ "kStatusGroup_FLEXCOMM_I2C", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aedd1abcbca188de6e16a6f088d59e92e", null ], + [ "kStatusGroup_I2S", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0b66f34ec90c9b6a702e0f00f5ddae43", null ], + [ "kStatusGroup_IUART", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a33255aee9de4a1d093770b218f944d0f", null ], + [ "kStatusGroup_CSI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d1d1a595e9f00c6c9f80c19ce1b8ec5", null ], + [ "kStatusGroup_MIPI_DSI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29abdf3fca48a7a13b67d0207aeb59bc581", null ], + [ "kStatusGroup_SDRAMC", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3b3116c6f4aa1a88e6e7abc45df58938", null ], + [ "kStatusGroup_POWER", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a94d2a6fe8021fed5487169a46421d47e", null ], + [ "kStatusGroup_ENET", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7d6b922ab2a42d6ef35cfb2be4f80251", null ], + [ "kStatusGroup_PHY", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a521a4b8ac1776d0f41af044886a71b3b", null ], + [ "kStatusGroup_TRGMUX", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29adb8c69c2f8cd344f3e2dc0b0db4a1631", null ], + [ "kStatusGroup_SMARTCARD", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae16d6bba44ae6f24187946960fb826dd", null ], + [ "kStatusGroup_LMEM", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a376f952aee5cd45d903da520a37e4c52", null ], + [ "kStatusGroup_QSPI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a4a488e0f7cc1d3baa449ab4ca99e7b34", null ], + [ "kStatusGroup_DMA", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a210ff4fa30e99618f8d3e978df03a7b6", null ], + [ "kStatusGroup_EDMA", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0ec7f0302a8f7eb082f449933880a1ad", null ], + [ "kStatusGroup_DMAMGR", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3091dcef1c0c7cd48658d8b43b52fbaf", null ], + [ "kStatusGroup_FLEXCAN", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1461a28ac2297f07aa34581074cf9923", null ], + [ "kStatusGroup_LTC", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a64b54e0423e0ad8a227a38cad4ad4eda", null ], + [ "kStatusGroup_FLEXIO_CAMERA", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a214d1cc4faa574be18fa9d865f2ca36d", null ], + [ "kStatusGroup_LPC_SPI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ac4c2c9d32010087f0602dbdf2c389857", null ], + [ "kStatusGroup_LPC_USART", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a02f52b9532ae0d4af04f5c054f3fab84", null ], + [ "kStatusGroup_DMIC", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a679444433f12ae5649cb02c2032ca20e", null ], + [ "kStatusGroup_SDIF", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a25fb824a9ad702e631276a8ea5d03603", null ], + [ "kStatusGroup_SPIFI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ac071c3c3d14ed8afadb2bb6de249c722", null ], + [ "kStatusGroup_OTP", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d9b7b66bfaaf53b42aad8462c88ea62", null ], + [ "kStatusGroup_MCAN", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab7f77dd7e0c3d068baf39117ac08a0b9", null ], + [ "kStatusGroup_CAAM", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a298049d9d9c8be1b2e7a42f38a734d87", null ], + [ "kStatusGroup_ECSPI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab99693af818132e3cb4f9198965ad637", null ], + [ "kStatusGroup_USDHC", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae2f54d029f0e74c8fed8812e24000a74", null ], + [ "kStatusGroup_LPC_I2C", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab597c78848ce0e63d2518f14e7bac82b", null ], + [ "kStatusGroup_DCP", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e7465155c679388316b2f874a284e9e", null ], + [ "kStatusGroup_MSCAN", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a858563b1d87d6fa57a8f442025655201", null ], + [ "kStatusGroup_ESAI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a01101c0342017a7fbe7225a7aa285bad", null ], + [ "kStatusGroup_FLEXSPI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a22f1bdf5e7abbeb0bdfe18cda0bc5aca", null ], + [ "kStatusGroup_MMDC", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a67a884fec4d6adb5b455d50a0a5617a5", null ], + [ "kStatusGroup_PDM", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0b4ea9f05497a624e32811c4f36a3be", null ], + [ "kStatusGroup_SDMA", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab55df75d85633505960f611180054524", null ], + [ "kStatusGroup_ICS", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a01bf442d671041dcbd1649ec0428c3b6", null ], + [ "kStatusGroup_SPDIF", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8bea2b5f8b1458aaa0dc29ddbb972d87", null ], + [ "kStatusGroup_LPC_MINISPI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab0104dd99e52fbf948f4ae030de5313d", null ], + [ "kStatusGroup_HASHCRYPT", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1dcb6a7c2605c9ed77388fcb25b01feb", null ], + [ "kStatusGroup_LPC_SPI_SSP", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa2c382624b5763cf24cf9727fbfadde3", null ], + [ "kStatusGroup_I3C", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6dbe13107a9dbb857242cf05e2319f9d", null ], + [ "kStatusGroup_LPC_I2C_1", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a749eaf601d6fe3124f054bcb796b348c", null ], + [ "kStatusGroup_NOTIFIER", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a56134a73f0cfd393dd7cf7fb2395dd99", null ], + [ "kStatusGroup_DebugConsole", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a499ec238a1614827065533960716e652", null ], + [ "kStatusGroup_SEMC", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2fef671dda58af09262787022fe321fe", null ], + [ "kStatusGroup_ApplicationRangeStart", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0c3a94577af5271a1042757d3c8fdc1", null ], + [ "kStatusGroup_IAP", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a097d515214e888371df5c588b839529d", null ], + [ "kStatusGroup_SFA", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9cf111a176ebe1210ae6faaa950aac54", null ], + [ "kStatusGroup_SPC", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7491bc6ede9a2eb6e7f292bb1530b7d7", null ], + [ "kStatusGroup_PUF", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a984927be2e0e57c4125e8e8f5d9c0f48", null ], + [ "kStatusGroup_TOUCH_PANEL", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a381f1929c41dcaef62d2d44326719db8", null ], + [ "kStatusGroup_VBAT", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af4cabd4468ae9e670d9c188064c56f41", null ], + [ "kStatusGroup_HAL_GPIO", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a18ce0675c0cc628b2ff29a1bafce8b58", null ], + [ "kStatusGroup_HAL_UART", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6510cce112fc6ed1e0523b5d7b307ca2", null ], + [ "kStatusGroup_HAL_TIMER", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa44796b25ed6ed37f7fb25f6f4076c2d", null ], + [ "kStatusGroup_HAL_SPI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aba70aa1970435b3ccd39ac54f9d7a68c", null ], + [ "kStatusGroup_HAL_I2C", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aaa30b9e3fdd5e93bae58e66521b3015d", null ], + [ "kStatusGroup_HAL_FLASH", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa5afa714de4adf37bc00faf6c3dc589e", null ], + [ "kStatusGroup_HAL_PWM", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa4609e941ad1155a86c40bdfcb20a155", null ], + [ "kStatusGroup_HAL_RNG", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa0d0535965c8d28434b036961f6a8c20", null ], + [ "kStatusGroup_HAL_I2S", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ac509445aa04b715b77e6461993b50085", null ], + [ "kStatusGroup_HAL_ADC_SENSOR", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a91a5a43e0f7acfb5894ec7c246d05bcc", null ], + [ "kStatusGroup_TIMERMANAGER", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a4603f8fedc8ad994788e17baae895013", null ], + [ "kStatusGroup_SERIALMANAGER", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a39a54cda2a1cac31e1c00be0eb4a7620", null ], + [ "kStatusGroup_LED", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a84ab69a4e7f3f1c39f1c3a64d74af08c", null ], + [ "kStatusGroup_BUTTON", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7415efb189bfb31491ef0ae45fb24d90", null ], + [ "kStatusGroup_EXTERN_EEPROM", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e4a33b100580c2c1606d66d5ffdfaa3", null ], + [ "kStatusGroup_SHELL", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a660addd059be8786f2aa3d9a1a196ae1", null ], + [ "kStatusGroup_MEM_MANAGER", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29acdfe26eb8bbbaaea438470512401e09a", null ], + [ "kStatusGroup_LIST", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e3bc103af2d3db7b628f87174d2bff8", null ], + [ "kStatusGroup_OSA", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a5b65c75456471a2536a97a30db4b8da3", null ], + [ "kStatusGroup_COMMON_TASK", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7771d56430ad7ff2553a1258aba16e02", null ], + [ "kStatusGroup_MSG", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6d0dedbec7ee218b0ae03f23edad02fd", null ], + [ "kStatusGroup_SDK_OCOTP", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a539b147c0d1409a0e11c3ae340886fa8", null ], + [ "kStatusGroup_SDK_FLEXSPINOR", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a830e5b42a05424dc026bd36d30f143a5", null ], + [ "kStatusGroup_CODEC", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7c488d28bc9be2e29bd0d133bce7389a", null ], + [ "kStatusGroup_ASRC", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a713723bd8764655328f1e5283a8e6020", null ], + [ "kStatusGroup_OTFAD", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a4f53ab1f39031629ac12159920f0cde1", null ], + [ "kStatusGroup_SDIOSLV", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3e59e90d903b97bd45037175997f4ad6", null ], + [ "kStatusGroup_MECC", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ad85b4bef880b9407425398fc584e16a0", null ], + [ "kStatusGroup_ENET_QOS", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aae462c0663af9e2d180cd06c5798ac3b", null ], + [ "kStatusGroup_LOG", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2af6421e7b473bd882f5372ad1fa6d0e", null ], + [ "kStatusGroup_I3CBUS", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae28000753ef8046bc9b08e03fc8a1899", null ], + [ "kStatusGroup_QSCI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a025ed41827a0bd0b7bf60b853a921c7d", null ], + [ "kStatusGroup_SNT", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae67462f0d7dbac886d8a1272a29b846c", null ], + [ "kStatusGroup_QUEUEDSPI", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7712fcacc6d5e9698366daafc59ea644", null ], + [ "kStatusGroup_POWER_MANAGER", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6c52b0b8b2d2ca670a5f8eca66212f54", null ], + [ "kStatusGroup_IPED", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a79d16666510da650e32b1499cf102aa5", null ], + [ "kStatusGroup_ELS_PKC", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a70c9114d88c3a9b48cabb36cd4b2884d", null ], + [ "kStatusGroup_CSS_PKC", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9ef29ac5ce94ff0617434c39574b4f91", null ], + [ "kStatusGroup_HOSTIF", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a51bf18cc27f6df31f1602db81a2f77f5", null ], + [ "kStatusGroup_CLIF", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a50aada436b514e0056476e275e1fd203", null ], + [ "kStatusGroup_BMA", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af5e618b56733c41397941d8dec581030", null ], + [ "kStatusGroup_NETC", "a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e0ac8d81af0520d13c650cd3a3bcdde", null ], + [ "kStatus_Success", "a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba829bee76c6b02225d9c891ae8ef70881", null ], + [ "kStatus_Fail", "a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba8692e71089c7e81bd5f4503ff55035db", null ], + [ "kStatus_ReadOnly", "a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba69927473662d55dfe6a4b8b1ea529f67", null ], + [ "kStatus_OutOfRange", "a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba96d166071c2c0b2672ddaa3307899a0b", null ], + [ "kStatus_InvalidArgument", "a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba9743ab3bec5065667c0b12510317e76c", null ], + [ "kStatus_Timeout", "a00082.html#gga06fc87d81c62e9abb8790b6e5713c55badf7f172a5d4f1a44d5cb8a1121dcafcb", null ], + [ "kStatus_NoTransferInProgress", "a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba82fc7f2a425a9c3cfcf6636b8c05c06c", null ], + [ "kStatus_Busy", "a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba31e314ec45f0b673257687c06b6fe764", null ], + [ "kStatus_NoData", "a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba94770b392eceab60898d03ffcf0cc145", null ] ] ], - [ "_flash_access_time", "a00082.html#ga0efb897bafe816a8a87d92045a78ee9b", [ - [ "kFlash_IAP_TwoSystemClockTime", "a00082.html#gga0efb897bafe816a8a87d92045a78ee9ba0576e02942748ad3a4b1bb0904267ad4", null ], - [ "kFlash_IAP_ThreeSystemClockTime", "a00082.html#gga0efb897bafe816a8a87d92045a78ee9ba14466fd6ca33233907a499072ed0ea04", null ] - ] ], - [ "IAP_ReadPartID", "a00082.html#ga916f8b461de93f08044df1724290dcbd", null ], - [ "IAP_ReadBootCodeVersion", "a00082.html#ga747cfb2ed95cefa6f39ae2ad089f8ade", null ], - [ "IAP_ReinvokeISP", "a00082.html#ga98407c578584b1d88d7be1b3e6d9656a", null ], - [ "IAP_ReadUniqueID", "a00082.html#ga258d8c49d494cbd0d18321af56e11440", null ], - [ "IAP_PrepareSectorForWrite", "a00082.html#ga361041aa626a50391c40c9d6936ba2c0", null ], - [ "IAP_CopyRamToFlash", "a00082.html#ga6b58df04b8aae97c7e31884f3fe3413f", null ], - [ "IAP_EraseSector", "a00082.html#ga0093db979d7b9afca6dca250f42b2eef", null ], - [ "IAP_ErasePage", "a00082.html#ga93474c7fb9be2c15eabc9dbf73c99bdb", null ], - [ "IAP_BlankCheckSector", "a00082.html#ga93a68a9ca640a51b56350d59cac4dd7c", null ], - [ "IAP_Compare", "a00082.html#ga48b79c8dc56de9714927e70ad230fa0b", null ] + [ "SDK_Malloc", "a00082.html#ga4e1420d9e817ebe0e8973420411de015", null ], + [ "SDK_Free", "a00082.html#ga0be5caec9b8493d87cc849714bd47865", null ], + [ "SDK_DelayAtLeastUs", "a00082.html#ga4f9121590e5b8fe025d706ff2fe5da36", null ], + [ "EnableIRQ", "a00082.html#ga2b92855a9ebf6eadeed1527b8339d50a", null ], + [ "DisableIRQ", "a00082.html#ga7f9bbd23e70eaf6670d3425683753961", null ], + [ "EnableIRQWithPriority", "a00082.html#gaa9463731425e110e2d841820f4559a3f", null ], + [ "IRQ_SetPriority", "a00082.html#ga9d1df086c696c7864d98ea6ec28846f3", null ], + [ "IRQ_ClearPendingIRQ", "a00082.html#gac9b0f720fcb617b3aa9cb554d87dbc55", null ], + [ "DisableGlobalIRQ", "a00082.html#ga4f63764780e8eae7726d7a7e10f4b2a1", null ], + [ "EnableGlobalIRQ", "a00082.html#gacca38e4e11db8e795201c82f6ce4c9d5", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00083.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00083.html index 3082e4a..491fc8a 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00083.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00083.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: I2C: Inter-Integrated Circuit Driver +MCUXpresso SDK API Reference Manual: IAP: In Application Programming Driver @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - - - - - - + + +

    -Modules

     I2C Driver
     
     I2C Master Driver
     
     I2C Slave Driver

    +Files

    file  fsl_iap.h
     
    + + + + + + + + + + +

    +Enumerations

    enum  {
    +  kStatus_IAP_Success = kStatus_Success, +
    +  kStatus_IAP_InvalidCommand = MAKE_STATUS(kStatusGroup_IAP, 1U), +
    +  kStatus_IAP_SrcAddrError = MAKE_STATUS(kStatusGroup_IAP, 2U), +
    +  kStatus_IAP_DstAddrError, +
    +  kStatus_IAP_SrcAddrNotMapped, +
    +  kStatus_IAP_DstAddrNotMapped, +
    +  kStatus_IAP_CountError, +
    +  kStatus_IAP_InvalidSector, +
    +  kStatus_IAP_SectorNotblank = MAKE_STATUS(kStatusGroup_IAP, 8U), +
    +  kStatus_IAP_NotPrepared, +
    +  kStatus_IAP_CompareError, +
    +  kStatus_IAP_Busy = MAKE_STATUS(kStatusGroup_IAP, 11U), +
    +  kStatus_IAP_ParamError, +
    +  kStatus_IAP_AddrError = MAKE_STATUS(kStatusGroup_IAP, 13U), +
    +  kStatus_IAP_AddrNotMapped = MAKE_STATUS(kStatusGroup_IAP, 14U), +
    +  kStatus_IAP_NoPower = MAKE_STATUS(kStatusGroup_IAP, 24U), +
    +  kStatus_IAP_NoClock = MAKE_STATUS(kStatusGroup_IAP, 27U), +
    +  kStatus_IAP_ReinvokeISPConfig = MAKE_STATUS(kStatusGroup_IAP, 0x1CU) +
    + }
     iap status codes. More...
     
    enum  _iap_commands {
    +  kIapCmd_IAP_ReadFactorySettings = 40U, +
    +  kIapCmd_IAP_PrepareSectorforWrite = 50U, +
    +  kIapCmd_IAP_CopyRamToFlash = 51U, +
    +  kIapCmd_IAP_EraseSector = 52U, +
    +  kIapCmd_IAP_BlankCheckSector = 53U, +
    +  kIapCmd_IAP_ReadPartId = 54U, +
    +  kIapCmd_IAP_Read_BootromVersion = 55U, +
    +  kIapCmd_IAP_Compare = 56U, +
    +  kIapCmd_IAP_ReinvokeISP = 57U, +
    +  kIapCmd_IAP_ReadUid = 58U, +
    +  kIapCmd_IAP_ErasePage = 59U, +
    +  kIapCmd_IAP_ReadSignature = 70U, +
    +  kIapCmd_IAP_ExtendedReadSignature = 73U, +
    +  kIapCmd_IAP_ReadEEPROMPage = 80U, +
    +  kIapCmd_IAP_WriteEEPROMPage = 81U +
    + }
     iap command codes. More...
     
    enum  _flash_access_time { ,
    +  kFlash_IAP_TwoSystemClockTime = 1U, +
    +  kFlash_IAP_ThreeSystemClockTime = 2U +
    + }
     Flash memory access time. More...
     
    + + + +

    +Driver version

    +#define FSL_IAP_DRIVER_VERSION   (MAKE_VERSION(2, 0, 7))
     
    + + + + + + + + + + + + +

    +Basic operations

    status_t IAP_ReadPartID (uint32_t *partID)
     Read part identification number. More...
     
    status_t IAP_ReadBootCodeVersion (uint32_t *bootCodeVersion)
     Read boot code version number. More...
     
    void IAP_ReinvokeISP (uint8_t ispType, uint32_t *status)
     Reinvoke ISP. More...
     
    status_t IAP_ReadUniqueID (uint32_t *uniqueID)
     Read unique identification. More...
     
    +

    Enumeration Type Documentation

    + +
    +
    + + + + +
    anonymous enum
    +
    + + + + + + + + + + + + + + + + + + + +
    Enumerator
    kStatus_IAP_Success  +

    Api is executed successfully.

    +
    kStatus_IAP_InvalidCommand  +

    Invalid command.

    +
    kStatus_IAP_SrcAddrError  +

    Source address is not on word boundary.

    +
    kStatus_IAP_DstAddrError  +

    Destination address is not on a correct boundary.

    +
    kStatus_IAP_SrcAddrNotMapped  +

    Source address is not mapped in the memory map.

    +
    kStatus_IAP_DstAddrNotMapped  +

    Destination address is not mapped in the memory map.

    +
    kStatus_IAP_CountError  +

    Byte count is not multiple of 4 or is not a permitted value.

    +
    kStatus_IAP_InvalidSector  +

    Sector/page number is invalid or end sector/page number is greater than start sector/page number.

    +
    kStatus_IAP_SectorNotblank  +

    One or more sectors are not blank.

    +
    kStatus_IAP_NotPrepared  +

    Command to prepare sector for write operation has not been executed.

    +
    kStatus_IAP_CompareError  +

    Destination and source memory contents do not match.

    +
    kStatus_IAP_Busy  +

    Flash programming hardware interface is busy.

    +
    kStatus_IAP_ParamError  +

    Insufficient number of parameters or invalid parameter.

    +
    kStatus_IAP_AddrError  +

    Address is not on word boundary.

    +
    kStatus_IAP_AddrNotMapped  +

    Address is not mapped in the memory map.

    +
    kStatus_IAP_NoPower  +

    Flash memory block is powered down.

    +
    kStatus_IAP_NoClock  +

    Flash memory block or controller is not clocked.

    +
    kStatus_IAP_ReinvokeISPConfig  +

    Reinvoke configuration error.

    +
    + +
    +
    + +
    +
    + + + + +
    enum _iap_commands
    +
    + + + + + + + + + + + + + + + + +
    Enumerator
    kIapCmd_IAP_ReadFactorySettings  +

    Read the factory settings.

    +
    kIapCmd_IAP_PrepareSectorforWrite  +

    Prepare Sector for write.

    +
    kIapCmd_IAP_CopyRamToFlash  +

    Copy RAM to flash.

    +
    kIapCmd_IAP_EraseSector  +

    Erase Sector.

    +
    kIapCmd_IAP_BlankCheckSector  +

    Blank check sector.

    +
    kIapCmd_IAP_ReadPartId  +

    Read part id.

    +
    kIapCmd_IAP_Read_BootromVersion  +

    Read bootrom version.

    +
    kIapCmd_IAP_Compare  +

    Compare.

    +
    kIapCmd_IAP_ReinvokeISP  +

    Reinvoke ISP.

    +
    kIapCmd_IAP_ReadUid  +

    Read Uid.

    +
    kIapCmd_IAP_ErasePage  +

    Erase Page.

    +
    kIapCmd_IAP_ReadSignature  +

    Read Signature.

    +
    kIapCmd_IAP_ExtendedReadSignature  +

    Extended Read Signature.

    +
    kIapCmd_IAP_ReadEEPROMPage  +

    Read EEPROM page.

    +
    kIapCmd_IAP_WriteEEPROMPage  +

    Write EEPROM page.

    +
    + +
    +
    + +
    +
    + + + + +
    enum _flash_access_time
    +
    + + + +
    Enumerator
    kFlash_IAP_TwoSystemClockTime  +

    1 system clock flash access time

    +
    kFlash_IAP_ThreeSystemClockTime  +

    2 system clock flash access time

    +
    + +
    +
    +

    Function Documentation

    + +
    +
    + + + + + + + + +
    status_t IAP_ReadPartID (uint32_t * partID)
    +
    +

    This function is used to read the part identification number.

    +
    Parameters
    + + +
    partIDAddress to store the part identification number.
    +
    +
    +
    Return values
    + + +
    kStatus_IAP_SuccessApi has been executed successfully.
    +
    +
    + +
    +
    + +
    +
    + + + + + + + + +
    status_t IAP_ReadBootCodeVersion (uint32_t * bootCodeVersion)
    +
    +

    This function is used to read the boot code version number.

    +
    Parameters
    + + +
    bootCodeVersionAddress to store the boot code version.
    +
    +
    +
    Return values
    + + +
    kStatus_IAP_SuccessApi has been executed successfully.
    +
    +
    +

    note Boot code version is two 32-bit words. Word 0 is the major version, word 1 is the minor version.

    + +
    +
    + +
    +
    + + + + + + + + + + + + + + + + + + +
    void IAP_ReinvokeISP (uint8_t ispType,
    uint32_t * status 
    )
    +
    +

    This function is used to invoke the boot loader in ISP mode. It maps boot vectors and configures the peripherals for ISP.

    +
    Parameters
    + + + +
    ispTypeISP type selection.
    statusstore the possible status.
    +
    +
    +
    Return values
    + + +
    kStatus_IAP_ReinvokeISPConfigreinvoke configuration error.
    +
    +
    +

    note The error response will be returned when IAP is disabled or an invalid ISP type selection appears. The call won't return unless an error occurs, so there can be no status code.

    + +
    +
    + +
    +
    + + + + + + + + +
    status_t IAP_ReadUniqueID (uint32_t * uniqueID)
    +
    +

    This function is used to read the unique id.

    +
    Parameters
    + + +
    uniqueIDstore the uniqueID.
    +
    +
    +
    Return values
    + + +
    kStatus_IAP_SuccessApi has been executed successfully.
    +
    +
    + +
    +
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00083.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00083.js index c95a2f0..7e053fb 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00083.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00083.js @@ -1,6 +1,46 @@ var a00083 = [ - [ "I2C Driver", "a00084.html", "a00084" ], - [ "I2C Master Driver", "a00015.html", "a00015" ], - [ "I2C Slave Driver", "a00016.html", "a00016" ] + [ "_iap_commands", "a00083.html#gaae3267ca6081e1f5620b0aa674c5e8ca", [ + [ "kStatus_IAP_Success", "a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a35e8de33bf46beecd34105c59ec2bc6e", null ], + [ "kStatus_IAP_InvalidCommand", "a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a254b836a21eb98b6211cefd76037dde7", null ], + [ "kStatus_IAP_SrcAddrError", "a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab36f8ecf47da3052eb485015a1932c26", null ], + [ "kStatus_IAP_DstAddrError", "a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a37f09b865f86f3f6f58064be70121c02", null ], + [ "kStatus_IAP_SrcAddrNotMapped", "a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae69151d679a249f83fde025b5562196a", null ], + [ "kStatus_IAP_DstAddrNotMapped", "a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a0491cac2cd13971f08f754a5365ab356", null ], + [ "kStatus_IAP_CountError", "a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a947c74ac4418ae34ab4b8be2416e11cb", null ], + [ "kStatus_IAP_InvalidSector", "a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7affa7c3fd999eb6a4558a4e981aa29ccd", null ], + [ "kStatus_IAP_SectorNotblank", "a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a59fbec3050069d5bf473211cad8ab817", null ], + [ "kStatus_IAP_NotPrepared", "a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7aea4e342b4bc05dc9f04b2a50b5ccba4f", null ], + [ "kStatus_IAP_CompareError", "a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ac08832cd7dd8929746e5583b85050a43", null ], + [ "kStatus_IAP_Busy", "a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab171ff8674c9017605cde0067a84a375", null ], + [ "kStatus_IAP_ParamError", "a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a61e927398cdd5549301de26831ff5460", null ], + [ "kStatus_IAP_AddrError", "a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae4a180ae087b0f4a58a7c89cb081f400", null ], + [ "kStatus_IAP_AddrNotMapped", "a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a98ad8dfd7c9c06637a8ef77669248d44", null ], + [ "kStatus_IAP_NoPower", "a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a08741d113d7dd7358c0a548ed6bedaa9", null ], + [ "kStatus_IAP_NoClock", "a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7adfb58b377dc75167cff2cc8e003939ff", null ], + [ "kStatus_IAP_ReinvokeISPConfig", "a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ad9d50e05078873600322a78c0bd94b35", null ], + [ "kIapCmd_IAP_ReadFactorySettings", "a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae8bcbc2ebc5c99fd75de395e3d18b021", null ], + [ "kIapCmd_IAP_PrepareSectorforWrite", "a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa5ccbc970e59fd2f3ea432bf5c00fea7e", null ], + [ "kIapCmd_IAP_CopyRamToFlash", "a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3fa0f400c5389e777ba1f8d6acb27d72", null ], + [ "kIapCmd_IAP_EraseSector", "a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa6c51c9bed9f8973d47f7b73e3592b2aa", null ], + [ "kIapCmd_IAP_BlankCheckSector", "a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9b439af9906d00c0f5a83e5c878c2e50", null ], + [ "kIapCmd_IAP_ReadPartId", "a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa0f88b3ef422116327baca297944ca597", null ], + [ "kIapCmd_IAP_Read_BootromVersion", "a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caab7decacdc717da7752b3a4637a228278", null ], + [ "kIapCmd_IAP_Compare", "a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caabda85aeb932e76c81db46f45a8c6f656", null ], + [ "kIapCmd_IAP_ReinvokeISP", "a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caaf09dfcba592f2a1e02727d9eeebee15d", null ], + [ "kIapCmd_IAP_ReadUid", "a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae3058d7cb02b689b3993fae3c913e0f0", null ], + [ "kIapCmd_IAP_ErasePage", "a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae674c1ed0ecf4b2851aefc44261004bc", null ], + [ "kIapCmd_IAP_ReadSignature", "a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3891f9959d7cdcc9b6ad7e00816b2fc8", null ], + [ "kIapCmd_IAP_ExtendedReadSignature", "a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa198048f7f05d882a431c4ea4c904174e", null ], + [ "kIapCmd_IAP_ReadEEPROMPage", "a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa8f9a3e93bf26895690836253d5d9a8bc", null ], + [ "kIapCmd_IAP_WriteEEPROMPage", "a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9d9f078feeaf1c2c85c6e1a57a043dd2", null ] + ] ], + [ "_flash_access_time", "a00083.html#ga0efb897bafe816a8a87d92045a78ee9b", [ + [ "kFlash_IAP_TwoSystemClockTime", "a00083.html#gga0efb897bafe816a8a87d92045a78ee9ba0576e02942748ad3a4b1bb0904267ad4", null ], + [ "kFlash_IAP_ThreeSystemClockTime", "a00083.html#gga0efb897bafe816a8a87d92045a78ee9ba14466fd6ca33233907a499072ed0ea04", null ] + ] ], + [ "IAP_ReadPartID", "a00083.html#ga916f8b461de93f08044df1724290dcbd", null ], + [ "IAP_ReadBootCodeVersion", "a00083.html#ga747cfb2ed95cefa6f39ae2ad089f8ade", null ], + [ "IAP_ReinvokeISP", "a00083.html#ga98407c578584b1d88d7be1b3e6d9656a", null ], + [ "IAP_ReadUniqueID", "a00083.html#ga258d8c49d494cbd0d18321af56e11440", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00084.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00084.html index aa3b24b..f2e23d5 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00084.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00084.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: I2C Driver +MCUXpresso SDK API Reference Manual: I2C: Inter-Integrated Circuit Driver @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - - + + + + + + -

    -Files

    file  fsl_i2c.h

    +Modules

     I2C Driver
     
     I2C Master Driver
     
     I2C Slave Driver
     
    - - - - - - - - - - - - - - - - - - - -

    -Macros

    #define I2C_RETRY_TIMES   0U /* Define to zero means keep waiting until the flag is assert/deassert. */
     Retry times for waiting flag. More...
     
    -#define I2C_STAT_MSTCODE_IDLE   (0)
     Master Idle State Code.
     
    -#define I2C_STAT_MSTCODE_RXREADY   (1UL)
     Master Receive Ready State Code.
     
    -#define I2C_STAT_MSTCODE_TXREADY   (2UL)
     Master Transmit Ready State Code.
     
    -#define I2C_STAT_MSTCODE_NACKADR   (3UL)
     Master NACK by slave on address State Code.
     
    -#define I2C_STAT_MSTCODE_NACKDAT   (4UL)
     Master NACK by slave on data State Code.
     
    - - - - -

    -Enumerations

    enum  {
    -  kStatus_I2C_Busy = MAKE_STATUS(kStatusGroup_LPC_I2C, 0), -
    -  kStatus_I2C_Idle = MAKE_STATUS(kStatusGroup_LPC_I2C, 1), -
    -  kStatus_I2C_Nak = MAKE_STATUS(kStatusGroup_LPC_I2C, 2), -
    -  kStatus_I2C_InvalidParameter, -
    -  kStatus_I2C_BitError = MAKE_STATUS(kStatusGroup_LPC_I2C, 4), -
    -  kStatus_I2C_ArbitrationLost = MAKE_STATUS(kStatusGroup_LPC_I2C, 5), -
    -  kStatus_I2C_NoTransferInProgress, -
    -  kStatus_I2C_DmaRequestFail = MAKE_STATUS(kStatusGroup_LPC_I2C, 7), -
    -  kStatus_I2C_StartStopError = MAKE_STATUS(kStatusGroup_LPC_I2C, 8), -
    -  kStatus_I2C_UnexpectedState = MAKE_STATUS(kStatusGroup_LPC_I2C, 9), -
    -  kStatus_I2C_Addr_Nak = MAKE_STATUS(kStatusGroup_LPC_I2C, 10), -
    -  kStatus_I2C_Timeout = MAKE_STATUS(kStatusGroup_LPC_I2C, 11) -
    - }
     I2C status return codes. More...
     
    - - - -

    -Driver version

    #define FSL_I2C_DRIVER_VERSION   (MAKE_VERSION(2, 1, 0))
     I2C driver version. More...
     
    -

    Macro Definition Documentation

    - -
    -
    - - - - -
    #define FSL_I2C_DRIVER_VERSION   (MAKE_VERSION(2, 1, 0))
    -
    - -
    -
    - -
    -
    - - - - -
    #define I2C_RETRY_TIMES   0U /* Define to zero means keep waiting until the flag is assert/deassert. */
    -
    - -
    -
    -

    Enumeration Type Documentation

    - -
    -
    - - - - -
    anonymous enum
    -
    - - - - - - - - - - - - - -
    Enumerator
    kStatus_I2C_Busy  -

    The master is already performing a transfer.

    -
    kStatus_I2C_Idle  -

    The slave driver is idle.

    -
    kStatus_I2C_Nak  -

    The slave device sent a NAK in response to a byte.

    -
    kStatus_I2C_InvalidParameter  -

    Unable to proceed due to invalid parameter.

    -
    kStatus_I2C_BitError  -

    Transferred bit was not seen on the bus.

    -
    kStatus_I2C_ArbitrationLost  -

    Arbitration lost error.

    -
    kStatus_I2C_NoTransferInProgress  -

    Attempt to abort a transfer when one is not in progress.

    -
    kStatus_I2C_DmaRequestFail  -

    DMA request failed.

    -
    kStatus_I2C_StartStopError  -

    Start and stop error.

    -
    kStatus_I2C_UnexpectedState  -

    Unexpected state.

    -
    kStatus_I2C_Addr_Nak  -

    NAK received during the address probe.

    -
    kStatus_I2C_Timeout  -

    Timeout polling status flags.

    -
    - -
    -
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00084.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00084.js index 35eaed7..d13792d 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00084.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00084.js @@ -1,23 +1,6 @@ var a00084 = [ - [ "FSL_I2C_DRIVER_VERSION", "a00084.html#ga60fdaec284298758a650ce1122aef231", null ], - [ "I2C_RETRY_TIMES", "a00084.html#gaac288786aaa7d0cb947c91591baf42d7", null ], - [ "I2C_STAT_MSTCODE_IDLE", "a00084.html#ga2c6171791d36810488b3e31648156d40", null ], - [ "I2C_STAT_MSTCODE_RXREADY", "a00084.html#ga6d910a54f8271411dbed62a08ac462a2", null ], - [ "I2C_STAT_MSTCODE_TXREADY", "a00084.html#gaa638faf1f9e205ac8df1e4cf44de0a14", null ], - [ "I2C_STAT_MSTCODE_NACKADR", "a00084.html#ga5f10a8d39f171f4c6fb65bba7ff9bb2b", null ], - [ "I2C_STAT_MSTCODE_NACKDAT", "a00084.html#gac815d26a6d964108edbc558afe774828", [ - [ "kStatus_I2C_Busy", "a00084.html#gga99fb83031ce9923c84392b4e92f956b5a49091894b590d7e479605bf113918952", null ], - [ "kStatus_I2C_Idle", "a00084.html#gga99fb83031ce9923c84392b4e92f956b5a628d242f7bc0e3d5949c7f73eafaa508", null ], - [ "kStatus_I2C_Nak", "a00084.html#gga99fb83031ce9923c84392b4e92f956b5a72fd33d0b5263a63766e62f71d16be00", null ], - [ "kStatus_I2C_InvalidParameter", "a00084.html#gga99fb83031ce9923c84392b4e92f956b5a308bcea12eeef85aeac416ead81639b9", null ], - [ "kStatus_I2C_BitError", "a00084.html#gga99fb83031ce9923c84392b4e92f956b5a0db20b358696db03a068816bb72a0310", null ], - [ "kStatus_I2C_ArbitrationLost", "a00084.html#gga99fb83031ce9923c84392b4e92f956b5a139b52cc3305ec2c06d0ac94313c221f", null ], - [ "kStatus_I2C_NoTransferInProgress", "a00084.html#gga99fb83031ce9923c84392b4e92f956b5a834a772763c85b5bedf8eb0a0cfa2f9e", null ], - [ "kStatus_I2C_DmaRequestFail", "a00084.html#gga99fb83031ce9923c84392b4e92f956b5ac0253b1c986e61b7905da4a7f1fbf844", null ], - [ "kStatus_I2C_StartStopError", "a00084.html#gga99fb83031ce9923c84392b4e92f956b5a256cb58b123f0814d020cc8449cf48d5", null ], - [ "kStatus_I2C_UnexpectedState", "a00084.html#gga99fb83031ce9923c84392b4e92f956b5a99cb29358dad3255402d30285f844632", null ], - [ "kStatus_I2C_Addr_Nak", "a00084.html#gga99fb83031ce9923c84392b4e92f956b5adf7437bfedcc0d57338ed33f1be5c805", null ], - [ "kStatus_I2C_Timeout", "a00084.html#gga99fb83031ce9923c84392b4e92f956b5a81ad7cc198436cabbe91ea55c5288747", null ] - ] ] + [ "I2C Driver", "a00085.html", "a00085" ], + [ "I2C Master Driver", "a00015.html", "a00015" ], + [ "I2C Slave Driver", "a00016.html", "a00016" ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00085.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00085.html index 5766c21..407de20 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00085.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00085.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: SPI: Serial Peripheral Interface Driver +MCUXpresso SDK API Reference Manual: I2C Driver @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - - + + +

    -Modules

     SPI Driver

    +Files

    file  fsl_i2c.h
     
    + + + + + + + + + + + + + + + + + + + +

    +Macros

    #define I2C_RETRY_TIMES   0U /* Define to zero means keep waiting until the flag is assert/deassert. */
     Retry times for waiting flag. More...
     
    +#define I2C_STAT_MSTCODE_IDLE   (0)
     Master Idle State Code.
     
    +#define I2C_STAT_MSTCODE_RXREADY   (1UL)
     Master Receive Ready State Code.
     
    +#define I2C_STAT_MSTCODE_TXREADY   (2UL)
     Master Transmit Ready State Code.
     
    +#define I2C_STAT_MSTCODE_NACKADR   (3UL)
     Master NACK by slave on address State Code.
     
    +#define I2C_STAT_MSTCODE_NACKDAT   (4UL)
     Master NACK by slave on data State Code.
     
    + + + + +

    +Enumerations

    enum  {
    +  kStatus_I2C_Busy = MAKE_STATUS(kStatusGroup_LPC_I2C, 0), +
    +  kStatus_I2C_Idle = MAKE_STATUS(kStatusGroup_LPC_I2C, 1), +
    +  kStatus_I2C_Nak = MAKE_STATUS(kStatusGroup_LPC_I2C, 2), +
    +  kStatus_I2C_InvalidParameter, +
    +  kStatus_I2C_BitError = MAKE_STATUS(kStatusGroup_LPC_I2C, 4), +
    +  kStatus_I2C_ArbitrationLost = MAKE_STATUS(kStatusGroup_LPC_I2C, 5), +
    +  kStatus_I2C_NoTransferInProgress, +
    +  kStatus_I2C_DmaRequestFail = MAKE_STATUS(kStatusGroup_LPC_I2C, 7), +
    +  kStatus_I2C_StartStopError = MAKE_STATUS(kStatusGroup_LPC_I2C, 8), +
    +  kStatus_I2C_UnexpectedState = MAKE_STATUS(kStatusGroup_LPC_I2C, 9), +
    +  kStatus_I2C_Addr_Nak = MAKE_STATUS(kStatusGroup_LPC_I2C, 10), +
    +  kStatus_I2C_Timeout = MAKE_STATUS(kStatusGroup_LPC_I2C, 11) +
    + }
     I2C status return codes. More...
     
    + + + +

    +Driver version

    #define FSL_I2C_DRIVER_VERSION   (MAKE_VERSION(2, 1, 0))
     I2C driver version. More...
     
    +

    Macro Definition Documentation

    + +
    +
    + + + + +
    #define FSL_I2C_DRIVER_VERSION   (MAKE_VERSION(2, 1, 0))
    +
    + +
    +
    + +
    +
    + + + + +
    #define I2C_RETRY_TIMES   0U /* Define to zero means keep waiting until the flag is assert/deassert. */
    +
    + +
    +
    +

    Enumeration Type Documentation

    + +
    +
    + + + + +
    anonymous enum
    +
    + + + + + + + + + + + + + +
    Enumerator
    kStatus_I2C_Busy  +

    The master is already performing a transfer.

    +
    kStatus_I2C_Idle  +

    The slave driver is idle.

    +
    kStatus_I2C_Nak  +

    The slave device sent a NAK in response to a byte.

    +
    kStatus_I2C_InvalidParameter  +

    Unable to proceed due to invalid parameter.

    +
    kStatus_I2C_BitError  +

    Transferred bit was not seen on the bus.

    +
    kStatus_I2C_ArbitrationLost  +

    Arbitration lost error.

    +
    kStatus_I2C_NoTransferInProgress  +

    Attempt to abort a transfer when one is not in progress.

    +
    kStatus_I2C_DmaRequestFail  +

    DMA request failed.

    +
    kStatus_I2C_StartStopError  +

    Start and stop error.

    +
    kStatus_I2C_UnexpectedState  +

    Unexpected state.

    +
    kStatus_I2C_Addr_Nak  +

    NAK received during the address probe.

    +
    kStatus_I2C_Timeout  +

    Timeout polling status flags.

    +
    + +
    +
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00085.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00085.js index 0b61d81..60d85bb 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00085.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00085.js @@ -1,4 +1,23 @@ var a00085 = [ - [ "SPI Driver", "a00019.html", "a00019" ] + [ "FSL_I2C_DRIVER_VERSION", "a00085.html#ga60fdaec284298758a650ce1122aef231", null ], + [ "I2C_RETRY_TIMES", "a00085.html#gaac288786aaa7d0cb947c91591baf42d7", null ], + [ "I2C_STAT_MSTCODE_IDLE", "a00085.html#ga2c6171791d36810488b3e31648156d40", null ], + [ "I2C_STAT_MSTCODE_RXREADY", "a00085.html#ga6d910a54f8271411dbed62a08ac462a2", null ], + [ "I2C_STAT_MSTCODE_TXREADY", "a00085.html#gaa638faf1f9e205ac8df1e4cf44de0a14", null ], + [ "I2C_STAT_MSTCODE_NACKADR", "a00085.html#ga5f10a8d39f171f4c6fb65bba7ff9bb2b", null ], + [ "I2C_STAT_MSTCODE_NACKDAT", "a00085.html#gac815d26a6d964108edbc558afe774828", [ + [ "kStatus_I2C_Busy", "a00085.html#gga99fb83031ce9923c84392b4e92f956b5a49091894b590d7e479605bf113918952", null ], + [ "kStatus_I2C_Idle", "a00085.html#gga99fb83031ce9923c84392b4e92f956b5a628d242f7bc0e3d5949c7f73eafaa508", null ], + [ "kStatus_I2C_Nak", "a00085.html#gga99fb83031ce9923c84392b4e92f956b5a72fd33d0b5263a63766e62f71d16be00", null ], + [ "kStatus_I2C_InvalidParameter", "a00085.html#gga99fb83031ce9923c84392b4e92f956b5a308bcea12eeef85aeac416ead81639b9", null ], + [ "kStatus_I2C_BitError", "a00085.html#gga99fb83031ce9923c84392b4e92f956b5a0db20b358696db03a068816bb72a0310", null ], + [ "kStatus_I2C_ArbitrationLost", "a00085.html#gga99fb83031ce9923c84392b4e92f956b5a139b52cc3305ec2c06d0ac94313c221f", null ], + [ "kStatus_I2C_NoTransferInProgress", "a00085.html#gga99fb83031ce9923c84392b4e92f956b5a834a772763c85b5bedf8eb0a0cfa2f9e", null ], + [ "kStatus_I2C_DmaRequestFail", "a00085.html#gga99fb83031ce9923c84392b4e92f956b5ac0253b1c986e61b7905da4a7f1fbf844", null ], + [ "kStatus_I2C_StartStopError", "a00085.html#gga99fb83031ce9923c84392b4e92f956b5a256cb58b123f0814d020cc8449cf48d5", null ], + [ "kStatus_I2C_UnexpectedState", "a00085.html#gga99fb83031ce9923c84392b4e92f956b5a99cb29358dad3255402d30285f844632", null ], + [ "kStatus_I2C_Addr_Nak", "a00085.html#gga99fb83031ce9923c84392b4e92f956b5adf7437bfedcc0d57338ed33f1be5c805", null ], + [ "kStatus_I2C_Timeout", "a00085.html#gga99fb83031ce9923c84392b4e92f956b5a81ad7cc198436cabbe91ea55c5288747", null ] + ] ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00086.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00086.html index 4ade16b..265cb52 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00086.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00086.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: USART: Universal Asynchronous Receiver/Transmitter Driver +MCUXpresso SDK API Reference Manual: SPI: Serial Peripheral Interface Driver @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Modules

     USART Driver
     SPI Driver
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00086.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00086.js index f084c8f..756ce28 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00086.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00086.js @@ -1,4 +1,4 @@ var a00086 = [ - [ "USART Driver", "a00020.html", "a00020" ] + [ "SPI Driver", "a00019.html", "a00019" ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00087.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00087.html index 74ed17f..e07c134 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00087.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00087.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: PINT: Pin Interrupt and Pattern Match Driver +MCUXpresso SDK API Reference Manual: USART: Universal Asynchronous Receiver/Transmitter Driver @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - - + + -

    -Files

    file  fsl_pint.h

    +Modules

     USART Driver
     
    - - - - -

    -Typedefs

    typedef void(* pint_cb_t )(pint_pin_int_t pintr, uint32_t pmatch_status)
     PINT Callback function. More...
     
    - - - - - - - - - - - - - - - - -

    -Enumerations

    enum  pint_pin_enable_t {
    -  kPINT_PinIntEnableNone = 0U, -
    -  kPINT_PinIntEnableRiseEdge = PINT_PIN_RISE_EDGE, -
    -  kPINT_PinIntEnableFallEdge = PINT_PIN_FALL_EDGE, -
    -  kPINT_PinIntEnableBothEdges = PINT_PIN_BOTH_EDGE, -
    -  kPINT_PinIntEnableLowLevel = PINT_PIN_LOW_LEVEL, -
    -  kPINT_PinIntEnableHighLevel = PINT_PIN_HIGH_LEVEL -
    - }
     PINT Pin Interrupt enable type. More...
     
    enum  pint_pin_int_t {
    -  kPINT_PinInt0 = 0U, -
    -  kPINT_PinInt1 = 1U, -
    -  kPINT_PinInt2 = 2U, -
    -  kPINT_PinInt3 = 3U, -
    -  kPINT_PinInt4 = 4U, -
    -  kPINT_PinInt5 = 5U, -
    -  kPINT_PinInt6 = 6U, -
    -  kPINT_PinInt7 = 7U -
    - }
     PINT Pin Interrupt type. More...
     
    enum  pint_pmatch_input_src_t {
    -  kPINT_PatternMatchInp0Src = 0U, -
    -  kPINT_PatternMatchInp1Src = 1U, -
    -  kPINT_PatternMatchInp2Src = 2U, -
    -  kPINT_PatternMatchInp3Src = 3U, -
    -  kPINT_PatternMatchInp4Src = 4U, -
    -  kPINT_PatternMatchInp5Src = 5U, -
    -  kPINT_PatternMatchInp6Src = 6U, -
    -  kPINT_PatternMatchInp7Src = 7U, -
    -  kPINT_SecPatternMatchInp0Src = 0U, -
    -  kPINT_SecPatternMatchInp1Src = 1U -
    - }
     PINT Pattern Match bit slice input source type. More...
     
    enum  pint_pmatch_bslice_t {
    -  kPINT_PatternMatchBSlice0 = 0U, -
    -  kPINT_PatternMatchBSlice1 = 1U, -
    -  kPINT_PatternMatchBSlice2 = 2U, -
    -  kPINT_PatternMatchBSlice3 = 3U, -
    -  kPINT_PatternMatchBSlice4 = 4U, -
    -  kPINT_PatternMatchBSlice5 = 5U, -
    -  kPINT_PatternMatchBSlice6 = 6U, -
    -  kPINT_PatternMatchBSlice7 = 7U -
    - }
     PINT Pattern Match bit slice type. More...
     
    enum  pint_pmatch_bslice_cfg_t {
    -  kPINT_PatternMatchAlways = 0U, -
    -  kPINT_PatternMatchStickyRise = 1U, -
    -  kPINT_PatternMatchStickyFall = 2U, -
    -  kPINT_PatternMatchStickyBothEdges = 3U, -
    -  kPINT_PatternMatchHigh = 4U, -
    -  kPINT_PatternMatchLow = 5U, -
    -  kPINT_PatternMatchNever = 6U, -
    -  kPINT_PatternMatchBothEdges = 7U -
    - }
     PINT Pattern Match configuration type. More...
     
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

    -Functions

    void PINT_Init (PINT_Type *base)
     Initialize PINT peripheral. More...
     
    void PINT_PinInterruptConfig (PINT_Type *base, pint_pin_int_t intr, pint_pin_enable_t enable, pint_cb_t callback)
     Configure PINT peripheral pin interrupt. More...
     
    void PINT_PinInterruptGetConfig (PINT_Type *base, pint_pin_int_t pintr, pint_pin_enable_t *enable, pint_cb_t *callback)
     Get PINT peripheral pin interrupt configuration. More...
     
    void PINT_PinInterruptClrStatus (PINT_Type *base, pint_pin_int_t pintr)
     Clear Selected pin interrupt status only when the pin was triggered by edge-sensitive. More...
     
    static uint32_t PINT_PinInterruptGetStatus (PINT_Type *base, pint_pin_int_t pintr)
     Get Selected pin interrupt status. More...
     
    void PINT_PinInterruptClrStatusAll (PINT_Type *base)
     Clear all pin interrupts status only when pins were triggered by edge-sensitive. More...
     
    static uint32_t PINT_PinInterruptGetStatusAll (PINT_Type *base)
     Get all pin interrupts status. More...
     
    static void PINT_PinInterruptClrFallFlag (PINT_Type *base, pint_pin_int_t pintr)
     Clear Selected pin interrupt fall flag. More...
     
    static uint32_t PINT_PinInterruptGetFallFlag (PINT_Type *base, pint_pin_int_t pintr)
     Get selected pin interrupt fall flag. More...
     
    static void PINT_PinInterruptClrFallFlagAll (PINT_Type *base)
     Clear all pin interrupt fall flags. More...
     
    static uint32_t PINT_PinInterruptGetFallFlagAll (PINT_Type *base)
     Get all pin interrupt fall flags. More...
     
    static void PINT_PinInterruptClrRiseFlag (PINT_Type *base, pint_pin_int_t pintr)
     Clear Selected pin interrupt rise flag. More...
     
    static uint32_t PINT_PinInterruptGetRiseFlag (PINT_Type *base, pint_pin_int_t pintr)
     Get selected pin interrupt rise flag. More...
     
    static void PINT_PinInterruptClrRiseFlagAll (PINT_Type *base)
     Clear all pin interrupt rise flags. More...
     
    static uint32_t PINT_PinInterruptGetRiseFlagAll (PINT_Type *base)
     Get all pin interrupt rise flags. More...
     
    void PINT_PatternMatchConfig (PINT_Type *base, pint_pmatch_bslice_t bslice, pint_pmatch_cfg_t *cfg)
     Configure PINT pattern match. More...
     
    void PINT_PatternMatchGetConfig (PINT_Type *base, pint_pmatch_bslice_t bslice, pint_pmatch_cfg_t *cfg)
     Get PINT pattern match configuration. More...
     
    static uint32_t PINT_PatternMatchGetStatus (PINT_Type *base, pint_pmatch_bslice_t bslice)
     Get pattern match bit slice status. More...
     
    static uint32_t PINT_PatternMatchGetStatusAll (PINT_Type *base)
     Get status of all pattern match bit slices. More...
     
    uint32_t PINT_PatternMatchResetDetectLogic (PINT_Type *base)
     Reset pattern match detection logic. More...
     
    static void PINT_PatternMatchEnable (PINT_Type *base)
     Enable pattern match function. More...
     
    static void PINT_PatternMatchDisable (PINT_Type *base)
     Disable pattern match function. More...
     
    static void PINT_PatternMatchEnableRXEV (PINT_Type *base)
     Enable RXEV output. More...
     
    static void PINT_PatternMatchDisableRXEV (PINT_Type *base)
     Disable RXEV output. More...
     
    void PINT_EnableCallback (PINT_Type *base)
     Enable callback. More...
     
    void PINT_DisableCallback (PINT_Type *base)
     Disable callback. More...
     
    void PINT_Deinit (PINT_Type *base)
     Deinitialize PINT peripheral. More...
     
    void PINT_EnableCallbackByIndex (PINT_Type *base, pint_pin_int_t pintIdx)
     enable callback by pin index. More...
     
    void PINT_DisableCallbackByIndex (PINT_Type *base, pint_pin_int_t pintIdx)
     disable callback by pin index. More...
     
    - - - -

    -Driver version

    -#define FSL_PINT_DRIVER_VERSION   (MAKE_VERSION(2, 1, 9))
     Version 2.1.9.
     
    -

    Typedef Documentation

    - -
    -
    - - - - -
    typedef void(* pint_cb_t)(pint_pin_int_t pintr, uint32_t pmatch_status)
    -
    - -
    -
    -

    Enumeration Type Documentation

    - -
    -
    - - - - -
    enum pint_pin_enable_t
    -
    - - - - - - - -
    Enumerator
    kPINT_PinIntEnableNone  -

    Do not generate Pin Interrupt.

    -
    kPINT_PinIntEnableRiseEdge  -

    Generate Pin Interrupt on rising edge.

    -
    kPINT_PinIntEnableFallEdge  -

    Generate Pin Interrupt on falling edge.

    -
    kPINT_PinIntEnableBothEdges  -

    Generate Pin Interrupt on both edges.

    -
    kPINT_PinIntEnableLowLevel  -

    Generate Pin Interrupt on low level.

    -
    kPINT_PinIntEnableHighLevel  -

    Generate Pin Interrupt on high level.

    -
    - -
    -
    - -
    -
    - - - - -
    enum pint_pin_int_t
    -
    - - - - - - - - - -
    Enumerator
    kPINT_PinInt0  -

    Pin Interrupt 0.

    -
    kPINT_PinInt1  -

    Pin Interrupt 1.

    -
    kPINT_PinInt2  -

    Pin Interrupt 2.

    -
    kPINT_PinInt3  -

    Pin Interrupt 3.

    -
    kPINT_PinInt4  -

    Pin Interrupt 4.

    -
    kPINT_PinInt5  -

    Pin Interrupt 5.

    -
    kPINT_PinInt6  -

    Pin Interrupt 6.

    -
    kPINT_PinInt7  -

    Pin Interrupt 7.

    -
    - -
    -
    - -
    -
    - - - - -
    enum pint_pmatch_input_src_t
    -
    - - - - - - - - - - - -
    Enumerator
    kPINT_PatternMatchInp0Src  -

    Input source 0.

    -
    kPINT_PatternMatchInp1Src  -

    Input source 1.

    -
    kPINT_PatternMatchInp2Src  -

    Input source 2.

    -
    kPINT_PatternMatchInp3Src  -

    Input source 3.

    -
    kPINT_PatternMatchInp4Src  -

    Input source 4.

    -
    kPINT_PatternMatchInp5Src  -

    Input source 5.

    -
    kPINT_PatternMatchInp6Src  -

    Input source 6.

    -
    kPINT_PatternMatchInp7Src  -

    Input source 7.

    -
    kPINT_SecPatternMatchInp0Src  -

    Input source 0.

    -
    kPINT_SecPatternMatchInp1Src  -

    Input source 1.

    -
    - -
    -
    - -
    -
    - - - - -
    enum pint_pmatch_bslice_t
    -
    - - - - - - - - - -
    Enumerator
    kPINT_PatternMatchBSlice0  -

    Bit slice 0.

    -
    kPINT_PatternMatchBSlice1  -

    Bit slice 1.

    -
    kPINT_PatternMatchBSlice2  -

    Bit slice 2.

    -
    kPINT_PatternMatchBSlice3  -

    Bit slice 3.

    -
    kPINT_PatternMatchBSlice4  -

    Bit slice 4.

    -
    kPINT_PatternMatchBSlice5  -

    Bit slice 5.

    -
    kPINT_PatternMatchBSlice6  -

    Bit slice 6.

    -
    kPINT_PatternMatchBSlice7  -

    Bit slice 7.

    -
    - -
    -
    - -
    -
    - - - - -
    enum pint_pmatch_bslice_cfg_t
    -
    - - - - - - - - - -
    Enumerator
    kPINT_PatternMatchAlways  -

    Always Contributes to product term match.

    -
    kPINT_PatternMatchStickyRise  -

    Sticky Rising edge.

    -
    kPINT_PatternMatchStickyFall  -

    Sticky Falling edge.

    -
    kPINT_PatternMatchStickyBothEdges  -

    Sticky Rising or Falling edge.

    -
    kPINT_PatternMatchHigh  -

    High level.

    -
    kPINT_PatternMatchLow  -

    Low level.

    -
    kPINT_PatternMatchNever  -

    Never contributes to product term match.

    -
    kPINT_PatternMatchBothEdges  -

    Either rising or falling edge.

    -
    - -
    -
    -

    Function Documentation

    - -
    -
    - - - - - - - - -
    void PINT_Init (PINT_Type * base)
    -
    -

    This function initializes the PINT peripheral and enables the clock.

    -
    Parameters
    - - -
    baseBase address of the PINT peripheral.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    void PINT_PinInterruptConfig (PINT_Type * base,
    pint_pin_int_t intr,
    pint_pin_enable_t enable,
    pint_cb_t callback 
    )
    -
    -

    This function configures a given pin interrupt.

    -
    Parameters
    - - - - - -
    baseBase address of the PINT peripheral.
    intrPin interrupt.
    enableSelects detection logic.
    callbackCallback.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    void PINT_PinInterruptGetConfig (PINT_Type * base,
    pint_pin_int_t pintr,
    pint_pin_enable_tenable,
    pint_cb_tcallback 
    )
    -
    -

    This function returns the configuration of a given pin interrupt.

    -
    Parameters
    - - - - - -
    baseBase address of the PINT peripheral.
    pintrPin interrupt.
    enablePointer to store the detection logic.
    callbackCallback.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - - - - - - - - - - - -
    void PINT_PinInterruptClrStatus (PINT_Type * base,
    pint_pin_int_t pintr 
    )
    -
    -

    This function clears the selected pin interrupt status.

    -
    Parameters
    - - - -
    baseBase address of the PINT peripheral.
    pintrPin interrupt.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - - - - - - - - - - - -
    static uint32_t PINT_PinInterruptGetStatus (PINT_Type * base,
    pint_pin_int_t pintr 
    )
    -
    -inlinestatic
    -
    -

    This function returns the selected pin interrupt status.

    -
    Parameters
    - - - -
    baseBase address of the PINT peripheral.
    pintrPin interrupt.
    -
    -
    -
    Return values
    - - -
    status= 0 No pin interrupt request. = 1 Selected Pin interrupt request active.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - -
    void PINT_PinInterruptClrStatusAll (PINT_Type * base)
    -
    -

    This function clears the status of all pin interrupts.

    -
    Parameters
    - - -
    baseBase address of the PINT peripheral.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - -
    static uint32_t PINT_PinInterruptGetStatusAll (PINT_Type * base)
    -
    -inlinestatic
    -
    -

    This function returns the status of all pin interrupts.

    -
    Parameters
    - - -
    baseBase address of the PINT peripheral.
    -
    -
    -
    Return values
    - - -
    statusEach bit position indicates the status of corresponding pin interrupt. = 0 No pin interrupt request. = 1 Pin interrupt request active.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - - - - - - - - - - - -
    static void PINT_PinInterruptClrFallFlag (PINT_Type * base,
    pint_pin_int_t pintr 
    )
    -
    -inlinestatic
    -
    -

    This function clears the selected pin interrupt fall flag.

    -
    Parameters
    - - - -
    baseBase address of the PINT peripheral.
    pintrPin interrupt.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - - - - - - - - - - - -
    static uint32_t PINT_PinInterruptGetFallFlag (PINT_Type * base,
    pint_pin_int_t pintr 
    )
    -
    -inlinestatic
    -
    -

    This function returns the selected pin interrupt fall flag.

    -
    Parameters
    - - - -
    baseBase address of the PINT peripheral.
    pintrPin interrupt.
    -
    -
    -
    Return values
    - - -
    flag= 0 Falling edge has not been detected. = 1 Falling edge has been detected.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - -
    static void PINT_PinInterruptClrFallFlagAll (PINT_Type * base)
    -
    -inlinestatic
    -
    -

    This function clears the fall flag for all pin interrupts.

    -
    Parameters
    - - -
    baseBase address of the PINT peripheral.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - -
    static uint32_t PINT_PinInterruptGetFallFlagAll (PINT_Type * base)
    -
    -inlinestatic
    -
    -

    This function returns the fall flag of all pin interrupts.

    -
    Parameters
    - - -
    baseBase address of the PINT peripheral.
    -
    -
    -
    Return values
    - - -
    flagsEach bit position indicates the falling edge detection of the corresponding pin interrupt. 0 Falling edge has not been detected. = 1 Falling edge has been detected.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - - - - - - - - - - - -
    static void PINT_PinInterruptClrRiseFlag (PINT_Type * base,
    pint_pin_int_t pintr 
    )
    -
    -inlinestatic
    -
    -

    This function clears the selected pin interrupt rise flag.

    -
    Parameters
    - - - -
    baseBase address of the PINT peripheral.
    pintrPin interrupt.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - - - - - - - - - - - -
    static uint32_t PINT_PinInterruptGetRiseFlag (PINT_Type * base,
    pint_pin_int_t pintr 
    )
    -
    -inlinestatic
    -
    -

    This function returns the selected pin interrupt rise flag.

    -
    Parameters
    - - - -
    baseBase address of the PINT peripheral.
    pintrPin interrupt.
    -
    -
    -
    Return values
    - - -
    flag= 0 Rising edge has not been detected. = 1 Rising edge has been detected.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - -
    static void PINT_PinInterruptClrRiseFlagAll (PINT_Type * base)
    -
    -inlinestatic
    -
    -

    This function clears the rise flag for all pin interrupts.

    -
    Parameters
    - - -
    baseBase address of the PINT peripheral.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - -
    static uint32_t PINT_PinInterruptGetRiseFlagAll (PINT_Type * base)
    -
    -inlinestatic
    -
    -

    This function returns the rise flag of all pin interrupts.

    -
    Parameters
    - - -
    baseBase address of the PINT peripheral.
    -
    -
    -
    Return values
    - - -
    flagsEach bit position indicates the rising edge detection of the corresponding pin interrupt. 0 Rising edge has not been detected. = 1 Rising edge has been detected.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - -
    void PINT_PatternMatchConfig (PINT_Type * base,
    pint_pmatch_bslice_t bslice,
    pint_pmatch_cfg_t * cfg 
    )
    -
    -

    This function configures a given pattern match bit slice.

    -
    Parameters
    - - - - -
    baseBase address of the PINT peripheral.
    bslicePattern match bit slice number.
    cfgPointer to bit slice configuration.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - - - - - - - - - - - - - - - - - -
    void PINT_PatternMatchGetConfig (PINT_Type * base,
    pint_pmatch_bslice_t bslice,
    pint_pmatch_cfg_t * cfg 
    )
    -
    -

    This function returns the configuration of a given pattern match bit slice.

    -
    Parameters
    - - - - -
    baseBase address of the PINT peripheral.
    bslicePattern match bit slice number.
    cfgPointer to bit slice configuration.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - - - - - - - - - - - -
    static uint32_t PINT_PatternMatchGetStatus (PINT_Type * base,
    pint_pmatch_bslice_t bslice 
    )
    -
    -inlinestatic
    -
    -

    This function returns the status of selected bit slice.

    -
    Parameters
    - - - -
    baseBase address of the PINT peripheral.
    bslicePattern match bit slice number.
    -
    -
    -
    Return values
    - - -
    status= 0 Match has not been detected. = 1 Match has been detected.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - -
    static uint32_t PINT_PatternMatchGetStatusAll (PINT_Type * base)
    -
    -inlinestatic
    -
    -

    This function returns the status of all bit slices.

    -
    Parameters
    - - -
    baseBase address of the PINT peripheral.
    -
    -
    -
    Return values
    - - -
    statusEach bit position indicates the match status of corresponding bit slice. = 0 Match has not been detected. = 1 Match has been detected.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - -
    uint32_t PINT_PatternMatchResetDetectLogic (PINT_Type * base)
    -
    -

    This function resets the pattern match detection logic if any of the product term is matching.

    -
    Parameters
    - - -
    baseBase address of the PINT peripheral.
    -
    -
    -
    Return values
    - - -
    pmstatusEach bit position indicates the match status of corresponding bit slice. = 0 Match was detected. = 1 Match was not detected.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - -
    static void PINT_PatternMatchEnable (PINT_Type * base)
    -
    -inlinestatic
    -
    -

    This function enables the pattern match function.

    -
    Parameters
    - - -
    baseBase address of the PINT peripheral.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - -
    static void PINT_PatternMatchDisable (PINT_Type * base)
    -
    -inlinestatic
    -
    -

    This function disables the pattern match function.

    -
    Parameters
    - - -
    baseBase address of the PINT peripheral.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - -
    static void PINT_PatternMatchEnableRXEV (PINT_Type * base)
    -
    -inlinestatic
    -
    -

    This function enables the pattern match RXEV output.

    -
    Parameters
    - - -
    baseBase address of the PINT peripheral.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - -
    - - - - - - - - -
    static void PINT_PatternMatchDisableRXEV (PINT_Type * base)
    -
    -inlinestatic
    -
    -

    This function disables the pattern match RXEV output.

    -
    Parameters
    - - -
    baseBase address of the PINT peripheral.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - -
    void PINT_EnableCallback (PINT_Type * base)
    -
    -

    This function enables the interrupt for the selected PINT peripheral. Although the pin(s) are monitored as soon as they are enabled, the callback function is not enabled until this function is called.

    -
    Parameters
    - - -
    baseBase address of the PINT peripheral.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - -
    void PINT_DisableCallback (PINT_Type * base)
    -
    -

    This function disables the interrupt for the selected PINT peripheral. Although the pins are still being monitored but the callback function is not called.

    -
    Parameters
    - - -
    baseBase address of the peripheral.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - -
    void PINT_Deinit (PINT_Type * base)
    -
    -

    This function disables the PINT clock.

    -
    Parameters
    - - -
    baseBase address of the PINT peripheral.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - - - - - - - - - - - -
    void PINT_EnableCallbackByIndex (PINT_Type * base,
    pint_pin_int_t pintIdx 
    )
    -
    -

    This function enables callback by pin index instead of enabling all pins.

    -
    Parameters
    - - - -
    baseBase address of the peripheral.
    pintIdxpin index.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    - -
    -
    - - - - - - - - - - - - - - - - - - -
    void PINT_DisableCallbackByIndex (PINT_Type * base,
    pint_pin_int_t pintIdx 
    )
    -
    -

    This function disables callback by pin index instead of disabling all pins.

    -
    Parameters
    - - - -
    baseBase address of the peripheral.
    pintIdxpin index.
    -
    -
    -
    Return values
    - - -
    None.
    -
    -
    - -
    -
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00087.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00087.js index 0e2d936..62a0ac5 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00087.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00087.js @@ -1,84 +1,4 @@ var a00087 = [ - [ "FSL_PINT_DRIVER_VERSION", "a00087.html#ga2c7d26f7018dc7e75c1e090090628069", null ], - [ "pint_cb_t", "a00087.html#ga262ac9596c0926fbe5f346e0f6aaf9f5", null ], - [ "pint_pin_enable_t", "a00087.html#ga6a17e5c52721f6eb754f54cc72b58c91", [ - [ "kPINT_PinIntEnableNone", "a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91aa48de84b39b48759086f2d7ae0c8091e", null ], - [ "kPINT_PinIntEnableRiseEdge", "a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91ae873d30aec2ca54de88c69f55ea42a07", null ], - [ "kPINT_PinIntEnableFallEdge", "a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91a6f54d55ac52e8e697f83637109560e89", null ], - [ "kPINT_PinIntEnableBothEdges", "a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91a58ac8e76b409274b7d02ef6e9805dcef", null ], - [ "kPINT_PinIntEnableLowLevel", "a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91a3bd6a570eee5c1fddd95ef2411b35a7e", null ], - [ "kPINT_PinIntEnableHighLevel", "a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91affc9b2756b7642ddb60ea78f4bbbd592", null ] - ] ], - [ "pint_pin_int_t", "a00087.html#ga15da1f70e8d0a05e9d492d01ceca7da8", [ - [ "kPINT_PinInt0", "a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8a5df52c4fbb437e61b638d35cbc550162", null ], - [ "kPINT_PinInt1", "a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8ab62e1c2d3cdccef05e45ae9028cecbb4", null ], - [ "kPINT_PinInt2", "a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8a81913bc912b5164bb749b6a2e8823808", null ], - [ "kPINT_PinInt3", "a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8a751ce5a16f196ca04d08d2e5d65af47c", null ], - [ "kPINT_PinInt4", "a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8acf173e0dc3d44c77bb08146494a0249b", null ], - [ "kPINT_PinInt5", "a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8a45fc443b2ec03c89708ac897fc3fc902", null ], - [ "kPINT_PinInt6", "a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8adf92ec20d1fa6a12bda6c42e5304cf46", null ], - [ "kPINT_PinInt7", "a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8aae1e0da9add6e3e1dd57705a682d65fa", null ] - ] ], - [ "pint_pmatch_input_src_t", "a00087.html#ga2e05f827d6a43eade4c22e9d75bc5d76", [ - [ "kPINT_PatternMatchInp0Src", "a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a51f543e6f680df9beb5841231b7b3a96", null ], - [ "kPINT_PatternMatchInp1Src", "a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6fc5c9dbee30b375feb9ce6c86b224c2", null ], - [ "kPINT_PatternMatchInp2Src", "a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a41b057b493937cd87bc212cb2e316f8a", null ], - [ "kPINT_PatternMatchInp3Src", "a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6be4432cb9e687d043a2c25c1af48869", null ], - [ "kPINT_PatternMatchInp4Src", "a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76aabc93fc28498f5577939f38e0513304c", null ], - [ "kPINT_PatternMatchInp5Src", "a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a78ff6e40ab17d81181284c56b62b77aa", null ], - [ "kPINT_PatternMatchInp6Src", "a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a9b2f5abc74e498a599f5c005a6cc911f", null ], - [ "kPINT_PatternMatchInp7Src", "a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a2c93115b816dc676460cfc1be85778f0", null ], - [ "kPINT_SecPatternMatchInp0Src", "a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a1f7f561990336a81a46f22395820907d", null ], - [ "kPINT_SecPatternMatchInp1Src", "a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a8cc05c9aec163085b7a2b4481fdc971d", null ] - ] ], - [ "pint_pmatch_bslice_t", "a00087.html#ga048bc24e58d7df40af2a45efaabeea9b", [ - [ "kPINT_PatternMatchBSlice0", "a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba106986aeb5e12c2b76e070def3b37d95", null ], - [ "kPINT_PatternMatchBSlice1", "a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba0d3bf0a5022325acaae66e172c984db0", null ], - [ "kPINT_PatternMatchBSlice2", "a00087.html#gga048bc24e58d7df40af2a45efaabeea9baf00e092237b1b299bdfdd901f207c468", null ], - [ "kPINT_PatternMatchBSlice3", "a00087.html#gga048bc24e58d7df40af2a45efaabeea9bae5db81838d55c8a8839b1dd47954d865", null ], - [ "kPINT_PatternMatchBSlice4", "a00087.html#gga048bc24e58d7df40af2a45efaabeea9bac0ce3f2fbc216bc3cec68c47263530c5", null ], - [ "kPINT_PatternMatchBSlice5", "a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba1ca87ca7db6ec59b675c6e70abc45da0", null ], - [ "kPINT_PatternMatchBSlice6", "a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba86a79a77b44e91f432bb8ee9070f9602", null ], - [ "kPINT_PatternMatchBSlice7", "a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba3a75ed56ef8a3429c01fc686f22b8e8a", null ] - ] ], - [ "pint_pmatch_bslice_cfg_t", "a00087.html#gae1e5bfc17515fab76a1deab955203c6a", [ - [ "kPINT_PatternMatchAlways", "a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa128703d2c2175a315aaffad869ed6a32", null ], - [ "kPINT_PatternMatchStickyRise", "a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa654c70eeed38b0be2271dc51d7cc04f5", null ], - [ "kPINT_PatternMatchStickyFall", "a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa9d2a3e9717c34de3a884b695f35a6c37", null ], - [ "kPINT_PatternMatchStickyBothEdges", "a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa059c3472509304186252b655cf486d8b", null ], - [ "kPINT_PatternMatchHigh", "a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa7dc0770cf7ffe184788c30bd1ac9c515", null ], - [ "kPINT_PatternMatchLow", "a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa3b4af984526871b66489b0eb294d2df5", null ], - [ "kPINT_PatternMatchNever", "a00087.html#ggae1e5bfc17515fab76a1deab955203c6aab5630ebfbc6e52c9b04f659918c094f2", null ], - [ "kPINT_PatternMatchBothEdges", "a00087.html#ggae1e5bfc17515fab76a1deab955203c6aaac967156901735a43316f7f1c4720328", null ] - ] ], - [ "PINT_Init", "a00087.html#gaf043d142dac622bedd50b736b52ac6e9", null ], - [ "PINT_PinInterruptConfig", "a00087.html#ga363edbba9536380728e44bd7d1a0e7df", null ], - [ "PINT_PinInterruptGetConfig", "a00087.html#ga12c568c9b7f6d46257dc63505a381701", null ], - [ "PINT_PinInterruptClrStatus", "a00087.html#gab784e6aea0129b0c90164462bd0dbac5", null ], - [ "PINT_PinInterruptGetStatus", "a00087.html#ga99a96e386a822de59ac2d60149ec47f3", null ], - [ "PINT_PinInterruptClrStatusAll", "a00087.html#gace94acb3f70964230b2606fdbeb5f58b", null ], - [ "PINT_PinInterruptGetStatusAll", "a00087.html#gab5dbaf58c90b1ef54bcd2c5dba9e178f", null ], - [ "PINT_PinInterruptClrFallFlag", "a00087.html#ga055c655c611b5645ce6903557ef809bb", null ], - [ "PINT_PinInterruptGetFallFlag", "a00087.html#gaace443444dec2e5b7e3e20cf69c53def", null ], - [ "PINT_PinInterruptClrFallFlagAll", "a00087.html#ga11c44cbe5b42c79b22a3053c85b1e5d0", null ], - [ "PINT_PinInterruptGetFallFlagAll", "a00087.html#ga58eced039e8c793b7ff148d6cfacde5b", null ], - [ "PINT_PinInterruptClrRiseFlag", "a00087.html#ga269af5856a4cfd5d2a171b4777d6884a", null ], - [ "PINT_PinInterruptGetRiseFlag", "a00087.html#gaec7a3986f92f8c11a70a80af1a93a3b6", null ], - [ "PINT_PinInterruptClrRiseFlagAll", "a00087.html#ga53dd5706866fa0313689db6e4d9c1a9b", null ], - [ "PINT_PinInterruptGetRiseFlagAll", "a00087.html#ga08b623f67c277521182f8c9e630037ae", null ], - [ "PINT_PatternMatchConfig", "a00087.html#ga1dc66cd865640c0b60eb128a868eab37", null ], - [ "PINT_PatternMatchGetConfig", "a00087.html#ga6d4f8e83cec4bc716231c97c2ceb5421", null ], - [ "PINT_PatternMatchGetStatus", "a00087.html#ga74b3eece6c6eabc38f3194b125ff80d5", null ], - [ "PINT_PatternMatchGetStatusAll", "a00087.html#ga567b159bbfa265ed02c4900769ed8fe4", null ], - [ "PINT_PatternMatchResetDetectLogic", "a00087.html#ga00d40f5f01c445206f1f800dcc7b6e89", null ], - [ "PINT_PatternMatchEnable", "a00087.html#ga8911d499fb65ffe496c01f65bad9314f", null ], - [ "PINT_PatternMatchDisable", "a00087.html#ga4dd886dc387a64a5cb146ccd7297e1e1", null ], - [ "PINT_PatternMatchEnableRXEV", "a00087.html#gaf5754b4b1d1436c359dde18101657d4e", null ], - [ "PINT_PatternMatchDisableRXEV", "a00087.html#ga73f0e7280f898bf99fe92b3519878a77", null ], - [ "PINT_EnableCallback", "a00087.html#gac6b1406205adace6696a1647250ad76d", null ], - [ "PINT_DisableCallback", "a00087.html#gada262638d555d9a503ddcdbed24ec475", null ], - [ "PINT_Deinit", "a00087.html#ga78ffa3e09e17db8dc6a9038123ad1c46", null ], - [ "PINT_EnableCallbackByIndex", "a00087.html#ga2cb0ee73a24657dd6994cb00b78c3137", null ], - [ "PINT_DisableCallbackByIndex", "a00087.html#gad7300bf374c0cdc65fadff76f3ee7e5c", null ] + [ "USART Driver", "a00020.html", "a00020" ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00088.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00088.html index fe4c883..798c331 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00088.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00088.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: PLU: Programmable Logic Unit +MCUXpresso SDK API Reference Manual: PINT: Pin Interrupt and Pattern Match Driver @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    + + + +

    +Files

    file  fsl_pint.h
     
    + + + + +

    +Typedefs

    typedef void(* pint_cb_t )(pint_pin_int_t pintr, uint32_t pmatch_status)
     PINT Callback function. More...
     
    - - - - + + + + + - - - + + + + + - - - - - - - - + + +

    Enumerations

    enum  plu_lut_index_t {
    -  kPLU_LUT_0 = 0U, +
    enum  pint_pin_enable_t {
    +  kPINT_PinIntEnableNone = 0U,
    -  kPLU_LUT_1 = 1U, +  kPINT_PinIntEnableRiseEdge = PINT_PIN_RISE_EDGE,
    -  kPLU_LUT_2 = 2U, +  kPINT_PinIntEnableFallEdge = PINT_PIN_FALL_EDGE,
    -  kPLU_LUT_3 = 3U, +  kPINT_PinIntEnableBothEdges = PINT_PIN_BOTH_EDGE,
    -  kPLU_LUT_4 = 4U, +  kPINT_PinIntEnableLowLevel = PINT_PIN_LOW_LEVEL,
    -  kPLU_LUT_5 = 5U, -
    -  kPLU_LUT_6 = 6U, -
    -  kPLU_LUT_7 = 7U, -
    -  kPLU_LUT_8 = 8U, -
    -  kPLU_LUT_9 = 9U, -
    -  kPLU_LUT_10 = 10U, -
    -  kPLU_LUT_11 = 11U, -
    -  kPLU_LUT_12 = 12U, -
    -  kPLU_LUT_13 = 13U, -
    -  kPLU_LUT_14 = 14U, -
    -  kPLU_LUT_15 = 15U, -
    -  kPLU_LUT_16 = 16U, -
    -  kPLU_LUT_17 = 17U, -
    -  kPLU_LUT_18 = 18U, -
    -  kPLU_LUT_19 = 19U, -
    -  kPLU_LUT_20 = 20U, -
    -  kPLU_LUT_21 = 21U, -
    -  kPLU_LUT_22 = 22U, -
    -  kPLU_LUT_23 = 23U, -
    -  kPLU_LUT_24 = 24U, -
    -  kPLU_LUT_25 = 25U +  kPINT_PinIntEnableHighLevel = PINT_PIN_HIGH_LEVEL
    }
     Index of LUT. More...
     
    enum  plu_lut_in_index_t {
    -  kPLU_LUT_IN_0 = 0U, +
     PINT Pin Interrupt enable type. More...
     
    enum  pint_pin_int_t { kPINT_PinInt0 = 0U + }
     PINT Pin Interrupt type. More...
     
    enum  pint_pmatch_input_src_t {
    +  kPINT_PatternMatchInp0Src = 0U,
    -  kPLU_LUT_IN_1 = 1U, +  kPINT_PatternMatchInp1Src = 1U,
    -  kPLU_LUT_IN_2 = 2U, +  kPINT_PatternMatchInp2Src = 2U,
    -  kPLU_LUT_IN_3 = 3U, +  kPINT_PatternMatchInp3Src = 3U,
    -  kPLU_LUT_IN_4 = 4U +  kPINT_PatternMatchInp4Src = 4U, +
    +  kPINT_PatternMatchInp5Src = 5U, +
    +  kPINT_PatternMatchInp6Src = 6U, +
    +  kPINT_PatternMatchInp7Src = 7U, +
    +  kPINT_SecPatternMatchInp0Src = 0U, +
    +  kPINT_SecPatternMatchInp1Src = 1U
    }
     Inputs of LUT. More...
     
    enum  plu_lut_input_source_t {
    -  kPLU_LUT_IN_SRC_PLU_IN_0 = 0U, +
     PINT Pattern Match bit slice input source type. More...
     
    enum  pint_pmatch_bslice_t { kPINT_PatternMatchBSlice0 = 0U + }
     PINT Pattern Match bit slice type. More...
     
    enum  pint_pmatch_bslice_cfg_t {
    +  kPINT_PatternMatchAlways = 0U,
    -  kPLU_LUT_IN_SRC_PLU_IN_1 = 1U, +  kPINT_PatternMatchStickyRise = 1U,
    -  kPLU_LUT_IN_SRC_PLU_IN_2 = 2U, +  kPINT_PatternMatchStickyFall = 2U,
    -  kPLU_LUT_IN_SRC_PLU_IN_3 = 3U, +  kPINT_PatternMatchStickyBothEdges = 3U,
    -  kPLU_LUT_IN_SRC_PLU_IN_4 = 4U, +  kPINT_PatternMatchHigh = 4U,
    -  kPLU_LUT_IN_SRC_PLU_IN_5 = 5U, +  kPINT_PatternMatchLow = 5U,
    -  kPLU_LUT_IN_SRC_LUT_OUT_0 = 6U, +  kPINT_PatternMatchNever = 6U,
    -  kPLU_LUT_IN_SRC_LUT_OUT_1 = 7U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_2 = 8U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_3 = 9U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_4 = 10U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_5 = 11U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_6 = 12U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_7 = 13U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_8 = 14U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_9 = 15U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_10 = 16U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_11 = 17U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_12 = 18U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_13 = 19U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_14 = 20U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_15 = 21U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_16 = 22U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_17 = 23U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_18 = 24U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_19 = 25U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_20 = 26U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_21 = 27U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_22 = 28U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_23 = 29U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_24 = 30U, -
    -  kPLU_LUT_IN_SRC_LUT_OUT_25 = 31U, -
    -  kPLU_LUT_IN_SRC_FLIPFLOP_0 = 32U, -
    -  kPLU_LUT_IN_SRC_FLIPFLOP_1 = 33U, -
    -  kPLU_LUT_IN_SRC_FLIPFLOP_2 = 34U, -
    -  kPLU_LUT_IN_SRC_FLIPFLOP_3 = 35U +  kPINT_PatternMatchBothEdges = 7U
    }
     Available sources of LUT input. More...
     
    enum  plu_output_index_t {
    -  kPLU_OUTPUT_0 = 0U, -
    -  kPLU_OUTPUT_1 = 1U, -
    -  kPLU_OUTPUT_2 = 2U, -
    -  kPLU_OUTPUT_3 = 3U, -
    -  kPLU_OUTPUT_4 = 4U, -
    -  kPLU_OUTPUT_5 = 5U, -
    -  kPLU_OUTPUT_6 = 6U, -
    -  kPLU_OUTPUT_7 = 7U -
    - }
     PLU output multiplexer registers. More...
     
    enum  plu_output_source_t {
    -  kPLU_OUT_SRC_LUT_0 = 0U, -
    -  kPLU_OUT_SRC_LUT_1 = 1U, -
    -  kPLU_OUT_SRC_LUT_2 = 2U, -
    -  kPLU_OUT_SRC_LUT_3 = 3U, -
    -  kPLU_OUT_SRC_LUT_4 = 4U, -
    -  kPLU_OUT_SRC_LUT_5 = 5U, -
    -  kPLU_OUT_SRC_LUT_6 = 6U, -
    -  kPLU_OUT_SRC_LUT_7 = 7U, -
    -  kPLU_OUT_SRC_LUT_8 = 8U, -
    -  kPLU_OUT_SRC_LUT_9 = 9U, -
    -  kPLU_OUT_SRC_LUT_10 = 10U, -
    -  kPLU_OUT_SRC_LUT_11 = 11U, -
    -  kPLU_OUT_SRC_LUT_12 = 12U, -
    -  kPLU_OUT_SRC_LUT_13 = 13U, -
    -  kPLU_OUT_SRC_LUT_14 = 14U, -
    -  kPLU_OUT_SRC_LUT_15 = 15U, -
    -  kPLU_OUT_SRC_LUT_16 = 16U, -
    -  kPLU_OUT_SRC_LUT_17 = 17U, -
    -  kPLU_OUT_SRC_LUT_18 = 18U, -
    -  kPLU_OUT_SRC_LUT_19 = 19U, -
    -  kPLU_OUT_SRC_LUT_20 = 20U, -
    -  kPLU_OUT_SRC_LUT_21 = 21U, -
    -  kPLU_OUT_SRC_LUT_22 = 22U, -
    -  kPLU_OUT_SRC_LUT_23 = 23U, -
    -  kPLU_OUT_SRC_LUT_24 = 24U, -
    -  kPLU_OUT_SRC_LUT_25 = 25U, -
    -  kPLU_OUT_SRC_FLIPFLOP_0 = 26U, -
    -  kPLU_OUT_SRC_FLIPFLOP_1 = 27U, -
    -  kPLU_OUT_SRC_FLIPFLOP_2 = 28U, -
    -  kPLU_OUT_SRC_FLIPFLOP_3 = 29U -
    - }
     Available sources of PLU output. More...
     
     PINT Pattern Match configuration type. More...
     
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

    +Functions

    void PINT_Init (PINT_Type *base)
     Initialize PINT peripheral. More...
     
    void PINT_PinInterruptConfig (PINT_Type *base, pint_pin_int_t intr, pint_pin_enable_t enable, pint_cb_t callback)
     Configure PINT peripheral pin interrupt. More...
     
    void PINT_PinInterruptGetConfig (PINT_Type *base, pint_pin_int_t pintr, pint_pin_enable_t *enable, pint_cb_t *callback)
     Get PINT peripheral pin interrupt configuration. More...
     
    void PINT_PinInterruptClrStatus (PINT_Type *base, pint_pin_int_t pintr)
     Clear Selected pin interrupt status only when the pin was triggered by edge-sensitive. More...
     
    static uint32_t PINT_PinInterruptGetStatus (PINT_Type *base, pint_pin_int_t pintr)
     Get Selected pin interrupt status. More...
     
    void PINT_PinInterruptClrStatusAll (PINT_Type *base)
     Clear all pin interrupts status only when pins were triggered by edge-sensitive. More...
     
    static uint32_t PINT_PinInterruptGetStatusAll (PINT_Type *base)
     Get all pin interrupts status. More...
     
    static void PINT_PinInterruptClrFallFlag (PINT_Type *base, pint_pin_int_t pintr)
     Clear Selected pin interrupt fall flag. More...
     
    static uint32_t PINT_PinInterruptGetFallFlag (PINT_Type *base, pint_pin_int_t pintr)
     Get selected pin interrupt fall flag. More...
     
    static void PINT_PinInterruptClrFallFlagAll (PINT_Type *base)
     Clear all pin interrupt fall flags. More...
     
    static uint32_t PINT_PinInterruptGetFallFlagAll (PINT_Type *base)
     Get all pin interrupt fall flags. More...
     
    static void PINT_PinInterruptClrRiseFlag (PINT_Type *base, pint_pin_int_t pintr)
     Clear Selected pin interrupt rise flag. More...
     
    static uint32_t PINT_PinInterruptGetRiseFlag (PINT_Type *base, pint_pin_int_t pintr)
     Get selected pin interrupt rise flag. More...
     
    static void PINT_PinInterruptClrRiseFlagAll (PINT_Type *base)
     Clear all pin interrupt rise flags. More...
     
    static uint32_t PINT_PinInterruptGetRiseFlagAll (PINT_Type *base)
     Get all pin interrupt rise flags. More...
     
    void PINT_PatternMatchConfig (PINT_Type *base, pint_pmatch_bslice_t bslice, pint_pmatch_cfg_t *cfg)
     Configure PINT pattern match. More...
     
    void PINT_PatternMatchGetConfig (PINT_Type *base, pint_pmatch_bslice_t bslice, pint_pmatch_cfg_t *cfg)
     Get PINT pattern match configuration. More...
     
    static uint32_t PINT_PatternMatchGetStatus (PINT_Type *base, pint_pmatch_bslice_t bslice)
     Get pattern match bit slice status. More...
     
    static uint32_t PINT_PatternMatchGetStatusAll (PINT_Type *base)
     Get status of all pattern match bit slices. More...
     
    uint32_t PINT_PatternMatchResetDetectLogic (PINT_Type *base)
     Reset pattern match detection logic. More...
     
    static void PINT_PatternMatchEnable (PINT_Type *base)
     Enable pattern match function. More...
     
    static void PINT_PatternMatchDisable (PINT_Type *base)
     Disable pattern match function. More...
     
    static void PINT_PatternMatchEnableRXEV (PINT_Type *base)
     Enable RXEV output. More...
     
    static void PINT_PatternMatchDisableRXEV (PINT_Type *base)
     Disable RXEV output. More...
     
    void PINT_EnableCallback (PINT_Type *base)
     Enable callback. More...
     
    void PINT_DisableCallback (PINT_Type *base)
     Disable callback. More...
     
    void PINT_Deinit (PINT_Type *base)
     Deinitialize PINT peripheral. More...
     
    void PINT_EnableCallbackByIndex (PINT_Type *base, pint_pin_int_t pintIdx)
     enable callback by pin index. More...
     
    void PINT_DisableCallbackByIndex (PINT_Type *base, pint_pin_int_t pintIdx)
     disable callback by pin index. More...
     
    - - - -

    Driver version

    -#define FSL_PLU_DRIVER_VERSION   (MAKE_VERSION(2, 2, 1))
     Version 2.2.1.
     
    - - - - - - - -

    -Initialization and deinitialization

    void PLU_Init (PLU_Type *base)
     Enable the PLU clock and reset the module. More...
     
    void PLU_Deinit (PLU_Type *base)
     Gate the PLU clock. More...
     
    - - - - - - - - - - -

    -Set input/output source and Truth Table

    static void PLU_SetLutInputSource (PLU_Type *base, plu_lut_index_t lutIndex, plu_lut_in_index_t lutInIndex, plu_lut_input_source_t inputSrc)
     Set Input source of LUT. More...
     
    static void PLU_SetOutputSource (PLU_Type *base, plu_output_index_t outputIndex, plu_output_source_t outputSrc)
     Set Output source of PLU. More...
     
    static void PLU_SetLutTruthTable (PLU_Type *base, plu_lut_index_t lutIndex, uint32_t truthTable)
     Set Truth Table of LUT. More...
     
    - - - - + +

    -Read current Output State

    static uint32_t PLU_ReadOutputState (PLU_Type *base)
     Read the current state of the 8 designated PLU Outputs. More...
     
    +#define FSL_PINT_DRIVER_VERSION   (MAKE_VERSION(2, 1, 11))
     
    +

    Typedef Documentation

    + +
    +
    + + + + +
    typedef void(* pint_cb_t)(pint_pin_int_t pintr, uint32_t pmatch_status)
    +
    + +
    +

    Enumeration Type Documentation

    - +
    - - - - - - - - - - - - - - - - - - - - - - - - - -
    Enumerator
    kPLU_LUT_0  -

    5-input Look-up Table 0

    +
    Enumerator
    kPINT_PinIntEnableNone  +

    Do not generate Pin Interrupt.

    kPLU_LUT_1  -

    5-input Look-up Table 1

    +
    kPINT_PinIntEnableRiseEdge  +

    Generate Pin Interrupt on rising edge.

    kPLU_LUT_2  -

    5-input Look-up Table 2

    +
    kPINT_PinIntEnableFallEdge  +

    Generate Pin Interrupt on falling edge.

    kPLU_LUT_3  -

    5-input Look-up Table 3

    +
    kPINT_PinIntEnableBothEdges  +

    Generate Pin Interrupt on both edges.

    kPLU_LUT_4  -

    5-input Look-up Table 4

    +
    kPINT_PinIntEnableLowLevel  +

    Generate Pin Interrupt on low level.

    kPLU_LUT_5  -

    5-input Look-up Table 5

    -
    kPLU_LUT_6  -

    5-input Look-up Table 6

    -
    kPLU_LUT_7  -

    5-input Look-up Table 7

    -
    kPLU_LUT_8  -

    5-input Look-up Table 8

    -
    kPLU_LUT_9  -

    5-input Look-up Table 9

    -
    kPLU_LUT_10  -

    5-input Look-up Table 10

    -
    kPLU_LUT_11  -

    5-input Look-up Table 11

    -
    kPLU_LUT_12  -

    5-input Look-up Table 12

    -
    kPLU_LUT_13  -

    5-input Look-up Table 13

    -
    kPLU_LUT_14  -

    5-input Look-up Table 14

    -
    kPLU_LUT_15  -

    5-input Look-up Table 15

    -
    kPLU_LUT_16  -

    5-input Look-up Table 16

    -
    kPLU_LUT_17  -

    5-input Look-up Table 17

    -
    kPLU_LUT_18  -

    5-input Look-up Table 18

    -
    kPLU_LUT_19  -

    5-input Look-up Table 19

    -
    kPLU_LUT_20  -

    5-input Look-up Table 20

    -
    kPLU_LUT_21  -

    5-input Look-up Table 21

    -
    kPLU_LUT_22  -

    5-input Look-up Table 22

    -
    kPLU_LUT_23  -

    5-input Look-up Table 23

    -
    kPLU_LUT_24  -

    5-input Look-up Table 24

    -
    kPLU_LUT_25  -

    5-input Look-up Table 25

    +
    kPINT_PinIntEnableHighLevel  +

    Generate Pin Interrupt on high level.

    - +
    -

    5 input present for each LUT.

    - - - - -
    Enumerator
    kPLU_LUT_IN_0  -

    LUT input 0.

    -
    kPLU_LUT_IN_1  -

    LUT input 1.

    -
    kPLU_LUT_IN_2  -

    LUT input 2.

    -
    kPLU_LUT_IN_3  -

    LUT input 3.

    -
    kPLU_LUT_IN_4  -

    LUT input 4.

    +
    Enumerator
    kPINT_PinInt0  +

    Pin Interrupt 0.

    - +
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Enumerator
    kPLU_LUT_IN_SRC_PLU_IN_0  -

    Select PLU input 0 to be connected to LUTn Input x.

    +
    Enumerator
    kPINT_PatternMatchInp0Src  +

    Input source 0.

    kPLU_LUT_IN_SRC_PLU_IN_1  -

    Select PLU input 1 to be connected to LUTn Input x.

    +
    kPINT_PatternMatchInp1Src  +

    Input source 1.

    kPLU_LUT_IN_SRC_PLU_IN_2  -

    Select PLU input 2 to be connected to LUTn Input x.

    +
    kPINT_PatternMatchInp2Src  +

    Input source 2.

    kPLU_LUT_IN_SRC_PLU_IN_3  -

    Select PLU input 3 to be connected to LUTn Input x.

    +
    kPINT_PatternMatchInp3Src  +

    Input source 3.

    kPLU_LUT_IN_SRC_PLU_IN_4  -

    Select PLU input 4 to be connected to LUTn Input x.

    +
    kPINT_PatternMatchInp4Src  +

    Input source 4.

    kPLU_LUT_IN_SRC_PLU_IN_5  -

    Select PLU input 5 to be connected to LUTn Input x.

    +
    kPINT_PatternMatchInp5Src  +

    Input source 5.

    kPLU_LUT_IN_SRC_LUT_OUT_0  -

    Select LUT output 0 to be connected to LUTn Input x.

    +
    kPINT_PatternMatchInp6Src  +

    Input source 6.

    kPLU_LUT_IN_SRC_LUT_OUT_1  -

    Select LUT output 1 to be connected to LUTn Input x.

    +
    kPINT_PatternMatchInp7Src  +

    Input source 7.

    kPLU_LUT_IN_SRC_LUT_OUT_2  -

    Select LUT output 2 to be connected to LUTn Input x.

    +
    kPINT_SecPatternMatchInp0Src  +

    Input source 0.

    kPLU_LUT_IN_SRC_LUT_OUT_3  -

    Select LUT output 3 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_4  -

    Select LUT output 4 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_5  -

    Select LUT output 5 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_6  -

    Select LUT output 6 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_7  -

    Select LUT output 7 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_8  -

    Select LUT output 8 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_9  -

    Select LUT output 9 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_10  -

    Select LUT output 10 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_11  -

    Select LUT output 11 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_12  -

    Select LUT output 12 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_13  -

    Select LUT output 13 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_14  -

    Select LUT output 14 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_15  -

    Select LUT output 15 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_16  -

    Select LUT output 16 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_17  -

    Select LUT output 17 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_18  -

    Select LUT output 18 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_19  -

    Select LUT output 19 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_20  -

    Select LUT output 20 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_21  -

    Select LUT output 21 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_22  -

    Select LUT output 22 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_23  -

    Select LUT output 23 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_24  -

    Select LUT output 24 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_LUT_OUT_25  -

    Select LUT output 25 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_FLIPFLOP_0  -

    Select Flip-Flops state 0 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_FLIPFLOP_1  -

    Select Flip-Flops state 1 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_FLIPFLOP_2  -

    Select Flip-Flops state 2 to be connected to LUTn Input x.

    -
    kPLU_LUT_IN_SRC_FLIPFLOP_3  -

    Select Flip-Flops state 3 to be connected to LUTn Input x.

    +
    kPINT_SecPatternMatchInp1Src  +

    Input source 1.

    - +
    - - - - - - - -
    Enumerator
    kPLU_OUTPUT_0  -

    PLU OUTPUT 0.

    -
    kPLU_OUTPUT_1  -

    PLU OUTPUT 1.

    -
    kPLU_OUTPUT_2  -

    PLU OUTPUT 2.

    -
    kPLU_OUTPUT_3  -

    PLU OUTPUT 3.

    -
    kPLU_OUTPUT_4  -

    PLU OUTPUT 4.

    -
    kPLU_OUTPUT_5  -

    PLU OUTPUT 5.

    -
    kPLU_OUTPUT_6  -

    PLU OUTPUT 6.

    -
    kPLU_OUTPUT_7  -

    PLU OUTPUT 7.

    +
    Enumerator
    kPINT_PatternMatchBSlice0  +

    Bit slice 0.

    - +
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Enumerator
    kPLU_OUT_SRC_LUT_0  -

    Select LUT0 output to be connected to PLU output.

    +
    Enumerator
    kPINT_PatternMatchAlways  +

    Always Contributes to product term match.

    kPLU_OUT_SRC_LUT_1  -

    Select LUT1 output to be connected to PLU output.

    +
    kPINT_PatternMatchStickyRise  +

    Sticky Rising edge.

    kPLU_OUT_SRC_LUT_2  -

    Select LUT2 output to be connected to PLU output.

    +
    kPINT_PatternMatchStickyFall  +

    Sticky Falling edge.

    kPLU_OUT_SRC_LUT_3  -

    Select LUT3 output to be connected to PLU output.

    +
    kPINT_PatternMatchStickyBothEdges  +

    Sticky Rising or Falling edge.

    kPLU_OUT_SRC_LUT_4  -

    Select LUT4 output to be connected to PLU output.

    +
    kPINT_PatternMatchHigh  +

    High level.

    kPLU_OUT_SRC_LUT_5  -

    Select LUT5 output to be connected to PLU output.

    +
    kPINT_PatternMatchLow  +

    Low level.

    kPLU_OUT_SRC_LUT_6  -

    Select LUT6 output to be connected to PLU output.

    +
    kPINT_PatternMatchNever  +

    Never contributes to product term match.

    kPLU_OUT_SRC_LUT_7  -

    Select LUT7 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_LUT_8  -

    Select LUT8 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_LUT_9  -

    Select LUT9 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_LUT_10  -

    Select LUT10 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_LUT_11  -

    Select LUT11 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_LUT_12  -

    Select LUT12 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_LUT_13  -

    Select LUT13 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_LUT_14  -

    Select LUT14 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_LUT_15  -

    Select LUT15 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_LUT_16  -

    Select LUT16 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_LUT_17  -

    Select LUT17 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_LUT_18  -

    Select LUT18 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_LUT_19  -

    Select LUT19 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_LUT_20  -

    Select LUT20 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_LUT_21  -

    Select LUT21 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_LUT_22  -

    Select LUT22 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_LUT_23  -

    Select LUT23 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_LUT_24  -

    Select LUT24 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_LUT_25  -

    Select LUT25 output to be connected to PLU output.

    -
    kPLU_OUT_SRC_FLIPFLOP_0  -

    Select Flip-Flops state(0) to be connected to PLU output.

    -
    kPLU_OUT_SRC_FLIPFLOP_1  -

    Select Flip-Flops state(1) to be connected to PLU output.

    -
    kPLU_OUT_SRC_FLIPFLOP_2  -

    Select Flip-Flops state(2) to be connected to PLU output.

    -
    kPLU_OUT_SRC_FLIPFLOP_3  -

    Select Flip-Flops state(3) to be connected to PLU output.

    +
    kPINT_PatternMatchBothEdges  +

    Either rising or falling edge.

    Function Documentation

    - +
    - + - +
    void PLU_Init void PINT_Init (PLU_Type * PINT_Type *  base)
    -
    Note
    This API should be called at the beginning of the application using the PLU driver.
    +

    This function initializes the PINT peripheral and enables the clock.

    Parameters
    - + +
    basePLU peripheral base address
    baseBase address of the PINT peripheral.
    +
    +
    +
    Return values
    + +
    None.
    - +
    - + - - + + + + + + + + + + + + + + + + + + + + + + + +
    void PLU_Deinit void PINT_PinInterruptConfig (PLU_Type * base)PINT_Type * base,
    pint_pin_int_t intr,
    pint_pin_enable_t enable,
    pint_cb_t callback 
    )
    +

    This function configures a given pin interrupt.

    Parameters
    - + + + + +
    basePLU peripheral base address
    baseBase address of the PINT peripheral.
    intrPin interrupt.
    enableSelects detection logic.
    callbackCallback.
    +
    +
    +
    Return values
    + +
    None.
    - + +
    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    void PINT_PinInterruptGetConfig (PINT_Type * base,
    pint_pin_int_t pintr,
    pint_pin_enable_tenable,
    pint_cb_tcallback 
    )
    +
    +

    This function returns the configuration of a given pin interrupt.

    +
    Parameters
    + + + + + +
    baseBase address of the PINT peripheral.
    pintrPin interrupt.
    enablePointer to store the detection logic.
    callbackCallback.
    +
    +
    +
    Return values
    + + +
    None.
    +
    +
    + +
    +
    + +
    +
    + + + + + + + + + + + + + + + + + + +
    void PINT_PinInterruptClrStatus (PINT_Type * base,
    pint_pin_int_t pintr 
    )
    +
    +

    This function clears the selected pin interrupt status.

    +
    Parameters
    + + + +
    baseBase address of the PINT peripheral.
    pintrPin interrupt.
    +
    +
    +
    Return values
    + + +
    None.
    +
    +
    + +
    +
    +
    @@ -839,28 +649,16 @@ Read current Output State @@ -102,99 +102,943 @@ $(document).ready(function(){initNavTree('a00090.html','');}); Files | Functions
    -
    SYSCON: System Configuration
    +
    SWM: Switch Matrix Module

    Overview

    -

    The MCUXpresso SDK provides a peripheral clock and power driver for the SYSCON module of MCUXpresso SDK devices. For furter details, see the corresponding chapter.

    +

    The MCUXpresso SDK provides a peripheral driver for the Switch Matrix Module (SWM) module of MCUXpresso SDK devices.

    +

    +SWM: Switch Matrix Module

    +

    +SWM Operations

    +

    The function SWM_SetMovablePinSelect() will selects a movable pin designated by its GPIO port and bit numbers to a function.

    +

    The function SWM_SetFixedMovablePinSelect() will selects a fixed movable pin designated by its GPIO port and bit numbers to a function.

    +

    The function SWM_SetFixedPinSelect() will enables a fixed-pin function in PINENABLE0 or PINENABLE1.

    - + - + - - - - - - - - - - - - - - + + @@ -874,69 +672,53 @@ Read current Output State
    static void PLU_SetLutInputSource static uint32_t PINT_PinInterruptGetStatus (PLU_Type * PINT_Type *  base,
    plu_lut_index_t lutIndex,
    plu_lut_in_index_t lutInIndex,
    plu_lut_input_source_t inputSrc pint_pin_int_t pintr 
    -

    Note: An external clock must be applied to the PLU_CLKIN input when using FFs. For each LUT, the slot associated with the output from LUTn itself is tied low.

    +

    This function returns the selected pin interrupt status.

    Parameters
    - - - - + + +
    basePLU peripheral base address.
    lutIndexLUT index (see plu_lut_index_t typedef enumeration).
    lutInIndexLUT input index (see plu_lut_in_index_t typedef enumeration).
    inputSrcLUT input source (see plu_lut_input_source_t typedef enumeration).
    baseBase address of the PINT peripheral.
    pintrPin interrupt.
    +
    +
    +
    Return values
    + +
    status= 0 No pin interrupt request. = 1 Selected Pin interrupt request active.
    - +
    - - - - - -
    - + - - - - - + + - - - - - - - - - - - - -
    static void PLU_SetOutputSource void PINT_PinInterruptClrStatusAll (PLU_Type * base,
    PINT_Type * base) plu_output_index_t outputIndex,
    plu_output_source_t outputSrc 
    )
    -
    -inlinestatic
    -

    Note: An external clock must be applied to the PLU_CLKIN input when using FFs.

    +

    This function clears the status of all pin interrupts.

    Parameters
    - - - + +
    basePLU peripheral base address.
    outputIndexPLU output index (see plu_output_index_t typedef enumeration).
    outputSrcPLU output source (see plu_output_source_t typedef enumeration).
    baseBase address of the PINT peripheral.
    +
    +
    +
    Return values
    + +
    None.
    - +
    @@ -944,57 +726,9 @@ Read current Output State - - -
    - + - - - - - - - - - - - - - - - - - - - - -
    static void PLU_SetLutTruthTable static uint32_t PINT_PinInterruptGetStatusAll (PLU_Type * base,
    plu_lut_index_t lutIndex,
    uint32_t truthTable 
    )
    -
    -inlinestatic
    -
    -
    Parameters
    - - - - -
    basePLU peripheral base address.
    lutIndexLUT index (see plu_lut_index_t typedef enumeration).
    truthTableTruth Table value.
    -
    -
    - -
    -
    - -
    -
    - - - @@ -99,1031 +99,768 @@ $(document).ready(function(){initNavTree('a00089.html','');});
    -
    SWM: Switch Matrix Module
    +
    PLU: Programmable Logic Unit

    Overview

    -

    The MCUXpresso SDK provides a peripheral driver for the Switch Matrix Module (SWM) module of MCUXpresso SDK devices.

    -

    -SWM: Switch Matrix Module

    -

    -SWM Operations

    -

    The function SWM_SetMovablePinSelect() will selects a movable pin designated by its GPIO port and bit numbers to a function.

    -

    The function SWM_SetFixedMovablePinSelect() will selects a fixed movable pin designated by its GPIO port and bit numbers to a function.

    -

    The function SWM_SetFixedPinSelect() will enables a fixed-pin function in PINENABLE0 or PINENABLE1.

    +

    The MCUXpresso SDK provides a peripheral driver for the Programmable Logic Unit module of MCUXpresso SDK devices.

    +

    +Function groups

    +

    The PLU driver supports the creation of small combinatorial and/or sequential logic networks including simple state machines.

    +

    +Initialization and de-initialization

    +

    The function PLU_Init() enables the PLU clock and reset the module.

    +

    The function PIT_Deinit() gates the PLU clock.

    +

    +Set input/output source and Truth Table

    +

    The function PLU_SetLutInputSource() sets the input source for the LUT element.

    +

    The function PLU_SetOutputSource() sets output source of the PLU module.

    +

    The function PLU_SetLutTruthTable() sets the truth table for the LUT element.

    +

    +Read current Output State

    +

    The function PLU_ReadOutputState() reads the current state of the 8 designated PLU Outputs.

    +

    +Wake-up/Interrupt Control

    +

    The function PLU_EnableWakeIntRequest() enables the wake-up/interrupt request on a PLU output pin with a optional configuration to eliminate the glitches. The function PLU_GetDefaultWakeIntConfig() gets the default configuration which can be used in a case with a given PLU_CLKIN.

    +

    The function PLU_LatchInterrupt() latches the interrupt and it can be cleared by function PLU_ClearLatchedInterrupt().

    +

    +Typical use case

    +

    +PLU combination example

    +

    Create a simple combinatorial logic network to control the LED. Refer to the driver examples codes located at <SDK_ROOT>/boards/<BOARD>/driver_examples/plu/combination

    - - - - - + @@ -1005,14 +739,882 @@ Read current Output State
    static uint32_t PLU_ReadOutputState (PLU_Type * PINT_Type *  base)
    -

    Note: The PLU bus clock must be re-enabled prior to reading the Outpus Register if PLU bus clock is shut-off.

    +

    This function returns the status of all pin interrupts.

    Parameters
    - + +
    basePLU peripheral base address.
    baseBase address of the PINT peripheral.
    +
    +
    +
    Return values
    + + +
    statusEach bit position indicates the status of corresponding pin interrupt. = 0 No pin interrupt request. = 1 Pin interrupt request active.
    +
    +
    + +
    + + +
    +
    + + + + + +
    + + + + + + + + + + + + + + + + + + +
    static void PINT_PinInterruptClrFallFlag (PINT_Type * base,
    pint_pin_int_t pintr 
    )
    +
    +inlinestatic
    +
    +

    This function clears the selected pin interrupt fall flag.

    +
    Parameters
    + + + +
    baseBase address of the PINT peripheral.
    pintrPin interrupt.
    +
    +
    +
    Return values
    + + +
    None.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + + + + + + + + + + + +
    static uint32_t PINT_PinInterruptGetFallFlag (PINT_Type * base,
    pint_pin_int_t pintr 
    )
    +
    +inlinestatic
    +
    +

    This function returns the selected pin interrupt fall flag.

    +
    Parameters
    + + + +
    baseBase address of the PINT peripheral.
    pintrPin interrupt.
    +
    +
    +
    Return values
    + + +
    flag= 0 Falling edge has not been detected. = 1 Falling edge has been detected.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static void PINT_PinInterruptClrFallFlagAll (PINT_Type * base)
    +
    +inlinestatic
    +
    +

    This function clears the fall flag for all pin interrupts.

    +
    Parameters
    + + +
    baseBase address of the PINT peripheral.
    +
    +
    +
    Return values
    + + +
    None.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static uint32_t PINT_PinInterruptGetFallFlagAll (PINT_Type * base)
    +
    +inlinestatic
    +
    +

    This function returns the fall flag of all pin interrupts.

    +
    Parameters
    + + +
    baseBase address of the PINT peripheral.
    +
    +
    +
    Return values
    + + +
    flagsEach bit position indicates the falling edge detection of the corresponding pin interrupt. 0 Falling edge has not been detected. = 1 Falling edge has been detected.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + + + + + + + + + + + +
    static void PINT_PinInterruptClrRiseFlag (PINT_Type * base,
    pint_pin_int_t pintr 
    )
    +
    +inlinestatic
    +
    +

    This function clears the selected pin interrupt rise flag.

    +
    Parameters
    + + + +
    baseBase address of the PINT peripheral.
    pintrPin interrupt.
    +
    +
    +
    Return values
    + + +
    None.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + + + + + + + + + + + +
    static uint32_t PINT_PinInterruptGetRiseFlag (PINT_Type * base,
    pint_pin_int_t pintr 
    )
    +
    +inlinestatic
    +
    +

    This function returns the selected pin interrupt rise flag.

    +
    Parameters
    + + + +
    baseBase address of the PINT peripheral.
    pintrPin interrupt.
    +
    +
    +
    Return values
    + + +
    flag= 0 Rising edge has not been detected. = 1 Rising edge has been detected.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static void PINT_PinInterruptClrRiseFlagAll (PINT_Type * base)
    +
    +inlinestatic
    +
    +

    This function clears the rise flag for all pin interrupts.

    +
    Parameters
    + + +
    baseBase address of the PINT peripheral.
    +
    +
    +
    Return values
    + + +
    None.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static uint32_t PINT_PinInterruptGetRiseFlagAll (PINT_Type * base)
    +
    +inlinestatic
    +
    +

    This function returns the rise flag of all pin interrupts.

    +
    Parameters
    + + +
    baseBase address of the PINT peripheral.
    +
    +
    +
    Return values
    + + +
    flagsEach bit position indicates the rising edge detection of the corresponding pin interrupt. 0 Rising edge has not been detected. = 1 Rising edge has been detected.
    +
    +
    + +
    +
    + +
    +
    + + + + + + + + + + + + + + + + + + + + + + + + +
    void PINT_PatternMatchConfig (PINT_Type * base,
    pint_pmatch_bslice_t bslice,
    pint_pmatch_cfg_t * cfg 
    )
    +
    +

    This function configures a given pattern match bit slice.

    +
    Parameters
    + + + + +
    baseBase address of the PINT peripheral.
    bslicePattern match bit slice number.
    cfgPointer to bit slice configuration.
    +
    +
    +
    Return values
    + + +
    None.
    +
    +
    + +
    +
    + +
    +
    + + + + + + + + + + + + + + + + + + + + + + + + +
    void PINT_PatternMatchGetConfig (PINT_Type * base,
    pint_pmatch_bslice_t bslice,
    pint_pmatch_cfg_t * cfg 
    )
    +
    +

    This function returns the configuration of a given pattern match bit slice.

    +
    Parameters
    + + + + +
    baseBase address of the PINT peripheral.
    bslicePattern match bit slice number.
    cfgPointer to bit slice configuration.
    +
    +
    +
    Return values
    + + +
    None.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + + + + + + + + + + + +
    static uint32_t PINT_PatternMatchGetStatus (PINT_Type * base,
    pint_pmatch_bslice_t bslice 
    )
    +
    +inlinestatic
    +
    +

    This function returns the status of selected bit slice.

    +
    Parameters
    + + + +
    baseBase address of the PINT peripheral.
    bslicePattern match bit slice number.
    +
    +
    +
    Return values
    + + +
    status= 0 Match has not been detected. = 1 Match has been detected.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static uint32_t PINT_PatternMatchGetStatusAll (PINT_Type * base)
    +
    +inlinestatic
    +
    +

    This function returns the status of all bit slices.

    +
    Parameters
    + + +
    baseBase address of the PINT peripheral.
    +
    +
    +
    Return values
    + + +
    statusEach bit position indicates the match status of corresponding bit slice. = 0 Match has not been detected. = 1 Match has been detected.
    +
    +
    + +
    +
    + +
    +
    + + + + + + + + +
    uint32_t PINT_PatternMatchResetDetectLogic (PINT_Type * base)
    +
    +

    This function resets the pattern match detection logic if any of the product term is matching.

    +
    Parameters
    + + +
    baseBase address of the PINT peripheral.
    +
    +
    +
    Return values
    + + +
    pmstatusEach bit position indicates the match status of corresponding bit slice. = 0 Match was detected. = 1 Match was not detected.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static void PINT_PatternMatchEnable (PINT_Type * base)
    +
    +inlinestatic
    +
    +

    This function enables the pattern match function.

    +
    Parameters
    + + +
    baseBase address of the PINT peripheral.
    +
    +
    +
    Return values
    + + +
    None.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static void PINT_PatternMatchDisable (PINT_Type * base)
    +
    +inlinestatic
    +
    +

    This function disables the pattern match function.

    +
    Parameters
    + + +
    baseBase address of the PINT peripheral.
    +
    +
    +
    Return values
    + + +
    None.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static void PINT_PatternMatchEnableRXEV (PINT_Type * base)
    +
    +inlinestatic
    +
    +

    This function enables the pattern match RXEV output.

    +
    Parameters
    + + +
    baseBase address of the PINT peripheral.
    +
    +
    +
    Return values
    + + +
    None.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static void PINT_PatternMatchDisableRXEV (PINT_Type * base)
    +
    +inlinestatic
    +
    +

    This function disables the pattern match RXEV output.

    +
    Parameters
    + + +
    baseBase address of the PINT peripheral.
    +
    +
    +
    Return values
    + + +
    None.
    +
    +
    + +
    +
    + +
    +
    + + + + + + + + +
    void PINT_EnableCallback (PINT_Type * base)
    +
    +

    This function enables the interrupt for the selected PINT peripheral. Although the pin(s) are monitored as soon as they are enabled, the callback function is not enabled until this function is called.

    +
    Parameters
    + + +
    baseBase address of the PINT peripheral.
    +
    +
    +
    Return values
    + + +
    None.
    +
    +
    + +
    +
    + +
    +
    + + + + + + + + +
    void PINT_DisableCallback (PINT_Type * base)
    +
    +

    This function disables the interrupt for the selected PINT peripheral. Although the pins are still being monitored but the callback function is not called.

    +
    Parameters
    + + +
    baseBase address of the peripheral.
    +
    +
    +
    Return values
    + + +
    None.
    +
    +
    + +
    +
    + +
    +
    + + + + + + + + +
    void PINT_Deinit (PINT_Type * base)
    +
    +

    This function disables the PINT clock.

    +
    Parameters
    + + +
    baseBase address of the PINT peripheral.
    +
    +
    +
    Return values
    + + +
    None.
    +
    +
    + +
    +
    + +
    +
    + + + + + + + + + + + + + + + + + + +
    void PINT_EnableCallbackByIndex (PINT_Type * base,
    pint_pin_int_t pintIdx 
    )
    +
    +

    This function enables callback by pin index instead of enabling all pins.

    +
    Parameters
    + + + +
    baseBase address of the peripheral.
    pintIdxpin index.
    +
    +
    +
    Return values
    + + +
    None.
    +
    +
    + +
    +
    + +
    +
    + + + + + + + + + + + + + + + + + + +
    void PINT_DisableCallbackByIndex (PINT_Type * base,
    pint_pin_int_t pintIdx 
    )
    +
    +

    This function disables callback by pin index instead of disabling all pins.

    +
    Parameters
    + + + +
    baseBase address of the peripheral.
    pintIdxpin index.
    +
    +
    +
    Return values
    + +
    None.
    -
    Returns
    Current PLU output state value.
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00088.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00088.js index eea5077..0b0bd61 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00088.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00088.js @@ -1,125 +1,69 @@ var a00088 = [ - [ "FSL_PLU_DRIVER_VERSION", "a00088.html#ga399c1d55619ddf6310e44d679b3afaf0", null ], - [ "plu_lut_index_t", "a00088.html#gafe6cff20e6fc0c79d076a7b878f875a1", [ - [ "kPLU_LUT_0", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab2e2c007b30459eb00fedba56e37da25", null ], - [ "kPLU_LUT_1", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0784ad660fcb92a3859ed2c0efa77819", null ], - [ "kPLU_LUT_2", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5e95a4db197106de75dfccf6e5504364", null ], - [ "kPLU_LUT_3", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7f11a678cf930523e06f52b367f2eca5", null ], - [ "kPLU_LUT_4", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab8a42508ab4be0320796b2eba3b57a7d", null ], - [ "kPLU_LUT_5", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a60ff9ca06daaf5b4f945b6e2e89f4b36", null ], - [ "kPLU_LUT_6", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1ae68d03749187a90877d1742a3d61e4a1", null ], - [ "kPLU_LUT_7", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a98359b577ce66e191e23d776d0d0628f", null ], - [ "kPLU_LUT_8", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa5bff988a77fc711fd0c7b84c1fb105c", null ], - [ "kPLU_LUT_9", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a518c8602828f0e104a12623958f587fc", null ], - [ "kPLU_LUT_10", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a9beff09b11808602b2120b42d9ab724e", null ], - [ "kPLU_LUT_11", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a63e2b4a4b53cde3b148d52f26d92e1d3", null ], - [ "kPLU_LUT_12", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a15bd1d988c6677a6a41edc0846851338", null ], - [ "kPLU_LUT_13", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a30bd16853ab1723b58f1747def9e4252", null ], - [ "kPLU_LUT_14", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7ccad915ba0e8df2a152409690994f16", null ], - [ "kPLU_LUT_15", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1af09d4068b7ef636c55a7ab0feb950a36", null ], - [ "kPLU_LUT_16", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1abcda2f4fbf266f73a80298ae2d090e47", null ], - [ "kPLU_LUT_17", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a6529478ac43b74b509ff0c2cd437e495", null ], - [ "kPLU_LUT_18", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7a4daf25836c5010a6b9ccc29f159091", null ], - [ "kPLU_LUT_19", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1ada22615f50454e9ebd246a18a985f016", null ], - [ "kPLU_LUT_20", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0646ea5aa4c85d9c19a43f8211d0ffeb", null ], - [ "kPLU_LUT_21", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a31c3637504bb180309ccf71210ff6fd7", null ], - [ "kPLU_LUT_22", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5f6d7c46d68bd39e999c53ffabfa8372", null ], - [ "kPLU_LUT_23", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a55b6304360a86b29fb90a42da5aaf493", null ], - [ "kPLU_LUT_24", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa6e7a35876133d26d47ce9f76b72d520", null ], - [ "kPLU_LUT_25", "a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1afff7e144292e1eb0a47774207f42a102", null ] + [ "pint_cb_t", "a00088.html#ga262ac9596c0926fbe5f346e0f6aaf9f5", null ], + [ "pint_pin_enable_t", "a00088.html#ga6a17e5c52721f6eb754f54cc72b58c91", [ + [ "kPINT_PinIntEnableNone", "a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91aa48de84b39b48759086f2d7ae0c8091e", null ], + [ "kPINT_PinIntEnableRiseEdge", "a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91ae873d30aec2ca54de88c69f55ea42a07", null ], + [ "kPINT_PinIntEnableFallEdge", "a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a6f54d55ac52e8e697f83637109560e89", null ], + [ "kPINT_PinIntEnableBothEdges", "a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a58ac8e76b409274b7d02ef6e9805dcef", null ], + [ "kPINT_PinIntEnableLowLevel", "a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a3bd6a570eee5c1fddd95ef2411b35a7e", null ], + [ "kPINT_PinIntEnableHighLevel", "a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91affc9b2756b7642ddb60ea78f4bbbd592", null ] ] ], - [ "plu_lut_in_index_t", "a00088.html#gab4037d3c440abe260269986fbfd2087f", [ - [ "kPLU_LUT_IN_0", "a00088.html#ggab4037d3c440abe260269986fbfd2087fa648d32891b76f5ea3e6e5cf8a296c01b", null ], - [ "kPLU_LUT_IN_1", "a00088.html#ggab4037d3c440abe260269986fbfd2087fa62c7580fd67b1ffa1385d370a5d3a4e6", null ], - [ "kPLU_LUT_IN_2", "a00088.html#ggab4037d3c440abe260269986fbfd2087fa8587d933d69f3c3bfe42e848db879a20", null ], - [ "kPLU_LUT_IN_3", "a00088.html#ggab4037d3c440abe260269986fbfd2087fa06891c0dcbd6029f027b2d6398a447df", null ], - [ "kPLU_LUT_IN_4", "a00088.html#ggab4037d3c440abe260269986fbfd2087fa6b62d55b282bfe85f5de3d7d38c8c5fa", null ] + [ "pint_pin_int_t", "a00088.html#ga15da1f70e8d0a05e9d492d01ceca7da8", [ + [ "kPINT_PinInt0", "a00088.html#gga15da1f70e8d0a05e9d492d01ceca7da8a5df52c4fbb437e61b638d35cbc550162", null ] ] ], - [ "plu_lut_input_source_t", "a00088.html#gaec74828294222d85c4341013b842eb05", [ - [ "kPLU_LUT_IN_SRC_PLU_IN_0", "a00088.html#ggaec74828294222d85c4341013b842eb05a8de9649d2a2fb07fe4e675f6401869d2", null ], - [ "kPLU_LUT_IN_SRC_PLU_IN_1", "a00088.html#ggaec74828294222d85c4341013b842eb05a70ba87af436721a2783e56f3117594ce", null ], - [ "kPLU_LUT_IN_SRC_PLU_IN_2", "a00088.html#ggaec74828294222d85c4341013b842eb05a1b56e9b3c1c5e46478eb5673c5bddaed", null ], - [ "kPLU_LUT_IN_SRC_PLU_IN_3", "a00088.html#ggaec74828294222d85c4341013b842eb05a45f568953423b0976250843eade62716", null ], - [ "kPLU_LUT_IN_SRC_PLU_IN_4", "a00088.html#ggaec74828294222d85c4341013b842eb05a6b32cf2706b79fff3a7fc2a1d1159e1b", null ], - [ "kPLU_LUT_IN_SRC_PLU_IN_5", "a00088.html#ggaec74828294222d85c4341013b842eb05ac68302205f055d68cd0833e6ec89cacb", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_0", "a00088.html#ggaec74828294222d85c4341013b842eb05a2e915ea983c34dc1e202a3ea99ece27b", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_1", "a00088.html#ggaec74828294222d85c4341013b842eb05ad79e240fc201ef98da1a4e217968f087", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_2", "a00088.html#ggaec74828294222d85c4341013b842eb05ad0c5942c2fb503a4561cb64b1b8b2ba5", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_3", "a00088.html#ggaec74828294222d85c4341013b842eb05a7d02ada60d9a59c5bba04cd6a2ca146c", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_4", "a00088.html#ggaec74828294222d85c4341013b842eb05a7701a2d64699c85a464acfe6d6f3ec21", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_5", "a00088.html#ggaec74828294222d85c4341013b842eb05abb535644479d02d916b2d2606934e28f", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_6", "a00088.html#ggaec74828294222d85c4341013b842eb05af941e0debe79fb78f1fdcf24068298b3", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_7", "a00088.html#ggaec74828294222d85c4341013b842eb05aa7ca2e6b97d2947ae2c9781eb66d9a38", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_8", "a00088.html#ggaec74828294222d85c4341013b842eb05a279388c181b6df93831630b7b3748fcc", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_9", "a00088.html#ggaec74828294222d85c4341013b842eb05a7cbee20d065a1de8eeb2e842fdca95f2", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_10", "a00088.html#ggaec74828294222d85c4341013b842eb05a5e28e0b496b69e7a57f43e810d1179b9", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_11", "a00088.html#ggaec74828294222d85c4341013b842eb05a19ecdb96a7c9b797b938851a9f22611c", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_12", "a00088.html#ggaec74828294222d85c4341013b842eb05a315a9f58216b1ac361dc5452805f4cb9", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_13", "a00088.html#ggaec74828294222d85c4341013b842eb05a23c7b1eac9733cda25f050d543111d89", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_14", "a00088.html#ggaec74828294222d85c4341013b842eb05a0e731c7f1daf5be7aa344b9f793ef02c", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_15", "a00088.html#ggaec74828294222d85c4341013b842eb05ae8e8fa7853444eb933183fd905b9ce9d", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_16", "a00088.html#ggaec74828294222d85c4341013b842eb05a8ba7dca9c3475364351932fc1a248ffc", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_17", "a00088.html#ggaec74828294222d85c4341013b842eb05a357a302e7e9f65b3c24b32b3348a18b1", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_18", "a00088.html#ggaec74828294222d85c4341013b842eb05a9b1b21d8bd068e9cb385406f5d2ae0f1", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_19", "a00088.html#ggaec74828294222d85c4341013b842eb05af73267254ab79f85cbbd45b698baf790", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_20", "a00088.html#ggaec74828294222d85c4341013b842eb05a2a7360dbf2b1ce3c37fec99ab6ea95c2", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_21", "a00088.html#ggaec74828294222d85c4341013b842eb05aea4c749172f50d02938115e943b1a62f", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_22", "a00088.html#ggaec74828294222d85c4341013b842eb05a2206f6b0a4c280e058652b183d193ad7", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_23", "a00088.html#ggaec74828294222d85c4341013b842eb05a7a4eb0b7daa528b354646ae876fdbc7d", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_24", "a00088.html#ggaec74828294222d85c4341013b842eb05a42744ca56fd5b26094f7f7ebc8142518", null ], - [ "kPLU_LUT_IN_SRC_LUT_OUT_25", "a00088.html#ggaec74828294222d85c4341013b842eb05a0866c5dfcf79f24a034e5487325ad089", null ], - [ "kPLU_LUT_IN_SRC_FLIPFLOP_0", "a00088.html#ggaec74828294222d85c4341013b842eb05ad7617c8c6cd8f49c832f501129279b8e", null ], - [ "kPLU_LUT_IN_SRC_FLIPFLOP_1", "a00088.html#ggaec74828294222d85c4341013b842eb05ac741b79171d673ec73a93a7c5e77cb10", null ], - [ "kPLU_LUT_IN_SRC_FLIPFLOP_2", "a00088.html#ggaec74828294222d85c4341013b842eb05ab46ce34ffd4906d48a7f1829861ff193", null ], - [ "kPLU_LUT_IN_SRC_FLIPFLOP_3", "a00088.html#ggaec74828294222d85c4341013b842eb05a26b5bf6af4b2b774757fc7fa42cdebdf", null ] + [ "pint_pmatch_input_src_t", "a00088.html#ga2e05f827d6a43eade4c22e9d75bc5d76", [ + [ "kPINT_PatternMatchInp0Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a51f543e6f680df9beb5841231b7b3a96", null ], + [ "kPINT_PatternMatchInp1Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6fc5c9dbee30b375feb9ce6c86b224c2", null ], + [ "kPINT_PatternMatchInp2Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a41b057b493937cd87bc212cb2e316f8a", null ], + [ "kPINT_PatternMatchInp3Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6be4432cb9e687d043a2c25c1af48869", null ], + [ "kPINT_PatternMatchInp4Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76aabc93fc28498f5577939f38e0513304c", null ], + [ "kPINT_PatternMatchInp5Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a78ff6e40ab17d81181284c56b62b77aa", null ], + [ "kPINT_PatternMatchInp6Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a9b2f5abc74e498a599f5c005a6cc911f", null ], + [ "kPINT_PatternMatchInp7Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a2c93115b816dc676460cfc1be85778f0", null ], + [ "kPINT_SecPatternMatchInp0Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a1f7f561990336a81a46f22395820907d", null ], + [ "kPINT_SecPatternMatchInp1Src", "a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a8cc05c9aec163085b7a2b4481fdc971d", null ] ] ], - [ "plu_output_index_t", "a00088.html#gab2d50bbe43b91c74ff406a8d4c359f40", [ - [ "kPLU_OUTPUT_0", "a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a40255673c924ddc3a47215102db19c23", null ], - [ "kPLU_OUTPUT_1", "a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab977a1ed5f8dda05f237315bdacbfdce", null ], - [ "kPLU_OUTPUT_2", "a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a7f7830f2f3a70270d451466e7fd81b8e", null ], - [ "kPLU_OUTPUT_3", "a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a05f4915e3590779c64072c567ea8ef77", null ], - [ "kPLU_OUTPUT_4", "a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3b4542ce1acdb7bd4c87e184129a1cd2", null ], - [ "kPLU_OUTPUT_5", "a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3db75ac7e6eb4bd49bc6dfcbdca28bab", null ], - [ "kPLU_OUTPUT_6", "a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a42d0169861f7e6874c6cc1cfd38cfdee", null ], - [ "kPLU_OUTPUT_7", "a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab84844ba156c357257d0be920c79459d", null ] + [ "pint_pmatch_bslice_t", "a00088.html#ga048bc24e58d7df40af2a45efaabeea9b", [ + [ "kPINT_PatternMatchBSlice0", "a00088.html#gga048bc24e58d7df40af2a45efaabeea9ba106986aeb5e12c2b76e070def3b37d95", null ] ] ], - [ "plu_output_source_t", "a00088.html#ga3ebfc228971e1d13f03847952605c2d2", [ - [ "kPLU_OUT_SRC_LUT_0", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2a4ce7c06a3aa62401716670839ee2db9d", null ], - [ "kPLU_OUT_SRC_LUT_1", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2a7ee81d7d75ed79784d00718ee8b52883", null ], - [ "kPLU_OUT_SRC_LUT_2", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2a71f80a9c0585243f033e80575cc9ef71", null ], - [ "kPLU_OUT_SRC_LUT_3", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2a318c6f61334de6ee3dfdfedd85c892aa", null ], - [ "kPLU_OUT_SRC_LUT_4", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2af58d23d9fe1120ca0bd0c9832b9c81df", null ], - [ "kPLU_OUT_SRC_LUT_5", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2a3e4635d41b82d9da053cda8b53b16237", null ], - [ "kPLU_OUT_SRC_LUT_6", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2ae8a09b9553f3e191688cfe6844bc26b9", null ], - [ "kPLU_OUT_SRC_LUT_7", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2ac5055185f27452b220491009e47a8843", null ], - [ "kPLU_OUT_SRC_LUT_8", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2ab1a914c426c7434b66a2a70e28c91d6d", null ], - [ "kPLU_OUT_SRC_LUT_9", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2a1bfd5fb60cb03e1ec3ff1cc2b859205d", null ], - [ "kPLU_OUT_SRC_LUT_10", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2aa0c5d92b16c617f2c77ab0b2e2e6ebb1", null ], - [ "kPLU_OUT_SRC_LUT_11", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2a6c8dcd271aa50f8847c21031ca1651cc", null ], - [ "kPLU_OUT_SRC_LUT_12", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2a5b731b787441958b61eb1ef6f13c0a8c", null ], - [ "kPLU_OUT_SRC_LUT_13", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2a55478a1fa529d12411191d3b57b6aa6f", null ], - [ "kPLU_OUT_SRC_LUT_14", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2acf68ff6a086185f803b22698327e3109", null ], - [ "kPLU_OUT_SRC_LUT_15", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2afa8b125d171fda1128d55ee1ce6b1bb8", null ], - [ "kPLU_OUT_SRC_LUT_16", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2acb147f2edce9b8da4c454f80f94ac43c", null ], - [ "kPLU_OUT_SRC_LUT_17", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2a9dca2593aa3f9571111177158871a171", null ], - [ "kPLU_OUT_SRC_LUT_18", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2a74581067715a2b1448ded8335f1618a2", null ], - [ "kPLU_OUT_SRC_LUT_19", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2ad50df8fa854b16d2945d2e98dceb167d", null ], - [ "kPLU_OUT_SRC_LUT_20", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2ae6dd471565ca87404e3e950f0b4896ec", null ], - [ "kPLU_OUT_SRC_LUT_21", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2a3cffbba2da3be0f386061cdc43c5c58a", null ], - [ "kPLU_OUT_SRC_LUT_22", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2af659716e11aa0911a531d2cdc19bf3ea", null ], - [ "kPLU_OUT_SRC_LUT_23", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2a47395d18c548dff7cf1e82891808ecc6", null ], - [ "kPLU_OUT_SRC_LUT_24", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2ae648baa1c80d2711d1bb2bb4fc077827", null ], - [ "kPLU_OUT_SRC_LUT_25", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2a0912636699433338f1c5db68c7bbec7f", null ], - [ "kPLU_OUT_SRC_FLIPFLOP_0", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2a22a26e5d5f65d4ac8491ad2c87ebeef3", null ], - [ "kPLU_OUT_SRC_FLIPFLOP_1", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2a1afdc6f86b2c95d086b0c7d2d60d26cc", null ], - [ "kPLU_OUT_SRC_FLIPFLOP_2", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2a117f999dffa45b676b077d373cb2e086", null ], - [ "kPLU_OUT_SRC_FLIPFLOP_3", "a00088.html#gga3ebfc228971e1d13f03847952605c2d2a2a0154f5345c71bae6f44244fcb30d46", null ] + [ "pint_pmatch_bslice_cfg_t", "a00088.html#gae1e5bfc17515fab76a1deab955203c6a", [ + [ "kPINT_PatternMatchAlways", "a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa128703d2c2175a315aaffad869ed6a32", null ], + [ "kPINT_PatternMatchStickyRise", "a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa654c70eeed38b0be2271dc51d7cc04f5", null ], + [ "kPINT_PatternMatchStickyFall", "a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa9d2a3e9717c34de3a884b695f35a6c37", null ], + [ "kPINT_PatternMatchStickyBothEdges", "a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa059c3472509304186252b655cf486d8b", null ], + [ "kPINT_PatternMatchHigh", "a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa7dc0770cf7ffe184788c30bd1ac9c515", null ], + [ "kPINT_PatternMatchLow", "a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa3b4af984526871b66489b0eb294d2df5", null ], + [ "kPINT_PatternMatchNever", "a00088.html#ggae1e5bfc17515fab76a1deab955203c6aab5630ebfbc6e52c9b04f659918c094f2", null ], + [ "kPINT_PatternMatchBothEdges", "a00088.html#ggae1e5bfc17515fab76a1deab955203c6aaac967156901735a43316f7f1c4720328", null ] ] ], - [ "PLU_Init", "a00088.html#ga9223774c024634ea6aef20ccd4768810", null ], - [ "PLU_Deinit", "a00088.html#ga4d562c154da2a9152f224e0dbeddb82c", null ], - [ "PLU_SetLutInputSource", "a00088.html#ga4b8afdbebd21774bd0c8898077753901", null ], - [ "PLU_SetOutputSource", "a00088.html#ga17f61bbdb9778ca2d86609be38e4bc09", null ], - [ "PLU_SetLutTruthTable", "a00088.html#gaa0db99613861d08587e9bf1dd0defe84", null ], - [ "PLU_ReadOutputState", "a00088.html#gad119096a745ccaf2fe302d9f25e799d3", null ] + [ "PINT_Init", "a00088.html#gaf043d142dac622bedd50b736b52ac6e9", null ], + [ "PINT_PinInterruptConfig", "a00088.html#ga363edbba9536380728e44bd7d1a0e7df", null ], + [ "PINT_PinInterruptGetConfig", "a00088.html#ga12c568c9b7f6d46257dc63505a381701", null ], + [ "PINT_PinInterruptClrStatus", "a00088.html#gab784e6aea0129b0c90164462bd0dbac5", null ], + [ "PINT_PinInterruptGetStatus", "a00088.html#ga99a96e386a822de59ac2d60149ec47f3", null ], + [ "PINT_PinInterruptClrStatusAll", "a00088.html#gace94acb3f70964230b2606fdbeb5f58b", null ], + [ "PINT_PinInterruptGetStatusAll", "a00088.html#gab5dbaf58c90b1ef54bcd2c5dba9e178f", null ], + [ "PINT_PinInterruptClrFallFlag", "a00088.html#ga055c655c611b5645ce6903557ef809bb", null ], + [ "PINT_PinInterruptGetFallFlag", "a00088.html#gaace443444dec2e5b7e3e20cf69c53def", null ], + [ "PINT_PinInterruptClrFallFlagAll", "a00088.html#ga11c44cbe5b42c79b22a3053c85b1e5d0", null ], + [ "PINT_PinInterruptGetFallFlagAll", "a00088.html#ga58eced039e8c793b7ff148d6cfacde5b", null ], + [ "PINT_PinInterruptClrRiseFlag", "a00088.html#ga269af5856a4cfd5d2a171b4777d6884a", null ], + [ "PINT_PinInterruptGetRiseFlag", "a00088.html#gaec7a3986f92f8c11a70a80af1a93a3b6", null ], + [ "PINT_PinInterruptClrRiseFlagAll", "a00088.html#ga53dd5706866fa0313689db6e4d9c1a9b", null ], + [ "PINT_PinInterruptGetRiseFlagAll", "a00088.html#ga08b623f67c277521182f8c9e630037ae", null ], + [ "PINT_PatternMatchConfig", "a00088.html#ga1dc66cd865640c0b60eb128a868eab37", null ], + [ "PINT_PatternMatchGetConfig", "a00088.html#ga6d4f8e83cec4bc716231c97c2ceb5421", null ], + [ "PINT_PatternMatchGetStatus", "a00088.html#ga74b3eece6c6eabc38f3194b125ff80d5", null ], + [ "PINT_PatternMatchGetStatusAll", "a00088.html#ga567b159bbfa265ed02c4900769ed8fe4", null ], + [ "PINT_PatternMatchResetDetectLogic", "a00088.html#ga00d40f5f01c445206f1f800dcc7b6e89", null ], + [ "PINT_PatternMatchEnable", "a00088.html#ga8911d499fb65ffe496c01f65bad9314f", null ], + [ "PINT_PatternMatchDisable", "a00088.html#ga4dd886dc387a64a5cb146ccd7297e1e1", null ], + [ "PINT_PatternMatchEnableRXEV", "a00088.html#gaf5754b4b1d1436c359dde18101657d4e", null ], + [ "PINT_PatternMatchDisableRXEV", "a00088.html#ga73f0e7280f898bf99fe92b3519878a77", null ], + [ "PINT_EnableCallback", "a00088.html#gac6b1406205adace6696a1647250ad76d", null ], + [ "PINT_DisableCallback", "a00088.html#gada262638d555d9a503ddcdbed24ec475", null ], + [ "PINT_Deinit", "a00088.html#ga78ffa3e09e17db8dc6a9038123ad1c46", null ], + [ "PINT_EnableCallbackByIndex", "a00088.html#ga2cb0ee73a24657dd6994cb00b78c3137", null ], + [ "PINT_DisableCallbackByIndex", "a00088.html#gad7300bf374c0cdc65fadff76f3ee7e5c", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00089.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00089.html index f2a5784..436429f 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00089.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00089.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: SWM: Switch Matrix Module +MCUXpresso SDK API Reference Manual: PLU: Programmable Logic Unit @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - - - -

    -Files

    file  fsl_swm.h
     
    - - - - - - - - - - -

    -Functions

    void SWM_SetMovablePinSelect (SWM_Type *base, swm_select_movable_t func, swm_port_pin_type_t swm_port_pin)
     Assignment of digital peripheral functions to pins. More...
     
    void SWM_SetFixedMovablePinSelect (SWM_Type *base, swm_select_fixed_movable_t func, swm_fixed_port_pin_type_t swm_port_pin)
     Assignment of digital peripheral functions to pins. More...
     
    void SWM_SetFixedPinSelect (SWM_Type *base, swm_select_fixed_pin_t func, bool enable)
     Enable the fixed-pin function. More...
     
    - - + - - - + + - - - + + - - - + + - - - + + - - + +

    -swm connections

    enum  swm_fixed_port_pin_type_t {
    -  kSWM_PLU_INPUT0_PortPin_P0_0 = 0x00U, +

    +Enumerations

    enum  plu_lut_index_t {
    +  kPLU_LUT_0 = 0U,
    -  kSWM_PLU_INPUT0_PortPin_P0_8 = 0x01U, +  kPLU_LUT_1 = 1U,
    -  kSWM_PLU_INPUT0_PortPin_P0_17 = 0x02U, +  kPLU_LUT_2 = 2U,
    -  kSWM_PLU_INPUT1_PortPin_P0_1 = 0x00U, +  kPLU_LUT_3 = 3U,
    -  kSWM_PLU_INPUT1_PortPin_P0_9 = 0x01U, +  kPLU_LUT_4 = 4U,
    -  kSWM_PLU_INPUT1_PortPin_P0_18 = 0x02U, +  kPLU_LUT_5 = 5U,
    -  kSWM_PLU_INPUT2_PortPin_P0_2 = 0x00U, +  kPLU_LUT_6 = 6U,
    -  kSWM_PLU_INPUT2_PortPin_P0_10 = 0x01U, +  kPLU_LUT_7 = 7U,
    -  kSWM_PLU_INPUT2_PortPin_P0_19 = 0x02U, +  kPLU_LUT_8 = 8U,
    -  kSWM_PLU_INPUT3_PortPin_P0_3 = 0x00U, +  kPLU_LUT_9 = 9U,
    -  kSWM_PLU_INPUT3_PortPin_P0_11 = 0x01U, +  kPLU_LUT_10 = 10U,
    -  kSWM_PLU_INPUT3_PortPin_P0_20 = 0x02U, +  kPLU_LUT_11 = 11U,
    -  kSWM_PLU_INPUT4_PortPin_P0_4 = 0x00U, +  kPLU_LUT_12 = 12U,
    -  kSWM_PLU_INPUT4_PortPin_P0_12 = 0x01U, +  kPLU_LUT_13 = 13U,
    -  kSWM_PLU_INPUT4_PortPin_P0_21 = 0x02U, +  kPLU_LUT_14 = 14U,
    -  kSWM_PLU_INPUT5_PortPin_P0_5 = 0x00U, +  kPLU_LUT_15 = 15U,
    -  kSWM_PLU_INPUT5_PortPin_P0_13 = 0x01U, +  kPLU_LUT_16 = 16U,
    -  kSWM_PLU_INPUT5_PortPin_P0_22 = 0x02U, +  kPLU_LUT_17 = 17U,
    -  kSWM_PLU_OUT0_PortPin_P0_7 = 0x00U, +  kPLU_LUT_18 = 18U,
    -  kSWM_PLU_OUT0_PortPin_P0_14 = 0x01U, +  kPLU_LUT_19 = 19U,
    -  kSWM_PLU_OUT0_PortPin_P0_23 = 0x02U, +  kPLU_LUT_20 = 20U,
    -  kSWM_PLU_OUT1_PortPin_P0_8 = 0x00U, +  kPLU_LUT_21 = 21U,
    -  kSWM_PLU_OUT1_PortPin_P0_15 = 0x01U, +  kPLU_LUT_22 = 22U,
    -  kSWM_PLU_OUT1_PortPin_P0_24 = 0x02U, +  kPLU_LUT_23 = 23U,
    -  kSWM_PLU_OUT2_PortPin_P0_9 = 0x00U, +  kPLU_LUT_24 = 24U,
    -  kSWM_PLU_OUT2_PortPin_P0_16 = 0x01U, -
    -  kSWM_PLU_OUT2_PortPin_P0_25 = 0x02U, -
    -  kSWM_PLU_OUT3_PortPin_P0_10 = 0x00U, -
    -  kSWM_PLU_OUT3_PortPin_P0_17 = 0x01U, -
    -  kSWM_PLU_OUT3_PortPin_P0_26 = 0x02U, -
    -  kSWM_PLU_OUT4_PortPin_P0_11 = 0x00U, -
    -  kSWM_PLU_OUT4_PortPin_P0_18 = 0x01U, -
    -  kSWM_PLU_OUT4_PortPin_P0_27 = 0x02U, -
    -  kSWM_PLU_OUT5_PortPin_P0_12 = 0x00U, -
    -  kSWM_PLU_OUT5_PortPin_P0_19 = 0x01U, -
    -  kSWM_PLU_OUT5_PortPin_P0_28 = 0x02U, -
    -  kSWM_PLU_OUT6_PortPin_P0_13 = 0x00U, -
    -  kSWM_PLU_OUT6_PortPin_P0_20 = 0x01U, -
    -  kSWM_PLU_OUT6_PortPin_P0_29 = 0x02U, -
    -  kSWM_PLU_OUT7_PortPin_P0_14 = 0x00U, -
    -  kSWM_PLU_OUT7_PortPin_P0_21 = 0x01U, -
    -  kSWM_PLU_OUT7_PortPin_P0_30 = 0x02U +  kPLU_LUT_25 = 25U
    }
     SWM pinassignfixed_port_pin number. More...
     
    enum  swm_port_pin_type_t {
    -  kSWM_PortPin_P0_0 = 0U, +
     Index of LUT. More...
     
    enum  plu_lut_in_index_t {
    +  kPLU_LUT_IN_0 = 0U,
    -  kSWM_PortPin_P0_1 = 1U, +  kPLU_LUT_IN_1 = 1U,
    -  kSWM_PortPin_P0_2 = 2U, +  kPLU_LUT_IN_2 = 2U,
    -  kSWM_PortPin_P0_3 = 3U, +  kPLU_LUT_IN_3 = 3U,
    -  kSWM_PortPin_P0_4 = 4U, -
    -  kSWM_PortPin_P0_5 = 5U, -
    -  kSWM_PortPin_P0_6 = 6U, -
    -  kSWM_PortPin_P0_7 = 7U, -
    -  kSWM_PortPin_P0_8 = 8U, -
    -  kSWM_PortPin_P0_9 = 9U, -
    -  kSWM_PortPin_P0_10 = 10U, -
    -  kSWM_PortPin_P0_11 = 11U, -
    -  kSWM_PortPin_P0_12 = 12U, -
    -  kSWM_PortPin_P0_13 = 13U, -
    -  kSWM_PortPin_P0_14 = 14U, -
    -  kSWM_PortPin_P0_15 = 15U, -
    -  kSWM_PortPin_P0_16 = 16U, -
    -  kSWM_PortPin_P0_17 = 17U, -
    -  kSWM_PortPin_P0_18 = 18U, -
    -  kSWM_PortPin_P0_19 = 19U, -
    -  kSWM_PortPin_P0_20 = 20U, -
    -  kSWM_PortPin_P0_21 = 21U, -
    -  kSWM_PortPin_P0_22 = 22U, -
    -  kSWM_PortPin_P0_23 = 23U, -
    -  kSWM_PortPin_P0_24 = 24U, -
    -  kSWM_PortPin_P0_25 = 25U, -
    -  kSWM_PortPin_P0_26 = 26U, -
    -  kSWM_PortPin_P0_27 = 27U, -
    -  kSWM_PortPin_P0_28 = 28U, -
    -  kSWM_PortPin_P0_29 = 29U, -
    -  kSWM_PortPin_P0_30 = 30U, -
    -  kSWM_PortPin_P0_31 = 31U, -
    -  kSWM_PortPin_Reset = 0xffU +  kPLU_LUT_IN_4 = 4U
    }
     SWM port_pin number. More...
     
    enum  swm_select_fixed_movable_t {
    -  kSWM_PLU_INPUT0 = 0U, +
     Inputs of LUT. More...
     
    enum  plu_lut_input_source_t {
    +  kPLU_LUT_IN_SRC_PLU_IN_0 = 0U,
    -  kSWM_PLU_INPUT1 = 1U, +  kPLU_LUT_IN_SRC_PLU_IN_1 = 1U,
    -  kSWM_PLU_INPUT2 = 2U, +  kPLU_LUT_IN_SRC_PLU_IN_2 = 2U,
    -  kSWM_PLU_INPUT3 = 3U, +  kPLU_LUT_IN_SRC_PLU_IN_3 = 3U,
    -  kSWM_PLU_INPUT4 = 4U, +  kPLU_LUT_IN_SRC_PLU_IN_4 = 4U,
    -  kSWM_PLU_INPUT5 = 5U, +  kPLU_LUT_IN_SRC_PLU_IN_5 = 5U,
    -  kSWM_PLU_OUT0 = 6U, +  kPLU_LUT_IN_SRC_LUT_OUT_0 = 6U,
    -  kSWM_PLU_OUT1 = 7U, +  kPLU_LUT_IN_SRC_LUT_OUT_1 = 7U,
    -  kSWM_PLU_OUT2 = 8U, +  kPLU_LUT_IN_SRC_LUT_OUT_2 = 8U,
    -  kSWM_PLU_OUT3 = 9U, +  kPLU_LUT_IN_SRC_LUT_OUT_3 = 9U,
    -  kSWM_PLU_OUT4 = 10U, +  kPLU_LUT_IN_SRC_LUT_OUT_4 = 10U,
    -  kSWM_PLU_OUT5 = 11U, +  kPLU_LUT_IN_SRC_LUT_OUT_5 = 11U,
    -  kSWM_PLU_OUT6 = 12U, +  kPLU_LUT_IN_SRC_LUT_OUT_6 = 12U,
    -  kSWM_PLU_OUT7 = 13U, +  kPLU_LUT_IN_SRC_LUT_OUT_7 = 13U,
    -  kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS = 13U +  kPLU_LUT_IN_SRC_LUT_OUT_8 = 14U, +
    +  kPLU_LUT_IN_SRC_LUT_OUT_9 = 15U, +
    +  kPLU_LUT_IN_SRC_LUT_OUT_10 = 16U, +
    +  kPLU_LUT_IN_SRC_LUT_OUT_11 = 17U, +
    +  kPLU_LUT_IN_SRC_LUT_OUT_12 = 18U, +
    +  kPLU_LUT_IN_SRC_LUT_OUT_13 = 19U, +
    +  kPLU_LUT_IN_SRC_LUT_OUT_14 = 20U, +
    +  kPLU_LUT_IN_SRC_LUT_OUT_15 = 21U, +
    +  kPLU_LUT_IN_SRC_LUT_OUT_16 = 22U, +
    +  kPLU_LUT_IN_SRC_LUT_OUT_17 = 23U, +
    +  kPLU_LUT_IN_SRC_LUT_OUT_18 = 24U, +
    +  kPLU_LUT_IN_SRC_LUT_OUT_19 = 25U, +
    +  kPLU_LUT_IN_SRC_LUT_OUT_20 = 26U, +
    +  kPLU_LUT_IN_SRC_LUT_OUT_21 = 27U, +
    +  kPLU_LUT_IN_SRC_LUT_OUT_22 = 28U, +
    +  kPLU_LUT_IN_SRC_LUT_OUT_23 = 29U, +
    +  kPLU_LUT_IN_SRC_LUT_OUT_24 = 30U, +
    +  kPLU_LUT_IN_SRC_LUT_OUT_25 = 31U, +
    +  kPLU_LUT_IN_SRC_FLIPFLOP_0 = 32U, +
    +  kPLU_LUT_IN_SRC_FLIPFLOP_1 = 33U, +
    +  kPLU_LUT_IN_SRC_FLIPFLOP_2 = 34U, +
    +  kPLU_LUT_IN_SRC_FLIPFLOP_3 = 35U
    }
     SWM pinassignfixed movable selection. More...
     
    enum  swm_select_movable_t {
    -  kSWM_USART0_TXD = 0U, +
     Available sources of LUT input. More...
     
    enum  plu_output_index_t {
    +  kPLU_OUTPUT_0 = 0U,
    -  kSWM_USART0_RXD = 1U, +  kPLU_OUTPUT_1 = 1U,
    -  kSWM_USART0_RTS = 2U, +  kPLU_OUTPUT_2 = 2U,
    -  kSWM_USART0_CTS = 3U, +  kPLU_OUTPUT_3 = 3U,
    -  kSWM_USART0_SCLK = 4U, +  kPLU_OUTPUT_4 = 4U,
    -  kSWM_USART1_TXD = 5U, +  kPLU_OUTPUT_5 = 5U,
    -  kSWM_USART1_RXD = 6U, +  kPLU_OUTPUT_6 = 6U,
    -  kSWM_USART1_SCLK = 7U, -
    -  kSWM_SPI0_SCK = 8U, -
    -  kSWM_SPI0_MOSI = 9U, -
    -  kSWM_SPI0_MISO = 10U, -
    -  kSWM_SPI0_SSEL0 = 11U, -
    -  kSWM_SPI0_SSEL1 = 12U, -
    -  kSWM_T0_CAP_CHN0 = 13U, -
    -  kSWM_T0_CAP_CHN1 = 14U, -
    -  kSWM_T0_CAP_CHN2 = 15U, -
    -  kSWM_T0_MAT_CHN0 = 16U, -
    -  kSWM_T0_MAT_CHN1 = 17U, -
    -  kSWM_T0_MAT_CHN2 = 18U, -
    -  kSWM_T0_MAT_CHN3 = 19U, -
    -  kSWM_I2C0_SDA = 20U, -
    -  kSWM_I2C0_SCL = 21U, -
    -  kSWM_ACMP_OUT = 22U, -
    -  kSWM_CLKOUT = 23U, -
    -  kSWM_GPIO_INT_BMAT = 24U, -
    -  kSWM_LVLSHFT_IN0 = 25U, -
    -  kSWM_LVLSHFT_IN1 = 26U, -
    -  kSWM_LVLSHFT_OUT0 = 27U, -
    -  kSWM_LVLSHFT_OUT1 = 28U, -
    -  kSWM_I2C1_SDA = 29U, -
    -  kSWM_I2C1_SCL = 30U, -
    -  kSWM_PLU_CLKIN_IN = 31U, -
    -  kSWM_CAPT_X0 = 32U, -
    -  kSWM_CAPT_X1 = 33U, -
    -  kSWM_CAPT_X2 = 34U, -
    -  kSWM_CAPT_X3 = 35U, -
    -  kSWM_CAPT_X4 = 36U, -
    -  kSWM_CAPT_YL = 37U, -
    -  kSWM_CAPT_YH = 38U, -
    -  kSWM_MOVABLE_NUM_FUNCS = 39U +  kPLU_OUTPUT_7 = 7U
    }
     SWM movable selection. More...
     
    enum  swm_select_fixed_pin_t {
    -  kSWM_ACMP_INPUT1 = SWM_PINENABLE0_ACMP_I1_MASK, +
     PLU output multiplexer registers. More...
     
    enum  plu_output_source_t {
    +  kPLU_OUT_SRC_LUT_0 = 0U,
    -  kSWM_ACMP_INPUT2 = SWM_PINENABLE0_ACMP_I2_MASK, +  kPLU_OUT_SRC_LUT_1 = 1U,
    -  kSWM_ACMP_INPUT3 = SWM_PINENABLE0_ACMP_I3_MASK, +  kPLU_OUT_SRC_LUT_2 = 2U,
    -  kSWM_ACMP_INPUT4 = SWM_PINENABLE0_ACMP_I4_MASK, +  kPLU_OUT_SRC_LUT_3 = 3U,
    -  kSWM_SWCLK = SWM_PINENABLE0_SWCLK_MASK, +  kPLU_OUT_SRC_LUT_4 = 4U,
    -  kSWM_SWDIO = SWM_PINENABLE0_SWDIO_MASK, +  kPLU_OUT_SRC_LUT_5 = 5U,
    -  kSWM_RESETN = SWM_PINENABLE0_RESETN_MASK, +  kPLU_OUT_SRC_LUT_6 = 6U,
    -  kSWM_CLKIN = SWM_PINENABLE0_CLKIN_MASK, +  kPLU_OUT_SRC_LUT_7 = 7U,
    -  kSWM_WKCLKIN = SWM_PINENABLE0_WKCLKIN_MASK, +  kPLU_OUT_SRC_LUT_8 = 8U,
    -  kSWM_VDDCMP = SWM_PINENABLE0_VDDCMP_MASK, +  kPLU_OUT_SRC_LUT_9 = 9U,
    -  kSWM_ADC_CHN0 = SWM_PINENABLE0_ADC_0_MASK, +  kPLU_OUT_SRC_LUT_10 = 10U,
    -  kSWM_ADC_CHN1 = SWM_PINENABLE0_ADC_1_MASK, +  kPLU_OUT_SRC_LUT_11 = 11U,
    -  kSWM_ADC_CHN2 = SWM_PINENABLE0_ADC_2_MASK, +  kPLU_OUT_SRC_LUT_12 = 12U,
    -  kSWM_ADC_CHN3 = SWM_PINENABLE0_ADC_3_MASK, +  kPLU_OUT_SRC_LUT_13 = 13U,
    -  kSWM_ADC_CHN4 = SWM_PINENABLE0_ADC_4_MASK, +  kPLU_OUT_SRC_LUT_14 = 14U,
    -  kSWM_ADC_CHN5 = SWM_PINENABLE0_ADC_5_MASK, +  kPLU_OUT_SRC_LUT_15 = 15U,
    -  kSWM_ADC_CHN6 = SWM_PINENABLE0_ADC_6_MASK, +  kPLU_OUT_SRC_LUT_16 = 16U,
    -  kSWM_ADC_CHN7 = SWM_PINENABLE0_ADC_7_MASK, +  kPLU_OUT_SRC_LUT_17 = 17U,
    -  kSWM_ADC_CHN8 = SWM_PINENABLE0_ADC_8_MASK, +  kPLU_OUT_SRC_LUT_18 = 18U,
    -  kSWM_ADC_CHN9 = SWM_PINENABLE0_ADC_9_MASK, +  kPLU_OUT_SRC_LUT_19 = 19U,
    -  kSWM_ADC_CHN10 = SWM_PINENABLE0_ADC_10_MASK, +  kPLU_OUT_SRC_LUT_20 = 20U,
    -  kSWM_ADC_CHN11 = SWM_PINENABLE0_ADC_11_MASK, +  kPLU_OUT_SRC_LUT_21 = 21U,
    -  kSWM_ACMP_INPUT5 = SWM_PINENABLE0_ACMP_I5_MASK, +  kPLU_OUT_SRC_LUT_22 = 22U,
    -  kSWM_DAC_OUT0 = SWM_PINENABLE0_DACOUT0_MASK, +  kPLU_OUT_SRC_LUT_23 = 23U,
    -  kSWM_FIXEDPIN_NUM_FUNCS = (int)0x80000001U +  kPLU_OUT_SRC_LUT_24 = 24U, +
    +  kPLU_OUT_SRC_LUT_25 = 25U, +
    +  kPLU_OUT_SRC_FLIPFLOP_0 = 26U, +
    +  kPLU_OUT_SRC_FLIPFLOP_1 = 27U, +
    +  kPLU_OUT_SRC_FLIPFLOP_2 = 28U, +
    +  kPLU_OUT_SRC_FLIPFLOP_3 = 29U
    }
     SWM fixed pin selection. More...
     
     Available sources of PLU output. More...
     
    - - - + + + +

    Driver version

    #define FSL_SWM_DRIVER_VERSION   (MAKE_VERSION(2, 1, 1))
     LPC SWM driver version. More...
     
    +#define FSL_PLU_DRIVER_VERSION   (MAKE_VERSION(2, 2, 1))
     Version 2.2.1.
     
    + + + + + + + +

    +Initialization and deinitialization

    void PLU_Init (PLU_Type *base)
     Enable the PLU clock and reset the module. More...
     
    void PLU_Deinit (PLU_Type *base)
     Gate the PLU clock. More...
     
    + + + + + + + + + + +

    +Set input/output source and Truth Table

    static void PLU_SetLutInputSource (PLU_Type *base, plu_lut_index_t lutIndex, plu_lut_in_index_t lutInIndex, plu_lut_input_source_t inputSrc)
     Set Input source of LUT. More...
     
    static void PLU_SetOutputSource (PLU_Type *base, plu_output_index_t outputIndex, plu_output_source_t outputSrc)
     Set Output source of PLU. More...
     
    static void PLU_SetLutTruthTable (PLU_Type *base, plu_lut_index_t lutIndex, uint32_t truthTable)
     Set Truth Table of LUT. More...
     
    + + + +

    +Read current Output State

    static uint32_t PLU_ReadOutputState (PLU_Type *base)
     Read the current state of the 8 designated PLU Outputs. More...
     
    -

    Macro Definition Documentation

    - -
    -
    - - - - -
    #define FSL_SWM_DRIVER_VERSION   (MAKE_VERSION(2, 1, 1))
    -
    - -
    -

    Enumeration Type Documentation

    - +
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Enumerator
    kSWM_PLU_INPUT0_PortPin_P0_0  -

    port_pin number P0_0.

    +
    Enumerator
    kPLU_LUT_0  +

    5-input Look-up Table 0

    kSWM_PLU_INPUT0_PortPin_P0_8  -

    port_pin number P0_8.

    +
    kPLU_LUT_1  +

    5-input Look-up Table 1

    kSWM_PLU_INPUT0_PortPin_P0_17  -

    port_pin number P0_17.

    +
    kPLU_LUT_2  +

    5-input Look-up Table 2

    kSWM_PLU_INPUT1_PortPin_P0_1  -

    port_pin number P0_1.

    +
    kPLU_LUT_3  +

    5-input Look-up Table 3

    kSWM_PLU_INPUT1_PortPin_P0_9  -

    port_pin number P0_9.

    +
    kPLU_LUT_4  +

    5-input Look-up Table 4

    kSWM_PLU_INPUT1_PortPin_P0_18  -

    port_pin number P0_18.

    +
    kPLU_LUT_5  +

    5-input Look-up Table 5

    kSWM_PLU_INPUT2_PortPin_P0_2  -

    port_pin number P0_2.

    +
    kPLU_LUT_6  +

    5-input Look-up Table 6

    kSWM_PLU_INPUT2_PortPin_P0_10  -

    port_pin number P0_10.

    +
    kPLU_LUT_7  +

    5-input Look-up Table 7

    kSWM_PLU_INPUT2_PortPin_P0_19  -

    port_pin number P0_19.

    +
    kPLU_LUT_8  +

    5-input Look-up Table 8

    kSWM_PLU_INPUT3_PortPin_P0_3  -

    port_pin number P0_3.

    +
    kPLU_LUT_9  +

    5-input Look-up Table 9

    kSWM_PLU_INPUT3_PortPin_P0_11  -

    port_pin number P0_11.

    +
    kPLU_LUT_10  +

    5-input Look-up Table 10

    kSWM_PLU_INPUT3_PortPin_P0_20  -

    port_pin number P0_20.

    +
    kPLU_LUT_11  +

    5-input Look-up Table 11

    kSWM_PLU_INPUT4_PortPin_P0_4  -

    port_pin number P0_4.

    +
    kPLU_LUT_12  +

    5-input Look-up Table 12

    kSWM_PLU_INPUT4_PortPin_P0_12  -

    port_pin number P0_12.

    +
    kPLU_LUT_13  +

    5-input Look-up Table 13

    kSWM_PLU_INPUT4_PortPin_P0_21  -

    port_pin number P0_21.

    +
    kPLU_LUT_14  +

    5-input Look-up Table 14

    kSWM_PLU_INPUT5_PortPin_P0_5  -

    port_pin number P0_5.

    +
    kPLU_LUT_15  +

    5-input Look-up Table 15

    kSWM_PLU_INPUT5_PortPin_P0_13  -

    port_pin number P0_13.

    +
    kPLU_LUT_16  +

    5-input Look-up Table 16

    kSWM_PLU_INPUT5_PortPin_P0_22  -

    port_pin number P0_22.

    +
    kPLU_LUT_17  +

    5-input Look-up Table 17

    kSWM_PLU_OUT0_PortPin_P0_7  -

    port_pin number P0_7.

    +
    kPLU_LUT_18  +

    5-input Look-up Table 18

    kSWM_PLU_OUT0_PortPin_P0_14  -

    port_pin number P0_14.

    +
    kPLU_LUT_19  +

    5-input Look-up Table 19

    kSWM_PLU_OUT0_PortPin_P0_23  -

    port_pin number P0_23.

    +
    kPLU_LUT_20  +

    5-input Look-up Table 20

    kSWM_PLU_OUT1_PortPin_P0_8  -

    port_pin number P0_8.

    +
    kPLU_LUT_21  +

    5-input Look-up Table 21

    kSWM_PLU_OUT1_PortPin_P0_15  -

    port_pin number P0_15.

    +
    kPLU_LUT_22  +

    5-input Look-up Table 22

    kSWM_PLU_OUT1_PortPin_P0_24  -

    port_pin number P0_24.

    +
    kPLU_LUT_23  +

    5-input Look-up Table 23

    kSWM_PLU_OUT2_PortPin_P0_9  -

    port_pin number P0_9.

    +
    kPLU_LUT_24  +

    5-input Look-up Table 24

    kSWM_PLU_OUT2_PortPin_P0_16  -

    port_pin number P0_16.

    -
    kSWM_PLU_OUT2_PortPin_P0_25  -

    port_pin number P0_25.

    -
    kSWM_PLU_OUT3_PortPin_P0_10  -

    port_pin number P0_10.

    -
    kSWM_PLU_OUT3_PortPin_P0_17  -

    port_pin number P0_17.

    -
    kSWM_PLU_OUT3_PortPin_P0_26  -

    port_pin number P0_26.

    -
    kSWM_PLU_OUT4_PortPin_P0_11  -

    port_pin number P0_11.

    -
    kSWM_PLU_OUT4_PortPin_P0_18  -

    port_pin number P0_18.

    -
    kSWM_PLU_OUT4_PortPin_P0_27  -

    port_pin number P0_27.

    -
    kSWM_PLU_OUT5_PortPin_P0_12  -

    port_pin number P0_12.

    -
    kSWM_PLU_OUT5_PortPin_P0_19  -

    port_pin number P0_19.

    -
    kSWM_PLU_OUT5_PortPin_P0_28  -

    port_pin number P0_28.

    -
    kSWM_PLU_OUT6_PortPin_P0_13  -

    port_pin number P0_13.

    -
    kSWM_PLU_OUT6_PortPin_P0_20  -

    port_pin number P0_20.

    -
    kSWM_PLU_OUT6_PortPin_P0_29  -

    port_pin number P0_29.

    -
    kSWM_PLU_OUT7_PortPin_P0_14  -

    port_pin number P0_14.

    -
    kSWM_PLU_OUT7_PortPin_P0_21  -

    port_pin number P0_21.

    -
    kSWM_PLU_OUT7_PortPin_P0_30  -

    port_pin number P0_30.

    +
    kPLU_LUT_25  +

    5-input Look-up Table 25

    - +
    +

    5 input present for each LUT.

    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Enumerator
    kSWM_PortPin_P0_0  -

    port_pin number P0_0.

    +
    Enumerator
    kPLU_LUT_IN_0  +

    LUT input 0.

    kSWM_PortPin_P0_1  -

    port_pin number P0_1.

    +
    kPLU_LUT_IN_1  +

    LUT input 1.

    kSWM_PortPin_P0_2  -

    port_pin number P0_2.

    +
    kPLU_LUT_IN_2  +

    LUT input 2.

    kSWM_PortPin_P0_3  -

    port_pin number P0_3.

    +
    kPLU_LUT_IN_3  +

    LUT input 3.

    kSWM_PortPin_P0_4  -

    port_pin number P0_4.

    -
    kSWM_PortPin_P0_5  -

    port_pin number P0_5.

    -
    kSWM_PortPin_P0_6  -

    port_pin number P0_6.

    -
    kSWM_PortPin_P0_7  -

    port_pin number P0_7.

    -
    kSWM_PortPin_P0_8  -

    port_pin number P0_8.

    -
    kSWM_PortPin_P0_9  -

    port_pin number P0_9.

    -
    kSWM_PortPin_P0_10  -

    port_pin number P0_10.

    -
    kSWM_PortPin_P0_11  -

    port_pin number P0_11.

    -
    kSWM_PortPin_P0_12  -

    port_pin number P0_12.

    -
    kSWM_PortPin_P0_13  -

    port_pin number P0_13.

    -
    kSWM_PortPin_P0_14  -

    port_pin number P0_14.

    -
    kSWM_PortPin_P0_15  -

    port_pin number P0_15.

    -
    kSWM_PortPin_P0_16  -

    port_pin number P0_16.

    -
    kSWM_PortPin_P0_17  -

    port_pin number P0_17.

    -
    kSWM_PortPin_P0_18  -

    port_pin number P0_18.

    -
    kSWM_PortPin_P0_19  -

    port_pin number P0_19.

    -
    kSWM_PortPin_P0_20  -

    port_pin number P0_20.

    -
    kSWM_PortPin_P0_21  -

    port_pin number P0_21.

    -
    kSWM_PortPin_P0_22  -

    port_pin number P0_22.

    -
    kSWM_PortPin_P0_23  -

    port_pin number P0_23.

    -
    kSWM_PortPin_P0_24  -

    port_pin number P0_24.

    -
    kSWM_PortPin_P0_25  -

    port_pin number P0_25.

    -
    kSWM_PortPin_P0_26  -

    port_pin number P0_26.

    -
    kSWM_PortPin_P0_27  -

    port_pin number P0_27.

    -
    kSWM_PortPin_P0_28  -

    port_pin number P0_28.

    -
    kSWM_PortPin_P0_29  -

    port_pin number P0_29.

    -
    kSWM_PortPin_P0_30  -

    port_pin number P0_30.

    -
    kSWM_PortPin_P0_31  -

    port_pin number P0_31.

    -
    kSWM_PortPin_Reset  -

    port_pin reset number.

    +
    kPLU_LUT_IN_4  +

    LUT input 4.

    - +
    - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + +
    Enumerator
    kSWM_PLU_INPUT0  -

    Movable function as PLU_INPUT0.

    +
    Enumerator
    kPLU_LUT_IN_SRC_PLU_IN_0  +

    Select PLU input 0 to be connected to LUTn Input x.

    kSWM_PLU_INPUT1  -

    Movable function as PLU_INPUT1.

    +
    kPLU_LUT_IN_SRC_PLU_IN_1  +

    Select PLU input 1 to be connected to LUTn Input x.

    kSWM_PLU_INPUT2  -

    Movable function as PLU_INPUT2.

    +
    kPLU_LUT_IN_SRC_PLU_IN_2  +

    Select PLU input 2 to be connected to LUTn Input x.

    kSWM_PLU_INPUT3  -

    Movable function as PLU_INPUT3.

    +
    kPLU_LUT_IN_SRC_PLU_IN_3  +

    Select PLU input 3 to be connected to LUTn Input x.

    kSWM_PLU_INPUT4  -

    Movable function as PLU_INPUT4.

    +
    kPLU_LUT_IN_SRC_PLU_IN_4  +

    Select PLU input 4 to be connected to LUTn Input x.

    kSWM_PLU_INPUT5  -

    Movable function as PLU_INPUT5.

    +
    kPLU_LUT_IN_SRC_PLU_IN_5  +

    Select PLU input 5 to be connected to LUTn Input x.

    kSWM_PLU_OUT0  -

    Movable function as PLU_OUT0.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_0  +

    Select LUT output 0 to be connected to LUTn Input x.

    kSWM_PLU_OUT1  -

    Movable function as PLU_OUT1.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_1  +

    Select LUT output 1 to be connected to LUTn Input x.

    kSWM_PLU_OUT2  -

    Movable function as PLU_OUT2.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_2  +

    Select LUT output 2 to be connected to LUTn Input x.

    kSWM_PLU_OUT3  -

    Movable function as PLU_OUT3.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_3  +

    Select LUT output 3 to be connected to LUTn Input x.

    kSWM_PLU_OUT4  -

    Movable function as PLU_OUT4.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_4  +

    Select LUT output 4 to be connected to LUTn Input x.

    kSWM_PLU_OUT5  -

    Movable function as PLU_OUT5.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_5  +

    Select LUT output 5 to be connected to LUTn Input x.

    kSWM_PLU_OUT6  -

    Movable function as PLU_OUT6.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_6  +

    Select LUT output 6 to be connected to LUTn Input x.

    kSWM_PLU_OUT7  -

    Movable function as PLU_OUT7.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_7  +

    Select LUT output 7 to be connected to LUTn Input x.

    kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS  -

    Movable function number.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_8  +

    Select LUT output 8 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_9  +

    Select LUT output 9 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_10  +

    Select LUT output 10 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_11  +

    Select LUT output 11 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_12  +

    Select LUT output 12 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_13  +

    Select LUT output 13 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_14  +

    Select LUT output 14 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_15  +

    Select LUT output 15 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_16  +

    Select LUT output 16 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_17  +

    Select LUT output 17 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_18  +

    Select LUT output 18 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_19  +

    Select LUT output 19 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_20  +

    Select LUT output 20 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_21  +

    Select LUT output 21 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_22  +

    Select LUT output 22 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_23  +

    Select LUT output 23 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_24  +

    Select LUT output 24 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_LUT_OUT_25  +

    Select LUT output 25 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_FLIPFLOP_0  +

    Select Flip-Flops state 0 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_FLIPFLOP_1  +

    Select Flip-Flops state 1 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_FLIPFLOP_2  +

    Select Flip-Flops state 2 to be connected to LUTn Input x.

    +
    kPLU_LUT_IN_SRC_FLIPFLOP_3  +

    Select Flip-Flops state 3 to be connected to LUTn Input x.

    - +
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Enumerator
    kSWM_USART0_TXD  -

    Movable function as USART0_TXD.

    +
    Enumerator
    kPLU_OUTPUT_0  +

    PLU OUTPUT 0.

    kSWM_USART0_RXD  -

    Movable function as USART0_RXD.

    +
    kPLU_OUTPUT_1  +

    PLU OUTPUT 1.

    kSWM_USART0_RTS  -

    Movable function as USART0_RTS.

    +
    kPLU_OUTPUT_2  +

    PLU OUTPUT 2.

    kSWM_USART0_CTS  -

    Movable function as USART0_CTS.

    +
    kPLU_OUTPUT_3  +

    PLU OUTPUT 3.

    kSWM_USART0_SCLK  -

    Movable function as USART0_SCLK.

    +
    kPLU_OUTPUT_4  +

    PLU OUTPUT 4.

    kSWM_USART1_TXD  -

    Movable function as USART1_TXD.

    +
    kPLU_OUTPUT_5  +

    PLU OUTPUT 5.

    kSWM_USART1_RXD  -

    Movable function as USART1_RXD.

    +
    kPLU_OUTPUT_6  +

    PLU OUTPUT 6.

    kSWM_USART1_SCLK  -

    Movable function as USART1_SCLK.

    -
    kSWM_SPI0_SCK  -

    Movable function as SPI0_SCK.

    -
    kSWM_SPI0_MOSI  -

    Movable function as SPI0_MOSI.

    -
    kSWM_SPI0_MISO  -

    Movable function as SPI0_MISO.

    -
    kSWM_SPI0_SSEL0  -

    Movable function as SPI0_SSEL0.

    -
    kSWM_SPI0_SSEL1  -

    Movable function as SPI0_SSEL1.

    -
    kSWM_T0_CAP_CHN0  -

    Movable function as Timer Capture Channel 0.

    -
    kSWM_T0_CAP_CHN1  -

    Movable function as Timer Capture Channel 1.

    -
    kSWM_T0_CAP_CHN2  -

    Movable function as Timer Capture Channel 2.

    -
    kSWM_T0_MAT_CHN0  -

    Movable function as Timer Match Channel 0.

    -
    kSWM_T0_MAT_CHN1  -

    Movable function as Timer Match Channel 1.

    -
    kSWM_T0_MAT_CHN2  -

    Movable function as Timer Match Channel 2.

    -
    kSWM_T0_MAT_CHN3  -

    Movable function as Timer Match Channel 3.

    -
    kSWM_I2C0_SDA  -

    Movable function as I2C0_SDA.

    -
    kSWM_I2C0_SCL  -

    Movable function as I2C0_SCL.

    -
    kSWM_ACMP_OUT  -

    Movable function as ACMP_OUT.

    -
    kSWM_CLKOUT  -

    Movable function as CLKOUT.

    -
    kSWM_GPIO_INT_BMAT  -

    Movable function as GPIO_INT_BMAT.

    -
    kSWM_LVLSHFT_IN0  -

    Movable function as LVLSHFT_IN0.

    -
    kSWM_LVLSHFT_IN1  -

    Movable function as LVLSHFT_IN1.

    -
    kSWM_LVLSHFT_OUT0  -

    Movable function as LVLSHFT_OUT0.

    -
    kSWM_LVLSHFT_OUT1  -

    Movable function as LVLSHFT_OUT1.

    -
    kSWM_I2C1_SDA  -

    Movable function as I2C1_SDA.

    -
    kSWM_I2C1_SCL  -

    Movable function as I2C1_SCL.

    -
    kSWM_PLU_CLKIN_IN  -

    Movable function as PLU_CLKIN_IN.

    -
    kSWM_CAPT_X0  -

    Movable function as CAPT_X0.

    -
    kSWM_CAPT_X1  -

    Movable function as CAPT_X1.

    -
    kSWM_CAPT_X2  -

    Movable function as CAPT_X2.

    -
    kSWM_CAPT_X3  -

    Movable function as CAPT_X3.

    -
    kSWM_CAPT_X4  -

    Movable function as CAPT_X4.

    -
    kSWM_CAPT_YL  -

    Movable function as CAPT_YL.

    -
    kSWM_CAPT_YH  -

    Movable function as CAPT_YH.

    -
    kSWM_MOVABLE_NUM_FUNCS  -

    Movable function number.

    +
    kPLU_OUTPUT_7  +

    PLU OUTPUT 7.

    - +
    - - - - - - - - - - - - - - - - - - - - - - - - - + + + + +
    Enumerator
    kSWM_ACMP_INPUT1  -

    Fixed-pin function as ACMP_INPUT1.

    +
    Enumerator
    kPLU_OUT_SRC_LUT_0  +

    Select LUT0 output to be connected to PLU output.

    kSWM_ACMP_INPUT2  -

    Fixed-pin function as ACMP_INPUT2.

    +
    kPLU_OUT_SRC_LUT_1  +

    Select LUT1 output to be connected to PLU output.

    kSWM_ACMP_INPUT3  -

    Fixed-pin function as ACMP_INPUT3.

    +
    kPLU_OUT_SRC_LUT_2  +

    Select LUT2 output to be connected to PLU output.

    kSWM_ACMP_INPUT4  -

    Fixed-pin function as ACMP_INPUT4.

    +
    kPLU_OUT_SRC_LUT_3  +

    Select LUT3 output to be connected to PLU output.

    kSWM_SWCLK  -

    Fixed-pin function as SWCLK.

    +
    kPLU_OUT_SRC_LUT_4  +

    Select LUT4 output to be connected to PLU output.

    kSWM_SWDIO  -

    Fixed-pin function as SWDIO.

    +
    kPLU_OUT_SRC_LUT_5  +

    Select LUT5 output to be connected to PLU output.

    kSWM_RESETN  -

    Fixed-pin function as RESETN.

    +
    kPLU_OUT_SRC_LUT_6  +

    Select LUT6 output to be connected to PLU output.

    kSWM_CLKIN  -

    Fixed-pin function as CLKIN.

    +
    kPLU_OUT_SRC_LUT_7  +

    Select LUT7 output to be connected to PLU output.

    kSWM_WKCLKIN  -

    Fixed-pin function as WKCLKIN.

    +
    kPLU_OUT_SRC_LUT_8  +

    Select LUT8 output to be connected to PLU output.

    kSWM_VDDCMP  -

    Fixed-pin function as VDDCMP.

    +
    kPLU_OUT_SRC_LUT_9  +

    Select LUT9 output to be connected to PLU output.

    kSWM_ADC_CHN0  -

    Fixed-pin function as ADC_CHN0.

    +
    kPLU_OUT_SRC_LUT_10  +

    Select LUT10 output to be connected to PLU output.

    kSWM_ADC_CHN1  -

    Fixed-pin function as ADC_CHN1.

    +
    kPLU_OUT_SRC_LUT_11  +

    Select LUT11 output to be connected to PLU output.

    kSWM_ADC_CHN2  -

    Fixed-pin function as ADC_CHN2.

    +
    kPLU_OUT_SRC_LUT_12  +

    Select LUT12 output to be connected to PLU output.

    kSWM_ADC_CHN3  -

    Fixed-pin function as ADC_CHN3.

    +
    kPLU_OUT_SRC_LUT_13  +

    Select LUT13 output to be connected to PLU output.

    kSWM_ADC_CHN4  -

    Fixed-pin function as ADC_CHN4.

    +
    kPLU_OUT_SRC_LUT_14  +

    Select LUT14 output to be connected to PLU output.

    kSWM_ADC_CHN5  -

    Fixed-pin function as ADC_CHN5.

    +
    kPLU_OUT_SRC_LUT_15  +

    Select LUT15 output to be connected to PLU output.

    kSWM_ADC_CHN6  -

    Fixed-pin function as ADC_CHN6.

    +
    kPLU_OUT_SRC_LUT_16  +

    Select LUT16 output to be connected to PLU output.

    kSWM_ADC_CHN7  -

    Fixed-pin function as ADC_CHN7.

    +
    kPLU_OUT_SRC_LUT_17  +

    Select LUT17 output to be connected to PLU output.

    kSWM_ADC_CHN8  -

    Fixed-pin function as ADC_CHN8.

    +
    kPLU_OUT_SRC_LUT_18  +

    Select LUT18 output to be connected to PLU output.

    kSWM_ADC_CHN9  -

    Fixed-pin function as ADC_CHN9.

    +
    kPLU_OUT_SRC_LUT_19  +

    Select LUT19 output to be connected to PLU output.

    kSWM_ADC_CHN10  -

    Fixed-pin function as ADC_CHN10.

    +
    kPLU_OUT_SRC_LUT_20  +

    Select LUT20 output to be connected to PLU output.

    kSWM_ADC_CHN11  -

    Fixed-pin function as ADC_CHN11.

    +
    kPLU_OUT_SRC_LUT_21  +

    Select LUT21 output to be connected to PLU output.

    kSWM_ACMP_INPUT5  -

    Fixed-pin function as ACMP_INPUT5.

    +
    kPLU_OUT_SRC_LUT_22  +

    Select LUT22 output to be connected to PLU output.

    kSWM_DAC_OUT0  -

    Fixed-pin function as DACOUT0.

    +
    kPLU_OUT_SRC_LUT_23  +

    Select LUT23 output to be connected to PLU output.

    kSWM_FIXEDPIN_NUM_FUNCS  -

    Fixed-pin function number.

    +
    kPLU_OUT_SRC_LUT_24  +

    Select LUT24 output to be connected to PLU output.

    +
    kPLU_OUT_SRC_LUT_25  +

    Select LUT25 output to be connected to PLU output.

    +
    kPLU_OUT_SRC_FLIPFLOP_0  +

    Select Flip-Flops state(0) to be connected to PLU output.

    +
    kPLU_OUT_SRC_FLIPFLOP_1  +

    Select Flip-Flops state(1) to be connected to PLU output.

    +
    kPLU_OUT_SRC_FLIPFLOP_2  +

    Select Flip-Flops state(2) to be connected to PLU output.

    +
    kPLU_OUT_SRC_FLIPFLOP_3  +

    Select Flip-Flops state(3) to be connected to PLU output.

    Function Documentation

    - +
    - + - - - - - + + - - - - - - - - - - - - -
    void SWM_SetMovablePinSelect void PLU_Init (SWM_Type * base,
    PLU_Type * base) swm_select_movable_t func,
    swm_port_pin_type_t swm_port_pin 
    )
    -

    This function will selects a pin (designated by its GPIO port and bit numbers) to a function.

    +
    Note
    This API should be called at the beginning of the application using the PLU driver.
    Parameters
    - - - +
    baseSWM peripheral base address.
    funcany function name that is movable.
    swm_port_pinany pin which has a GPIO port number and bit number.
    basePLU peripheral base address
    - +
    - + - - - - - + + - - - - - - - - - - - - -
    void SWM_SetFixedMovablePinSelect void PLU_Deinit (SWM_Type * base,
    PLU_Type * base) swm_select_fixed_movable_t func,
    swm_fixed_port_pin_type_t swm_port_pin 
    )
    -

    This function will selects a pin (designated by its GPIO port and bit numbers) to a function.

    Parameters
    - - - +
    baseSWM peripheral base address.
    funcany function name that is movable.
    swm_port_pinany pin which has a GPIO port number and bit number.
    basePLU peripheral base address
    - +
    + + + + + +
    - + - + - - + + - - + + + + + + + + @@ -1131,17 +868,152 @@ Driver version
    void SWM_SetFixedPinSelect static void PLU_SetLutInputSource (SWM_Type * PLU_Type *  base,
    swm_select_fixed_pin_t func, plu_lut_index_t lutIndex,
    bool enable plu_lut_in_index_t lutInIndex,
    plu_lut_input_source_t inputSrc 
    +
    +inlinestatic
    -

    This function will enables a fixed-pin function in PINENABLE0 or PINENABLE1.

    +

    Note: An external clock must be applied to the PLU_CLKIN input when using FFs. For each LUT, the slot associated with the output from LUTn itself is tied low.

    Parameters
    - - - + + + +
    baseSWM peripheral base address.
    funcany function name that is fixed pin.
    enableenable or disable.
    basePLU peripheral base address.
    lutIndexLUT index (see plu_lut_index_t typedef enumeration).
    lutInIndexLUT input index (see plu_lut_in_index_t typedef enumeration).
    inputSrcLUT input source (see plu_lut_input_source_t typedef enumeration).
    +
    +
    + +
    +
    + + + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + +
    static void PLU_SetOutputSource (PLU_Type * base,
    plu_output_index_t outputIndex,
    plu_output_source_t outputSrc 
    )
    +
    +inlinestatic
    +
    +

    Note: An external clock must be applied to the PLU_CLKIN input when using FFs.

    +
    Parameters
    + + + + +
    basePLU peripheral base address.
    outputIndexPLU output index (see plu_output_index_t typedef enumeration).
    outputSrcPLU output source (see plu_output_source_t typedef enumeration).
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + + + + + + + + + + + + + + + + + +
    static void PLU_SetLutTruthTable (PLU_Type * base,
    plu_lut_index_t lutIndex,
    uint32_t truthTable 
    )
    +
    +inlinestatic
    +
    +
    Parameters
    + + + + +
    basePLU peripheral base address.
    lutIndexLUT index (see plu_lut_index_t typedef enumeration).
    truthTableTruth Table value.
    +
    +
    + +
    +
    + +
    +
    + + + + + +
    + + + + + + + + +
    static uint32_t PLU_ReadOutputState (PLU_Type * base)
    +
    +inlinestatic
    +
    +

    Note: The PLU bus clock must be re-enabled prior to reading the Outpus Register if PLU bus clock is shut-off.

    +
    Parameters
    + + +
    basePLU peripheral base address.
    +
    +
    +
    Returns
    Current PLU output state value.
    +
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00089.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00089.js index 266a314..66eceb3 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00089.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00089.js @@ -1,172 +1,125 @@ var a00089 = [ - [ "FSL_SWM_DRIVER_VERSION", "a00089.html#gafa0f32bbd70b73222cc401d997b1f243", null ], - [ "swm_fixed_port_pin_type_t", "a00089.html#gabf427dd8dd6c86d0fac4f05b30e54475", [ - [ "kSWM_PLU_INPUT0_PortPin_P0_0", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9238a533be8bd8e0955b7a90f5ae4d44", null ], - [ "kSWM_PLU_INPUT0_PortPin_P0_8", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475ad0716ea2f10ff5fbd04234889b1e53ed", null ], - [ "kSWM_PLU_INPUT0_PortPin_P0_17", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a34bca304086fb621de87b04528f8164b", null ], - [ "kSWM_PLU_INPUT1_PortPin_P0_1", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af15b31098ffc1b3561d7ffbfd191c2e3", null ], - [ "kSWM_PLU_INPUT1_PortPin_P0_9", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa54388872ede616ae8431f22adf9b883", null ], - [ "kSWM_PLU_INPUT1_PortPin_P0_18", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475afa22c48b958dcc1d146a381ceb411dc6", null ], - [ "kSWM_PLU_INPUT2_PortPin_P0_2", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a7ac7b3d83eff1aca3ca60bf8102dac", null ], - [ "kSWM_PLU_INPUT2_PortPin_P0_10", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2e20c680e47cfed45e9ccd1462b921a1", null ], - [ "kSWM_PLU_INPUT2_PortPin_P0_19", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a576c74aa596dfebd41123a123b1e086f", null ], - [ "kSWM_PLU_INPUT3_PortPin_P0_3", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475ae557054f417e495346ddcc3e7f7162ba", null ], - [ "kSWM_PLU_INPUT3_PortPin_P0_11", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0ff213062c17f56f0bd74cf6510b1ee", null ], - [ "kSWM_PLU_INPUT3_PortPin_P0_20", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af6c85c442a0709477e5729c9dde36fdc", null ], - [ "kSWM_PLU_INPUT4_PortPin_P0_4", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a88cbbe93397f750184a74a2fb1635441", null ], - [ "kSWM_PLU_INPUT4_PortPin_P0_12", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a09729e747b6419e05e6b80eecd08b380", null ], - [ "kSWM_PLU_INPUT4_PortPin_P0_21", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af8ebc1a1ac7242706e0a4d3254de8a1a", null ], - [ "kSWM_PLU_INPUT5_PortPin_P0_5", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a4de20ff3218f9af0175dfba0a1aaa38d", null ], - [ "kSWM_PLU_INPUT5_PortPin_P0_13", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a30425caf231771228aa99f2871efa005", null ], - [ "kSWM_PLU_INPUT5_PortPin_P0_22", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a78528eef89bede351c7f85b2de156747", null ], - [ "kSWM_PLU_OUT0_PortPin_P0_7", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475acf4d35c0b2416225ace1794d2316d78b", null ], - [ "kSWM_PLU_OUT0_PortPin_P0_14", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa99b5d54d06869f8977cf41527daf915", null ], - [ "kSWM_PLU_OUT0_PortPin_P0_23", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a8b81353af093a8df62363344a1359c42", null ], - [ "kSWM_PLU_OUT1_PortPin_P0_8", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475ac53270179d009a402596effdc9ebabdc", null ], - [ "kSWM_PLU_OUT1_PortPin_P0_15", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0c66d3751768e52c7b283f7c94b17bce", null ], - [ "kSWM_PLU_OUT1_PortPin_P0_24", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a1b0e5339f4df77ac5085a16d8d0ff7", null ], - [ "kSWM_PLU_OUT2_PortPin_P0_9", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af3bbdfacb373ba7dbcbedde68406d03f", null ], - [ "kSWM_PLU_OUT2_PortPin_P0_16", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475acc1e82634c86fc3ee7c0a50026e901ad", null ], - [ "kSWM_PLU_OUT2_PortPin_P0_25", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2d217651e60f137293707c19d717af37", null ], - [ "kSWM_PLU_OUT3_PortPin_P0_10", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa9aeb1c6417d18b72b3d94aec5ac7431", null ], - [ "kSWM_PLU_OUT3_PortPin_P0_17", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a77450c6220c5a32fde70c7e91d3edbb0", null ], - [ "kSWM_PLU_OUT3_PortPin_P0_26", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0c298ece28ee4367783dcf472fe20b6", null ], - [ "kSWM_PLU_OUT4_PortPin_P0_11", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2da86e45befea6a916816c5e523daad5", null ], - [ "kSWM_PLU_OUT4_PortPin_P0_18", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a73d53097414e5fc5f4cbe2bcdc5b16d1", null ], - [ "kSWM_PLU_OUT4_PortPin_P0_27", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a58d7c20b50aaa229094c5dc60a0cb14b", null ], - [ "kSWM_PLU_OUT5_PortPin_P0_12", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9c78a8bb274fb2604cb4e80af4da988c", null ], - [ "kSWM_PLU_OUT5_PortPin_P0_19", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa4096fcdb3eb88b567870cf2f250208e", null ], - [ "kSWM_PLU_OUT5_PortPin_P0_28", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a31d722ef719c89924cd70efe94723ad3", null ], - [ "kSWM_PLU_OUT6_PortPin_P0_13", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a7ea7748ab2a2bb448eca9daab449a9fa", null ], - [ "kSWM_PLU_OUT6_PortPin_P0_20", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a89ea9c75bd1ef71e7f1d4c52544dad9f", null ], - [ "kSWM_PLU_OUT6_PortPin_P0_29", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2b352e3ffe67686dac289a8f8d86fba0", null ], - [ "kSWM_PLU_OUT7_PortPin_P0_14", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0038fe47f7308af0fb046b6977b5928e", null ], - [ "kSWM_PLU_OUT7_PortPin_P0_21", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af1e2e2ccc38fec30ae5b3e617524cdab", null ], - [ "kSWM_PLU_OUT7_PortPin_P0_30", "a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0ead9e203d786e410f1d21f19f02aed2", null ] + [ "FSL_PLU_DRIVER_VERSION", "a00089.html#ga399c1d55619ddf6310e44d679b3afaf0", null ], + [ "plu_lut_index_t", "a00089.html#gafe6cff20e6fc0c79d076a7b878f875a1", [ + [ "kPLU_LUT_0", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab2e2c007b30459eb00fedba56e37da25", null ], + [ "kPLU_LUT_1", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0784ad660fcb92a3859ed2c0efa77819", null ], + [ "kPLU_LUT_2", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5e95a4db197106de75dfccf6e5504364", null ], + [ "kPLU_LUT_3", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7f11a678cf930523e06f52b367f2eca5", null ], + [ "kPLU_LUT_4", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab8a42508ab4be0320796b2eba3b57a7d", null ], + [ "kPLU_LUT_5", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a60ff9ca06daaf5b4f945b6e2e89f4b36", null ], + [ "kPLU_LUT_6", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ae68d03749187a90877d1742a3d61e4a1", null ], + [ "kPLU_LUT_7", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a98359b577ce66e191e23d776d0d0628f", null ], + [ "kPLU_LUT_8", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa5bff988a77fc711fd0c7b84c1fb105c", null ], + [ "kPLU_LUT_9", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a518c8602828f0e104a12623958f587fc", null ], + [ "kPLU_LUT_10", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a9beff09b11808602b2120b42d9ab724e", null ], + [ "kPLU_LUT_11", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a63e2b4a4b53cde3b148d52f26d92e1d3", null ], + [ "kPLU_LUT_12", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a15bd1d988c6677a6a41edc0846851338", null ], + [ "kPLU_LUT_13", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a30bd16853ab1723b58f1747def9e4252", null ], + [ "kPLU_LUT_14", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7ccad915ba0e8df2a152409690994f16", null ], + [ "kPLU_LUT_15", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1af09d4068b7ef636c55a7ab0feb950a36", null ], + [ "kPLU_LUT_16", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1abcda2f4fbf266f73a80298ae2d090e47", null ], + [ "kPLU_LUT_17", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a6529478ac43b74b509ff0c2cd437e495", null ], + [ "kPLU_LUT_18", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7a4daf25836c5010a6b9ccc29f159091", null ], + [ "kPLU_LUT_19", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ada22615f50454e9ebd246a18a985f016", null ], + [ "kPLU_LUT_20", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0646ea5aa4c85d9c19a43f8211d0ffeb", null ], + [ "kPLU_LUT_21", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a31c3637504bb180309ccf71210ff6fd7", null ], + [ "kPLU_LUT_22", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5f6d7c46d68bd39e999c53ffabfa8372", null ], + [ "kPLU_LUT_23", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a55b6304360a86b29fb90a42da5aaf493", null ], + [ "kPLU_LUT_24", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa6e7a35876133d26d47ce9f76b72d520", null ], + [ "kPLU_LUT_25", "a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1afff7e144292e1eb0a47774207f42a102", null ] ] ], - [ "swm_port_pin_type_t", "a00089.html#gaca9c780388e187444bfa31a6bcc72d35", [ - [ "kSWM_PortPin_P0_0", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35abf7ea2c0f06252d5e966ca63f23dba2e", null ], - [ "kSWM_PortPin_P0_1", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a9010bbdc4d0ba698a18c200f27586c5a", null ], - [ "kSWM_PortPin_P0_2", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35aa8e8084f5ea75a2e776f4a8f8a8d5e61", null ], - [ "kSWM_PortPin_P0_3", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a3a31a8f0c23e7d75db386e0f1705fcb8", null ], - [ "kSWM_PortPin_P0_4", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a933c38c001bf24a62f0c8bf62e9bbbfb", null ], - [ "kSWM_PortPin_P0_5", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a75b66d0e23730af2446bdec683db11d3", null ], - [ "kSWM_PortPin_P0_6", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a4e2797855da4686eb7de9e75c5034d66", null ], - [ "kSWM_PortPin_P0_7", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a8a3af6c1f0f5791666b2c8409321ee0b", null ], - [ "kSWM_PortPin_P0_8", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ab0f66b94af6c72515e705077a0aea28b", null ], - [ "kSWM_PortPin_P0_9", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ace9b73074e1c03234c89b40ecd52a1e6", null ], - [ "kSWM_PortPin_P0_10", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35adb2af26fbb96cad1a3f4d666e3759e39", null ], - [ "kSWM_PortPin_P0_11", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a6514b3be861759fdc7f645c4a8190176", null ], - [ "kSWM_PortPin_P0_12", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a473548308d7fac0d5fa4ac959f81e444", null ], - [ "kSWM_PortPin_P0_13", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a0efea555d71ff08c985b3c002e49f25a", null ], - [ "kSWM_PortPin_P0_14", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ae2a752505db2acdb06e6047c3c447f63", null ], - [ "kSWM_PortPin_P0_15", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a21dd2c94b4ab7b133e9818a5a394f967", null ], - [ "kSWM_PortPin_P0_16", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a0c38ea80f06768e2ad6282fbc11d5187", null ], - [ "kSWM_PortPin_P0_17", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a74b6edcb7dba9f95182a4faa57dd110d", null ], - [ "kSWM_PortPin_P0_18", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a1eb0795f2598b9acf64e7abcb63f78d5", null ], - [ "kSWM_PortPin_P0_19", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a488a17a4e210b5000df9ecc534d24c8b", null ], - [ "kSWM_PortPin_P0_20", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a1127b8f8732d34f2c80daf825bb14853", null ], - [ "kSWM_PortPin_P0_21", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a8ec22695a3c8fbc028cc86299ca76b9a", null ], - [ "kSWM_PortPin_P0_22", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35af2a8176b461addf3d18b1128800dd7ef", null ], - [ "kSWM_PortPin_P0_23", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ae6795c51fac27d7426848568f125a6e8", null ], - [ "kSWM_PortPin_P0_24", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ac61483b0bbae6a06d6789e41aaf450fc", null ], - [ "kSWM_PortPin_P0_25", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a7a625624c8520faff1f2e6b0a1230ba5", null ], - [ "kSWM_PortPin_P0_26", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ac557f3233abd222c5cf7d809e082f94b", null ], - [ "kSWM_PortPin_P0_27", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35aea9feb0c4582cb00ea087fef692f7623", null ], - [ "kSWM_PortPin_P0_28", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35af3005bf86c4488117ca1396151d2c2af", null ], - [ "kSWM_PortPin_P0_29", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35acc5bcdfe59c04f2da33835496b3a2ddf", null ], - [ "kSWM_PortPin_P0_30", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35aeba0cfeb40308a0bd10bd33298bf9e84", null ], - [ "kSWM_PortPin_P0_31", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a7b0ebc4f977a126eb51422b3df962e87", null ], - [ "kSWM_PortPin_Reset", "a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a6873cb2ccda9efd34d7fdd75f3829f1c", null ] + [ "plu_lut_in_index_t", "a00089.html#gab4037d3c440abe260269986fbfd2087f", [ + [ "kPLU_LUT_IN_0", "a00089.html#ggab4037d3c440abe260269986fbfd2087fa648d32891b76f5ea3e6e5cf8a296c01b", null ], + [ "kPLU_LUT_IN_1", "a00089.html#ggab4037d3c440abe260269986fbfd2087fa62c7580fd67b1ffa1385d370a5d3a4e6", null ], + [ "kPLU_LUT_IN_2", "a00089.html#ggab4037d3c440abe260269986fbfd2087fa8587d933d69f3c3bfe42e848db879a20", null ], + [ "kPLU_LUT_IN_3", "a00089.html#ggab4037d3c440abe260269986fbfd2087fa06891c0dcbd6029f027b2d6398a447df", null ], + [ "kPLU_LUT_IN_4", "a00089.html#ggab4037d3c440abe260269986fbfd2087fa6b62d55b282bfe85f5de3d7d38c8c5fa", null ] ] ], - [ "swm_select_fixed_movable_t", "a00089.html#gabdebb214f81e7c4859bd60752b5958cd", [ - [ "kSWM_PLU_INPUT0", "a00089.html#ggabdebb214f81e7c4859bd60752b5958cda1dea0e7772dbe79bdf2cfb15e7a547a0", null ], - [ "kSWM_PLU_INPUT1", "a00089.html#ggabdebb214f81e7c4859bd60752b5958cdac9ea3883dbd984c68e4049f5fa48903c", null ], - [ "kSWM_PLU_INPUT2", "a00089.html#ggabdebb214f81e7c4859bd60752b5958cda669e23d5037d7508cace2b056a6e5299", null ], - [ "kSWM_PLU_INPUT3", "a00089.html#ggabdebb214f81e7c4859bd60752b5958cdaf70a738eecaddb8268f264bb7baf6b9b", null ], - [ "kSWM_PLU_INPUT4", "a00089.html#ggabdebb214f81e7c4859bd60752b5958cda8b3379b03023f7f9057837109b142230", null ], - [ "kSWM_PLU_INPUT5", "a00089.html#ggabdebb214f81e7c4859bd60752b5958cdae66a048f12b6af45145f2747fe9de530", null ], - [ "kSWM_PLU_OUT0", "a00089.html#ggabdebb214f81e7c4859bd60752b5958cdaa2fbf0c2913f92571b79aebda5d5cec6", null ], - [ "kSWM_PLU_OUT1", "a00089.html#ggabdebb214f81e7c4859bd60752b5958cda865830e9fdc6bf03bf1d477ca3c6a6ca", null ], - [ "kSWM_PLU_OUT2", "a00089.html#ggabdebb214f81e7c4859bd60752b5958cda5bb0b796d35f26e384e79aea47cf62b7", null ], - [ "kSWM_PLU_OUT3", "a00089.html#ggabdebb214f81e7c4859bd60752b5958cdad6866a46cce2335ee7228f696af14ea2", null ], - [ "kSWM_PLU_OUT4", "a00089.html#ggabdebb214f81e7c4859bd60752b5958cdaef14d94c177e35d440f3549aeed9c7b8", null ], - [ "kSWM_PLU_OUT5", "a00089.html#ggabdebb214f81e7c4859bd60752b5958cda66b170fb8a6d54c31eacce78c619adbf", null ], - [ "kSWM_PLU_OUT6", "a00089.html#ggabdebb214f81e7c4859bd60752b5958cdafae5eae69465f413508aac6ac906b7fe", null ], - [ "kSWM_PLU_OUT7", "a00089.html#ggabdebb214f81e7c4859bd60752b5958cdaafdc30e41c762800c39cd92cbffb2b31", null ], - [ "kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS", "a00089.html#ggabdebb214f81e7c4859bd60752b5958cdab1344f53d421d647d2f85460ff8630df", null ] + [ "plu_lut_input_source_t", "a00089.html#gaec74828294222d85c4341013b842eb05", [ + [ "kPLU_LUT_IN_SRC_PLU_IN_0", "a00089.html#ggaec74828294222d85c4341013b842eb05a8de9649d2a2fb07fe4e675f6401869d2", null ], + [ "kPLU_LUT_IN_SRC_PLU_IN_1", "a00089.html#ggaec74828294222d85c4341013b842eb05a70ba87af436721a2783e56f3117594ce", null ], + [ "kPLU_LUT_IN_SRC_PLU_IN_2", "a00089.html#ggaec74828294222d85c4341013b842eb05a1b56e9b3c1c5e46478eb5673c5bddaed", null ], + [ "kPLU_LUT_IN_SRC_PLU_IN_3", "a00089.html#ggaec74828294222d85c4341013b842eb05a45f568953423b0976250843eade62716", null ], + [ "kPLU_LUT_IN_SRC_PLU_IN_4", "a00089.html#ggaec74828294222d85c4341013b842eb05a6b32cf2706b79fff3a7fc2a1d1159e1b", null ], + [ "kPLU_LUT_IN_SRC_PLU_IN_5", "a00089.html#ggaec74828294222d85c4341013b842eb05ac68302205f055d68cd0833e6ec89cacb", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_0", "a00089.html#ggaec74828294222d85c4341013b842eb05a2e915ea983c34dc1e202a3ea99ece27b", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_1", "a00089.html#ggaec74828294222d85c4341013b842eb05ad79e240fc201ef98da1a4e217968f087", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_2", "a00089.html#ggaec74828294222d85c4341013b842eb05ad0c5942c2fb503a4561cb64b1b8b2ba5", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_3", "a00089.html#ggaec74828294222d85c4341013b842eb05a7d02ada60d9a59c5bba04cd6a2ca146c", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_4", "a00089.html#ggaec74828294222d85c4341013b842eb05a7701a2d64699c85a464acfe6d6f3ec21", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_5", "a00089.html#ggaec74828294222d85c4341013b842eb05abb535644479d02d916b2d2606934e28f", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_6", "a00089.html#ggaec74828294222d85c4341013b842eb05af941e0debe79fb78f1fdcf24068298b3", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_7", "a00089.html#ggaec74828294222d85c4341013b842eb05aa7ca2e6b97d2947ae2c9781eb66d9a38", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_8", "a00089.html#ggaec74828294222d85c4341013b842eb05a279388c181b6df93831630b7b3748fcc", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_9", "a00089.html#ggaec74828294222d85c4341013b842eb05a7cbee20d065a1de8eeb2e842fdca95f2", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_10", "a00089.html#ggaec74828294222d85c4341013b842eb05a5e28e0b496b69e7a57f43e810d1179b9", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_11", "a00089.html#ggaec74828294222d85c4341013b842eb05a19ecdb96a7c9b797b938851a9f22611c", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_12", "a00089.html#ggaec74828294222d85c4341013b842eb05a315a9f58216b1ac361dc5452805f4cb9", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_13", "a00089.html#ggaec74828294222d85c4341013b842eb05a23c7b1eac9733cda25f050d543111d89", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_14", "a00089.html#ggaec74828294222d85c4341013b842eb05a0e731c7f1daf5be7aa344b9f793ef02c", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_15", "a00089.html#ggaec74828294222d85c4341013b842eb05ae8e8fa7853444eb933183fd905b9ce9d", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_16", "a00089.html#ggaec74828294222d85c4341013b842eb05a8ba7dca9c3475364351932fc1a248ffc", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_17", "a00089.html#ggaec74828294222d85c4341013b842eb05a357a302e7e9f65b3c24b32b3348a18b1", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_18", "a00089.html#ggaec74828294222d85c4341013b842eb05a9b1b21d8bd068e9cb385406f5d2ae0f1", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_19", "a00089.html#ggaec74828294222d85c4341013b842eb05af73267254ab79f85cbbd45b698baf790", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_20", "a00089.html#ggaec74828294222d85c4341013b842eb05a2a7360dbf2b1ce3c37fec99ab6ea95c2", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_21", "a00089.html#ggaec74828294222d85c4341013b842eb05aea4c749172f50d02938115e943b1a62f", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_22", "a00089.html#ggaec74828294222d85c4341013b842eb05a2206f6b0a4c280e058652b183d193ad7", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_23", "a00089.html#ggaec74828294222d85c4341013b842eb05a7a4eb0b7daa528b354646ae876fdbc7d", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_24", "a00089.html#ggaec74828294222d85c4341013b842eb05a42744ca56fd5b26094f7f7ebc8142518", null ], + [ "kPLU_LUT_IN_SRC_LUT_OUT_25", "a00089.html#ggaec74828294222d85c4341013b842eb05a0866c5dfcf79f24a034e5487325ad089", null ], + [ "kPLU_LUT_IN_SRC_FLIPFLOP_0", "a00089.html#ggaec74828294222d85c4341013b842eb05ad7617c8c6cd8f49c832f501129279b8e", null ], + [ "kPLU_LUT_IN_SRC_FLIPFLOP_1", "a00089.html#ggaec74828294222d85c4341013b842eb05ac741b79171d673ec73a93a7c5e77cb10", null ], + [ "kPLU_LUT_IN_SRC_FLIPFLOP_2", "a00089.html#ggaec74828294222d85c4341013b842eb05ab46ce34ffd4906d48a7f1829861ff193", null ], + [ "kPLU_LUT_IN_SRC_FLIPFLOP_3", "a00089.html#ggaec74828294222d85c4341013b842eb05a26b5bf6af4b2b774757fc7fa42cdebdf", null ] ] ], - [ "swm_select_movable_t", "a00089.html#ga45575871cafcadc50292b9bba6b9d13d", [ - [ "kSWM_USART0_TXD", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da7a0b07e7613ca95cbae02f51e74338ae", null ], - [ "kSWM_USART0_RXD", "a00089.html#gga45575871cafcadc50292b9bba6b9d13dac38fd128ae8088af0ab82bb9e1ab2b0e", null ], - [ "kSWM_USART0_RTS", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da83269426ac6b85e729bd940e7c6bc168", null ], - [ "kSWM_USART0_CTS", "a00089.html#gga45575871cafcadc50292b9bba6b9d13dacf5086c4d10114ed1e2adf80ef0c1d8e", null ], - [ "kSWM_USART0_SCLK", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da95076efb390207c31347c9d6eaf7a568", null ], - [ "kSWM_USART1_TXD", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da3ca0015b97fb5a1b24b1f6fda113488d", null ], - [ "kSWM_USART1_RXD", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da40ca641c0138d551c28bb9dd184bf948", null ], - [ "kSWM_USART1_SCLK", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da209dc130cd5427683bedca3187726030", null ], - [ "kSWM_SPI0_SCK", "a00089.html#gga45575871cafcadc50292b9bba6b9d13dabd1859e7fd97d6aad4edf95f92eb1df0", null ], - [ "kSWM_SPI0_MOSI", "a00089.html#gga45575871cafcadc50292b9bba6b9d13dad6e9059822d87be57e82960aa79d9229", null ], - [ "kSWM_SPI0_MISO", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da84653c7b62da4f773676a39a2abe597a", null ], - [ "kSWM_SPI0_SSEL0", "a00089.html#gga45575871cafcadc50292b9bba6b9d13dadeb15fd0fbfb9f438e22762cad170359", null ], - [ "kSWM_SPI0_SSEL1", "a00089.html#gga45575871cafcadc50292b9bba6b9d13dacdcd02ea5803089ebcd609e227acab8b", null ], - [ "kSWM_T0_CAP_CHN0", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da14bfd78536056ebdc4d2bfed0438b5cf", null ], - [ "kSWM_T0_CAP_CHN1", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da048cac659ef579d1358cc6d2a7db2c3a", null ], - [ "kSWM_T0_CAP_CHN2", "a00089.html#gga45575871cafcadc50292b9bba6b9d13daef5103aadc41068ca6157543520491b2", null ], - [ "kSWM_T0_MAT_CHN0", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da0ac9c784851c59d1c334fba730bdc091", null ], - [ "kSWM_T0_MAT_CHN1", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da4be08849669f9f086a238335662c6ac1", null ], - [ "kSWM_T0_MAT_CHN2", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da11e574aeb2ec56f282a103d78c49ccbe", null ], - [ "kSWM_T0_MAT_CHN3", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da3ab2e3d866e55d84dc2c342eb660c0d5", null ], - [ "kSWM_I2C0_SDA", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da679e93580df161b665365f658345ed65", null ], - [ "kSWM_I2C0_SCL", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da6f0a53c70373fdbf41edf57122669a47", null ], - [ "kSWM_ACMP_OUT", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da76769594d29a6d8659c5c625b4212a24", null ], - [ "kSWM_CLKOUT", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da867964bdb44cfca767b2fe212243ad68", null ], - [ "kSWM_GPIO_INT_BMAT", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da9e23b0bd838b14f99f22d1ae76d63143", null ], - [ "kSWM_LVLSHFT_IN0", "a00089.html#gga45575871cafcadc50292b9bba6b9d13dacd8498beecae42d50d55fd9135fa5554", null ], - [ "kSWM_LVLSHFT_IN1", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da6edbcf66e2b9d21b36413d5913952b7b", null ], - [ "kSWM_LVLSHFT_OUT0", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da4bfff10a0df16a23aebb02bc2a19f662", null ], - [ "kSWM_LVLSHFT_OUT1", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da167b30e083150f7ef078b3fc15b9a2fb", null ], - [ "kSWM_I2C1_SDA", "a00089.html#gga45575871cafcadc50292b9bba6b9d13dab15fc1b1ba8e4f1a35fc314c60b467be", null ], - [ "kSWM_I2C1_SCL", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da5ba6a30f56eb6e001abb178bb215469a", null ], - [ "kSWM_PLU_CLKIN_IN", "a00089.html#gga45575871cafcadc50292b9bba6b9d13daf4805a2bfb2a47017d373209a10ce52d", null ], - [ "kSWM_CAPT_X0", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da5673e5074470fd8c7773f987a45612ab", null ], - [ "kSWM_CAPT_X1", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da74e21d63631f6e3eeae57269cc597ff9", null ], - [ "kSWM_CAPT_X2", "a00089.html#gga45575871cafcadc50292b9bba6b9d13dae11d56486ef46dfad9ea855f223483f2", null ], - [ "kSWM_CAPT_X3", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da144b0c83acca82fa910848f7587af18e", null ], - [ "kSWM_CAPT_X4", "a00089.html#gga45575871cafcadc50292b9bba6b9d13dadad77032cc009f3690361c9f4d552cdd", null ], - [ "kSWM_CAPT_YL", "a00089.html#gga45575871cafcadc50292b9bba6b9d13dabe002214e7dc409f243527310e689060", null ], - [ "kSWM_CAPT_YH", "a00089.html#gga45575871cafcadc50292b9bba6b9d13da839653f003a5f245984cbbb3e0ded090", null ], - [ "kSWM_MOVABLE_NUM_FUNCS", "a00089.html#gga45575871cafcadc50292b9bba6b9d13dac735c6243e08a73181d0f0f8f6c130bb", null ] + [ "plu_output_index_t", "a00089.html#gab2d50bbe43b91c74ff406a8d4c359f40", [ + [ "kPLU_OUTPUT_0", "a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a40255673c924ddc3a47215102db19c23", null ], + [ "kPLU_OUTPUT_1", "a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab977a1ed5f8dda05f237315bdacbfdce", null ], + [ "kPLU_OUTPUT_2", "a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a7f7830f2f3a70270d451466e7fd81b8e", null ], + [ "kPLU_OUTPUT_3", "a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a05f4915e3590779c64072c567ea8ef77", null ], + [ "kPLU_OUTPUT_4", "a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3b4542ce1acdb7bd4c87e184129a1cd2", null ], + [ "kPLU_OUTPUT_5", "a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3db75ac7e6eb4bd49bc6dfcbdca28bab", null ], + [ "kPLU_OUTPUT_6", "a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a42d0169861f7e6874c6cc1cfd38cfdee", null ], + [ "kPLU_OUTPUT_7", "a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab84844ba156c357257d0be920c79459d", null ] ] ], - [ "swm_select_fixed_pin_t", "a00089.html#ga6db188a71a269613f30825af80c02053", [ - [ "kSWM_ACMP_INPUT1", "a00089.html#gga6db188a71a269613f30825af80c02053a28fab9703b5177948f7409efa9ff848a", null ], - [ "kSWM_ACMP_INPUT2", "a00089.html#gga6db188a71a269613f30825af80c02053abd4f15c4f7b44b457764ab2aa67ac5ac", null ], - [ "kSWM_ACMP_INPUT3", "a00089.html#gga6db188a71a269613f30825af80c02053ad8072ce6e9985ae8377377ae49ba57d7", null ], - [ "kSWM_ACMP_INPUT4", "a00089.html#gga6db188a71a269613f30825af80c02053a2f09e0ca30217841402ba6bf16f8f785", null ], - [ "kSWM_SWCLK", "a00089.html#gga6db188a71a269613f30825af80c02053a9b86fc933fab954e947140ea4ca2ffe3", null ], - [ "kSWM_SWDIO", "a00089.html#gga6db188a71a269613f30825af80c02053ac0ebb404f4a50e198c79a35af9721e6c", null ], - [ "kSWM_RESETN", "a00089.html#gga6db188a71a269613f30825af80c02053a2c3df2d1d6d1fb817003b824eb699585", null ], - [ "kSWM_CLKIN", "a00089.html#gga6db188a71a269613f30825af80c02053add9353fb1a660338dc4129f564d43c41", null ], - [ "kSWM_WKCLKIN", "a00089.html#gga6db188a71a269613f30825af80c02053ae9a9d439d460166f8795ddd23c7135b5", null ], - [ "kSWM_VDDCMP", "a00089.html#gga6db188a71a269613f30825af80c02053a1c8051ee75028cdb756ce666cc9b1bb9", null ], - [ "kSWM_ADC_CHN0", "a00089.html#gga6db188a71a269613f30825af80c02053acc0420d5b902bffdc029c0a179fd9653", null ], - [ "kSWM_ADC_CHN1", "a00089.html#gga6db188a71a269613f30825af80c02053af96a078ddf8497b6680dcb8812abccaf", null ], - [ "kSWM_ADC_CHN2", "a00089.html#gga6db188a71a269613f30825af80c02053aab8f0dd610beed22acf2a5a2c3149a38", null ], - [ "kSWM_ADC_CHN3", "a00089.html#gga6db188a71a269613f30825af80c02053ab3e46c2499e53d6187acef5b9c92faeb", null ], - [ "kSWM_ADC_CHN4", "a00089.html#gga6db188a71a269613f30825af80c02053a131299a8724b490b48ff5ea4ae88ec85", null ], - [ "kSWM_ADC_CHN5", "a00089.html#gga6db188a71a269613f30825af80c02053a8f49833db881a5cca72e39060510fe33", null ], - [ "kSWM_ADC_CHN6", "a00089.html#gga6db188a71a269613f30825af80c02053a2cf1d219093b981b000fefd1b4b88835", null ], - [ "kSWM_ADC_CHN7", "a00089.html#gga6db188a71a269613f30825af80c02053af60644696c7226d16b940a379e974d07", null ], - [ "kSWM_ADC_CHN8", "a00089.html#gga6db188a71a269613f30825af80c02053aca55eb81b04844960f74d6b6f735f406", null ], - [ "kSWM_ADC_CHN9", "a00089.html#gga6db188a71a269613f30825af80c02053a332c28d49858ffceda3b7d9a9a317d0c", null ], - [ "kSWM_ADC_CHN10", "a00089.html#gga6db188a71a269613f30825af80c02053a3872c11496f6cd2755a2cc33edeee25e", null ], - [ "kSWM_ADC_CHN11", "a00089.html#gga6db188a71a269613f30825af80c02053a1195026daab11c8e8e8a07ea2588dc59", null ], - [ "kSWM_ACMP_INPUT5", "a00089.html#gga6db188a71a269613f30825af80c02053ad1b1f498a44a52ed74eab7333c03e177", null ], - [ "kSWM_DAC_OUT0", "a00089.html#gga6db188a71a269613f30825af80c02053aafaffe0fe7c5ed4eaed04bd7acac4f0b", null ], - [ "kSWM_FIXEDPIN_NUM_FUNCS", "a00089.html#gga6db188a71a269613f30825af80c02053a609f40f8714286a7fce78c131a97b69f", null ] + [ "plu_output_source_t", "a00089.html#ga3ebfc228971e1d13f03847952605c2d2", [ + [ "kPLU_OUT_SRC_LUT_0", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a4ce7c06a3aa62401716670839ee2db9d", null ], + [ "kPLU_OUT_SRC_LUT_1", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a7ee81d7d75ed79784d00718ee8b52883", null ], + [ "kPLU_OUT_SRC_LUT_2", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a71f80a9c0585243f033e80575cc9ef71", null ], + [ "kPLU_OUT_SRC_LUT_3", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a318c6f61334de6ee3dfdfedd85c892aa", null ], + [ "kPLU_OUT_SRC_LUT_4", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2af58d23d9fe1120ca0bd0c9832b9c81df", null ], + [ "kPLU_OUT_SRC_LUT_5", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a3e4635d41b82d9da053cda8b53b16237", null ], + [ "kPLU_OUT_SRC_LUT_6", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae8a09b9553f3e191688cfe6844bc26b9", null ], + [ "kPLU_OUT_SRC_LUT_7", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2ac5055185f27452b220491009e47a8843", null ], + [ "kPLU_OUT_SRC_LUT_8", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2ab1a914c426c7434b66a2a70e28c91d6d", null ], + [ "kPLU_OUT_SRC_LUT_9", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a1bfd5fb60cb03e1ec3ff1cc2b859205d", null ], + [ "kPLU_OUT_SRC_LUT_10", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2aa0c5d92b16c617f2c77ab0b2e2e6ebb1", null ], + [ "kPLU_OUT_SRC_LUT_11", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a6c8dcd271aa50f8847c21031ca1651cc", null ], + [ "kPLU_OUT_SRC_LUT_12", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a5b731b787441958b61eb1ef6f13c0a8c", null ], + [ "kPLU_OUT_SRC_LUT_13", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a55478a1fa529d12411191d3b57b6aa6f", null ], + [ "kPLU_OUT_SRC_LUT_14", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2acf68ff6a086185f803b22698327e3109", null ], + [ "kPLU_OUT_SRC_LUT_15", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2afa8b125d171fda1128d55ee1ce6b1bb8", null ], + [ "kPLU_OUT_SRC_LUT_16", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2acb147f2edce9b8da4c454f80f94ac43c", null ], + [ "kPLU_OUT_SRC_LUT_17", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a9dca2593aa3f9571111177158871a171", null ], + [ "kPLU_OUT_SRC_LUT_18", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a74581067715a2b1448ded8335f1618a2", null ], + [ "kPLU_OUT_SRC_LUT_19", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2ad50df8fa854b16d2945d2e98dceb167d", null ], + [ "kPLU_OUT_SRC_LUT_20", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae6dd471565ca87404e3e950f0b4896ec", null ], + [ "kPLU_OUT_SRC_LUT_21", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a3cffbba2da3be0f386061cdc43c5c58a", null ], + [ "kPLU_OUT_SRC_LUT_22", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2af659716e11aa0911a531d2cdc19bf3ea", null ], + [ "kPLU_OUT_SRC_LUT_23", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a47395d18c548dff7cf1e82891808ecc6", null ], + [ "kPLU_OUT_SRC_LUT_24", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae648baa1c80d2711d1bb2bb4fc077827", null ], + [ "kPLU_OUT_SRC_LUT_25", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a0912636699433338f1c5db68c7bbec7f", null ], + [ "kPLU_OUT_SRC_FLIPFLOP_0", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a22a26e5d5f65d4ac8491ad2c87ebeef3", null ], + [ "kPLU_OUT_SRC_FLIPFLOP_1", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a1afdc6f86b2c95d086b0c7d2d60d26cc", null ], + [ "kPLU_OUT_SRC_FLIPFLOP_2", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a117f999dffa45b676b077d373cb2e086", null ], + [ "kPLU_OUT_SRC_FLIPFLOP_3", "a00089.html#gga3ebfc228971e1d13f03847952605c2d2a2a0154f5345c71bae6f44244fcb30d46", null ] ] ], - [ "SWM_SetMovablePinSelect", "a00089.html#gaae2dc5c29ae2358c616fa8a2b61a7e4b", null ], - [ "SWM_SetFixedMovablePinSelect", "a00089.html#gae7a3cbb33db3c5d3f6663bdd21fb32e4", null ], - [ "SWM_SetFixedPinSelect", "a00089.html#ga55452f734d7cc5e02195ed926e4484c8", null ] + [ "PLU_Init", "a00089.html#ga9223774c024634ea6aef20ccd4768810", null ], + [ "PLU_Deinit", "a00089.html#ga4d562c154da2a9152f224e0dbeddb82c", null ], + [ "PLU_SetLutInputSource", "a00089.html#ga4b8afdbebd21774bd0c8898077753901", null ], + [ "PLU_SetOutputSource", "a00089.html#ga17f61bbdb9778ca2d86609be38e4bc09", null ], + [ "PLU_SetLutTruthTable", "a00089.html#gaa0db99613861d08587e9bf1dd0defe84", null ], + [ "PLU_ReadOutputState", "a00089.html#gad119096a745ccaf2fe302d9f25e799d3", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00090.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00090.html index 63fbd43..ce44d9f 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00090.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00090.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: SYSCON: System Configuration +MCUXpresso SDK API Reference Manual: SWM: Switch Matrix Module @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - - - +

    Files

    file  fsl_syscon.h
     
    file  fsl_syscon.h
    file  fsl_swm.h
     
    - - - + + + + + +

    Functions

    void SYSCON_AttachSignal (SYSCON_Type *base, uint32_t index, syscon_connection_t connection)
     Attaches a signal. More...
     
    void SWM_SetMovablePinSelect (SWM_Type *base, swm_select_movable_t func, swm_port_pin_type_t swm_port_pin)
     Assignment of digital peripheral functions to pins. More...
     
    void SWM_SetFixedPinSelect (SWM_Type *base, swm_select_fixed_pin_t func, bool enable)
     Enable the fixed-pin function. More...
     
    - + - - - - - - - + + + + + + + + + + + + + +

    -Syscon multiplexing connections

    enum  syscon_connection_t { kSYSCON_GpioPort0Pin0ToPintsel = 0U + (PINTSEL_ID << SYSCON_SHIFT) +swm connections
    enum  swm_fixed_port_pin_type_t {
    +  kSWM_PLU_INPUT0_PortPin_P0_0 = 0x00U, +
    +  kSWM_PLU_INPUT0_PortPin_P0_8 = 0x01U, +
    +  kSWM_PLU_INPUT0_PortPin_P0_17 = 0x02U, +
    +  kSWM_PLU_INPUT1_PortPin_P0_1 = 0x00U, +
    +  kSWM_PLU_INPUT1_PortPin_P0_9 = 0x01U, +
    +  kSWM_PLU_INPUT1_PortPin_P0_18 = 0x02U, +
    +  kSWM_PLU_INPUT2_PortPin_P0_2 = 0x00U, +
    +  kSWM_PLU_INPUT2_PortPin_P0_10 = 0x01U, +
    +  kSWM_PLU_INPUT2_PortPin_P0_19 = 0x02U, +
    +  kSWM_PLU_INPUT3_PortPin_P0_3 = 0x00U, +
    +  kSWM_PLU_INPUT3_PortPin_P0_11 = 0x01U, +
    +  kSWM_PLU_INPUT3_PortPin_P0_20 = 0x02U, +
    +  kSWM_PLU_INPUT4_PortPin_P0_4 = 0x00U, +
    +  kSWM_PLU_INPUT4_PortPin_P0_12 = 0x01U, +
    +  kSWM_PLU_INPUT4_PortPin_P0_21 = 0x02U, +
    +  kSWM_PLU_INPUT5_PortPin_P0_5 = 0x00U, +
    +  kSWM_PLU_INPUT5_PortPin_P0_13 = 0x01U, +
    +  kSWM_PLU_INPUT5_PortPin_P0_22 = 0x02U, +
    +  kSWM_PLU_OUT0_PortPin_P0_7 = 0x00U, +
    +  kSWM_PLU_OUT0_PortPin_P0_14 = 0x01U, +
    +  kSWM_PLU_OUT0_PortPin_P0_23 = 0x02U, +
    +  kSWM_PLU_OUT1_PortPin_P0_8 = 0x00U, +
    +  kSWM_PLU_OUT1_PortPin_P0_15 = 0x01U, +
    +  kSWM_PLU_OUT1_PortPin_P0_24 = 0x02U, +
    +  kSWM_PLU_OUT2_PortPin_P0_9 = 0x00U, +
    +  kSWM_PLU_OUT2_PortPin_P0_16 = 0x01U, +
    +  kSWM_PLU_OUT2_PortPin_P0_25 = 0x02U, +
    +  kSWM_PLU_OUT3_PortPin_P0_10 = 0x00U, +
    +  kSWM_PLU_OUT3_PortPin_P0_17 = 0x01U, +
    +  kSWM_PLU_OUT3_PortPin_P0_26 = 0x02U, +
    +  kSWM_PLU_OUT4_PortPin_P0_11 = 0x00U, +
    +  kSWM_PLU_OUT4_PortPin_P0_18 = 0x01U, +
    +  kSWM_PLU_OUT4_PortPin_P0_27 = 0x02U, +
    +  kSWM_PLU_OUT5_PortPin_P0_12 = 0x00U, +
    +  kSWM_PLU_OUT5_PortPin_P0_19 = 0x01U, +
    +  kSWM_PLU_OUT5_PortPin_P0_28 = 0x02U, +
    +  kSWM_PLU_OUT6_PortPin_P0_13 = 0x00U, +
    +  kSWM_PLU_OUT6_PortPin_P0_20 = 0x01U, +
    +  kSWM_PLU_OUT6_PortPin_P0_29 = 0x02U, +
    +  kSWM_PLU_OUT7_PortPin_P0_14 = 0x00U, +
    +  kSWM_PLU_OUT7_PortPin_P0_21 = 0x01U, +
    +  kSWM_PLU_OUT7_PortPin_P0_30 = 0x02U +
    }
     SYSCON connections type. More...
     
    -#define PINTSEL_ID   0x178U
     Periphinmux IDs.
     
    -#define SYSCON_SHIFT   20U
     
     SWM pinassignfixed_port_pin number. More...
     
    enum  swm_port_pin_type_t {
    +  kSWM_PortPin_P0_0 = 0U, +
    +  kSWM_PortPin_P0_1 = 1U, +
    +  kSWM_PortPin_P0_2 = 2U, +
    +  kSWM_PortPin_P0_3 = 3U, +
    +  kSWM_PortPin_P0_4 = 4U, +
    +  kSWM_PortPin_P0_5 = 5U, +
    +  kSWM_PortPin_P0_6 = 6U, +
    +  kSWM_PortPin_P0_7 = 7U, +
    +  kSWM_PortPin_P0_8 = 8U, +
    +  kSWM_PortPin_P0_9 = 9U, +
    +  kSWM_PortPin_P0_10 = 10U, +
    +  kSWM_PortPin_P0_11 = 11U, +
    +  kSWM_PortPin_P0_12 = 12U, +
    +  kSWM_PortPin_P0_13 = 13U, +
    +  kSWM_PortPin_P0_14 = 14U, +
    +  kSWM_PortPin_P0_15 = 15U, +
    +  kSWM_PortPin_P0_16 = 16U, +
    +  kSWM_PortPin_P0_17 = 17U, +
    +  kSWM_PortPin_P0_18 = 18U, +
    +  kSWM_PortPin_P0_19 = 19U, +
    +  kSWM_PortPin_P0_20 = 20U, +
    +  kSWM_PortPin_P0_21 = 21U, +
    +  kSWM_PortPin_P0_22 = 22U, +
    +  kSWM_PortPin_P0_23 = 23U, +
    +  kSWM_PortPin_P0_24 = 24U, +
    +  kSWM_PortPin_P0_25 = 25U, +
    +  kSWM_PortPin_P0_26 = 26U, +
    +  kSWM_PortPin_P0_27 = 27U, +
    +  kSWM_PortPin_P0_28 = 28U, +
    +  kSWM_PortPin_P0_29 = 29U, +
    +  kSWM_PortPin_P0_30 = 30U, +
    +  kSWM_PortPin_P0_31 = 31U, +
    +  kSWM_PortPin_Reset = 0xffU +
    + }
     SWM port_pin number. More...
     
    enum  swm_select_fixed_movable_t {
    +  kSWM_PLU_INPUT0 = 0U, +
    +  kSWM_PLU_INPUT1 = 1U, +
    +  kSWM_PLU_INPUT2 = 2U, +
    +  kSWM_PLU_INPUT3 = 3U, +
    +  kSWM_PLU_INPUT4 = 4U, +
    +  kSWM_PLU_INPUT5 = 5U, +
    +  kSWM_PLU_OUT0 = 6U, +
    +  kSWM_PLU_OUT1 = 7U, +
    +  kSWM_PLU_OUT2 = 8U, +
    +  kSWM_PLU_OUT3 = 9U, +
    +  kSWM_PLU_OUT4 = 10U, +
    +  kSWM_PLU_OUT5 = 11U, +
    +  kSWM_PLU_OUT6 = 12U, +
    +  kSWM_PLU_OUT7 = 13U, +
    +  kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS = 14U +
    + }
     SWM pinassignfixed movable selection. More...
     
    enum  swm_select_movable_t {
    +  kSWM_USART0_TXD = 0U, +
    +  kSWM_USART0_RXD = 1U, +
    +  kSWM_USART0_RTS = 2U, +
    +  kSWM_USART0_CTS = 3U, +
    +  kSWM_USART0_SCLK = 4U, +
    +  kSWM_USART1_TXD = 5U, +
    +  kSWM_USART1_RXD = 6U, +
    +  kSWM_USART1_SCLK = 7U, +
    +  kSWM_SPI0_SCK = 8U, +
    +  kSWM_SPI0_MOSI = 9U, +
    +  kSWM_SPI0_MISO = 10U, +
    +  kSWM_SPI0_SSEL0 = 11U, +
    +  kSWM_SPI0_SSEL1 = 12U, +
    +  kSWM_T0_CAP_CHN0 = 13U, +
    +  kSWM_T0_CAP_CHN1 = 14U, +
    +  kSWM_T0_CAP_CHN2 = 15U, +
    +  kSWM_T0_MAT_CHN0 = 16U, +
    +  kSWM_T0_MAT_CHN1 = 17U, +
    +  kSWM_T0_MAT_CHN2 = 18U, +
    +  kSWM_T0_MAT_CHN3 = 19U, +
    +  kSWM_I2C0_SDA = 20U, +
    +  kSWM_I2C0_SCL = 21U, +
    +  kSWM_ACMP_OUT = 22U, +
    +  kSWM_CLKOUT = 23U, +
    +  kSWM_GPIO_INT_BMAT = 24U, +
    +  kSWM_LVLSHFT_IN0 = 25U, +
    +  kSWM_LVLSHFT_IN1 = 26U, +
    +  kSWM_LVLSHFT_OUT0 = 27U, +
    +  kSWM_LVLSHFT_OUT1 = 28U, +
    +  kSWM_I2C1_SDA = 29U, +
    +  kSWM_I2C1_SCL = 30U, +
    +  kSWM_PLU_CLKIN_IN = 31U, +
    +  kSWM_CAPT_X0 = 32U, +
    +  kSWM_CAPT_X1 = 33U, +
    +  kSWM_CAPT_X2 = 34U, +
    +  kSWM_CAPT_X3 = 35U, +
    +  kSWM_CAPT_X4 = 36U, +
    +  kSWM_CAPT_YL = 37U, +
    +  kSWM_CAPT_YH = 38U, +
    +  kSWM_MOVABLE_NUM_FUNCS = 39U +
    + }
     SWM movable selection. More...
     
    enum  swm_select_fixed_pin_t {
    +  kSWM_ACMP_INPUT1 = SWM_PINENABLE0_ACMP_I1_MASK, +
    +  kSWM_ACMP_INPUT2 = SWM_PINENABLE0_ACMP_I2_MASK, +
    +  kSWM_ACMP_INPUT3 = SWM_PINENABLE0_ACMP_I3_MASK, +
    +  kSWM_ACMP_INPUT4 = SWM_PINENABLE0_ACMP_I4_MASK, +
    +  kSWM_SWCLK = SWM_PINENABLE0_SWCLK_MASK, +
    +  kSWM_SWDIO = SWM_PINENABLE0_SWDIO_MASK, +
    +  kSWM_RESETN = SWM_PINENABLE0_RESETN_MASK, +
    +  kSWM_CLKIN = SWM_PINENABLE0_CLKIN_MASK, +
    +  kSWM_WKCLKIN = SWM_PINENABLE0_WKCLKIN_MASK, +
    +  kSWM_VDDCMP = SWM_PINENABLE0_VDDCMP_MASK, +
    +  kSWM_ADC_CHN0 = SWM_PINENABLE0_ADC_0_MASK, +
    +  kSWM_ADC_CHN1 = SWM_PINENABLE0_ADC_1_MASK, +
    +  kSWM_ADC_CHN2 = SWM_PINENABLE0_ADC_2_MASK, +
    +  kSWM_ADC_CHN3 = SWM_PINENABLE0_ADC_3_MASK, +
    +  kSWM_ADC_CHN4 = SWM_PINENABLE0_ADC_4_MASK, +
    +  kSWM_ADC_CHN5 = SWM_PINENABLE0_ADC_5_MASK, +
    +  kSWM_ADC_CHN6 = SWM_PINENABLE0_ADC_6_MASK, +
    +  kSWM_ADC_CHN7 = SWM_PINENABLE0_ADC_7_MASK, +
    +  kSWM_ADC_CHN8 = SWM_PINENABLE0_ADC_8_MASK, +
    +  kSWM_ADC_CHN9 = SWM_PINENABLE0_ADC_9_MASK, +
    +  kSWM_ADC_CHN10 = SWM_PINENABLE0_ADC_10_MASK, +
    +  kSWM_ADC_CHN11 = SWM_PINENABLE0_ADC_11_MASK, +
    +  kSWM_ACMP_INPUT5 = SWM_PINENABLE0_ACMP_I5_MASK, +
    +  kSWM_DAC_OUT0 = SWM_PINENABLE0_DACOUT0_MASK, +
    +  kSWM_FIXEDPIN_NUM_FUNCS = (int)0x80000001U +
    + }
     SWM fixed pin selection. More...
     
    - - - + + +

    Driver version

    #define FSL_SYSON_DRIVER_VERSION   (MAKE_VERSION(2, 0, 1))
     Group syscon driver version for SDK. More...
     
    #define FSL_SWM_DRIVER_VERSION   (MAKE_VERSION(2, 1, 2))
     LPC SWM driver version. More...
     

    Macro Definition Documentation

    - +
    - +
    #define FSL_SYSON_DRIVER_VERSION   (MAKE_VERSION(2, 0, 1))#define FSL_SWM_DRIVER_VERSION   (MAKE_VERSION(2, 1, 2))
    -

    Version 2.0.1.

    Enumeration Type Documentation

    - +
    - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Enumerator
    kSYSCON_GpioPort0Pin0ToPintsel  -

    Pin Interrupt.

    +
    Enumerator
    kSWM_PLU_INPUT0_PortPin_P0_0  +

    port_pin number P0_0.

    +
    kSWM_PLU_INPUT0_PortPin_P0_8  +

    port_pin number P0_8.

    +
    kSWM_PLU_INPUT0_PortPin_P0_17  +

    port_pin number P0_17.

    +
    kSWM_PLU_INPUT1_PortPin_P0_1  +

    port_pin number P0_1.

    +
    kSWM_PLU_INPUT1_PortPin_P0_9  +

    port_pin number P0_9.

    +
    kSWM_PLU_INPUT1_PortPin_P0_18  +

    port_pin number P0_18.

    +
    kSWM_PLU_INPUT2_PortPin_P0_2  +

    port_pin number P0_2.

    +
    kSWM_PLU_INPUT2_PortPin_P0_10  +

    port_pin number P0_10.

    +
    kSWM_PLU_INPUT2_PortPin_P0_19  +

    port_pin number P0_19.

    +
    kSWM_PLU_INPUT3_PortPin_P0_3  +

    port_pin number P0_3.

    +
    kSWM_PLU_INPUT3_PortPin_P0_11  +

    port_pin number P0_11.

    +
    kSWM_PLU_INPUT3_PortPin_P0_20  +

    port_pin number P0_20.

    +
    kSWM_PLU_INPUT4_PortPin_P0_4  +

    port_pin number P0_4.

    +
    kSWM_PLU_INPUT4_PortPin_P0_12  +

    port_pin number P0_12.

    +
    kSWM_PLU_INPUT4_PortPin_P0_21  +

    port_pin number P0_21.

    +
    kSWM_PLU_INPUT5_PortPin_P0_5  +

    port_pin number P0_5.

    +
    kSWM_PLU_INPUT5_PortPin_P0_13  +

    port_pin number P0_13.

    +
    kSWM_PLU_INPUT5_PortPin_P0_22  +

    port_pin number P0_22.

    +
    kSWM_PLU_OUT0_PortPin_P0_7  +

    port_pin number P0_7.

    +
    kSWM_PLU_OUT0_PortPin_P0_14  +

    port_pin number P0_14.

    +
    kSWM_PLU_OUT0_PortPin_P0_23  +

    port_pin number P0_23.

    +
    kSWM_PLU_OUT1_PortPin_P0_8  +

    port_pin number P0_8.

    +
    kSWM_PLU_OUT1_PortPin_P0_15  +

    port_pin number P0_15.

    +
    kSWM_PLU_OUT1_PortPin_P0_24  +

    port_pin number P0_24.

    +
    kSWM_PLU_OUT2_PortPin_P0_9  +

    port_pin number P0_9.

    +
    kSWM_PLU_OUT2_PortPin_P0_16  +

    port_pin number P0_16.

    +
    kSWM_PLU_OUT2_PortPin_P0_25  +

    port_pin number P0_25.

    +
    kSWM_PLU_OUT3_PortPin_P0_10  +

    port_pin number P0_10.

    +
    kSWM_PLU_OUT3_PortPin_P0_17  +

    port_pin number P0_17.

    +
    kSWM_PLU_OUT3_PortPin_P0_26  +

    port_pin number P0_26.

    +
    kSWM_PLU_OUT4_PortPin_P0_11  +

    port_pin number P0_11.

    +
    kSWM_PLU_OUT4_PortPin_P0_18  +

    port_pin number P0_18.

    +
    kSWM_PLU_OUT4_PortPin_P0_27  +

    port_pin number P0_27.

    +
    kSWM_PLU_OUT5_PortPin_P0_12  +

    port_pin number P0_12.

    +
    kSWM_PLU_OUT5_PortPin_P0_19  +

    port_pin number P0_19.

    +
    kSWM_PLU_OUT5_PortPin_P0_28  +

    port_pin number P0_28.

    +
    kSWM_PLU_OUT6_PortPin_P0_13  +

    port_pin number P0_13.

    +
    kSWM_PLU_OUT6_PortPin_P0_20  +

    port_pin number P0_20.

    +
    kSWM_PLU_OUT6_PortPin_P0_29  +

    port_pin number P0_29.

    +
    kSWM_PLU_OUT7_PortPin_P0_14  +

    port_pin number P0_14.

    +
    kSWM_PLU_OUT7_PortPin_P0_21  +

    port_pin number P0_21.

    +
    kSWM_PLU_OUT7_PortPin_P0_30  +

    port_pin number P0_30.

    +
    + +
    +
    + +
    +
    + + + + +
    enum swm_port_pin_type_t
    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Enumerator
    kSWM_PortPin_P0_0  +

    port_pin number P0_0.

    +
    kSWM_PortPin_P0_1  +

    port_pin number P0_1.

    +
    kSWM_PortPin_P0_2  +

    port_pin number P0_2.

    +
    kSWM_PortPin_P0_3  +

    port_pin number P0_3.

    +
    kSWM_PortPin_P0_4  +

    port_pin number P0_4.

    +
    kSWM_PortPin_P0_5  +

    port_pin number P0_5.

    +
    kSWM_PortPin_P0_6  +

    port_pin number P0_6.

    +
    kSWM_PortPin_P0_7  +

    port_pin number P0_7.

    +
    kSWM_PortPin_P0_8  +

    port_pin number P0_8.

    +
    kSWM_PortPin_P0_9  +

    port_pin number P0_9.

    +
    kSWM_PortPin_P0_10  +

    port_pin number P0_10.

    +
    kSWM_PortPin_P0_11  +

    port_pin number P0_11.

    +
    kSWM_PortPin_P0_12  +

    port_pin number P0_12.

    +
    kSWM_PortPin_P0_13  +

    port_pin number P0_13.

    +
    kSWM_PortPin_P0_14  +

    port_pin number P0_14.

    +
    kSWM_PortPin_P0_15  +

    port_pin number P0_15.

    +
    kSWM_PortPin_P0_16  +

    port_pin number P0_16.

    +
    kSWM_PortPin_P0_17  +

    port_pin number P0_17.

    +
    kSWM_PortPin_P0_18  +

    port_pin number P0_18.

    +
    kSWM_PortPin_P0_19  +

    port_pin number P0_19.

    +
    kSWM_PortPin_P0_20  +

    port_pin number P0_20.

    +
    kSWM_PortPin_P0_21  +

    port_pin number P0_21.

    +
    kSWM_PortPin_P0_22  +

    port_pin number P0_22.

    +
    kSWM_PortPin_P0_23  +

    port_pin number P0_23.

    +
    kSWM_PortPin_P0_24  +

    port_pin number P0_24.

    +
    kSWM_PortPin_P0_25  +

    port_pin number P0_25.

    +
    kSWM_PortPin_P0_26  +

    port_pin number P0_26.

    +
    kSWM_PortPin_P0_27  +

    port_pin number P0_27.

    +
    kSWM_PortPin_P0_28  +

    port_pin number P0_28.

    +
    kSWM_PortPin_P0_29  +

    port_pin number P0_29.

    +
    kSWM_PortPin_P0_30  +

    port_pin number P0_30.

    +
    kSWM_PortPin_P0_31  +

    port_pin number P0_31.

    +
    kSWM_PortPin_Reset  +

    port_pin reset number.

    +
    + +
    +
    + +
    +
    + + + + +
    enum swm_select_fixed_movable_t
    +
    + + + + + + + + + + + + + + + + +
    Enumerator
    kSWM_PLU_INPUT0  +

    Movable function as PLU_INPUT0.

    +
    kSWM_PLU_INPUT1  +

    Movable function as PLU_INPUT1.

    +
    kSWM_PLU_INPUT2  +

    Movable function as PLU_INPUT2.

    +
    kSWM_PLU_INPUT3  +

    Movable function as PLU_INPUT3.

    +
    kSWM_PLU_INPUT4  +

    Movable function as PLU_INPUT4.

    +
    kSWM_PLU_INPUT5  +

    Movable function as PLU_INPUT5.

    +
    kSWM_PLU_OUT0  +

    Movable function as PLU_OUT0.

    +
    kSWM_PLU_OUT1  +

    Movable function as PLU_OUT1.

    +
    kSWM_PLU_OUT2  +

    Movable function as PLU_OUT2.

    +
    kSWM_PLU_OUT3  +

    Movable function as PLU_OUT3.

    +
    kSWM_PLU_OUT4  +

    Movable function as PLU_OUT4.

    +
    kSWM_PLU_OUT5  +

    Movable function as PLU_OUT5.

    +
    kSWM_PLU_OUT6  +

    Movable function as PLU_OUT6.

    +
    kSWM_PLU_OUT7  +

    Movable function as PLU_OUT7.

    +
    kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS  +

    Movable function number.

    +
    + +
    +
    + +
    +
    + + + + +
    enum swm_select_movable_t
    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Enumerator
    kSWM_USART0_TXD  +

    Movable function as USART0_TXD.

    +
    kSWM_USART0_RXD  +

    Movable function as USART0_RXD.

    +
    kSWM_USART0_RTS  +

    Movable function as USART0_RTS.

    +
    kSWM_USART0_CTS  +

    Movable function as USART0_CTS.

    +
    kSWM_USART0_SCLK  +

    Movable function as USART0_SCLK.

    +
    kSWM_USART1_TXD  +

    Movable function as USART1_TXD.

    +
    kSWM_USART1_RXD  +

    Movable function as USART1_RXD.

    +
    kSWM_USART1_SCLK  +

    Movable function as USART1_SCLK.

    +
    kSWM_SPI0_SCK  +

    Movable function as SPI0_SCK.

    +
    kSWM_SPI0_MOSI  +

    Movable function as SPI0_MOSI.

    +
    kSWM_SPI0_MISO  +

    Movable function as SPI0_MISO.

    +
    kSWM_SPI0_SSEL0  +

    Movable function as SPI0_SSEL0.

    +
    kSWM_SPI0_SSEL1  +

    Movable function as SPI0_SSEL1.

    +
    kSWM_T0_CAP_CHN0  +

    Movable function as Timer Capture Channel 0.

    +
    kSWM_T0_CAP_CHN1  +

    Movable function as Timer Capture Channel 1.

    +
    kSWM_T0_CAP_CHN2  +

    Movable function as Timer Capture Channel 2.

    +
    kSWM_T0_MAT_CHN0  +

    Movable function as Timer Match Channel 0.

    +
    kSWM_T0_MAT_CHN1  +

    Movable function as Timer Match Channel 1.

    +
    kSWM_T0_MAT_CHN2  +

    Movable function as Timer Match Channel 2.

    +
    kSWM_T0_MAT_CHN3  +

    Movable function as Timer Match Channel 3.

    +
    kSWM_I2C0_SDA  +

    Movable function as I2C0_SDA.

    +
    kSWM_I2C0_SCL  +

    Movable function as I2C0_SCL.

    +
    kSWM_ACMP_OUT  +

    Movable function as ACMP_OUT.

    +
    kSWM_CLKOUT  +

    Movable function as CLKOUT.

    +
    kSWM_GPIO_INT_BMAT  +

    Movable function as GPIO_INT_BMAT.

    +
    kSWM_LVLSHFT_IN0  +

    Movable function as LVLSHFT_IN0.

    +
    kSWM_LVLSHFT_IN1  +

    Movable function as LVLSHFT_IN1.

    +
    kSWM_LVLSHFT_OUT0  +

    Movable function as LVLSHFT_OUT0.

    +
    kSWM_LVLSHFT_OUT1  +

    Movable function as LVLSHFT_OUT1.

    +
    kSWM_I2C1_SDA  +

    Movable function as I2C1_SDA.

    +
    kSWM_I2C1_SCL  +

    Movable function as I2C1_SCL.

    +
    kSWM_PLU_CLKIN_IN  +

    Movable function as PLU_CLKIN_IN.

    +
    kSWM_CAPT_X0  +

    Movable function as CAPT_X0.

    +
    kSWM_CAPT_X1  +

    Movable function as CAPT_X1.

    +
    kSWM_CAPT_X2  +

    Movable function as CAPT_X2.

    +
    kSWM_CAPT_X3  +

    Movable function as CAPT_X3.

    +
    kSWM_CAPT_X4  +

    Movable function as CAPT_X4.

    +
    kSWM_CAPT_YL  +

    Movable function as CAPT_YL.

    +
    kSWM_CAPT_YH  +

    Movable function as CAPT_YH.

    +
    kSWM_MOVABLE_NUM_FUNCS  +

    Movable function number.

    +
    + +
    +
    + +
    +
    + + + + +
    enum swm_select_fixed_pin_t
    +
    + + + + + + + + + + + + + + + + + + + + + + + + + +
    Enumerator
    kSWM_ACMP_INPUT1  +

    Fixed-pin function as ACMP_INPUT1.

    +
    kSWM_ACMP_INPUT2  +

    Fixed-pin function as ACMP_INPUT2.

    +
    kSWM_ACMP_INPUT3  +

    Fixed-pin function as ACMP_INPUT3.

    +
    kSWM_ACMP_INPUT4  +

    Fixed-pin function as ACMP_INPUT4.

    +
    kSWM_SWCLK  +

    Fixed-pin function as SWCLK.

    +
    kSWM_SWDIO  +

    Fixed-pin function as SWDIO.

    +
    kSWM_RESETN  +

    Fixed-pin function as RESETN.

    +
    kSWM_CLKIN  +

    Fixed-pin function as CLKIN.

    +
    kSWM_WKCLKIN  +

    Fixed-pin function as WKCLKIN.

    +
    kSWM_VDDCMP  +

    Fixed-pin function as VDDCMP.

    +
    kSWM_ADC_CHN0  +

    Fixed-pin function as ADC_CHN0.

    +
    kSWM_ADC_CHN1  +

    Fixed-pin function as ADC_CHN1.

    +
    kSWM_ADC_CHN2  +

    Fixed-pin function as ADC_CHN2.

    +
    kSWM_ADC_CHN3  +

    Fixed-pin function as ADC_CHN3.

    +
    kSWM_ADC_CHN4  +

    Fixed-pin function as ADC_CHN4.

    +
    kSWM_ADC_CHN5  +

    Fixed-pin function as ADC_CHN5.

    +
    kSWM_ADC_CHN6  +

    Fixed-pin function as ADC_CHN6.

    +
    kSWM_ADC_CHN7  +

    Fixed-pin function as ADC_CHN7.

    +
    kSWM_ADC_CHN8  +

    Fixed-pin function as ADC_CHN8.

    +
    kSWM_ADC_CHN9  +

    Fixed-pin function as ADC_CHN9.

    +
    kSWM_ADC_CHN10  +

    Fixed-pin function as ADC_CHN10.

    +
    kSWM_ADC_CHN11  +

    Fixed-pin function as ADC_CHN11.

    +
    kSWM_ACMP_INPUT5  +

    Fixed-pin function as ACMP_INPUT5.

    +
    kSWM_DAC_OUT0  +

    Fixed-pin function as DACOUT0.

    +
    kSWM_FIXEDPIN_NUM_FUNCS  +

    Fixed-pin function number.

    Function Documentation

    - +
    - + - + - - + + - - + + @@ -203,18 +1047,53 @@ Driver version
    void SYSCON_AttachSignal void SWM_SetMovablePinSelect (SYSCON_Type * SWM_Type *  base,
    uint32_t index, swm_select_movable_t func,
    syscon_connection_t connection swm_port_pin_type_t swm_port_pin 
    -

    This function gates the SYSCON clock.

    +

    This function will selects a pin (designated by its GPIO port and bit numbers) to a function.

    Parameters
    - - - + + +
    baseBase address of the SYSCON peripheral.
    indexDestination peripheral to attach the signal to.
    connectionSelects connection.
    baseSWM peripheral base address.
    funcany function name that is movable.
    swm_port_pinany pin which has a GPIO port number and bit number.
    -
    Return values
    - - + + + + +
    +
    +
    None.
    + + + + + + + + + + + + + + + + + + + + + + + +
    void SWM_SetFixedPinSelect (SWM_Type * base,
    swm_select_fixed_pin_t func,
    bool enable 
    )
    +
    +

    This function will enables a fixed-pin function in PINENABLE0 or PINENABLE1.

    +
    Parameters
    + + + +
    baseSWM peripheral base address.
    funcany function name that is fixed pin.
    enableenable or disable.
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00090.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00090.js index 7a32d91..c1a1e1b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00090.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00090.js @@ -1,9 +1,171 @@ var a00090 = [ - [ "PINTSEL_ID", "a00090.html#gacec975ef3b52ff6266f4bf055d8bbbc0", null ], - [ "FSL_SYSON_DRIVER_VERSION", "a00090.html#ga3d7a27cd4564bc389103aacd4a0a41e4", null ], - [ "syscon_connection_t", "a00090.html#ga4a63ee69b68459770551a0e4d7b6cdad", [ - [ "kSYSCON_GpioPort0Pin0ToPintsel", "a00090.html#gga4a63ee69b68459770551a0e4d7b6cdadafe2a386c6f3e8884b995d08fd87de542", null ] + [ "FSL_SWM_DRIVER_VERSION", "a00090.html#gafa0f32bbd70b73222cc401d997b1f243", null ], + [ "swm_fixed_port_pin_type_t", "a00090.html#gabf427dd8dd6c86d0fac4f05b30e54475", [ + [ "kSWM_PLU_INPUT0_PortPin_P0_0", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9238a533be8bd8e0955b7a90f5ae4d44", null ], + [ "kSWM_PLU_INPUT0_PortPin_P0_8", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ad0716ea2f10ff5fbd04234889b1e53ed", null ], + [ "kSWM_PLU_INPUT0_PortPin_P0_17", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a34bca304086fb621de87b04528f8164b", null ], + [ "kSWM_PLU_INPUT1_PortPin_P0_1", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af15b31098ffc1b3561d7ffbfd191c2e3", null ], + [ "kSWM_PLU_INPUT1_PortPin_P0_9", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa54388872ede616ae8431f22adf9b883", null ], + [ "kSWM_PLU_INPUT1_PortPin_P0_18", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475afa22c48b958dcc1d146a381ceb411dc6", null ], + [ "kSWM_PLU_INPUT2_PortPin_P0_2", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a7ac7b3d83eff1aca3ca60bf8102dac", null ], + [ "kSWM_PLU_INPUT2_PortPin_P0_10", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2e20c680e47cfed45e9ccd1462b921a1", null ], + [ "kSWM_PLU_INPUT2_PortPin_P0_19", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a576c74aa596dfebd41123a123b1e086f", null ], + [ "kSWM_PLU_INPUT3_PortPin_P0_3", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ae557054f417e495346ddcc3e7f7162ba", null ], + [ "kSWM_PLU_INPUT3_PortPin_P0_11", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0ff213062c17f56f0bd74cf6510b1ee", null ], + [ "kSWM_PLU_INPUT3_PortPin_P0_20", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af6c85c442a0709477e5729c9dde36fdc", null ], + [ "kSWM_PLU_INPUT4_PortPin_P0_4", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a88cbbe93397f750184a74a2fb1635441", null ], + [ "kSWM_PLU_INPUT4_PortPin_P0_12", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a09729e747b6419e05e6b80eecd08b380", null ], + [ "kSWM_PLU_INPUT4_PortPin_P0_21", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af8ebc1a1ac7242706e0a4d3254de8a1a", null ], + [ "kSWM_PLU_INPUT5_PortPin_P0_5", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a4de20ff3218f9af0175dfba0a1aaa38d", null ], + [ "kSWM_PLU_INPUT5_PortPin_P0_13", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a30425caf231771228aa99f2871efa005", null ], + [ "kSWM_PLU_INPUT5_PortPin_P0_22", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a78528eef89bede351c7f85b2de156747", null ], + [ "kSWM_PLU_OUT0_PortPin_P0_7", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475acf4d35c0b2416225ace1794d2316d78b", null ], + [ "kSWM_PLU_OUT0_PortPin_P0_14", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa99b5d54d06869f8977cf41527daf915", null ], + [ "kSWM_PLU_OUT0_PortPin_P0_23", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a8b81353af093a8df62363344a1359c42", null ], + [ "kSWM_PLU_OUT1_PortPin_P0_8", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ac53270179d009a402596effdc9ebabdc", null ], + [ "kSWM_PLU_OUT1_PortPin_P0_15", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0c66d3751768e52c7b283f7c94b17bce", null ], + [ "kSWM_PLU_OUT1_PortPin_P0_24", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a1b0e5339f4df77ac5085a16d8d0ff7", null ], + [ "kSWM_PLU_OUT2_PortPin_P0_9", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af3bbdfacb373ba7dbcbedde68406d03f", null ], + [ "kSWM_PLU_OUT2_PortPin_P0_16", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475acc1e82634c86fc3ee7c0a50026e901ad", null ], + [ "kSWM_PLU_OUT2_PortPin_P0_25", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2d217651e60f137293707c19d717af37", null ], + [ "kSWM_PLU_OUT3_PortPin_P0_10", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa9aeb1c6417d18b72b3d94aec5ac7431", null ], + [ "kSWM_PLU_OUT3_PortPin_P0_17", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a77450c6220c5a32fde70c7e91d3edbb0", null ], + [ "kSWM_PLU_OUT3_PortPin_P0_26", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0c298ece28ee4367783dcf472fe20b6", null ], + [ "kSWM_PLU_OUT4_PortPin_P0_11", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2da86e45befea6a916816c5e523daad5", null ], + [ "kSWM_PLU_OUT4_PortPin_P0_18", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a73d53097414e5fc5f4cbe2bcdc5b16d1", null ], + [ "kSWM_PLU_OUT4_PortPin_P0_27", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a58d7c20b50aaa229094c5dc60a0cb14b", null ], + [ "kSWM_PLU_OUT5_PortPin_P0_12", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9c78a8bb274fb2604cb4e80af4da988c", null ], + [ "kSWM_PLU_OUT5_PortPin_P0_19", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa4096fcdb3eb88b567870cf2f250208e", null ], + [ "kSWM_PLU_OUT5_PortPin_P0_28", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a31d722ef719c89924cd70efe94723ad3", null ], + [ "kSWM_PLU_OUT6_PortPin_P0_13", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a7ea7748ab2a2bb448eca9daab449a9fa", null ], + [ "kSWM_PLU_OUT6_PortPin_P0_20", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a89ea9c75bd1ef71e7f1d4c52544dad9f", null ], + [ "kSWM_PLU_OUT6_PortPin_P0_29", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2b352e3ffe67686dac289a8f8d86fba0", null ], + [ "kSWM_PLU_OUT7_PortPin_P0_14", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0038fe47f7308af0fb046b6977b5928e", null ], + [ "kSWM_PLU_OUT7_PortPin_P0_21", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af1e2e2ccc38fec30ae5b3e617524cdab", null ], + [ "kSWM_PLU_OUT7_PortPin_P0_30", "a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0ead9e203d786e410f1d21f19f02aed2", null ] ] ], - [ "SYSCON_AttachSignal", "a00090.html#gaa42f53e7578284bdc9816da8800e735b", null ] + [ "swm_port_pin_type_t", "a00090.html#gaca9c780388e187444bfa31a6bcc72d35", [ + [ "kSWM_PortPin_P0_0", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35abf7ea2c0f06252d5e966ca63f23dba2e", null ], + [ "kSWM_PortPin_P0_1", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a9010bbdc4d0ba698a18c200f27586c5a", null ], + [ "kSWM_PortPin_P0_2", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aa8e8084f5ea75a2e776f4a8f8a8d5e61", null ], + [ "kSWM_PortPin_P0_3", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a3a31a8f0c23e7d75db386e0f1705fcb8", null ], + [ "kSWM_PortPin_P0_4", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a933c38c001bf24a62f0c8bf62e9bbbfb", null ], + [ "kSWM_PortPin_P0_5", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a75b66d0e23730af2446bdec683db11d3", null ], + [ "kSWM_PortPin_P0_6", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a4e2797855da4686eb7de9e75c5034d66", null ], + [ "kSWM_PortPin_P0_7", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a8a3af6c1f0f5791666b2c8409321ee0b", null ], + [ "kSWM_PortPin_P0_8", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ab0f66b94af6c72515e705077a0aea28b", null ], + [ "kSWM_PortPin_P0_9", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ace9b73074e1c03234c89b40ecd52a1e6", null ], + [ "kSWM_PortPin_P0_10", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35adb2af26fbb96cad1a3f4d666e3759e39", null ], + [ "kSWM_PortPin_P0_11", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a6514b3be861759fdc7f645c4a8190176", null ], + [ "kSWM_PortPin_P0_12", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a473548308d7fac0d5fa4ac959f81e444", null ], + [ "kSWM_PortPin_P0_13", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a0efea555d71ff08c985b3c002e49f25a", null ], + [ "kSWM_PortPin_P0_14", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ae2a752505db2acdb06e6047c3c447f63", null ], + [ "kSWM_PortPin_P0_15", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a21dd2c94b4ab7b133e9818a5a394f967", null ], + [ "kSWM_PortPin_P0_16", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a0c38ea80f06768e2ad6282fbc11d5187", null ], + [ "kSWM_PortPin_P0_17", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a74b6edcb7dba9f95182a4faa57dd110d", null ], + [ "kSWM_PortPin_P0_18", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a1eb0795f2598b9acf64e7abcb63f78d5", null ], + [ "kSWM_PortPin_P0_19", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a488a17a4e210b5000df9ecc534d24c8b", null ], + [ "kSWM_PortPin_P0_20", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a1127b8f8732d34f2c80daf825bb14853", null ], + [ "kSWM_PortPin_P0_21", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a8ec22695a3c8fbc028cc86299ca76b9a", null ], + [ "kSWM_PortPin_P0_22", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35af2a8176b461addf3d18b1128800dd7ef", null ], + [ "kSWM_PortPin_P0_23", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ae6795c51fac27d7426848568f125a6e8", null ], + [ "kSWM_PortPin_P0_24", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ac61483b0bbae6a06d6789e41aaf450fc", null ], + [ "kSWM_PortPin_P0_25", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a7a625624c8520faff1f2e6b0a1230ba5", null ], + [ "kSWM_PortPin_P0_26", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ac557f3233abd222c5cf7d809e082f94b", null ], + [ "kSWM_PortPin_P0_27", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aea9feb0c4582cb00ea087fef692f7623", null ], + [ "kSWM_PortPin_P0_28", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35af3005bf86c4488117ca1396151d2c2af", null ], + [ "kSWM_PortPin_P0_29", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35acc5bcdfe59c04f2da33835496b3a2ddf", null ], + [ "kSWM_PortPin_P0_30", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aeba0cfeb40308a0bd10bd33298bf9e84", null ], + [ "kSWM_PortPin_P0_31", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a7b0ebc4f977a126eb51422b3df962e87", null ], + [ "kSWM_PortPin_Reset", "a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a6873cb2ccda9efd34d7fdd75f3829f1c", null ] + ] ], + [ "swm_select_fixed_movable_t", "a00090.html#gabdebb214f81e7c4859bd60752b5958cd", [ + [ "kSWM_PLU_INPUT0", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cda1dea0e7772dbe79bdf2cfb15e7a547a0", null ], + [ "kSWM_PLU_INPUT1", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cdac9ea3883dbd984c68e4049f5fa48903c", null ], + [ "kSWM_PLU_INPUT2", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cda669e23d5037d7508cace2b056a6e5299", null ], + [ "kSWM_PLU_INPUT3", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaf70a738eecaddb8268f264bb7baf6b9b", null ], + [ "kSWM_PLU_INPUT4", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cda8b3379b03023f7f9057837109b142230", null ], + [ "kSWM_PLU_INPUT5", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cdae66a048f12b6af45145f2747fe9de530", null ], + [ "kSWM_PLU_OUT0", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaa2fbf0c2913f92571b79aebda5d5cec6", null ], + [ "kSWM_PLU_OUT1", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cda865830e9fdc6bf03bf1d477ca3c6a6ca", null ], + [ "kSWM_PLU_OUT2", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cda5bb0b796d35f26e384e79aea47cf62b7", null ], + [ "kSWM_PLU_OUT3", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cdad6866a46cce2335ee7228f696af14ea2", null ], + [ "kSWM_PLU_OUT4", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaef14d94c177e35d440f3549aeed9c7b8", null ], + [ "kSWM_PLU_OUT5", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cda66b170fb8a6d54c31eacce78c619adbf", null ], + [ "kSWM_PLU_OUT6", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cdafae5eae69465f413508aac6ac906b7fe", null ], + [ "kSWM_PLU_OUT7", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaafdc30e41c762800c39cd92cbffb2b31", null ], + [ "kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS", "a00090.html#ggabdebb214f81e7c4859bd60752b5958cdab1344f53d421d647d2f85460ff8630df", null ] + ] ], + [ "swm_select_movable_t", "a00090.html#ga45575871cafcadc50292b9bba6b9d13d", [ + [ "kSWM_USART0_TXD", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da7a0b07e7613ca95cbae02f51e74338ae", null ], + [ "kSWM_USART0_RXD", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dac38fd128ae8088af0ab82bb9e1ab2b0e", null ], + [ "kSWM_USART0_RTS", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da83269426ac6b85e729bd940e7c6bc168", null ], + [ "kSWM_USART0_CTS", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dacf5086c4d10114ed1e2adf80ef0c1d8e", null ], + [ "kSWM_USART0_SCLK", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da95076efb390207c31347c9d6eaf7a568", null ], + [ "kSWM_USART1_TXD", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da3ca0015b97fb5a1b24b1f6fda113488d", null ], + [ "kSWM_USART1_RXD", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da40ca641c0138d551c28bb9dd184bf948", null ], + [ "kSWM_USART1_SCLK", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da209dc130cd5427683bedca3187726030", null ], + [ "kSWM_SPI0_SCK", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dabd1859e7fd97d6aad4edf95f92eb1df0", null ], + [ "kSWM_SPI0_MOSI", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dad6e9059822d87be57e82960aa79d9229", null ], + [ "kSWM_SPI0_MISO", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da84653c7b62da4f773676a39a2abe597a", null ], + [ "kSWM_SPI0_SSEL0", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dadeb15fd0fbfb9f438e22762cad170359", null ], + [ "kSWM_SPI0_SSEL1", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dacdcd02ea5803089ebcd609e227acab8b", null ], + [ "kSWM_T0_CAP_CHN0", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da14bfd78536056ebdc4d2bfed0438b5cf", null ], + [ "kSWM_T0_CAP_CHN1", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da048cac659ef579d1358cc6d2a7db2c3a", null ], + [ "kSWM_T0_CAP_CHN2", "a00090.html#gga45575871cafcadc50292b9bba6b9d13daef5103aadc41068ca6157543520491b2", null ], + [ "kSWM_T0_MAT_CHN0", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da0ac9c784851c59d1c334fba730bdc091", null ], + [ "kSWM_T0_MAT_CHN1", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da4be08849669f9f086a238335662c6ac1", null ], + [ "kSWM_T0_MAT_CHN2", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da11e574aeb2ec56f282a103d78c49ccbe", null ], + [ "kSWM_T0_MAT_CHN3", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da3ab2e3d866e55d84dc2c342eb660c0d5", null ], + [ "kSWM_I2C0_SDA", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da679e93580df161b665365f658345ed65", null ], + [ "kSWM_I2C0_SCL", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da6f0a53c70373fdbf41edf57122669a47", null ], + [ "kSWM_ACMP_OUT", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da76769594d29a6d8659c5c625b4212a24", null ], + [ "kSWM_CLKOUT", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da867964bdb44cfca767b2fe212243ad68", null ], + [ "kSWM_GPIO_INT_BMAT", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da9e23b0bd838b14f99f22d1ae76d63143", null ], + [ "kSWM_LVLSHFT_IN0", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dacd8498beecae42d50d55fd9135fa5554", null ], + [ "kSWM_LVLSHFT_IN1", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da6edbcf66e2b9d21b36413d5913952b7b", null ], + [ "kSWM_LVLSHFT_OUT0", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da4bfff10a0df16a23aebb02bc2a19f662", null ], + [ "kSWM_LVLSHFT_OUT1", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da167b30e083150f7ef078b3fc15b9a2fb", null ], + [ "kSWM_I2C1_SDA", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dab15fc1b1ba8e4f1a35fc314c60b467be", null ], + [ "kSWM_I2C1_SCL", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da5ba6a30f56eb6e001abb178bb215469a", null ], + [ "kSWM_PLU_CLKIN_IN", "a00090.html#gga45575871cafcadc50292b9bba6b9d13daf4805a2bfb2a47017d373209a10ce52d", null ], + [ "kSWM_CAPT_X0", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da5673e5074470fd8c7773f987a45612ab", null ], + [ "kSWM_CAPT_X1", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da74e21d63631f6e3eeae57269cc597ff9", null ], + [ "kSWM_CAPT_X2", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dae11d56486ef46dfad9ea855f223483f2", null ], + [ "kSWM_CAPT_X3", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da144b0c83acca82fa910848f7587af18e", null ], + [ "kSWM_CAPT_X4", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dadad77032cc009f3690361c9f4d552cdd", null ], + [ "kSWM_CAPT_YL", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dabe002214e7dc409f243527310e689060", null ], + [ "kSWM_CAPT_YH", "a00090.html#gga45575871cafcadc50292b9bba6b9d13da839653f003a5f245984cbbb3e0ded090", null ], + [ "kSWM_MOVABLE_NUM_FUNCS", "a00090.html#gga45575871cafcadc50292b9bba6b9d13dac735c6243e08a73181d0f0f8f6c130bb", null ] + ] ], + [ "swm_select_fixed_pin_t", "a00090.html#ga6db188a71a269613f30825af80c02053", [ + [ "kSWM_ACMP_INPUT1", "a00090.html#gga6db188a71a269613f30825af80c02053a28fab9703b5177948f7409efa9ff848a", null ], + [ "kSWM_ACMP_INPUT2", "a00090.html#gga6db188a71a269613f30825af80c02053abd4f15c4f7b44b457764ab2aa67ac5ac", null ], + [ "kSWM_ACMP_INPUT3", "a00090.html#gga6db188a71a269613f30825af80c02053ad8072ce6e9985ae8377377ae49ba57d7", null ], + [ "kSWM_ACMP_INPUT4", "a00090.html#gga6db188a71a269613f30825af80c02053a2f09e0ca30217841402ba6bf16f8f785", null ], + [ "kSWM_SWCLK", "a00090.html#gga6db188a71a269613f30825af80c02053a9b86fc933fab954e947140ea4ca2ffe3", null ], + [ "kSWM_SWDIO", "a00090.html#gga6db188a71a269613f30825af80c02053ac0ebb404f4a50e198c79a35af9721e6c", null ], + [ "kSWM_RESETN", "a00090.html#gga6db188a71a269613f30825af80c02053a2c3df2d1d6d1fb817003b824eb699585", null ], + [ "kSWM_CLKIN", "a00090.html#gga6db188a71a269613f30825af80c02053add9353fb1a660338dc4129f564d43c41", null ], + [ "kSWM_WKCLKIN", "a00090.html#gga6db188a71a269613f30825af80c02053ae9a9d439d460166f8795ddd23c7135b5", null ], + [ "kSWM_VDDCMP", "a00090.html#gga6db188a71a269613f30825af80c02053a1c8051ee75028cdb756ce666cc9b1bb9", null ], + [ "kSWM_ADC_CHN0", "a00090.html#gga6db188a71a269613f30825af80c02053acc0420d5b902bffdc029c0a179fd9653", null ], + [ "kSWM_ADC_CHN1", "a00090.html#gga6db188a71a269613f30825af80c02053af96a078ddf8497b6680dcb8812abccaf", null ], + [ "kSWM_ADC_CHN2", "a00090.html#gga6db188a71a269613f30825af80c02053aab8f0dd610beed22acf2a5a2c3149a38", null ], + [ "kSWM_ADC_CHN3", "a00090.html#gga6db188a71a269613f30825af80c02053ab3e46c2499e53d6187acef5b9c92faeb", null ], + [ "kSWM_ADC_CHN4", "a00090.html#gga6db188a71a269613f30825af80c02053a131299a8724b490b48ff5ea4ae88ec85", null ], + [ "kSWM_ADC_CHN5", "a00090.html#gga6db188a71a269613f30825af80c02053a8f49833db881a5cca72e39060510fe33", null ], + [ "kSWM_ADC_CHN6", "a00090.html#gga6db188a71a269613f30825af80c02053a2cf1d219093b981b000fefd1b4b88835", null ], + [ "kSWM_ADC_CHN7", "a00090.html#gga6db188a71a269613f30825af80c02053af60644696c7226d16b940a379e974d07", null ], + [ "kSWM_ADC_CHN8", "a00090.html#gga6db188a71a269613f30825af80c02053aca55eb81b04844960f74d6b6f735f406", null ], + [ "kSWM_ADC_CHN9", "a00090.html#gga6db188a71a269613f30825af80c02053a332c28d49858ffceda3b7d9a9a317d0c", null ], + [ "kSWM_ADC_CHN10", "a00090.html#gga6db188a71a269613f30825af80c02053a3872c11496f6cd2755a2cc33edeee25e", null ], + [ "kSWM_ADC_CHN11", "a00090.html#gga6db188a71a269613f30825af80c02053a1195026daab11c8e8e8a07ea2588dc59", null ], + [ "kSWM_ACMP_INPUT5", "a00090.html#gga6db188a71a269613f30825af80c02053ad1b1f498a44a52ed74eab7333c03e177", null ], + [ "kSWM_DAC_OUT0", "a00090.html#gga6db188a71a269613f30825af80c02053aafaffe0fe7c5ed4eaed04bd7acac4f0b", null ], + [ "kSWM_FIXEDPIN_NUM_FUNCS", "a00090.html#gga6db188a71a269613f30825af80c02053a609f40f8714286a7fce78c131a97b69f", null ] + ] ], + [ "SWM_SetMovablePinSelect", "a00090.html#gaae2dc5c29ae2358c616fa8a2b61a7e4b", null ], + [ "SWM_SetFixedPinSelect", "a00090.html#ga55452f734d7cc5e02195ed926e4484c8", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00091.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00091.html index b6dfc76..7cedc55 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00091.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00091.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: Debug Console Lite +MCUXpresso SDK API Reference Manual: SYSCON: System Configuration @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - - - - - - - - - - - - -
    flags Description
    - Left-justified within the given field width. Right-justified is the default.
    + Forces to precede the result with a plus or minus sign (+ or -) even for positive numbers. By default, only negative numbers are preceded with a - sign.
    (space) If no sign is written, a blank space is inserted before the value.
    # Used with o, x, or X specifiers the value is preceded with 0, 0x, or 0X respectively for values other than zero. Used with e, E and f, it forces the written output to contain a decimal point even if no digits would follow. By default, if no digits follow, no decimal point is written. Used with g or G the result is the same as with e or E but trailing zeros are not removed.
    0 Left-pads the number with zeroes (0) instead of spaces, where padding is specified (see width sub-specifier).
    -
    - - - - - - -
    Width Description
    (number) A minimum number of characters to be printed. If the value to be printed is shorter than this number, the result is padded with blank spaces. The value is not truncated even if the result is larger.
    * The width is not specified in the format string, but as an additional integer value argument preceding the argument that has to be formatted.
    -
    - - - - - - -
    .precision Description
    .number For integer specifiers (d, i, o, u, x, X) − precision specifies the minimum number of digits to be written. If the value to be written is shorter than this number, the result is padded with leading zeros. The value is not truncated even if the result is longer. A precision of 0 means that no character is written for the value 0. For e, E, and f specifiers − this is the number of digits to be printed after the decimal point. For g and G specifiers − This is the maximum number of significant digits to be printed. For s − this is the maximum number of characters to be printed. By default, all characters are printed until the ending null character is encountered. For c type − it has no effect. When no precision is specified, the default is 1. If the period is specified without an explicit value for precision, 0 is assumed.
    .* The precision is not specified in the format string, but as an additional integer value argument preceding the argument that has to be formatted.
    -
    - - - - -
    length Description
    Do not support
    - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    specifier Description
    d or i Signed decimal integer
    f Decimal floating point
    F Decimal floating point capital letters
    x Unsigned hexadecimal integer
    X Unsigned hexadecimal integer capital letters
    o Signed octal
    b Binary value
    p Pointer address
    u Unsigned decimal integer
    c Character
    s String of characters
    n Nothing printed
    -
      -
    • Support a format specifier for SCANF following this prototype " %[*][width][length]specifier", which is explained below
    • -
    -
    - - - - -
    * Description
    An optional starting asterisk indicates that the data is to be read from the stream but ignored. In other words, it is not stored in the corresponding argument.
    -
    - - - - -
    width Description
    This specifies the maximum number of characters to be read in the current reading operation.
    -
    - - - - - - - - - - - - - - -
    length Description
    hh The argument is interpreted as a signed character or unsigned character (only applies to integer specifiers: i, d, o, u, x, and X).
    h The argument is interpreted as a short integer or unsigned short integer (only applies to integer specifiers: i, d, o, u, x, and X).
    l The argument is interpreted as a long integer or unsigned long integer for integer specifiers (i, d, o, u, x, and X) and as a wide character or wide character string for specifiers c and s.
    ll The argument is interpreted as a long long integer or unsigned long long integer for integer specifiers (i, d, o, u, x, and X) and as a wide character or wide character string for specifiers c and s.
    L The argument is interpreted as a long double (only applies to floating point specifiers: e, E, f, g, and G).
    j or z or t Not supported
    -
    - - - - - - - - - - - - - - - - -
    specifier Qualifying Input Type of argument
    c Single character: Reads the next character. If a width different from 1 is specified, the function reads width characters and stores them in the successive locations of the array passed as argument. No null character is appended at the end. char *
    i Integer: : Number optionally preceded with a + or - sign int *
    d Decimal integer: Number optionally preceded with a + or - sign int *
    a, A, e, E, f, F, g, G Floating point: Decimal number containing a decimal point, optionally preceded by a + or - sign and optionally followed by the e or E character and a decimal number. Two examples of valid entries are -732.103 and 7.12e4 float *
    o Octal Integer: int *
    s String of characters. This reads subsequent characters until a white space is found (white space characters are considered to be blank, newline, and tab). char *
    u Unsigned decimal integer. unsigned int *
    -

    The debug console has its own printf/scanf/putchar/getchar functions which are defined in the header file.

    -
    int DbgConsole_Printf(const char *fmt_s, ...);
    -
    int DbgConsole_Putchar(int ch);
    -
    int DbgConsole_Scanf(char *fmt_ptr, ...);
    -
    int DbgConsole_Getchar(void);
    -

    This utility supports selecting toolchain's printf/scanf or the MCUXpresso SDK printf/scanf.

    -
    #if SDK_DEBUGCONSOLE == DEBUGCONSOLE_DISABLE /* Disable debug console */
    -
    #define PRINTF
    -
    #define SCANF
    -
    #define PUTCHAR
    -
    #define GETCHAR
    -
    #elif SDK_DEBUGCONSOLE == DEBUGCONSOLE_REDIRECT_TO_SDK /* Select printf, scanf, putchar, getchar of SDK version. */
    -
    #define PRINTF DbgConsole_Printf
    -
    #define SCANF DbgConsole_Scanf
    -
    #define PUTCHAR DbgConsole_Putchar
    -
    #define GETCHAR DbgConsole_Getchar
    -
    #elif SDK_DEBUGCONSOLE == DEBUGCONSOLE_REDIRECT_TO_TOOLCHAIN /* Select printf, scanf, putchar, getchar of toolchain. */
    -
    #define PRINTF printf
    -
    #define SCANF scanf
    -
    #define PUTCHAR putchar
    -
    #define GETCHAR getchar
    -
    #endif /* SDK_DEBUGCONSOLE */
    -

    -SDK_DEBUGCONSOLE and SDK_DEBUGCONSOLE_UART

    -

    There are two macros SDK_DEBUGCONSOLE and SDK_DEBUGCONSOLE_UART added to configure PRINTF and low level output perihperal.

    -
      -
    • The macro SDK_DEBUGCONSOLE is used for forntend. Whether debug console redirect to toolchain or SDK or disabled, it decides which is the frontend of the debug console, Tool chain or SDK. The fucntion can be set by the macro SDK_DEBUGCONSOLE.
    • -
    • The macro SDK_DEBUGCONSOLE_UART is used for backend. It is use to decide whether provide low level IO implementation to toolchain printf and scanf. For example, within MCUXpresso, if the macro SDK_DEBUGCONSOLE_UART is defined, __sys_write and __sys_readc will be used when __REDLIB__ is defined; _write and _read will be used in other cases.The macro does not specifically refer to the perihpheral "UART". It refers to the external perihperal UART. So if the macro SDK_DEBUGCONSOLE_UART is not defined when tool-chain printf is calling, the semihosting will be used.
    • -
    -

    The following the matrix show the effects of SDK_DEBUGCONSOLE and SDK_DEBUGCONSOLE_UART on PRINTF and printf. The green mark is the default setting of the debug console.

    -
    - - - - - - - - - - - - - - -
    SDK_DEBUGCONSOLE SDK_DEBUGCONSOLE_UART PRINTF printf
    DEBUGCONSOLE_REDIRECT_TO_SDK defined UART UART
    DEBUGCONSOLE_REDIRECT_TO_SDK undefined UART semihost
    DEBUGCONSOLE_REDIRECT_TO_TOOLCHAIN defined UART UART
    DEBUGCONSOLE_REDIRECT_TO_TOOLCHAIN undefined semihost semihost
    DEBUGCONSOLE_DISABLE defined No ouput UART
    DEBUGCONSOLE_DISABLE undefined No ouput semihost
    -

    -Typical use case

    -

    Some examples use the PUTCHAR & GETCHAR function

    -
    ch = GETCHAR();
    -
    PUTCHAR(ch);
    -

    Some examples use the PRINTF function

    -

    Statement prints the string format.

    -
    PRINTF("%s %s\r\n", "Hello", "world!");
    -

    Statement prints the hexadecimal format/

    -
    PRINTF("0x%02X hexadecimal number equivalents 255", 255);
    -

    Statement prints the decimal floating point and unsigned decimal.

    -
    PRINTF("Execution timer: %s\n\rTime: %u ticks %2.5f milliseconds\n\rDONE\n\r", "1 day", 86400, 86.4);
    -

    Some examples use the SCANF function

    -
    PRINTF("Enter a decimal number: ");
    -
    SCANF("%d", &i);
    -
    PRINTF("\r\nYou have entered %d.\r\n", i, i);
    -
    PRINTF("Enter a hexadecimal number: ");
    -
    SCANF("%x", &i);
    -
    PRINTF("\r\nYou have entered 0x%X (%d).\r\n", i, i);
    -

    Print out failure messages using MCUXpresso SDK __assert_func:

    -
    void __assert_func(const char *file, int line, const char *func, const char *failedExpr)
    -
    {
    -
    PRINTF("ASSERT ERROR \" %s \": file \"%s\" Line \"%d\" function name \"%s\" \n", failedExpr, file , line, func);
    -
    for (;;)
    -
    {}
    -
    }
    -

    Note:

    -

    To use 'printf' and 'scanf' for GNUC Base, add file 'fsl_sbrk.c' in path: ..\{package}\devices\{subset}\utilities\fsl_sbrk.c to your project.

    +

    The MCUXpresso SDK provides a peripheral clock and power driver for the SYSCON module of MCUXpresso SDK devices. For furter details, see the corresponding chapter.

    - - + + + +

    -Modules

     Semihosting

    +Files

    file  fsl_syscon.h
     
    file  fsl_syscon.h
     
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + +

    -Macros

    #define DEBUGCONSOLE_REDIRECT_TO_TOOLCHAIN   0U
     Definition select redirect toolchain printf, scanf to uart or not. More...
     
    #define DEBUGCONSOLE_REDIRECT_TO_SDK   1U
     Select SDK version printf, scanf. More...
     
    #define DEBUGCONSOLE_DISABLE   2U
     Disable debugconsole function. More...
     
    #define SDK_DEBUGCONSOLE   DEBUGCONSOLE_REDIRECT_TO_SDK
     Definition to select sdk or toolchain printf, scanf. More...
     
    #define PRINTF_FLOAT_ENABLE   0U
     Definition to printf the float number. More...
     
    #define SCANF_FLOAT_ENABLE   0U
     Definition to scanf the float number. More...
     
    #define PRINTF_ADVANCED_ENABLE   0U
     Definition to support advanced format specifier for printf. More...
     
    #define SCANF_ADVANCED_ENABLE   0U
     Definition to support advanced format specifier for scanf. More...
     
    #define PRINTF   DbgConsole_Printf
     Definition to select redirect toolchain printf, scanf to uart or not. More...
     

    +Functions

    void SYSCON_AttachSignal (SYSCON_Type *base, uint32_t index, syscon_connection_t connection)
     Attaches a signal. More...
     
    - - - - - - - - - - - - - - - - - - - - - +Syscon multiplexing connections + + + + + + + + +

    -Initialization

    status_t DbgConsole_Init (uint8_t instance, uint32_t baudRate, serial_port_type_t device, uint32_t clkSrcFreq)
     Initializes the peripheral used for debug messages. More...
     
    status_t DbgConsole_Deinit (void)
     De-initializes the peripheral used for debug messages. More...
     
    int DbgConsole_Printf (const char *fmt_s,...)
     Writes formatted output to the standard output stream. More...
     
    int DbgConsole_Vprintf (const char *fmt_s, va_list formatStringArg)
     Writes formatted output to the standard output stream. More...
     
    int DbgConsole_Putchar (int ch)
     Writes a character to stdout. More...
     
    int DbgConsole_Scanf (char *fmt_s,...)
     Reads formatted data from the standard input stream. More...
     
    int DbgConsole_Getchar (void)
     Reads a character from standard input. More...
     
    enum  syscon_connection_t { kSYSCON_GpioPort0Pin0ToPintsel = 0U + (PINTSEL_ID << SYSCON_SHIFT) + }
     SYSCON connections type. More...
     
    +#define PINTSEL_ID   0x178U
     Periphinmux IDs.
     
    +#define SYSCON_SHIFT   20U
     
    + + + +

    +Driver version

    #define FSL_SYSON_DRIVER_VERSION   (MAKE_VERSION(2, 0, 1))
     Group syscon driver version for SDK. More...
     

    Macro Definition Documentation

    - +
    - +
    #define DEBUGCONSOLE_REDIRECT_TO_TOOLCHAIN   0U#define FSL_SYSON_DRIVER_VERSION   (MAKE_VERSION(2, 0, 1))
    -

    Select toolchain printf and scanf.

    +

    Version 2.0.1.

    - +

    Enumeration Type Documentation

    +
    - +
    #define DEBUGCONSOLE_REDIRECT_TO_SDK   1Uenum syscon_connection_t
    - -
    -
    - -
    -
    - - - - -
    #define DEBUGCONSOLE_DISABLE   2U
    -
    - -
    -
    - -
    -
    - - - - -
    #define SDK_DEBUGCONSOLE   DEBUGCONSOLE_REDIRECT_TO_SDK
    -
    - -
    -
    - -
    -
    - - - - -
    #define PRINTF_FLOAT_ENABLE   0U
    -
    - -
    -
    - -
    -
    - - - - -
    #define SCANF_FLOAT_ENABLE   0U
    -
    - -
    -
    - -
    -
    - - - - -
    #define PRINTF_ADVANCED_ENABLE   0U
    -
    - -
    -
    - -
    -
    - - - - -
    #define SCANF_ADVANCED_ENABLE   0U
    -
    - -
    -
    - -
    -
    - - - - -
    #define PRINTF   DbgConsole_Printf
    -
    -

    if SDK_DEBUGCONSOLE defined to 0,it represents select toolchain printf, scanf. if SDK_DEBUGCONSOLE defined to 1,it represents select SDK version printf, scanf. if SDK_DEBUGCONSOLE defined to 2,it represents disable debugconsole function.

    + + +
    Enumerator
    kSYSCON_GpioPort0Pin0ToPintsel  +

    Pin Interrupt.

    +

    Function Documentation

    - +
    - + - - + + - + - - - - - - - - + + @@ -532,191 +203,22 @@ Initialization
    status_t DbgConsole_Init void SYSCON_AttachSignal (uint8_t instance, SYSCON_Type * base,
    uint32_t baudRate, index,
    serial_port_type_t device,
    uint32_t clkSrcFreq syscon_connection_t connection 
    -

    Call this function to enable debug log messages to be output via the specified peripheral, frequency of peripheral source clock, and base address at the specified baud rate. After this function has returned, stdout and stdin are connected to the selected peripheral.

    +

    This function gates the SYSCON clock.

    Parameters
    - - - - + + +
    instanceThe instance of the module.If the device is kSerialPort_Uart, the instance is UART peripheral instance. The UART hardware peripheral type is determined by UART adapter. For example, if the instance is 1, if the lpuart_adapter.c is added to the current project, the UART periheral is LPUART1. If the uart_adapter.c is added to the current project, the UART periheral is UART1.
    baudRateThe desired baud rate in bits per second.
    deviceLow level device type for the debug console, can be one of the following.
      -
    • kSerialPort_Uart.
    • -
    -
    clkSrcFreqFrequency of peripheral source clock.
    baseBase address of the SYSCON peripheral.
    indexDestination peripheral to attach the signal to.
    connectionSelects connection.
    -
    Returns
    Indicates whether initialization was successful or not.
    Return values
    - - +
    kStatus_SuccessExecution successfully
    kStatus_FailExecution failure
    None.
    -
    -
    - -
    -
    - - - - - - - - -
    status_t DbgConsole_Deinit (void )
    -
    -

    Call this function to disable debug log messages to be output via the specified peripheral base address and at the specified baud rate.

    -
    Returns
    Indicates whether de-initialization was successful or not.
    - -
    -
    - -
    -
    - - - - - - - - - - - - - - - - - - -
    int DbgConsole_Printf (const char * fmt_s,
     ... 
    )
    -
    -

    Call this function to write a formatted output to the standard output stream.

    -
    Parameters
    - - -
    fmt_sFormat control string.
    -
    -
    -
    Returns
    Returns the number of characters printed or a negative value if an error occurs.
    - -
    -
    - -
    -
    - - - - - - - - - - - - - - - - - - -
    int DbgConsole_Vprintf (const char * fmt_s,
    va_list formatStringArg 
    )
    -
    -

    Call this function to write a formatted output to the standard output stream.

    -
    Parameters
    - - - -
    fmt_sFormat control string.
    formatStringArgFormat arguments.
    -
    -
    -
    Returns
    Returns the number of characters printed or a negative value if an error occurs.
    - -
    -
    - -
    -
    - - - - - - - - -
    int DbgConsole_Putchar (int ch)
    -
    -

    Call this function to write a character to stdout.

    -
    Parameters
    - - -
    chCharacter to be written.
    -
    -
    -
    Returns
    Returns the character written.
    - -
    -
    - -
    -
    - - - - - - - - - - - - - - - - - - -
    int DbgConsole_Scanf (char * fmt_s,
     ... 
    )
    -
    -

    Call this function to read formatted data from the standard input stream.

    -
    Parameters
    - - -
    fmt_sFormat control string.
    -
    -
    -
    Returns
    Returns the number of fields successfully converted and assigned.
    - -
    -
    - -
    -
    - - - - - - - - -
    int DbgConsole_Getchar (void )
    -
    -

    Call this function to read a character from standard input.

    -
    Returns
    Returns the character read.
    -
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00091.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00091.js index 99ec5ec..e41e60b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00091.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00091.js @@ -1,20 +1,9 @@ var a00091 = [ - [ "Semihosting", "a00092.html", null ], - [ "DEBUGCONSOLE_REDIRECT_TO_TOOLCHAIN", "a00091.html#gabb8f0adbec02f143b4f84d2eb42126df", null ], - [ "DEBUGCONSOLE_REDIRECT_TO_SDK", "a00091.html#gac33031f28afa29dc8fe1718bbc86ee23", null ], - [ "DEBUGCONSOLE_DISABLE", "a00091.html#gaf8f85fd102e4aedcee3d061dc2d3e0c2", null ], - [ "SDK_DEBUGCONSOLE", "a00091.html#ga7fdd594efdc8374ecd8684ed758d6cec", null ], - [ "PRINTF_FLOAT_ENABLE", "a00091.html#ga926f5c4b8929e99c9f977ad4c48928fd", null ], - [ "SCANF_FLOAT_ENABLE", "a00091.html#gacab0122ff00951d58b47d95d95c4d119", null ], - [ "PRINTF_ADVANCED_ENABLE", "a00091.html#ga299a157e550c253df33f18180f21605e", null ], - [ "SCANF_ADVANCED_ENABLE", "a00091.html#ga58226e74553b7976713f08ab6cd1ead3", null ], - [ "PRINTF", "a00091.html#gae1649fc947ca37a86917a08354f48d1a", null ], - [ "DbgConsole_Init", "a00091.html#ga12e50ee0450679fd8ca950a89338d366", null ], - [ "DbgConsole_Deinit", "a00091.html#gad80e7aa70bbb3fce1a9168621372833e", null ], - [ "DbgConsole_Printf", "a00091.html#ga7f9e0678f4c708ed5640b0823c07dc35", null ], - [ "DbgConsole_Vprintf", "a00091.html#ga48560c409b88fbe195e140aa20c5307b", null ], - [ "DbgConsole_Putchar", "a00091.html#gada572d86a06f028b5b1a5d0440f683e3", null ], - [ "DbgConsole_Scanf", "a00091.html#ga53b115907016172dcf58fcffab144a6d", null ], - [ "DbgConsole_Getchar", "a00091.html#ga11898c5015274863741c4f3f4d9edc08", null ] + [ "PINTSEL_ID", "a00091.html#gacec975ef3b52ff6266f4bf055d8bbbc0", null ], + [ "FSL_SYSON_DRIVER_VERSION", "a00091.html#ga3d7a27cd4564bc389103aacd4a0a41e4", null ], + [ "syscon_connection_t", "a00091.html#ga4a63ee69b68459770551a0e4d7b6cdad", [ + [ "kSYSCON_GpioPort0Pin0ToPintsel", "a00091.html#gga4a63ee69b68459770551a0e4d7b6cdadafe2a386c6f3e8884b995d08fd87de542", null ] + ] ], + [ "SYSCON_AttachSignal", "a00091.html#gaa42f53e7578284bdc9816da8800e735b", null ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00092.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00092.html index 2be7c3c..97b8006 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00092.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00092.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: Semihosting +MCUXpresso SDK API Reference Manual: Debug Console Lite @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    + + + + + + + + + + + + +
    flags Description
    - Left-justified within the given field width. Right-justified is the default.
    + Forces to precede the result with a plus or minus sign (+ or -) even for positive numbers. By default, only negative numbers are preceded with a - sign.
    (space) If no sign is written, a blank space is inserted before the value.
    # Used with o, x, or X specifiers the value is preceded with 0, 0x, or 0X respectively for values other than zero. Used with e, E and f, it forces the written output to contain a decimal point even if no digits would follow. By default, if no digits follow, no decimal point is written. Used with g or G the result is the same as with e or E but trailing zeros are not removed.
    0 Left-pads the number with zeroes (0) instead of spaces, where padding is specified (see width sub-specifier).
    +
    + + + + + + +
    Width Description
    (number) A minimum number of characters to be printed. If the value to be printed is shorter than this number, the result is padded with blank spaces. The value is not truncated even if the result is larger.
    * The width is not specified in the format string, but as an additional integer value argument preceding the argument that has to be formatted.
    +
    + + + + + + +
    .precision Description
    .number For integer specifiers (d, i, o, u, x, X) − precision specifies the minimum number of digits to be written. If the value to be written is shorter than this number, the result is padded with leading zeros. The value is not truncated even if the result is longer. A precision of 0 means that no character is written for the value 0. For e, E, and f specifiers − this is the number of digits to be printed after the decimal point. For g and G specifiers − This is the maximum number of significant digits to be printed. For s − this is the maximum number of characters to be printed. By default, all characters are printed until the ending null character is encountered. For c type − it has no effect. When no precision is specified, the default is 1. If the period is specified without an explicit value for precision, 0 is assumed.
    .* The precision is not specified in the format string, but as an additional integer value argument preceding the argument that has to be formatted.
    +
    + + + + +
    length Description
    Do not support
    + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    specifier Description
    d or i Signed decimal integer
    f Decimal floating point
    F Decimal floating point capital letters
    x Unsigned hexadecimal integer
    X Unsigned hexadecimal integer capital letters
    o Signed octal
    b Binary value
    p Pointer address
    u Unsigned decimal integer
    c Character
    s String of characters
    n Nothing printed
    +
      +
    • Support a format specifier for SCANF following this prototype " %[*][width][length]specifier", which is explained below
    • +
    +
    + + + + +
    * Description
    An optional starting asterisk indicates that the data is to be read from the stream but ignored. In other words, it is not stored in the corresponding argument.
    +
    + + + + +
    width Description
    This specifies the maximum number of characters to be read in the current reading operation.
    +
    + + + + + + + + + + + + + + +
    length Description
    hh The argument is interpreted as a signed character or unsigned character (only applies to integer specifiers: i, d, o, u, x, and X).
    h The argument is interpreted as a short integer or unsigned short integer (only applies to integer specifiers: i, d, o, u, x, and X).
    l The argument is interpreted as a long integer or unsigned long integer for integer specifiers (i, d, o, u, x, and X) and as a wide character or wide character string for specifiers c and s.
    ll The argument is interpreted as a long long integer or unsigned long long integer for integer specifiers (i, d, o, u, x, and X) and as a wide character or wide character string for specifiers c and s.
    L The argument is interpreted as a long double (only applies to floating point specifiers: e, E, f, g, and G).
    j or z or t Not supported
    +
    + + + + + + + + + + + + + + + + +
    specifier Qualifying Input Type of argument
    c Single character: Reads the next character. If a width different from 1 is specified, the function reads width characters and stores them in the successive locations of the array passed as argument. No null character is appended at the end. char *
    i Integer: : Number optionally preceded with a + or - sign int *
    d Decimal integer: Number optionally preceded with a + or - sign int *
    a, A, e, E, f, F, g, G Floating point: Decimal number containing a decimal point, optionally preceded by a + or - sign and optionally followed by the e or E character and a decimal number. Two examples of valid entries are -732.103 and 7.12e4 float *
    o Octal Integer: int *
    s String of characters. This reads subsequent characters until a white space is found (white space characters are considered to be blank, newline, and tab). char *
    u Unsigned decimal integer. unsigned int *
    +

    The debug console has its own printf/scanf/putchar/getchar functions which are defined in the header file.

    +
    int DbgConsole_Printf(const char *fmt_s, ...);
    +
    int DbgConsole_Putchar(int ch);
    +
    int DbgConsole_Scanf(char *fmt_ptr, ...);
    +
    int DbgConsole_Getchar(void);
    +

    This utility supports selecting toolchain's printf/scanf or the MCUXpresso SDK printf/scanf.

    +
    #if SDK_DEBUGCONSOLE == DEBUGCONSOLE_DISABLE /* Disable debug console */
    +
    #define PRINTF
    +
    #define SCANF
    +
    #define PUTCHAR
    +
    #define GETCHAR
    +
    #elif SDK_DEBUGCONSOLE == DEBUGCONSOLE_REDIRECT_TO_SDK /* Select printf, scanf, putchar, getchar of SDK version. */
    +
    #define PRINTF DbgConsole_Printf
    +
    #define SCANF DbgConsole_Scanf
    +
    #define PUTCHAR DbgConsole_Putchar
    +
    #define GETCHAR DbgConsole_Getchar
    +
    #elif SDK_DEBUGCONSOLE == DEBUGCONSOLE_REDIRECT_TO_TOOLCHAIN /* Select printf, scanf, putchar, getchar of toolchain. */
    +
    #define PRINTF printf
    +
    #define SCANF scanf
    +
    #define PUTCHAR putchar
    +
    #define GETCHAR getchar
    +
    #endif /* SDK_DEBUGCONSOLE */
    +

    +SDK_DEBUGCONSOLE and SDK_DEBUGCONSOLE_UART

    +

    There are two macros SDK_DEBUGCONSOLE and SDK_DEBUGCONSOLE_UART added to configure PRINTF and low level output perihperal.

    +
      +
    • The macro SDK_DEBUGCONSOLE is used for forntend. Whether debug console redirect to toolchain or SDK or disabled, it decides which is the frontend of the debug console, Tool chain or SDK. The fucntion can be set by the macro SDK_DEBUGCONSOLE.
    • +
    • The macro SDK_DEBUGCONSOLE_UART is used for backend. It is use to decide whether provide low level IO implementation to toolchain printf and scanf. For example, within MCUXpresso, if the macro SDK_DEBUGCONSOLE_UART is defined, __sys_write and __sys_readc will be used when __REDLIB__ is defined; _write and _read will be used in other cases.The macro does not specifically refer to the perihpheral "UART". It refers to the external perihperal UART. So if the macro SDK_DEBUGCONSOLE_UART is not defined when tool-chain printf is calling, the semihosting will be used.
    • +
    +

    The following the matrix show the effects of SDK_DEBUGCONSOLE and SDK_DEBUGCONSOLE_UART on PRINTF and printf. The green mark is the default setting of the debug console.

    +
    + + + + + + + + + + + + + + +
    SDK_DEBUGCONSOLE SDK_DEBUGCONSOLE_UART PRINTF printf
    DEBUGCONSOLE_REDIRECT_TO_SDK defined UART UART
    DEBUGCONSOLE_REDIRECT_TO_SDK undefined UART semihost
    DEBUGCONSOLE_REDIRECT_TO_TOOLCHAIN defined UART UART
    DEBUGCONSOLE_REDIRECT_TO_TOOLCHAIN undefined semihost semihost
    DEBUGCONSOLE_DISABLE defined No ouput UART
    DEBUGCONSOLE_DISABLE undefined No ouput semihost
    +

    +Typical use case

    +

    Some examples use the PUTCHAR & GETCHAR function

    +
    ch = GETCHAR();
    +
    PUTCHAR(ch);
    +

    Some examples use the PRINTF function

    +

    Statement prints the string format.

    +
    PRINTF("%s %s\r\n", "Hello", "world!");
    +

    Statement prints the hexadecimal format/

    +
    PRINTF("0x%02X hexadecimal number equivalents 255", 255);
    +

    Statement prints the decimal floating point and unsigned decimal.

    +
    PRINTF("Execution timer: %s\n\rTime: %u ticks %2.5f milliseconds\n\rDONE\n\r", "1 day", 86400, 86.4);
    +

    Some examples use the SCANF function

    +
    PRINTF("Enter a decimal number: ");
    +
    SCANF("%d", &i);
    +
    PRINTF("\r\nYou have entered %d.\r\n", i, i);
    +
    PRINTF("Enter a hexadecimal number: ");
    +
    SCANF("%x", &i);
    +
    PRINTF("\r\nYou have entered 0x%X (%d).\r\n", i, i);
    +

    Print out failure messages using MCUXpresso SDK __assert_func:

    +
    void __assert_func(const char *file, int line, const char *func, const char *failedExpr)
    +
    {
    +
    PRINTF("ASSERT ERROR \" %s \": file \"%s\" Line \"%d\" function name \"%s\" \n", failedExpr, file , line, func);
    +
    for (;;)
    +
    {}
    +
    }
    +

    Note:

    +

    To use 'printf' and 'scanf' for GNUC Base, add file 'fsl_sbrk.c' in path: ..\{package}\devices\{subset}\utilities\fsl_sbrk.c to your project.

    + + + + +

    +Modules

     Semihosting
     
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

    +Macros

    #define DEBUGCONSOLE_REDIRECT_TO_TOOLCHAIN   0U
     Definition select redirect toolchain printf, scanf to uart or not. More...
     
    #define DEBUGCONSOLE_REDIRECT_TO_SDK   1U
     Select SDK version printf, scanf. More...
     
    #define DEBUGCONSOLE_DISABLE   2U
     Disable debugconsole function. More...
     
    #define SDK_DEBUGCONSOLE   DEBUGCONSOLE_REDIRECT_TO_SDK
     Definition to select sdk or toolchain printf, scanf. More...
     
    #define PRINTF_FLOAT_ENABLE   0U
     Definition to printf the float number. More...
     
    #define SCANF_FLOAT_ENABLE   0U
     Definition to scanf the float number. More...
     
    #define PRINTF_ADVANCED_ENABLE   0U
     Definition to support advanced format specifier for printf. More...
     
    #define SCANF_ADVANCED_ENABLE   0U
     Definition to support advanced format specifier for scanf. More...
     
    #define PRINTF   DbgConsole_Printf
     Definition to select redirect toolchain printf, scanf to uart or not. More...
     
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

    +Initialization

    status_t DbgConsole_Init (uint8_t instance, uint32_t baudRate, serial_port_type_t device, uint32_t clkSrcFreq)
     Initializes the peripheral used for debug messages. More...
     
    status_t DbgConsole_Deinit (void)
     De-initializes the peripheral used for debug messages. More...
     
    status_t DbgConsole_EnterLowpower (void)
     Prepares to enter low power consumption. More...
     
    status_t DbgConsole_ExitLowpower (void)
     Restores from low power consumption. More...
     
    int DbgConsole_Printf (const char *fmt_s,...)
     Writes formatted output to the standard output stream. More...
     
    int DbgConsole_Vprintf (const char *fmt_s, va_list formatStringArg)
     Writes formatted output to the standard output stream. More...
     
    int DbgConsole_Putchar (int ch)
     Writes a character to stdout. More...
     
    int DbgConsole_Scanf (char *fmt_s,...)
     Reads formatted data from the standard input stream. More...
     
    int DbgConsole_Getchar (void)
     Reads a character from standard input. More...
     
    +

    Macro Definition Documentation

    + +
    +
    + + + + +
    #define DEBUGCONSOLE_REDIRECT_TO_TOOLCHAIN   0U
    +
    +

    Select toolchain printf and scanf.

    + +
    +
    + +
    +
    + + + + +
    #define DEBUGCONSOLE_REDIRECT_TO_SDK   1U
    +
    + +
    +
    + +
    +
    + + + + +
    #define DEBUGCONSOLE_DISABLE   2U
    +
    + +
    +
    + +
    +
    + + + + +
    #define SDK_DEBUGCONSOLE   DEBUGCONSOLE_REDIRECT_TO_SDK
    +
    + +
    +
    + +
    +
    + + + + +
    #define PRINTF_FLOAT_ENABLE   0U
    +
    + +
    +
    + +
    +
    + + + + +
    #define SCANF_FLOAT_ENABLE   0U
    +
    + +
    +
    + +
    +
    + + + + +
    #define PRINTF_ADVANCED_ENABLE   0U
    +
    + +
    +
    + +
    +
    + + + + +
    #define SCANF_ADVANCED_ENABLE   0U
    +
    + +
    +
    + +
    +
    + + + + +
    #define PRINTF   DbgConsole_Printf
    +
    +

    if SDK_DEBUGCONSOLE defined to 0,it represents select toolchain printf, scanf. if SDK_DEBUGCONSOLE defined to 1,it represents select SDK version printf, scanf. if SDK_DEBUGCONSOLE defined to 2,it represents disable debugconsole function.

    + +
    +
    +

    Function Documentation

    + +
    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    status_t DbgConsole_Init (uint8_t instance,
    uint32_t baudRate,
    serial_port_type_t device,
    uint32_t clkSrcFreq 
    )
    +
    +

    Call this function to enable debug log messages to be output via the specified peripheral, frequency of peripheral source clock, and base address at the specified baud rate. After this function has returned, stdout and stdin are connected to the selected peripheral.

    +
    Parameters
    + + + + + +
    instanceThe instance of the module.If the device is kSerialPort_Uart, the instance is UART peripheral instance. The UART hardware peripheral type is determined by UART adapter. For example, if the instance is 1, if the lpuart_adapter.c is added to the current project, the UART periheral is LPUART1. If the uart_adapter.c is added to the current project, the UART periheral is UART1.
    baudRateThe desired baud rate in bits per second.
    deviceLow level device type for the debug console, can be one of the following.
      +
    • kSerialPort_Uart.
    • +
    +
    clkSrcFreqFrequency of peripheral source clock.
    +
    +
    +
    Returns
    Indicates whether initialization was successful or not.
    +
    Return values
    + + + +
    kStatus_SuccessExecution successfully
    kStatus_FailExecution failure
    +
    +
    + +
    +
    + +
    +
    + + + + + + + + +
    status_t DbgConsole_Deinit (void )
    +
    +

    Call this function to disable debug log messages to be output via the specified peripheral base address and at the specified baud rate.

    +
    Returns
    Indicates whether de-initialization was successful or not.
    + +
    +
    + +
    +
    + + + + + + + + +
    status_t DbgConsole_EnterLowpower (void )
    +
    +

    This function is used to prepare to enter low power consumption.

    +
    Returns
    Indicates whether de-initialization was successful or not.
    + +
    +
    + +
    +
    + + + + + + + + +
    status_t DbgConsole_ExitLowpower (void )
    +
    +

    This function is used to restore from low power consumption.

    +
    Returns
    Indicates whether de-initialization was successful or not.
    + +
    +
    + +
    +
    + + + + + + + + + + + + + + + + + + +
    int DbgConsole_Printf (const char * fmt_s,
     ... 
    )
    +
    +

    Call this function to write a formatted output to the standard output stream.

    +
    Parameters
    + + +
    fmt_sFormat control string.
    +
    +
    +
    Returns
    Returns the number of characters printed or a negative value if an error occurs.
    + +
    +
    + +
    +
    + + + + + + + + + + + + + + + + + + +
    int DbgConsole_Vprintf (const char * fmt_s,
    va_list formatStringArg 
    )
    +
    +

    Call this function to write a formatted output to the standard output stream.

    +
    Parameters
    + + + +
    fmt_sFormat control string.
    formatStringArgFormat arguments.
    +
    +
    +
    Returns
    Returns the number of characters printed or a negative value if an error occurs.
    + +
    +
    + +
    +
    + + + + + + + + +
    int DbgConsole_Putchar (int ch)
    +
    +

    Call this function to write a character to stdout.

    +
    Parameters
    + + +
    chCharacter to be written.
    +
    +
    +
    Returns
    Returns the character written.
    + +
    +
    + +
    +
    + + + + + + + + + + + + + + + + + + +
    int DbgConsole_Scanf (char * fmt_s,
     ... 
    )
    +
    +

    Call this function to read formatted data from the standard input stream.

    +
    Parameters
    + + +
    fmt_sFormat control string.
    +
    +
    +
    Returns
    Returns the number of fields successfully converted and assigned.
    + +
    +
    + +
    +
    + + + + + + + + +
    int DbgConsole_Getchar (void )
    +
    +

    Call this function to read a character from standard input.

    +
    Returns
    Returns the character read.
    + +
    +
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00092.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00092.js new file mode 100644 index 0000000..e5010f2 --- /dev/null +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00092.js @@ -0,0 +1,22 @@ +var a00092 = +[ + [ "Semihosting", "a00093.html", null ], + [ "DEBUGCONSOLE_REDIRECT_TO_TOOLCHAIN", "a00092.html#gabb8f0adbec02f143b4f84d2eb42126df", null ], + [ "DEBUGCONSOLE_REDIRECT_TO_SDK", "a00092.html#gac33031f28afa29dc8fe1718bbc86ee23", null ], + [ "DEBUGCONSOLE_DISABLE", "a00092.html#gaf8f85fd102e4aedcee3d061dc2d3e0c2", null ], + [ "SDK_DEBUGCONSOLE", "a00092.html#ga7fdd594efdc8374ecd8684ed758d6cec", null ], + [ "PRINTF_FLOAT_ENABLE", "a00092.html#ga926f5c4b8929e99c9f977ad4c48928fd", null ], + [ "SCANF_FLOAT_ENABLE", "a00092.html#gacab0122ff00951d58b47d95d95c4d119", null ], + [ "PRINTF_ADVANCED_ENABLE", "a00092.html#ga299a157e550c253df33f18180f21605e", null ], + [ "SCANF_ADVANCED_ENABLE", "a00092.html#ga58226e74553b7976713f08ab6cd1ead3", null ], + [ "PRINTF", "a00092.html#gae1649fc947ca37a86917a08354f48d1a", null ], + [ "DbgConsole_Init", "a00092.html#ga12e50ee0450679fd8ca950a89338d366", null ], + [ "DbgConsole_Deinit", "a00092.html#gad80e7aa70bbb3fce1a9168621372833e", null ], + [ "DbgConsole_EnterLowpower", "a00092.html#ga9ce272e795c2b235265d3dfb50669bee", null ], + [ "DbgConsole_ExitLowpower", "a00092.html#ga21831f5ee970f3a1f13ff375405f3592", null ], + [ "DbgConsole_Printf", "a00092.html#ga7f9e0678f4c708ed5640b0823c07dc35", null ], + [ "DbgConsole_Vprintf", "a00092.html#ga48560c409b88fbe195e140aa20c5307b", null ], + [ "DbgConsole_Putchar", "a00092.html#gada572d86a06f028b5b1a5d0440f683e3", null ], + [ "DbgConsole_Scanf", "a00092.html#ga53b115907016172dcf58fcffab144a6d", null ], + [ "DbgConsole_Getchar", "a00092.html#ga11898c5015274863741c4f3f4d9edc08", null ] +]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00093.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00093.html new file mode 100644 index 0000000..8d38a74 --- /dev/null +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00093.html @@ -0,0 +1,234 @@ + + + + + + +MCUXpresso SDK API Reference Manual: Semihosting + + + + + + + + + + + + + + +
    +
    + + + + + + + +
    +
    MCUXpresso SDK API Reference Manual +  Rev 2.14.0 +
    +
    NXP Semiconductors
    +
    +
    + + + + +
    +
    + +
    +
    +
    + +
    + + + + +
    + +
    + +
    +
    +
    +
    +
    +

    Semihosting is a mechanism for ARM targets to communicate input/output requests from application code to a host computer running a debugger. This mechanism can be used, for example, to enable functions in the C library, such as printf() and scanf(), to use the screen and keyboard of the host rather than having a screen and keyboard on the target system.

    +

    +Guide Semihosting for IAR

    +

    NOTE: After the setting both "printf" and "scanf" are available for debugging.

    +

    Step 1: Setting up the environment

    +
      +
    1. To set debugger options, choose Project>Options. In the Debugger category, click the Setup tab.
    2. +
    3. Select Run to main and click OK. This ensures that the debug session starts by running the main function.
    4. +
    5. The project is now ready to be built.
    6. +
    +

    Step 2: Building the project

    +
      +
    1. Compile and link the project by choosing Project>Make or F7.
    2. +
    3. Alternatively, click the Make button on the tool bar. The Make command compiles and links those files that have been modified.
    4. +
    +

    Step 3: Starting semihosting

    +
      +
    1. Choose "Semihosting_IAR" project -> "Options" -> "Debugger" -> "J-Link/J-Trace".
    2. +
    3. Choose tab "J-Link/J-Trace" -> "Connection" tab -> "SWD".
    4. +
    5. Choose tab "General Options" -> "Library Configurations", select Semihosted, select Via semihosting. Please Make sure the SDK_DEBUGCONSOLE_UART is not defined in project settings.
    6. +
    7. Start the project by choosing Project>Download and Debug.
    8. +
    9. Choose View>Terminal I/O to display the output from the I/O operations.
    10. +
    +

    +Guide Semihosting for Keil µVision

    +

    NOTE: Semihosting is not support by MDK-ARM, use the retargeting functionality of MDK-ARM instead.

    +

    +Guide Semihosting for MCUXpresso IDE

    +

    Step 1: Setting up the environment

    +
      +
    1. To set debugger options, choose Project>Properties. select the setting category.
    2. +
    3. Select Tool Settings, unfold MCU C Compile.
    4. +
    5. Select Preprocessor item.
    6. +
    7. Set SDK_DEBUGCONSOLE=0, if set SDK_DEBUGCONSOLE=1, the log will be redirect to the UART.
    8. +
    +

    Step 2: Building the project

    +
      +
    1. Compile and link the project.
    2. +
    +

    Step 3: Starting semihosting

    +
      +
    1. Download and debug the project.
    2. +
    3. When the project runs successfully, the result can be seen in the Console window.
    4. +
    +

    Semihosting can also be selected through the "Quick settings" menu in the left bottom window, Quick settings->SDK Debug Console->Semihost console.

    +

    +Guide Semihosting for ARMGCC

    +

    Step 1: Setting up the environment

    +
      +
    1. Turn on "J-LINK GDB Server" -> Select suitable "Target device" -> "OK".
    2. +
    3. Turn on "PuTTY". Set up as follows.
        +
      • "Host Name (or IP address)" : localhost
      • +
      • "Port" :2333
      • +
      • "Connection type" : Telet.
      • +
      • Click "Open".
      • +
      +
    4. +
    5. Increase "Heap/Stack" for GCC to 0x2000:
    6. +
    +

    Add to "CMakeLists.txt"

    +

    SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} --defsym=__stack_size__=0x2000")

    +

    SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} --defsym=__stack_size__=0x2000")

    +

    SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} --defsym=__heap_size__=0x2000")

    +

    SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} --defsym=__heap_size__=0x2000")

    +

    Step 2: Building the project

    +
      +
    1. +

      Change "CMakeLists.txt":

      +

      Change "SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} –specs=nano.specs")"

      +

      to "SET(CMAKE_EXE_LINKER_FLAGS_RELEASE "${CMAKE_EXE_LINKER_FLAGS_RELEASE} –specs=rdimon.specs")"

      +

      +

      Replace paragraph

      +

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -fno-common")

      +

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -ffunction-sections")

      +

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -fdata-sections")

      +

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -ffreestanding")

      +

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -fno-builtin")

      +

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -mthumb")

      +

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -mapcs")

      +

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -Xlinker")

      +

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} --gc-sections")

      +

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -Xlinker")

      +

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -static")

      +

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -Xlinker")

      +

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -z")

      +

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} -Xlinker")

      +

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} muldefs")

      +

      +

      To

      +

      +

      SET(CMAKE_EXE_LINKER_FLAGS_DEBUG "${CMAKE_EXE_LINKER_FLAGS_DEBUG} --specs=rdimon.specs ")

      +

      +

      +

      Remove

      +

      target_link_libraries(semihosting_ARMGCC.elf debug nosys)

      +

      +
    2. +
    3. +Run "build_debug.bat" to build project
    4. +
    +

    Step 3: Starting semihosting

    +
      +
    1. Download the image and set as follows.
      cd D:\mcu-sdk-2.0-origin\boards\twrk64f120m\driver_examples\semihosting\armgcc\debug
      +
      d:
      +
      C:\PROGRA~2\GNUTOO~1\4BD65~1.920\bin\arm-none-eabi-gdb.exe
      +
      target remote localhost:2331
      +
      monitor reset
      +
      monitor semihosting enable
      +
      monitor semihosting thumbSWI 0xAB
      +
      monitor semihosting IOClient 1
      +
      monitor flash device = MK64FN1M0xxx12
      +
      load semihosting_ARMGCC.elf
      +
      monitor reg pc = (0x00000004)
      +
      monitor reg sp = (0x00000000)
      +
      continue
      +
    2. +
    3. After the setting, press "enter". The PuTTY window now shows the printf() output.
    4. +
    +
    +
    + + + + + diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00094.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00095.html similarity index 98% rename from docs/MCUXpresso SDK API Reference Manual_LPC804/a00094.html rename to docs/MCUXpresso SDK API Reference Manual_LPC804/a00095.html index 43664b5..4cd5860 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/a00094.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/a00095.html @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_swm.h
    file  fsl_swm.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_22cbbd27e16e87caa10f675e5ce8b9df.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_22cbbd27e16e87caa10f675e5ce8b9df.html index be97458..7733748 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_22cbbd27e16e87caa10f675e5ce8b9df.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_22cbbd27e16e87caa10f675e5ce8b9df.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/utilities/debug_console_lite Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/utilities/debug_console_lite Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_debug_console.h
    file  fsl_debug_console.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_2c6aa0e2bbb2234cdccf71ce9920a505.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_2c6aa0e2bbb2234cdccf71ce9920a505.html index 17102bf..c0e966a 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_2c6aa0e2bbb2234cdccf71ce9920a505.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_2c6aa0e2bbb2234cdccf71ce9920a505.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/lpc_i2c Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/lpc_i2c Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_i2c.h
    file  fsl_i2c.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_3803c7c40becdc1a8f991e020a425f6b.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_3803c7c40becdc1a8f991e020a425f6b.html index 201fe13..0f9b784 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_3803c7c40becdc1a8f991e020a425f6b.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_3803c7c40becdc1a8f991e020a425f6b.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/lpc_gpio Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/lpc_gpio Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_gpio.h
    file  fsl_gpio.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_3d87c2df58032c55a697acbe8461844d.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_3d87c2df58032c55a697acbe8461844d.html index 6242c3b..a680c77 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_3d87c2df58032c55a697acbe8461844d.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_3d87c2df58032c55a697acbe8461844d.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/wwdt Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/wwdt Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_wwdt.h
    file  fsl_wwdt.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_51d0b1c6bed7424dc1959d40ccb0bb43.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_51d0b1c6bed7424dc1959d40ccb0bb43.html index f7d8cfd..d6653d4 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_51d0b1c6bed7424dc1959d40ccb0bb43.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_51d0b1c6bed7424dc1959d40ccb0bb43.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/devices/LPC804/drivers Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/devices/LPC804/drivers Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_plu.h
    file  fsl_plu.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_5b772dd4d456f495cb29becefd143ee2.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_5b772dd4d456f495cb29becefd143ee2.html index 1e61466..63b3622 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_5b772dd4d456f495cb29becefd143ee2.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_5b772dd4d456f495cb29becefd143ee2.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/lpc_crc Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/lpc_crc Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_crc.h
    file  fsl_crc.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_75214fd834f54a955adf2012023f7050.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_75214fd834f54a955adf2012023f7050.html index 6574697..75129be 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_75214fd834f54a955adf2012023f7050.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_75214fd834f54a955adf2012023f7050.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/lpc_iocon_lite Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/lpc_iocon_lite Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_iocon.h
    file  fsl_iocon.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_8240c85063e108bd95ef29fb603f8af8.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_8240c85063e108bd95ef29fb603f8af8.html index 441c555..e3c4202 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_8240c85063e108bd95ef29fb603f8af8.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_8240c85063e108bd95ef29fb603f8af8.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/capt Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/capt Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_wkt.h
    file  fsl_wkt.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_97879f77cafaedc6c6b7c8e7e6bcf419.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_97879f77cafaedc6c6b7c8e7e6bcf419.html index e074263..bd1925c 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_97879f77cafaedc6c6b7c8e7e6bcf419.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_97879f77cafaedc6c6b7c8e7e6bcf419.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/mrt Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/mrt Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_mrt.h
    file  fsl_mrt.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_9e0a0b363f74c0efadbd1d6e4c01cac5.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_9e0a0b363f74c0efadbd1d6e4c01cac5.html index d70e710..f75f6ed 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_9e0a0b363f74c0efadbd1d6e4c01cac5.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_9e0a0b363f74c0efadbd1d6e4c01cac5.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/lpc_dac Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/lpc_dac Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_dac.h
    file  fsl_dac.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a2da420fdde962bd10156eaa17d1670b.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a2da420fdde962bd10156eaa17d1670b.html index ac0ca2f..79e7ebf 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a2da420fdde962bd10156eaa17d1670b.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a2da420fdde962bd10156eaa17d1670b.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/syscon Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/syscon Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_syscon.h
    file  fsl_syscon.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a343a3e9cbb710280ccd0de2056b945d.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a343a3e9cbb710280ccd0de2056b945d.html index 5f15393..824009e 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a343a3e9cbb710280ccd0de2056b945d.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a343a3e9cbb710280ccd0de2056b945d.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/utilities/misc_utilities Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/utilities/misc_utilities Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_format.h
    file  fsl_format.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a3e8f1feb8c30c12d24fc9c7995a15c1.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a3e8f1feb8c30c12d24fc9c7995a15c1.html index 12e844d..0c59cc4 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a3e8f1feb8c30c12d24fc9c7995a15c1.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_a3e8f1feb8c30c12d24fc9c7995a15c1.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/ctimer Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/ctimer Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_ctimer.h
    file  fsl_ctimer.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_ae9e5c562b37697154b7013541665a90.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_ae9e5c562b37697154b7013541665a90.html index 15efde6..787fadd 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_ae9e5c562b37697154b7013541665a90.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_ae9e5c562b37697154b7013541665a90.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/devices/LPC804 Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/devices/LPC804 Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_spi.h
    file  fsl_spi.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c48a66ee7236adaad29cf263b70b3b16.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c48a66ee7236adaad29cf263b70b3b16.html index 50469d1..5108ab1 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c48a66ee7236adaad29cf263b70b3b16.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c48a66ee7236adaad29cf263b70b3b16.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/lpc_miniusart Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/lpc_miniusart Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_usart.h
    file  fsl_usart.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c5a52a81292cf9a5167198f4f346d6d9.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c5a52a81292cf9a5167198f4f346d6d9.html index 15067e9..3ed2650 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c5a52a81292cf9a5167198f4f346d6d9.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_c5a52a81292cf9a5167198f4f346d6d9.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_adc.h
    file  fsl_adc.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_d0b0cea4c1afa919405231e98537b73c.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_d0b0cea4c1afa919405231e98537b73c.html index 92450af..7b13320 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_d0b0cea4c1afa919405231e98537b73c.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_d0b0cea4c1afa919405231e98537b73c.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/utilities Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/utilities Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_acomp.h
    file  fsl_acomp.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_ea982a4a90bbf64c8a612131ad2f0fcb.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_ea982a4a90bbf64c8a612131ad2f0fcb.html index 6d4144b..d25e365 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_ea982a4a90bbf64c8a612131ad2f0fcb.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_ea982a4a90bbf64c8a612131ad2f0fcb.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/common Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/common Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    file  fsl_common.h
     
    file  fsl_common_arm.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_eb78a2695df30cd843f578001c009203.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_eb78a2695df30cd843f578001c009203.html index 68c4a37..ef97741 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_eb78a2695df30cd843f578001c009203.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_eb78a2695df30cd843f578001c009203.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/pint Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/pint Directory Reference @@ -32,7 +32,7 @@
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    - +

    Files

    file  fsl_pint.h
    file  fsl_pint.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_f116f7633d12361ea112ee9d6dd3a804.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_f116f7633d12361ea112ee9d6dd3a804.html index 1231da8..e2d8984 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_f116f7633d12361ea112ee9d6dd3a804.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/dir_f116f7633d12361ea112ee9d6dd3a804.html @@ -4,7 +4,7 @@ -MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/sdk_git/platform/drivers/iap Directory Reference +MCUXpresso SDK API Reference Manual: /home/nxf66276/jenkins_home/workspace/mcu-sdk-2.0/platform/drivers/iap Directory Reference @@ -32,7 +32,7 @@ Logo
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    @@ -105,7 +105,7 @@ $(document).ready(function(){initNavTree('dir_f116f7633d12361ea112ee9d6dd3a804.h - +

    Files

    file  fsl_iap.h
    file  fsl_iap.h
     
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/index.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/index.html index ee1a1ce..d8d35fa 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/index.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/index.html @@ -32,7 +32,7 @@ Logo
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/modules.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/modules.html index e0e67e4..4c3b1a4 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/modules.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/modules.html @@ -32,7 +32,7 @@ Logo
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    @@ -108,29 +108,29 @@ $(document).ready(function(){initNavTree('modules.html','');}); oCAPT: Capacitive Touch oCRC: Cyclic Redundancy Check Driver oCTIMER: Standard counter/timers -oClock Driver -oCommon Driver +oClock Driver +oCommon Driver oDAC: 10-bit Digital To Analog Converter Driver -oDebug Console Lite -|\Semihosting +oDebug Console Lite +|\Semihosting oGPIO: General Purpose I/O -oI2C: Inter-Integrated Circuit Driver -|oI2C Driver +oI2C: Inter-Integrated Circuit Driver +|oI2C Driver |oI2C Master Driver |\I2C Slave Driver -oIAP: In Application Programming Driver +oIAP: In Application Programming Driver oIOCON: I/O pin configuration oLPC_ACOMP: Analog comparator Driver oMRT: Multi-Rate Timer -oPINT: Pin Interrupt and Pattern Match Driver -oPLU: Programmable Logic Unit -oPower Driver -oReset Driver -oSPI: Serial Peripheral Interface Driver +oPINT: Pin Interrupt and Pattern Match Driver +oPLU: Programmable Logic Unit +oPower Driver +oReset Driver +oSPI: Serial Peripheral Interface Driver |\SPI Driver -oSWM: Switch Matrix Module -oSYSCON: System Configuration -oUSART: Universal Asynchronous Receiver/Transmitter Driver +oSWM: Switch Matrix Module +oSYSCON: System Configuration +oUSART: Universal Asynchronous Receiver/Transmitter Driver |\USART Driver oWKT: Self-wake-up Timer \WWDT: Windowed Watchdog Timer Driver diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/modules.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/modules.js index d274e80..68e5f82 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/modules.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/modules.js @@ -4,24 +4,24 @@ var modules = [ "CAPT: Capacitive Touch", "a00010.html", "a00010" ], [ "CRC: Cyclic Redundancy Check Driver", "a00011.html", "a00011" ], [ "CTIMER: Standard counter/timers", "a00012.html", "a00012" ], - [ "Clock Driver", "a00078.html", "a00078" ], - [ "Common Driver", "a00081.html", "a00081" ], + [ "Clock Driver", "a00079.html", "a00079" ], + [ "Common Driver", "a00082.html", "a00082" ], [ "DAC: 10-bit Digital To Analog Converter Driver", "a00013.html", "a00013" ], - [ "Debug Console Lite", "a00091.html", "a00091" ], + [ "Debug Console Lite", "a00092.html", "a00092" ], [ "GPIO: General Purpose I/O", "a00014.html", "a00014" ], - [ "I2C: Inter-Integrated Circuit Driver", "a00083.html", "a00083" ], - [ "IAP: In Application Programming Driver", "a00082.html", "a00082" ], + [ "I2C: Inter-Integrated Circuit Driver", "a00084.html", "a00084" ], + [ "IAP: In Application Programming Driver", "a00083.html", "a00083" ], [ "IOCON: I/O pin configuration", "a00017.html", "a00017" ], [ "LPC_ACOMP: Analog comparator Driver", "a00008.html", "a00008" ], [ "MRT: Multi-Rate Timer", "a00018.html", "a00018" ], - [ "PINT: Pin Interrupt and Pattern Match Driver", "a00087.html", "a00087" ], - [ "PLU: Programmable Logic Unit", "a00088.html", "a00088" ], - [ "Power Driver", "a00079.html", "a00079" ], - [ "Reset Driver", "a00080.html", "a00080" ], - [ "SPI: Serial Peripheral Interface Driver", "a00085.html", "a00085" ], - [ "SWM: Switch Matrix Module", "a00089.html", "a00089" ], - [ "SYSCON: System Configuration", "a00090.html", "a00090" ], - [ "USART: Universal Asynchronous Receiver/Transmitter Driver", "a00086.html", "a00086" ], + [ "PINT: Pin Interrupt and Pattern Match Driver", "a00088.html", "a00088" ], + [ "PLU: Programmable Logic Unit", "a00089.html", "a00089" ], + [ "Power Driver", "a00080.html", "a00080" ], + [ "Reset Driver", "a00081.html", "a00081" ], + [ "SPI: Serial Peripheral Interface Driver", "a00086.html", "a00086" ], + [ "SWM: Switch Matrix Module", "a00090.html", "a00090" ], + [ "SYSCON: System Configuration", "a00091.html", "a00091" ], + [ "USART: Universal Asynchronous Receiver/Transmitter Driver", "a00087.html", "a00087" ], [ "WKT: Self-wake-up Timer", "a00021.html", "a00021" ], [ "WWDT: Windowed Watchdog Timer Driver", "a00022.html", "a00022" ] ]; \ No newline at end of file diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtree.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtree.js index 10db1d5..d5df18d 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtree.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtree.js @@ -5,7 +5,7 @@ var NAVTREE = [ "Trademarks", "a00002.html", null ], [ "Architectural Overview", "a00004.html", null ], [ "Driver errors status", "a00006.html", null ], - [ "Deprecated List", "a00094.html", null ], + [ "Deprecated List", "a00095.html", null ], [ "API Reference", "modules.html", "modules" ] ] ] ]; @@ -14,11 +14,11 @@ var NAVTREEINDEX = [ "a00002.html", "a00011.html#ga7114311534a33b6688f35ceaaa3f7832", -"a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4acaac8593e808a8137d1b5d3a51640779de", -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a42c8946331d417404af48aed9fe53f88", -"a00080.html", -"a00087.html#ga11c44cbe5b42c79b22a3053c85b1e5d0", -"a00089.html#gga6db188a71a269613f30825af80c02053a8f49833db881a5cca72e39060510fe33" +"a00017.html", +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a7d342596a68c59d4e38bfe33c60f53c9", +"a00081.html#gaf2dd9adbeea910141dc143654a1065db", +"a00088.html", +"a00090.html#gga6db188a71a269613f30825af80c02053add9353fb1a660338dc4129f564d43c41" ]; var SYNCONMSG = 'click to disable panel synchronisation'; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex0.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex0.js index 3a85778..8225054 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex0.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex0.js @@ -4,8 +4,8 @@ var NAVTREEINDEX0 = "a00004.html":[2], "a00006.html":[3], "a00008.html":[5,12], -"a00008.html#a00100":[5,12,0], -"a00008.html#a00101":[5,12,1], +"a00008.html#a00101":[5,12,0], +"a00008.html#a00102":[5,12,1], "a00008.html#a46ecb6f7efe54967d816deafdf1c65ce":[5,12,0,1], "a00008.html#a66356c0246938695521e8a0ece69cf11":[5,12,1,1], "a00008.html#a6f9542645b06542277cb07ea138e5064":[5,12,0,0], @@ -25,7 +25,6 @@ var NAVTREEINDEX0 = "a00008.html#gaff80c9cfc3eb8114fcdb525b507f7ba3":[5,12,13], "a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a23743234a07f6c25a2b14cca0cd863c7":[5,12,4,2], "a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a25801b009aba402b9810189eb8545b8b":[5,12,4,1], -"a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a629a3a054d3fde07b8f1d4974a9d070d":[5,12,4,3], "a00008.html#gga178d521ca2ee7f33d518b30dfb627d20ad015e6ad9b09be27a6de122fde6b3997":[5,12,4,0], "a00008.html#gga7ad629d52b0a70f95cd2ddb3bee8ab5fa56718eb64fdbbd04648466547b326c35":[5,12,3,1], "a00008.html#gga7ad629d52b0a70f95cd2ddb3bee8ab5fa70a5aa61894fc8d2195652c2fa3423aa":[5,12,3,0], @@ -34,56 +33,57 @@ var NAVTREEINDEX0 = "a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acae003c683374deba88f335221e4f6c62b":[5,12,5,0], "a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acae6c2b1547a7e476425962d46b44a00f9":[5,12,5,1], "a00009.html":[5,0], -"a00009.html#a00102":[5,0,0], -"a00009.html#a00103":[5,0,1], -"a00009.html#a00104":[5,0,2], +"a00009.html#a00103":[5,0,0], +"a00009.html#a00104":[5,0,1], +"a00009.html#a00105":[5,0,2], "a00009.html#a1014edddf4d1ea57e751088dcadace6b":[5,0,2,1], "a00009.html#a280dc4b4d9c35c2411fe92cf3cadb838":[5,0,1,2], "a00009.html#a443ffd542f5690ca2cb239181db4aaf7":[5,0,2,2], "a00009.html#a53ec8373edca82340278a104721ed8bb":[5,0,1,1], "a00009.html#a5d9fd2ad5f95c7b55a72ac1b5d10221f":[5,0,2,4], "a00009.html#a6829bb748bc55409fed8615f6a40bb84":[5,0,2,3], -"a00009.html#a92583334531874821348b01b24f03bed":[5,0,0,1], "a00009.html#aa37fa0f5c6c3351f811d4f31f40290ad":[5,0,1,5], "a00009.html#aaf2640b291a24e4222953c7686007a3b":[5,0,1,3], "a00009.html#ab63c0a8803f4d02e040d55efd8d58e88":[5,0,1,0], "a00009.html#abb59c0a54988e01653f80c8a59d18b1a":[5,0,0,0], "a00009.html#abe7f67296372743da67e2eac58f9aafe":[5,0,1,4], "a00009.html#afa0a0f7e84c888060b963280035378f6":[5,0,2,0], -"a00009.html#ga0576bc613006f8a4d3bb6db93167be65":[5,0,18], -"a00009.html#ga06879c096d9702b2f378dc2db178e961":[5,0,28], -"a00009.html#ga13edd8c6ef6665907b00a6a819187292":[5,0,20], -"a00009.html#ga160dc82588afa2bca1388b35b8e3104d":[5,0,33], +"a00009.html#ga038d00e89652d2b860ef23b79cdbe23d":[5,0,19], +"a00009.html#ga0576bc613006f8a4d3bb6db93167be65":[5,0,20], +"a00009.html#ga06879c096d9702b2f378dc2db178e961":[5,0,30], +"a00009.html#ga13edd8c6ef6665907b00a6a819187292":[5,0,22], +"a00009.html#ga160dc82588afa2bca1388b35b8e3104d":[5,0,35], "a00009.html#ga2432b8bc48a2d3ede87fd021faefeaa5":[5,0,13], -"a00009.html#ga30e86d22d3680c1cf71d5121f162075b":[5,0,19], +"a00009.html#ga30e86d22d3680c1cf71d5121f162075b":[5,0,21], "a00009.html#ga3948c83397f351b5ed70bbaf1c5da35b":[5,0,8], "a00009.html#ga417e499fb2f1ee7ba05088468b392ce1":[5,0,4], -"a00009.html#ga473aadea5ea926efb3bc116089a45191":[5,0,31], -"a00009.html#ga4d72a0696ea69cf01a7d121b8e3d1efd":[5,0,26], -"a00009.html#ga51d600553349fbb81d96aaed4e1c22e4":[5,0,27], +"a00009.html#ga473aadea5ea926efb3bc116089a45191":[5,0,33], +"a00009.html#ga4d72a0696ea69cf01a7d121b8e3d1efd":[5,0,28], +"a00009.html#ga51d600553349fbb81d96aaed4e1c22e4":[5,0,29], "a00009.html#ga538fb95659082602d6ebb205f21573a5":[5,0,6], "a00009.html#ga5838d9fe654d6e1503be047d08ce5b32":[5,0,3], -"a00009.html#ga5ed68a2a2706c5086136426769cb3a2b":[5,0,21], +"a00009.html#ga5ed68a2a2706c5086136426769cb3a2b":[5,0,23], "a00009.html#ga69c1682f87ee05264946329a2532792e":[5,0,17], -"a00009.html#ga6bee3a133b58f1badcfded306544d58f":[5,0,25], -"a00009.html#ga833046b34deacc484675105225a4b0fb":[5,0,34], -"a00009.html#ga92ee1fdbb8cdadbdc1850e94afed432b":[5,0,38], -"a00009.html#ga93453ff5ee1ccf1429d5035920cdcd10":[5,0,23], +"a00009.html#ga6bee3a133b58f1badcfded306544d58f":[5,0,27], +"a00009.html#ga6c5be196874795f6647b18fd1f3443b4":[5,0,18], +"a00009.html#ga833046b34deacc484675105225a4b0fb":[5,0,36], +"a00009.html#ga92ee1fdbb8cdadbdc1850e94afed432b":[5,0,40], +"a00009.html#ga93453ff5ee1ccf1429d5035920cdcd10":[5,0,25], "a00009.html#ga9e87d0842217dd30d2d9699df580e237":[5,0,16], -"a00009.html#gaa134681cb86361faad65aa8c501be403":[5,0,36], -"a00009.html#gaa1deefb49e6221ba772d755bf4aa1a57":[5,0,37], +"a00009.html#gaa134681cb86361faad65aa8c501be403":[5,0,38], +"a00009.html#gaa1deefb49e6221ba772d755bf4aa1a57":[5,0,39], "a00009.html#gaa407371ebd58e5aa7f75d38bfdad6169":[5,0,15], -"a00009.html#gaa94fca220976d31ee991f1eff9cbe0db":[5,0,39], +"a00009.html#gaa94fca220976d31ee991f1eff9cbe0db":[5,0,41], "a00009.html#gab625534aa39c1cd25f18cdc0dc3b9981":[5,0,7], "a00009.html#gac5899c7af1f9cac7695d7171f83be5f0":[5,0,5], "a00009.html#gac6aab6fdd21723cfc6ed4437372521ac":[5,0,11], -"a00009.html#gac7a9fa5e3222604314a62d3b2f2331f7":[5,0,32], -"a00009.html#gacbd1fa05c3e1095dd2a8a741db533780":[5,0,30], -"a00009.html#gad4368ec191782815efeea62a95ad3744":[5,0,35], +"a00009.html#gac7a9fa5e3222604314a62d3b2f2331f7":[5,0,34], +"a00009.html#gacbd1fa05c3e1095dd2a8a741db533780":[5,0,32], +"a00009.html#gad4368ec191782815efeea62a95ad3744":[5,0,37], "a00009.html#gad47d3fd5553ab75cdf6b95268cb94f20":[5,0,9], -"a00009.html#gad5ef8575589083a8db3f508aec014e14":[5,0,22], -"a00009.html#gad857bbdf02a2e6e828e45a8573238198":[5,0,29], -"a00009.html#gada2a96cbb4d3348b943ae8576bae8e51":[5,0,24], +"a00009.html#gad5ef8575589083a8db3f508aec014e14":[5,0,24], +"a00009.html#gad857bbdf02a2e6e828e45a8573238198":[5,0,31], +"a00009.html#gada2a96cbb4d3348b943ae8576bae8e51":[5,0,26], "a00009.html#gae86929708f4bb8be4cc88dcf50c3db54":[5,0,10], "a00009.html#gaecfd3ba1bc4b014f3c11bc6f348a28cc":[5,0,12], "a00009.html#gafb17afb34b6114b97b8bfa331465fd23":[5,0,14], @@ -150,8 +150,8 @@ var NAVTREEINDEX0 = "a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a8792af738570ae4baa6f58baecc04eff":[5,0,14,3], "a00009.html#ggafb17afb34b6114b97b8bfa331465fd23aa806598c66d17dadc451cfaab2e6af45":[5,0,14,4], "a00010.html":[5,1], -"a00010.html#a00105":[5,1,0], -"a00010.html#a00106":[5,1,1], +"a00010.html#a00106":[5,1,0], +"a00010.html#a00107":[5,1,1], "a00010.html#a2023ba3491243edb7ad6be41ea0b0f68":[5,1,0,9], "a00010.html#a22171a4d042ea93fa19f6d7e22caa516":[5,1,0,5], "a00010.html#a2b0d01a9feb8b378e76f4d3240e9ebe8":[5,1,1,4], @@ -237,7 +237,7 @@ var NAVTREEINDEX0 = "a00010.html#gga86048ff411e845cbde51d9324b5a4b0fa1a35bccccdc8b9c911a96a14f6413579":[5,1,6,0], "a00010.html#gga86048ff411e845cbde51d9324b5a4b0fa9210dee59a14aaec97257fac9a20ae9c":[5,1,6,1], "a00011.html":[5,2], -"a00011.html#a00107":[5,2,0], +"a00011.html#a00108":[5,2,0], "a00011.html#a673b6508efa086da7b7bd537a876241e":[5,2,0,4], "a00011.html#a88920b83ff1317f511c1e9f4916b3b30":[5,2,0,5], "a00011.html#a9982af011e3e1fc9756dcee96281ebda":[5,2,0,2], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex1.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex1.js index a8acfcd..d84af8a 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex1.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex1.js @@ -10,8 +10,8 @@ var NAVTREEINDEX1 = "a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da4a13840f3031b3aed89f60ba37a34c8b":[5,2,3,1], "a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da9ef1c3d85d4f13199e7df63d170bf6f6":[5,2,3,0], "a00012.html":[5,3], -"a00012.html#a00108":[5,3,1], -"a00012.html#a00109":[5,3,0], +"a00012.html#a00109":[5,3,1], +"a00012.html#a00110":[5,3,0], "a00012.html#a0e8b29f0c0f74c5273942a521e3b16d6":[5,3,0,3], "a00012.html#a31ca8ee3406b7a17c793d94469e1e68d":[5,3,0,4], "a00012.html#a94fe2c63ce7fcb5cf68f9ce73974a3e8":[5,3,0,2], @@ -24,15 +24,14 @@ var NAVTREEINDEX1 = "a00012.html#ga0971c614f932bcf55994bf6c92325eb2":[5,3,9], "a00012.html#ga09f5eb858c001377062fe0b8a0d5bd29":[5,3,6], "a00012.html#ga0b2f1b62dee7adf60574c3410e7bd26f":[5,3,22], -"a00012.html#ga0b4954f928c8e278c29325ea10aa7fa5":[5,3,37], +"a00012.html#ga0b4954f928c8e278c29325ea10aa7fa5":[5,3,36], "a00012.html#ga22cef1fc5f8e23a35b6c3a012e3d143c":[5,3,7], "a00012.html#ga24464606cafc0f316116b164966a906e":[5,3,24], "a00012.html#ga2a70f03b835a36e5b321861d0b0ebb41":[5,3,16], "a00012.html#ga2fbf5cfa219c31ac16f3786d6897dc3f":[5,3,3], -"a00012.html#ga37f6a866661fa105f4e66e76e02d4236":[5,3,38], +"a00012.html#ga37f6a866661fa105f4e66e76e02d4236":[5,3,37], "a00012.html#ga3c7e8e1eb12e5f48ecca04c8128a9545":[5,3,30], "a00012.html#ga43b7410d9c6aab6aad466bd481b9222b":[5,3,28], -"a00012.html#ga47db49d83551ef1e38375570f29e5f0e":[5,3,36], "a00012.html#ga5d04834d4b29c8505b0a89b8875c2e78":[5,3,18], "a00012.html#ga635d2570c0274ff40f10ac7b5f3317df":[5,3,26], "a00012.html#ga64b1d0ba86d952b5ec30e201880c7bb5":[5,3,19], @@ -42,7 +41,6 @@ var NAVTREEINDEX1 = "a00012.html#ga97daa711029883d46933204381f44c9f":[5,3,13], "a00012.html#ga9cc18e14c871b2a79888a4cdacbb0eee":[5,3,8], "a00012.html#gaa7de0b40e3127d3d8901398df50feb20":[5,3,23], -"a00012.html#gabe141933756553c5fcbb23160f065b00":[5,3,39], "a00012.html#gac37706bc06bc7590ce1e3d1b4bf73638":[5,3,4], "a00012.html#gac44f44c3f88125a41081ca2a7cf06223":[5,3,14], "a00012.html#gacae8e1dbb60368ab01a9564de7eda037":[5,3,12], @@ -94,7 +92,7 @@ var NAVTREEINDEX1 = "a00012.html#ggae64285eb2e52bf5cc2b723870392ff60af3a0db73d48116ea419af737c706b30b":[5,3,10,5], "a00012.html#ggae64285eb2e52bf5cc2b723870392ff60af5a6c5ee43a01803e82c26181dedfa97":[5,3,10,2], "a00013.html":[5,6], -"a00013.html#a00110":[5,6,0], +"a00013.html#a00111":[5,6,0], "a00013.html#a64eb5fd25bfaaa5593caf6e9116dbb5b":[5,6,0,0], "a00013.html#ga0331d8c8f699fac12c93a7796d961923":[5,6,3], "a00013.html#ga0a654edfcbb8a6fc80c51a3222fc4d7b":[5,6,10], @@ -109,7 +107,7 @@ var NAVTREEINDEX1 = "a00013.html#gga82eecb700b3afeda8b95a6151d8904fca302776aaf6d8780d9b57e9f910615d6f":[5,6,2,0], "a00013.html#gga82eecb700b3afeda8b95a6151d8904fcad460e5e0da52ad16bf771892ebfce1f8":[5,6,2,1], "a00014.html":[5,8], -"a00014.html#a00111":[5,8,0], +"a00014.html#a00112":[5,8,0], "a00014.html#a70aed128003103272f5740f12fbff525":[5,8,0,0], "a00014.html#a9d37ffd9a2943f10a91095759bd52da5":[5,8,0,1], "a00014.html#ga07e3b8c21dad1debec7986f214a70975":[5,8,9], @@ -124,9 +122,9 @@ var NAVTREEINDEX1 = "a00014.html#ggada41ca0a2ce239fe125ee96833e715c0a509ebcd228fc813cf4afcacd258680f9":[5,8,2,1], "a00014.html#ggada41ca0a2ce239fe125ee96833e715c0abacf19933be1940ab40c83535e6a46d4":[5,8,2,0], "a00015.html":[5,9,1], -"a00015.html#a00095":[5,9,1,2], -"a00015.html#a00096":[5,9,1,1], -"a00015.html#a00112":[5,9,1,0], +"a00015.html#a00096":[5,9,1,2], +"a00015.html#a00097":[5,9,1,1], +"a00015.html#a00113":[5,9,1,0], "a00015.html#a15b84b8a94c2b2e5ace0a695c79edd84":[5,9,1,2,5], "a00015.html#a1c6831135c83c013d2a3cfc3e7210357":[5,9,1,0,2], "a00015.html#a2186844dc87bcde999fc12005f4c550a":[5,9,1,0,1], @@ -183,10 +181,10 @@ var NAVTREEINDEX1 = "a00015.html#ggac938392418ba1a891983d11ef5c9f2a3a9cc49a1ca21e87058a7fbf733cce0e62":[5,9,1,4,0], "a00015.html#ggac938392418ba1a891983d11ef5c9f2a3ab5cec1d9be7dc5fb2c106e2b87889517":[5,9,1,4,2], "a00016.html":[5,9,2], -"a00016.html#a00097":[5,9,2,3], -"a00016.html#a00113":[5,9,2,0], -"a00016.html#a00114":[5,9,2,1], -"a00016.html#a00115":[5,9,2,2], +"a00016.html#a00098":[5,9,2,3], +"a00016.html#a00114":[5,9,2,0], +"a00016.html#a00115":[5,9,2,1], +"a00016.html#a00116":[5,9,2,2], "a00016.html#a0394563c8d0f9eeeecd242a65cee2ad4":[5,9,2,2,8], "a00016.html#a14acc40a290c779fde0825f3a8bdbb25":[5,9,2,1,6], "a00016.html#a18589a5de9b06b2eaf7d4260eac03a58":[5,9,2,3,2], @@ -249,5 +247,7 @@ var NAVTREEINDEX1 = "a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca2f85039a57379838909876a1d509b7aa":[5,9,2,10,1], "a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca38cca3cd668e73f51b8e574835d419df":[5,9,2,10,3], "a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca5e765c1c316a51908b68f1f32a7b524d":[5,9,2,10,4], -"a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca94b030ebdac378a84961893ae567bfbc":[5,9,2,10,5] +"a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4aca94b030ebdac378a84961893ae567bfbc":[5,9,2,10,5], +"a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4acaac8593e808a8137d1b5d3a51640779de":[5,9,2,10,2], +"a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4acacb1ae174dd6798a6fd79800a9e39a3c6":[5,9,2,10,0] }; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex2.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex2.js index a67ba8c..eb89634 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex2.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex2.js @@ -1,14 +1,12 @@ var NAVTREEINDEX2 = { -"a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4acaac8593e808a8137d1b5d3a51640779de":[5,9,2,10,2], -"a00016.html#ggac53e5c96a2eed1b5a95b7d84be48f4acacb1ae174dd6798a6fd79800a9e39a3c6":[5,9,2,10,0], "a00017.html":[5,11], -"a00017.html#a00116":[5,11,0], +"a00017.html#a00117":[5,11,0], "a00017.html#gac4193f0e0cb9b0469f58af2648024059":[5,11,1], "a00017.html#gaf2cc5d2d92b4e2898a197479e77a326e":[5,11,3], "a00017.html#gaf9258f56192a94928f67940eee5dd879":[5,11,2], "a00018.html":[5,13], -"a00018.html#a00117":[5,13,0], +"a00018.html#a00118":[5,13,0], "a00018.html#aa8d8b810ee17770fd48674454f59bb08":[5,13,0,0], "a00018.html#ga0bb94508d8cf924c3a6971364377673a":[5,13,5], "a00018.html#ga2e666900eef9bdbd9d9e587d2b7ca2c2":[5,13,12], @@ -20,6 +18,7 @@ var NAVTREEINDEX2 = "a00018.html#ga7620866a54acdcc17abab2b46a94dc6c":[5,13,18], "a00018.html#ga7a8066680089523fa602dbe58b0c0505":[5,13,11], "a00018.html#ga7c0bbb20b4abd7450f860277ef9d8a20":[5,13,16], +"a00018.html#ga8e55bb859e4f9b98ea8ec580ed7b106a":[5,13,20], "a00018.html#ga8f4d38afa34e99ba521d9ca08be06355":[5,13,9], "a00018.html#ga959db20281cfce080c684bd94f667799":[5,13,14], "a00018.html#ga9d2f90ae2c6f99410e2908dac8cc6943":[5,13,4], @@ -40,11 +39,11 @@ var NAVTREEINDEX2 = "a00018.html#ggaece5c1972e35dec2efcce98847a09622a44b7807f36fe0a21240e721d46d8f9d7":[5,13,2,1], "a00018.html#ggaece5c1972e35dec2efcce98847a09622af57e6e009258a71bc3a2890aedff34b3":[5,13,2,3], "a00019.html":[5,18,0], -"a00019.html#a00098":[5,18,0,4], -"a00019.html#a00118":[5,18,0,0], -"a00019.html#a00119":[5,18,0,1], -"a00019.html#a00120":[5,18,0,2], -"a00019.html#a00121":[5,18,0,3], +"a00019.html#a00099":[5,18,0,4], +"a00019.html#a00119":[5,18,0,0], +"a00019.html#a00120":[5,18,0,1], +"a00019.html#a00121":[5,18,0,2], +"a00019.html#a00122":[5,18,0,3], "a00019.html#a018402d4d181b482376a878b38db740f":[5,18,0,1,6], "a00019.html#a0d0bceaaae2e5bae690fb2c3289f48f6":[5,18,0,2,5], "a00019.html#a11b51e4d88d3f8d9137a13ce301ed46c":[5,18,0,4,8], @@ -168,9 +167,9 @@ var NAVTREEINDEX2 = "a00019.html#ggafa691c5329a7325ee57c9f06fa295731add11012a8887066fad29e23c20d66af8":[5,18,0,17,11], "a00019.html#ggafa691c5329a7325ee57c9f06fa295731ae83435f494d1b9f3ce2f2da0ac03fc38":[5,18,0,17,10], "a00020.html":[5,21,0], -"a00020.html#a00099":[5,21,0,2], -"a00020.html#a00122":[5,21,0,0], -"a00020.html#a00123":[5,21,0,1], +"a00020.html#a00100":[5,21,0,2], +"a00020.html#a00123":[5,21,0,0], +"a00020.html#a00124":[5,21,0,1], "a00020.html#a197ce470fd9b42e53a867ef84eb2b6dd":[5,21,0,2,9], "a00020.html#a2c3b5faf44be34a152232b9dfaaf064d":[5,21,0,0,2], "a00020.html#a2cac1523ac58da64d4076c6155309d2f":[5,21,0,2,6], @@ -248,6 +247,7 @@ var NAVTREEINDEX2 = "a00020.html#gga58ab07609b094f719f903475de6e57b4aa637b8f5f0edd262181a20c1fbed7c12":[5,21,0,10,0], "a00020.html#gga786ba5b98195c3df810a061b6c0cca91a40fdc56c60a07652a4283491087eed6b":[5,21,0,12,0], "a00020.html#gga786ba5b98195c3df810a061b6c0cca91aea6908db956ab3f686c7ee54d68d401c":[5,21,0,12,1], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a2dae162d4f0509695fce62b0ed1b9e4b":[5,21,0,13,4], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a3dc275bab22f77a1182bbab5fd5cea3b":[5,21,0,13,9] +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a2dae162d4f0509695fce62b0ed1b9e4b":[5,21,0,13,3], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a3dc275bab22f77a1182bbab5fd5cea3b":[5,21,0,13,8], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a42c8946331d417404af48aed9fe53f88":[5,21,0,13,1] }; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex3.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex3.js index 4185c1e..b8cb0d2 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex3.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex3.js @@ -1,16 +1,13 @@ var NAVTREEINDEX3 = { -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a42c8946331d417404af48aed9fe53f88":[5,21,0,13,1], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a7154c6ede60243bf5f9e727a5cb18ce5":[5,21,0,13,11], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a7d342596a68c59d4e38bfe33c60f53c9":[5,21,0,13,10], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a9c9626a150100989578d9f18f9b3d59a":[5,21,0,13,6], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ac01c5ca3a2671c568e35d196ea223ada":[5,21,0,13,3], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ac5611ab6b973e6777a7d7db8321f62f2":[5,21,0,13,2], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853acc912dfbb3e827b37e9a942bf601672d":[5,21,0,13,8], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ad97d89d6e3a853cc07c854838a4f31f9":[5,21,0,13,12], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a7d342596a68c59d4e38bfe33c60f53c9":[5,21,0,13,9], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a9c9626a150100989578d9f18f9b3d59a":[5,21,0,13,5], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ac01c5ca3a2671c568e35d196ea223ada":[5,21,0,13,2], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853acc912dfbb3e827b37e9a942bf601672d":[5,21,0,13,7], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ad97d89d6e3a853cc07c854838a4f31f9":[5,21,0,13,10], "a00020.html#gga78b340bf3b25bfae957d0c5532b9b853addb8c45f45a91da558ae553a72557445":[5,21,0,13,0], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ae642012aae33bd8954699572e4adb475":[5,21,0,13,7], -"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853afb9ef5dc29f02f42773fb5b7fcda2e3e":[5,21,0,13,5], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ae642012aae33bd8954699572e4adb475":[5,21,0,13,6], +"a00020.html#gga78b340bf3b25bfae957d0c5532b9b853afb9ef5dc29f02f42773fb5b7fcda2e3e":[5,21,0,13,4], "a00020.html#gga7ecd603d2579abbe714d58eb582821b8a71df5907ecd16c5f08fe1e6673064958":[5,21,0,9,1], "a00020.html#gga7ecd603d2579abbe714d58eb582821b8af279132afb0bee88bae3065c20c79ab2":[5,21,0,9,2], "a00020.html#gga7ecd603d2579abbe714d58eb582821b8af7a378247f82677090007d0c38be78f2":[5,21,0,9,0], @@ -25,7 +22,6 @@ var NAVTREEINDEX3 = "a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a615387365fba3c394b1a595e9baa3c95":[5,21,0,14,6], "a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a722bb0119b5dc19d471b62c622aca9c7":[5,21,0,14,5], "a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a8106fa188ea138f48c7740dcf5c2b294":[5,21,0,14,3], -"a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610aa655bdfd278003a00cf5195986a38892":[5,21,0,14,13], "a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610ab35037d2ed958a740984aa0a125d72aa":[5,21,0,14,2], "a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610abc766d479d00f480e78d05f21f8e1d59":[5,21,0,14,1], "a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610ade5bc3f17cf2c303ba2e0eeedafd858c":[5,21,0,14,7], @@ -45,7 +41,7 @@ var NAVTREEINDEX3 = "a00020.html#ggadc29c2ff13d900c2f185ee95427fb06cade18e0468490127d2e0a8c71a190bdc8":[5,21,0,7,10], "a00020.html#ggadc29c2ff13d900c2f185ee95427fb06cae427c8491ce7294dfeaa3b87e506bd81":[5,21,0,7,11], "a00021.html":[5,22], -"a00021.html#a00124":[5,22,0], +"a00021.html#a00125":[5,22,0], "a00021.html#ae5078ce05e0689966c2c5c49f9aaf89a":[5,22,0,0], "a00021.html#ga0411f3587cc78cbde38b765e8bf3ba0c":[5,22,1], "a00021.html#ga0c4c3a2aa689d7cdd0608eb1076d83aa":[5,22,3], @@ -63,7 +59,7 @@ var NAVTREEINDEX3 = "a00021.html#ggaf985ebf5e165e2fbda510cac71cad222a3c617873be9cb696f53cca9529ca6dfc":[5,22,2,1], "a00021.html#ggaf985ebf5e165e2fbda510cac71cad222afe5c2695869b965f7833386743cc1147":[5,22,2,2], "a00022.html":[5,23], -"a00022.html#a00125":[5,23,0], +"a00022.html#a00126":[5,23,0], "a00022.html#a15e2f3ecd343280edfd8fbaa0bf8c9f4":[5,23,0,7], "a00022.html#a405b63e15703dc92b3179b5089319e38":[5,23,0,0], "a00022.html#a449186b990027ae1e7543458e2f8714a":[5,23,0,6], @@ -89,165 +85,169 @@ var NAVTREEINDEX3 = "a00022.html#gaf661d1e3e19a80b873c54fdbad5e966a":[5,23,1], "a00022.html#ggaca0e9724bd1f25336527ea66c77e476fa825be03d771f06e1d6cf947ca78f857e":[5,23,4,1], "a00022.html#ggaca0e9724bd1f25336527ea66c77e476faea9db9afc1f9c70872a755eaa24a4184":[5,23,4,0], -"a00078.html":[5,4], -"a00078.html#ga06cf7a516d7600127c0af0e1e0cc5759":[5,4,8], -"a00078.html#ga0c0cf401613f31feb4a9eb723ad51154":[5,4,0], -"a00078.html#ga0da8ff794475b44b15cda07999bc8678":[5,4,2], -"a00078.html#ga10c8c8ccc077404a00e1121b5d18e4a8":[5,4,37], -"a00078.html#ga2172b1f5c29b7d0bf0fe8a9c9d45ed43":[5,4,16], -"a00078.html#ga2323a706e6e7796fa2e352a5b5a70f59":[5,4,17], -"a00078.html#ga23c8b3ae62f7865b2e228408be95946d":[5,4,24], -"a00078.html#ga23dea91a4cc65d3b9ba93c83313496a1":[5,4,13], -"a00078.html#ga24f65b321ca1b862cf159bec396453bd":[5,4,21], -"a00078.html#ga2d33480c8967a6bac98512e0c47c6b07":[5,4,40], -"a00078.html#ga386d59c21453d1914f3c8194010ff277":[5,4,46], -"a00078.html#ga47b7ce0a4c83a359f4bc1f2714a13761":[5,4,36], -"a00078.html#ga4b1754d575449d4dab7f7e3f0131650c":[5,4,11], -"a00078.html#ga4eb9737ada73b9a575250de526433dff":[5,4,41], -"a00078.html#ga4f99eead2ff26c245d72917e9308ac1d":[5,4,44], -"a00078.html#ga53acae220d651789bb505c53c73ecf2b":[5,4,38], -"a00078.html#ga59a363f0296ea51011dd86b405d5db58":[5,4,26], -"a00078.html#ga5a9205e0888bdc8579d3851313662671":[5,4,39], -"a00078.html#ga62ebb12d760ba0fe5ca71ac1f7a77709":[5,4,47], -"a00078.html#ga6506e7cdad8dcf18347cdcb1acd8c17d":[5,4,42], -"a00078.html#ga661e3aff590ce2f086ba06745317480b":[5,4,12], -"a00078.html#ga7c6017a8657328bdaad58beb19de84e1":[5,4,9], -"a00078.html#ga7dd0bd48c4a5a68fef7f9524d8999b4b":[5,4,31], -"a00078.html#ga85bf7440c0d77269e3db764083110334":[5,4,28], -"a00078.html#gaa540fadbafefad92f9f7ae3f63b7a45c":[5,4,4], -"a00078.html#gaa6be3b84fd2a57ec1165954d6c4564e8":[5,4,30], -"a00078.html#gaaa964f2c87ddd18a09c5201e22925fe6":[5,4,32], -"a00078.html#gab3c8a974e25f615fe023579c847fae6c":[5,4,5], -"a00078.html#gab68022e8d8f60a72f86a6a6c13b6e2f4":[5,4,29], -"a00078.html#gabdb5fc301b32e8998fb9b52e86c5cc1a":[5,4,19], -"a00078.html#gabfcd2e2eae3bb847bb6268557ad9e50f":[5,4,43], -"a00078.html#gac0c74371f4f724c78ef233de79c3cf14":[5,4,15], -"a00078.html#gac344a8334a482bcbcbdf0c4828db872c":[5,4,27], -"a00078.html#gac3a1bd1656603951722b345b45f888e9":[5,4,20], -"a00078.html#gac72477e518b30ceb277b83f555ac0d9e":[5,4,14], -"a00078.html#gac86986db423673c1d9b46882ccd6b3a9":[5,4,10], -"a00078.html#gaca0f0dcb61434d727340ddde5f131d91":[5,4,34], -"a00078.html#gad0c6602129535798b81d753e67cabc99":[5,4,6], -"a00078.html#gad73456f246d91b7e9dcbe6c6fc180a2f":[5,4,18], -"a00078.html#gadfccab39614758cd5e147aafb5157610":[5,4,22], -"a00078.html#gaea083b34e0ae608a94fce91da973a544":[5,4,23], -"a00078.html#gaee8dd95ea14cf8363042356e9fb2e5cb":[5,4,45], -"a00078.html#gaef5bfe2c53a7ededa861c4e414cdbf36":[5,4,3], -"a00078.html#gaf4232ca3ff8c9ea3d8b3cbe4bac6d87a":[5,4,1], -"a00078.html#gaf74854e9bcee544d7646c5bafdc00bd3":[5,4,25], -"a00078.html#gaf85cf02f515cd756873bf2a74ee143e2":[5,4,35], -"a00078.html#gafe0b410109a29c465df0b4dcbb338ff3":[5,4,33], -"a00078.html#gaffc6cb67ee605165b0660db6031d5871":[5,4,7], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946da00b88c0e9bb08d8b622140c46416d4b9":[5,4,24,4], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946da0a7890c63167a690ed5199ec17d46670":[5,4,24,1], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946da0ffae7aa0d9dbc52524beb5f82ced038":[5,4,24,22], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946da11dd047399d3cf7893682897e34682de":[5,4,24,0], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946da1b9f348e8d5bc1715af7366be3ca87c7":[5,4,24,2], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946da1d4898f8634e2f18e6a154e2b1a4120e":[5,4,24,13], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946da26876b4bdecc15c46c379a289441b3c6":[5,4,24,12], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946da2bc16e3ee343b4abfc46ae9506461e97":[5,4,24,18], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946da32dc8a8723c16dbf0af95927f54a4dcc":[5,4,24,20], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946da3922459ccb3f2fd90ac39813c0dc39f0":[5,4,24,21], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946da5c44f9347603d8c7fd8eda74e3b3e40f":[5,4,24,16], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946da63bcbbef2627c667b204eae91c3a0718":[5,4,24,7], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946da689e957d82ca723a1a0cc671ba216bf5":[5,4,24,17], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946da89556150c0329c54c4b6150030e416ff":[5,4,24,3], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946da909ccfe84f4275dd7b6ba09235583230":[5,4,24,15], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946da9ec19029dc1ad34cb7ae0091e97b7f7d":[5,4,24,6], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946da9facf6722503308f657e1d1da679065a":[5,4,24,23], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946daa1cfbe8497997fc6dff0d7f0c070aa5a":[5,4,24,11], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946daace73b709cda5c34ba5bdf6888605f1f":[5,4,24,9], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946dac6997ec12e66b5e4fad9aa52ef6a2db0":[5,4,24,10], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946dac6e6ee6e9f7b28e5c3818324c852b05f":[5,4,24,5], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946dad2e9ebc3825a65fae3c13efbc805a128":[5,4,24,19], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946dad386313192805ba225b0da24e078d387":[5,4,24,8], -"a00078.html#gga23c8b3ae62f7865b2e228408be95946daf1e8b91e80a3a9a4db038c0b4cf7e381":[5,4,24,14], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58a066679b9e0a01ccd0aad6e6caa643f2f":[5,4,26,11], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58a1b183d67629d90ddc1a9b9baf2ebc285":[5,4,26,13], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58a1fe8170ca9a5fcee3e0b3fa1453eb481":[5,4,26,27], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58a20d47beaa61ee59b61c34fa4f7e30d21":[5,4,26,29], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58a225c7ee90596ed70ba883f4db61aa8a7":[5,4,26,22], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58a28b61eb46fb752a05934e2d44450acc0":[5,4,26,1], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58a34737a1ca71167db472e07d6d9bd4b39":[5,4,26,31], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58a5cff4ddf26d639e435ce79fe5e83d866":[5,4,26,19], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58a62d1ae5b5b1bb400be6618e4e2ad45ea":[5,4,26,5], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58a67d2eb5235a0677d1ef161e5e1c2b340":[5,4,26,6], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58a841785f980e4c406eeecdcf07559fe66":[5,4,26,7], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58a845c271da53362455548e3a2d785e46f":[5,4,26,24], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58a8670e7d6a9f2afb045fbf54dc13c4bb2":[5,4,26,21], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58a8671f2c92f6e049ed8b2f3b7a5b9b296":[5,4,26,30], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58a91f272ba2ca7171ce0689d39f9a894af":[5,4,26,4], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58a92f67286aebd8e0fde633cf4b3bd8b57":[5,4,26,16], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58a9fbd759cc60932bb0896625543477290":[5,4,26,10], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58a9fe34f41e6cfa3cd3a0ef15ba9184bb1":[5,4,26,17], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58aad0657c34aef515cd39d693c8a7896b2":[5,4,26,25], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58ab434281b6471a116a444172bf8a7bf91":[5,4,26,15], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58ab8065d6f5e9b16e9410feccef7f1c10b":[5,4,26,14], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58abae02f94616cc17800067d5601943b5e":[5,4,26,2], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58ac891ded4c265bfab790d733acbacb753":[5,4,26,20], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58ad0784125581fd7bf09f4b3bab3af725d":[5,4,26,8], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58ae19e4e49559079c2f95f998c37029642":[5,4,26,18], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58ae27646652709428349bea6c7044c070e":[5,4,26,23], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58aea7f16ca2ff13032c4cc33a2c45332ae":[5,4,26,3], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58af06770587aa45bbb62d1e4fe36d03d81":[5,4,26,9], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58af1d8576caccfd1e48d121fae4315c10d":[5,4,26,0], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58af2ea89b865003dc40f8923f67d7bb366":[5,4,26,28], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58af45a833dead43235df03a982dc25ea33":[5,4,26,12], -"a00078.html#gga59a363f0296ea51011dd86b405d5db58afa871e16cf926d8a08dce1a52f418066":[5,4,26,26], -"a00078.html#gga85bf7440c0d77269e3db764083110334a01135115df0c0b0525f2b1391ee9d9ca":[5,4,28,1], -"a00078.html#gga85bf7440c0d77269e3db764083110334a29c4fdcb48fba40928b278765d0e3843":[5,4,28,2], -"a00078.html#gga85bf7440c0d77269e3db764083110334a60f89d729c96295d1aaa741a412d58ab":[5,4,28,0], -"a00078.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a0aecd9451c5bf4525fe17a7b4734f58f":[5,4,29,0], -"a00078.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a85dbf0a96c672abcfbc08dfc231088d6":[5,4,29,1], -"a00078.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4acb9810ab5676cca7da756b2c28205c12":[5,4,29,2], -"a00078.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4afa92bbf8fcfb74ceea172667409f7643":[5,4,29,3], -"a00078.html#ggac344a8334a482bcbcbdf0c4828db872ca7b3998fdf96eb884e35ff56f40feffbd":[5,4,27,1], -"a00078.html#ggac344a8334a482bcbcbdf0c4828db872caa7236a2c00237ca7ee5b2ff55058ded6":[5,4,27,2], -"a00078.html#ggac344a8334a482bcbcbdf0c4828db872caf4f25357ef9794d7d72417977d27ffb2":[5,4,27,0], -"a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01a946b874db04d12aba4c3bbe461580":[5,4,25,2], -"a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01d0c099adda9e435281c887b12095a8":[5,4,25,3], -"a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a1de4a40f5399eb025d5faefda7491918":[5,4,25,0], -"a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a30e6333c132740b84bf2ce94b8c44a8f":[5,4,25,4], -"a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a86d48329b3492ab94a5da3925c73622f":[5,4,25,1], -"a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3aa27972710b7df62d66918584843aee06":[5,4,25,6], -"a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3aab25a81f1aefcd33b6de1e10e3169c41":[5,4,25,5], -"a00079.html":[5,16], -"a00079.html#ga1680834b74ccfaca0cc98b954cfef0ec":[5,16,2], -"a00079.html#ga2cef71a7429671e6aaf71b3c40f1bc23":[5,16,26], -"a00079.html#ga40a1d0c80f5189e9c56133a2bc15a4c2":[5,16,9], -"a00079.html#ga4b0c77a348378a9c77539fc160c239df":[5,16,13], -"a00079.html#ga4b9f6c3aa18e60b7a85edfa8857906ed":[5,16,19], -"a00079.html#ga566dde551cccfbff838b7f6f474e525b":[5,16,0], -"a00079.html#ga64687ae9aee146f932c9d822633ed751":[5,16,24], -"a00079.html#ga6ad77a0ddbf43076a49a207534c6b7f8":[5,16,12], -"a00079.html#ga6f4c4db233f8d1cb2aafa7b7a3c34f84":[5,16,20], -"a00079.html#ga7069e59acd0a3e196bb54b7a5bcead3d":[5,16,17], -"a00079.html#ga774c3c091d12f8f4ca768d112a7fda8d":[5,16,3], -"a00079.html#ga7b82522f086af61786aa316142d3540c":[5,16,18], -"a00079.html#ga7bfb95e1397b0fc1b02b740458667d4c":[5,16,21], -"a00079.html#ga83d78eac8ce2faa5f92738c681846d5b":[5,16,23], -"a00079.html#ga85b6d46e43b818ba54dba92256db4499":[5,16,27], -"a00079.html#ga85cddf883d8412b35f3648fa5a62b136":[5,16,14], -"a00079.html#ga86ad2183a772150f3c72d5aaf158afaa":[5,16,22], -"a00079.html#gaa25d9a439cb1779e76c107cfc9c1a86e":[5,16,16], -"a00079.html#gaacea8a22b7f4706814e61973550d3492":[5,16,5], -"a00079.html#gab5d33167d8a4c9d9a407cc1bdd6346a9":[5,16,4], -"a00079.html#gabea720a67b6b06b187a5781b9955dc9c":[5,16,11], -"a00079.html#gacc5b8fefeacc0f1909d657be9cedddf3":[5,16,1], -"a00079.html#gacf3a138e73725bb8c50290295259bfa4":[5,16,8], -"a00079.html#gad4414c07be8e296fdf41c256e605c161":[5,16,6], -"a00079.html#gad856ab8003eb9953525518cddbc7814c":[5,16,7], -"a00079.html#gada7daa74280566725bfa2854613c91cc":[5,16,25], -"a00079.html#gae9733cfb042126b04d237cc8db40dba0":[5,16,10], -"a00079.html#gafd390219700f64b63df3ded05212bda5":[5,16,15], -"a00079.html#ggaacea8a22b7f4706814e61973550d3492a740c74b8de11afea3adf94099430d43f":[5,16,5,1], -"a00079.html#ggaacea8a22b7f4706814e61973550d3492a80dcccd621286706e1c8a4ebe9c10f0a":[5,16,5,0], -"a00079.html#ggaacea8a22b7f4706814e61973550d3492a8464e8acd4980abf527cdc08a1039f03":[5,16,5,3], -"a00079.html#ggaacea8a22b7f4706814e61973550d3492ad0fed29c216cb44973a5720f27c25b57":[5,16,5,2], -"a00079.html#ggaacea8a22b7f4706814e61973550d3492ad41dcf0263e8b148f96f850b2be396ac":[5,16,5,4], -"a00079.html#ggad4414c07be8e296fdf41c256e605c161a6767e4aee5d7fbe50704f21d413f5442":[5,16,6,0], -"a00079.html#ggad856ab8003eb9953525518cddbc7814ca186e757d5a202f806afd889315af3639":[5,16,7,1], -"a00079.html#ggad856ab8003eb9953525518cddbc7814ca2094c3f450488ea077bf45edabd227ba":[5,16,7,2], -"a00079.html#ggad856ab8003eb9953525518cddbc7814cab28fa5a4937806aefbc4d259a419d354":[5,16,7,3], -"a00079.html#ggad856ab8003eb9953525518cddbc7814cabc8bc042a952213b5364fcc75fb2e459":[5,16,7,0] +"a00079.html":[5,4], +"a00079.html#ga06cf7a516d7600127c0af0e1e0cc5759":[5,4,8], +"a00079.html#ga0c0cf401613f31feb4a9eb723ad51154":[5,4,0], +"a00079.html#ga0da8ff794475b44b15cda07999bc8678":[5,4,2], +"a00079.html#ga10c8c8ccc077404a00e1121b5d18e4a8":[5,4,37], +"a00079.html#ga2172b1f5c29b7d0bf0fe8a9c9d45ed43":[5,4,16], +"a00079.html#ga2323a706e6e7796fa2e352a5b5a70f59":[5,4,17], +"a00079.html#ga23c8b3ae62f7865b2e228408be95946d":[5,4,24], +"a00079.html#ga23dea91a4cc65d3b9ba93c83313496a1":[5,4,13], +"a00079.html#ga24f65b321ca1b862cf159bec396453bd":[5,4,21], +"a00079.html#ga2d33480c8967a6bac98512e0c47c6b07":[5,4,40], +"a00079.html#ga386d59c21453d1914f3c8194010ff277":[5,4,46], +"a00079.html#ga47b7ce0a4c83a359f4bc1f2714a13761":[5,4,36], +"a00079.html#ga4b1754d575449d4dab7f7e3f0131650c":[5,4,11], +"a00079.html#ga4eb9737ada73b9a575250de526433dff":[5,4,41], +"a00079.html#ga4f99eead2ff26c245d72917e9308ac1d":[5,4,44], +"a00079.html#ga53acae220d651789bb505c53c73ecf2b":[5,4,38], +"a00079.html#ga59a363f0296ea51011dd86b405d5db58":[5,4,26], +"a00079.html#ga5a9205e0888bdc8579d3851313662671":[5,4,39], +"a00079.html#ga62ebb12d760ba0fe5ca71ac1f7a77709":[5,4,47], +"a00079.html#ga6506e7cdad8dcf18347cdcb1acd8c17d":[5,4,42], +"a00079.html#ga661e3aff590ce2f086ba06745317480b":[5,4,12], +"a00079.html#ga7c6017a8657328bdaad58beb19de84e1":[5,4,9], +"a00079.html#ga7dd0bd48c4a5a68fef7f9524d8999b4b":[5,4,31], +"a00079.html#ga85bf7440c0d77269e3db764083110334":[5,4,28], +"a00079.html#gaa540fadbafefad92f9f7ae3f63b7a45c":[5,4,4], +"a00079.html#gaa6be3b84fd2a57ec1165954d6c4564e8":[5,4,30], +"a00079.html#gaaa964f2c87ddd18a09c5201e22925fe6":[5,4,32], +"a00079.html#gab3c8a974e25f615fe023579c847fae6c":[5,4,5], +"a00079.html#gab68022e8d8f60a72f86a6a6c13b6e2f4":[5,4,29], +"a00079.html#gabdb5fc301b32e8998fb9b52e86c5cc1a":[5,4,19], +"a00079.html#gabfcd2e2eae3bb847bb6268557ad9e50f":[5,4,43], +"a00079.html#gac0c74371f4f724c78ef233de79c3cf14":[5,4,15], +"a00079.html#gac344a8334a482bcbcbdf0c4828db872c":[5,4,27], +"a00079.html#gac3a1bd1656603951722b345b45f888e9":[5,4,20], +"a00079.html#gac72477e518b30ceb277b83f555ac0d9e":[5,4,14], +"a00079.html#gac86986db423673c1d9b46882ccd6b3a9":[5,4,10], +"a00079.html#gaca0f0dcb61434d727340ddde5f131d91":[5,4,34], +"a00079.html#gad0c6602129535798b81d753e67cabc99":[5,4,6], +"a00079.html#gad73456f246d91b7e9dcbe6c6fc180a2f":[5,4,18], +"a00079.html#gadfccab39614758cd5e147aafb5157610":[5,4,22], +"a00079.html#gaea083b34e0ae608a94fce91da973a544":[5,4,23], +"a00079.html#gaee8dd95ea14cf8363042356e9fb2e5cb":[5,4,45], +"a00079.html#gaef5bfe2c53a7ededa861c4e414cdbf36":[5,4,3], +"a00079.html#gaf4232ca3ff8c9ea3d8b3cbe4bac6d87a":[5,4,1], +"a00079.html#gaf74854e9bcee544d7646c5bafdc00bd3":[5,4,25], +"a00079.html#gaf85cf02f515cd756873bf2a74ee143e2":[5,4,35], +"a00079.html#gafe0b410109a29c465df0b4dcbb338ff3":[5,4,33], +"a00079.html#gaffc6cb67ee605165b0660db6031d5871":[5,4,7], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946da00b88c0e9bb08d8b622140c46416d4b9":[5,4,24,4], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946da0a7890c63167a690ed5199ec17d46670":[5,4,24,1], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946da0ffae7aa0d9dbc52524beb5f82ced038":[5,4,24,22], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946da11dd047399d3cf7893682897e34682de":[5,4,24,0], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946da1b9f348e8d5bc1715af7366be3ca87c7":[5,4,24,2], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946da1d4898f8634e2f18e6a154e2b1a4120e":[5,4,24,13], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946da26876b4bdecc15c46c379a289441b3c6":[5,4,24,12], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946da2bc16e3ee343b4abfc46ae9506461e97":[5,4,24,18], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946da32dc8a8723c16dbf0af95927f54a4dcc":[5,4,24,20], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946da3922459ccb3f2fd90ac39813c0dc39f0":[5,4,24,21], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946da5c44f9347603d8c7fd8eda74e3b3e40f":[5,4,24,16], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946da63bcbbef2627c667b204eae91c3a0718":[5,4,24,7], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946da689e957d82ca723a1a0cc671ba216bf5":[5,4,24,17], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946da89556150c0329c54c4b6150030e416ff":[5,4,24,3], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946da909ccfe84f4275dd7b6ba09235583230":[5,4,24,15], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946da9ec19029dc1ad34cb7ae0091e97b7f7d":[5,4,24,6], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946da9facf6722503308f657e1d1da679065a":[5,4,24,23], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946daa1cfbe8497997fc6dff0d7f0c070aa5a":[5,4,24,11], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946daace73b709cda5c34ba5bdf6888605f1f":[5,4,24,9], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946dac6997ec12e66b5e4fad9aa52ef6a2db0":[5,4,24,10], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946dac6e6ee6e9f7b28e5c3818324c852b05f":[5,4,24,5], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946dad2e9ebc3825a65fae3c13efbc805a128":[5,4,24,19], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946dad386313192805ba225b0da24e078d387":[5,4,24,8], +"a00079.html#gga23c8b3ae62f7865b2e228408be95946daf1e8b91e80a3a9a4db038c0b4cf7e381":[5,4,24,14], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58a066679b9e0a01ccd0aad6e6caa643f2f":[5,4,26,11], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58a1b183d67629d90ddc1a9b9baf2ebc285":[5,4,26,13], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58a1fe8170ca9a5fcee3e0b3fa1453eb481":[5,4,26,27], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58a20d47beaa61ee59b61c34fa4f7e30d21":[5,4,26,29], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58a225c7ee90596ed70ba883f4db61aa8a7":[5,4,26,22], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58a28b61eb46fb752a05934e2d44450acc0":[5,4,26,1], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58a34737a1ca71167db472e07d6d9bd4b39":[5,4,26,31], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58a5cff4ddf26d639e435ce79fe5e83d866":[5,4,26,19], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58a62d1ae5b5b1bb400be6618e4e2ad45ea":[5,4,26,5], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58a67d2eb5235a0677d1ef161e5e1c2b340":[5,4,26,6], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58a841785f980e4c406eeecdcf07559fe66":[5,4,26,7], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58a845c271da53362455548e3a2d785e46f":[5,4,26,24], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58a8670e7d6a9f2afb045fbf54dc13c4bb2":[5,4,26,21], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58a8671f2c92f6e049ed8b2f3b7a5b9b296":[5,4,26,30], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58a91f272ba2ca7171ce0689d39f9a894af":[5,4,26,4], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58a92f67286aebd8e0fde633cf4b3bd8b57":[5,4,26,16], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58a9fbd759cc60932bb0896625543477290":[5,4,26,10], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58a9fe34f41e6cfa3cd3a0ef15ba9184bb1":[5,4,26,17], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58aad0657c34aef515cd39d693c8a7896b2":[5,4,26,25], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58ab434281b6471a116a444172bf8a7bf91":[5,4,26,15], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58ab8065d6f5e9b16e9410feccef7f1c10b":[5,4,26,14], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58abae02f94616cc17800067d5601943b5e":[5,4,26,2], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58ac891ded4c265bfab790d733acbacb753":[5,4,26,20], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58ad0784125581fd7bf09f4b3bab3af725d":[5,4,26,8], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58ae19e4e49559079c2f95f998c37029642":[5,4,26,18], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58ae27646652709428349bea6c7044c070e":[5,4,26,23], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58aea7f16ca2ff13032c4cc33a2c45332ae":[5,4,26,3], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58af06770587aa45bbb62d1e4fe36d03d81":[5,4,26,9], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58af1d8576caccfd1e48d121fae4315c10d":[5,4,26,0], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58af2ea89b865003dc40f8923f67d7bb366":[5,4,26,28], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58af45a833dead43235df03a982dc25ea33":[5,4,26,12], +"a00079.html#gga59a363f0296ea51011dd86b405d5db58afa871e16cf926d8a08dce1a52f418066":[5,4,26,26], +"a00079.html#gga85bf7440c0d77269e3db764083110334a01135115df0c0b0525f2b1391ee9d9ca":[5,4,28,1], +"a00079.html#gga85bf7440c0d77269e3db764083110334a29c4fdcb48fba40928b278765d0e3843":[5,4,28,2], +"a00079.html#gga85bf7440c0d77269e3db764083110334a60f89d729c96295d1aaa741a412d58ab":[5,4,28,0], +"a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a0aecd9451c5bf4525fe17a7b4734f58f":[5,4,29,0], +"a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a85dbf0a96c672abcfbc08dfc231088d6":[5,4,29,1], +"a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4acb9810ab5676cca7da756b2c28205c12":[5,4,29,2], +"a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4afa92bbf8fcfb74ceea172667409f7643":[5,4,29,3], +"a00079.html#ggac344a8334a482bcbcbdf0c4828db872ca7b3998fdf96eb884e35ff56f40feffbd":[5,4,27,1], +"a00079.html#ggac344a8334a482bcbcbdf0c4828db872caa7236a2c00237ca7ee5b2ff55058ded6":[5,4,27,2], +"a00079.html#ggac344a8334a482bcbcbdf0c4828db872caf4f25357ef9794d7d72417977d27ffb2":[5,4,27,0], +"a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01a946b874db04d12aba4c3bbe461580":[5,4,25,2], +"a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01d0c099adda9e435281c887b12095a8":[5,4,25,3], +"a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a1de4a40f5399eb025d5faefda7491918":[5,4,25,0], +"a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a30e6333c132740b84bf2ce94b8c44a8f":[5,4,25,4], +"a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a86d48329b3492ab94a5da3925c73622f":[5,4,25,1], +"a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3aa27972710b7df62d66918584843aee06":[5,4,25,6], +"a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3aab25a81f1aefcd33b6de1e10e3169c41":[5,4,25,5], +"a00080.html":[5,16], +"a00080.html#ga1680834b74ccfaca0cc98b954cfef0ec":[5,16,2], +"a00080.html#ga2cef71a7429671e6aaf71b3c40f1bc23":[5,16,26], +"a00080.html#ga40a1d0c80f5189e9c56133a2bc15a4c2":[5,16,9], +"a00080.html#ga4b0c77a348378a9c77539fc160c239df":[5,16,13], +"a00080.html#ga4b9f6c3aa18e60b7a85edfa8857906ed":[5,16,19], +"a00080.html#ga566dde551cccfbff838b7f6f474e525b":[5,16,0], +"a00080.html#ga64687ae9aee146f932c9d822633ed751":[5,16,24], +"a00080.html#ga6ad77a0ddbf43076a49a207534c6b7f8":[5,16,12], +"a00080.html#ga6f4c4db233f8d1cb2aafa7b7a3c34f84":[5,16,20], +"a00080.html#ga7069e59acd0a3e196bb54b7a5bcead3d":[5,16,17], +"a00080.html#ga774c3c091d12f8f4ca768d112a7fda8d":[5,16,3], +"a00080.html#ga7b82522f086af61786aa316142d3540c":[5,16,18], +"a00080.html#ga7bfb95e1397b0fc1b02b740458667d4c":[5,16,21], +"a00080.html#ga83d78eac8ce2faa5f92738c681846d5b":[5,16,23], +"a00080.html#ga85b6d46e43b818ba54dba92256db4499":[5,16,27], +"a00080.html#ga85cddf883d8412b35f3648fa5a62b136":[5,16,14], +"a00080.html#ga86ad2183a772150f3c72d5aaf158afaa":[5,16,22], +"a00080.html#gaa25d9a439cb1779e76c107cfc9c1a86e":[5,16,16], +"a00080.html#gaacea8a22b7f4706814e61973550d3492":[5,16,5], +"a00080.html#gab5d33167d8a4c9d9a407cc1bdd6346a9":[5,16,4], +"a00080.html#gabea720a67b6b06b187a5781b9955dc9c":[5,16,11], +"a00080.html#gacc5b8fefeacc0f1909d657be9cedddf3":[5,16,1], +"a00080.html#gacf3a138e73725bb8c50290295259bfa4":[5,16,8], +"a00080.html#gad4414c07be8e296fdf41c256e605c161":[5,16,6], +"a00080.html#gad856ab8003eb9953525518cddbc7814c":[5,16,7], +"a00080.html#gada7daa74280566725bfa2854613c91cc":[5,16,25], +"a00080.html#gae9733cfb042126b04d237cc8db40dba0":[5,16,10], +"a00080.html#gafd390219700f64b63df3ded05212bda5":[5,16,15], +"a00080.html#ggaacea8a22b7f4706814e61973550d3492a740c74b8de11afea3adf94099430d43f":[5,16,5,1], +"a00080.html#ggaacea8a22b7f4706814e61973550d3492a80dcccd621286706e1c8a4ebe9c10f0a":[5,16,5,0], +"a00080.html#ggaacea8a22b7f4706814e61973550d3492a8464e8acd4980abf527cdc08a1039f03":[5,16,5,3], +"a00080.html#ggaacea8a22b7f4706814e61973550d3492ad0fed29c216cb44973a5720f27c25b57":[5,16,5,2], +"a00080.html#ggaacea8a22b7f4706814e61973550d3492ad41dcf0263e8b148f96f850b2be396ac":[5,16,5,4], +"a00080.html#ggad4414c07be8e296fdf41c256e605c161a6767e4aee5d7fbe50704f21d413f5442":[5,16,6,0], +"a00080.html#ggad856ab8003eb9953525518cddbc7814ca186e757d5a202f806afd889315af3639":[5,16,7,1], +"a00080.html#ggad856ab8003eb9953525518cddbc7814ca2094c3f450488ea077bf45edabd227ba":[5,16,7,2], +"a00080.html#ggad856ab8003eb9953525518cddbc7814cab28fa5a4937806aefbc4d259a419d354":[5,16,7,3], +"a00080.html#ggad856ab8003eb9953525518cddbc7814cabc8bc042a952213b5364fcc75fb2e459":[5,16,7,0], +"a00081.html":[5,17], +"a00081.html#ga337911597d5b1d45ac9ac6abb705a5ef":[5,17,1], +"a00081.html#gac287530f011b42355162470f09975770":[5,17,2], +"a00081.html#gac33f3428cb55ce07ae67802e5f534ffc":[5,17,3] }; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex4.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex4.js index 96d77a3..fa7c3d3 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex4.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex4.js @@ -1,253 +1,253 @@ var NAVTREEINDEX4 = { -"a00080.html":[5,17], -"a00080.html#ga337911597d5b1d45ac9ac6abb705a5ef":[5,17,1], -"a00080.html#gac287530f011b42355162470f09975770":[5,17,2], -"a00080.html#gac33f3428cb55ce07ae67802e5f534ffc":[5,17,3], -"a00080.html#gaf2dd9adbeea910141dc143654a1065db":[5,17,0], -"a00080.html#ggac287530f011b42355162470f09975770a194baa96cd93ef108f2724fa98352387":[5,17,2,7], -"a00080.html#ggac287530f011b42355162470f09975770a20fd1ac8739a143dddb40a6349a758a6":[5,17,2,16], -"a00080.html#ggac287530f011b42355162470f09975770a244e5cce727a529563a83a1a78f8b3f1":[5,17,2,2], -"a00080.html#ggac287530f011b42355162470f09975770a25d5f742c3cbcf85a4e9c388c5c9e708":[5,17,2,15], -"a00080.html#ggac287530f011b42355162470f09975770a6946809531736fcf4d7067e31f73813b":[5,17,2,17], -"a00080.html#ggac287530f011b42355162470f09975770a7a5b1b185218feb35ce91ce8f77b2106":[5,17,2,18], -"a00080.html#ggac287530f011b42355162470f09975770a80aae2b47fdc2701cb923d27ca9e5a7a":[5,17,2,4], -"a00080.html#ggac287530f011b42355162470f09975770a81a00b3196e6197af1ca45b8a5bac450":[5,17,2,5], -"a00080.html#ggac287530f011b42355162470f09975770a8bc751e4927f0c20a0695b284fc40c38":[5,17,2,9], -"a00080.html#ggac287530f011b42355162470f09975770a900b1deecf2f2316b30e40ff81330499":[5,17,2,14], -"a00080.html#ggac287530f011b42355162470f09975770a99e18503426294e439f4bb181a451999":[5,17,2,13], -"a00080.html#ggac287530f011b42355162470f09975770aa294a3c09c1565947ebcd78694acff24":[5,17,2,8], -"a00080.html#ggac287530f011b42355162470f09975770ab95a1dd8a7fbc479365344d58ac55737":[5,17,2,10], -"a00080.html#ggac287530f011b42355162470f09975770abbd5b2eb886157d35a4ff88077fa192b":[5,17,2,3], -"a00080.html#ggac287530f011b42355162470f09975770ac0e9dc6f492774ceef33623e62882da5":[5,17,2,19], -"a00080.html#ggac287530f011b42355162470f09975770ac1601058bee0888d89675b528432a496":[5,17,2,0], -"a00080.html#ggac287530f011b42355162470f09975770ac1a3b1156e6dbc9d6fecb867f1486c63":[5,17,2,11], -"a00080.html#ggac287530f011b42355162470f09975770ac5dc2b0f880a50d33e7d79f7af4c4f33":[5,17,2,6], -"a00080.html#ggac287530f011b42355162470f09975770ad1ef18ed84027fdc4d41567ae894ea10":[5,17,2,12], -"a00080.html#ggac287530f011b42355162470f09975770aee6d4f65797043b10be7d4a7ae95d206":[5,17,2,1], -"a00081.html":[5,5], -"a00081.html#ga0be5caec9b8493d87cc849714bd47865":[5,5,19], -"a00081.html#ga1a04b7330b5196f73ed4ffe7fa2bae6c":[5,5,6], -"a00081.html#ga262e149f9ce1ef4cf2e539e9494d3cca":[5,5,8], -"a00081.html#ga2c85202f838f94e51a0bb7b33856c78d":[5,5,11], -"a00081.html#ga4e1420d9e817ebe0e8973420411de015":[5,5,18], -"a00081.html#ga4f9121590e5b8fe025d706ff2fe5da36":[5,5,20], -"a00081.html#ga59764d32e5394455906edbf03cff0b9f":[5,5,5], -"a00081.html#ga5a951951cdb6063d949523052aa5b5e4":[5,5,12], -"a00081.html#ga6242a25f9d996f0cc4f4cdb911218b75":[5,5,15], -"a00081.html#ga6b4add5f2d938a89da56f58ac0b4e89b":[5,5,7], -"a00081.html#ga74389c7c04a9f53548d05287c7c665cd":[5,5,14], -"a00081.html#ga75a9e86134df04f6a8b66025d11e25c2":[5,5,10], -"a00081.html#ga7ff0b98bb1341c07acefb1473b6eda29":[5,5,17], -"a00081.html#ga812138aa3315b0c6953c1a26130bcc37":[5,5,2], -"a00081.html#ga9a10f3f762d9eb7c5db73535db6a9d78":[5,5,0], -"a00081.html#ga9d142691c3a4d810f8bdabc41e89dfd7":[5,5,13], -"a00081.html#gaa7fc9e15d36453236a580b3c8116ee85":[5,5,4], -"a00081.html#gaaabdaf7ee58ca7269bd4bf24efcde092":[5,5,16], -"a00081.html#gac6e3c4c6fa592fc0da7fab541e7019f7":[5,5,3], -"a00081.html#gad4f7f7e51a84c34f06bbbb34973ae97a":[5,5,9], -"a00081.html#gad76dfbb0423d0cd3a7b77cbcd9043146":[5,5,1], -"a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba31e314ec45f0b673257687c06b6fe764":[5,5,17,7], -"a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba69927473662d55dfe6a4b8b1ea529f67":[5,5,17,2], -"a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba829bee76c6b02225d9c891ae8ef70881":[5,5,17,0], -"a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba82fc7f2a425a9c3cfcf6636b8c05c06c":[5,5,17,6], -"a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba8692e71089c7e81bd5f4503ff55035db":[5,5,17,1], -"a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba94770b392eceab60898d03ffcf0cc145":[5,5,17,8], -"a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba96d166071c2c0b2672ddaa3307899a0b":[5,5,17,3], -"a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba9743ab3bec5065667c0b12510317e76c":[5,5,17,4], -"a00081.html#gga06fc87d81c62e9abb8790b6e5713c55badf7f172a5d4f1a44d5cb8a1121dcafcb":[5,5,17,5], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a01101c0342017a7fbe7225a7aa285bad":[5,5,17,56], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a01bf442d671041dcbd1649ec0428c3b6":[5,5,17,61], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a025ed41827a0bd0b7bf60b853a921c7d":[5,5,17,108], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a02f52b9532ae0d4af04f5c054f3fab84":[5,5,17,44], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a055d3788919f48c2d69463819d02d903":[5,5,17,22], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a073c6e3ccd0dbcf1b812cb21da5e7df9":[5,5,17,14], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a097d515214e888371df5c588b839529d":[5,5,17,72], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a0b66f34ec90c9b6a702e0f00f5ddae43":[5,5,17,25], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a0d6c6a34fc0b6dbcb323f010556a3be1":[5,5,17,7], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a0ec7f0302a8f7eb082f449933880a1ad":[5,5,17,38], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1461a28ac2297f07aa34581074cf9923":[5,5,17,40], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a18ce0675c0cc628b2ff29a1bafce8b58":[5,5,17,78], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1c5e35d9d03724b7ccadcae8e0451384":[5,5,17,16], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d1d1a595e9f00c6c9f80c19ce1b8ec5":[5,5,17,27], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d9b7b66bfaaf53b42aad8462c88ea62":[5,5,17,48], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1dcb6a7c2605c9ed77388fcb25b01feb":[5,5,17,64], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a210ff4fa30e99618f8d3e978df03a7b6":[5,5,17,37], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a214d1cc4faa574be18fa9d865f2ca36d":[5,5,17,42], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a22f1bdf5e7abbeb0bdfe18cda0bc5aca":[5,5,17,57], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a25fb824a9ad702e631276a8ea5d03603":[5,5,17,46], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a298049d9d9c8be1b2e7a42f38a734d87":[5,5,17,50], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a2af6421e7b473bd882f5372ad1fa6d0e":[5,5,17,106], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e0ac8d81af0520d13c650cd3a3bcdde":[5,5,17,117], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e3bc103af2d3db7b628f87174d2bff8":[5,5,17,94], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a2fef671dda58af09262787022fe321fe":[5,5,17,70], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3091dcef1c0c7cd48658d8b43b52fbaf":[5,5,17,39], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a33255aee9de4a1d093770b218f944d0f":[5,5,17,26], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a376f952aee5cd45d903da520a37e4c52":[5,5,17,35], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a381f1929c41dcaef62d2d44326719db8":[5,5,17,76], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a39a54cda2a1cac31e1c00be0eb4a7620":[5,5,17,88], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3b3116c6f4aa1a88e6e7abc45df58938":[5,5,17,29], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bc2ecc10af8973a1ecc4f0163fb9b53":[5,5,17,3], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bcb0be184f8d5bb76d4be8e99b4e45e":[5,5,17,11], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3e59e90d903b97bd45037175997f4ad6":[5,5,17,103], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a4603f8fedc8ad994788e17baae895013":[5,5,17,87], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a491dbb2373725b607970c032f4e04ee3":[5,5,17,2], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a499ec238a1614827065533960716e652":[5,5,17,69], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a4a488e0f7cc1d3baa449ab4ca99e7b34":[5,5,17,36], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a4f53ab1f39031629ac12159920f0cde1":[5,5,17,102], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a50aada436b514e0056476e275e1fd203":[5,5,17,115], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a51bf18cc27f6df31f1602db81a2f77f5":[5,5,17,114], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a521a4b8ac1776d0f41af044886a71b3b":[5,5,17,32], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a539b147c0d1409a0e11c3ae340886fa8":[5,5,17,98], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a56134a73f0cfd393dd7cf7fb2395dd99":[5,5,17,68], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a5b65c75456471a2536a97a30db4b8da3":[5,5,17,95], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a5c67a60e98e49151455f565c2834d228":[5,5,17,9], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a60c31c70600aff4f290ca2d790622977":[5,5,17,12], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a64b54e0423e0ad8a227a38cad4ad4eda":[5,5,17,41], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a6510cce112fc6ed1e0523b5d7b307ca2":[5,5,17,79], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a660addd059be8786f2aa3d9a1a196ae1":[5,5,17,92], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a679444433f12ae5649cb02c2032ca20e":[5,5,17,45], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a67a884fec4d6adb5b455d50a0a5617a5":[5,5,17,58], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a67e5d3d11fb3f47ec11d9ee612b98b94":[5,5,17,6], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a6c52b0b8b2d2ca670a5f8eca66212f54":[5,5,17,111], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a6d0dedbec7ee218b0ae03f23edad02fd":[5,5,17,97], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a6dbe13107a9dbb857242cf05e2319f9d":[5,5,17,66], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a713723bd8764655328f1e5283a8e6020":[5,5,17,101], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7415efb189bfb31491ef0ae45fb24d90":[5,5,17,90], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7491bc6ede9a2eb6e7f292bb1530b7d7":[5,5,17,74], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a749eaf601d6fe3124f054bcb796b348c":[5,5,17,67], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7712fcacc6d5e9698366daafc59ea644":[5,5,17,110], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7771d56430ad7ff2553a1258aba16e02":[5,5,17,96], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a79d16666510da650e32b1499cf102aa5":[5,5,17,112], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7c488d28bc9be2e29bd0d133bce7389a":[5,5,17,100], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7d6b922ab2a42d6ef35cfb2be4f80251":[5,5,17,31], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8210c55fe061ff24e75a0f928dbfcb39":[5,5,17,18], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a830e5b42a05424dc026bd36d30f143a5":[5,5,17,99], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a84ab69a4e7f3f1c39f1c3a64d74af08c":[5,5,17,89], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a85685b8cde1285d240569b9518c32fc0":[5,5,17,20], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a858563b1d87d6fa57a8f442025655201":[5,5,17,55], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8bea2b5f8b1458aaa0dc29ddbb972d87":[5,5,17,62], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8db8bea33da992b22cafbae1dcf65bb0":[5,5,17,1], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e4a33b100580c2c1606d66d5ffdfaa3":[5,5,17,91], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e7465155c679388316b2f874a284e9e":[5,5,17,54], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a94d2a6fe8021fed5487169a46421d47e":[5,5,17,30], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a9771abafdcf43bf924599a0081e92bf2":[5,5,17,19], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a984927be2e0e57c4125e8e8f5d9c0f48":[5,5,17,75], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a9cf111a176ebe1210ae6faaa950aac54":[5,5,17,73], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a9ef29ac5ce94ff0617434c39574b4f91":[5,5,17,113], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a9f1b2d0eeee16f209d7eed3bdb1cf533":[5,5,17,10], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa0d0535965c8d28434b036961f6a8c20":[5,5,17,85], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa2c382624b5763cf24cf9727fbfadde3":[5,5,17,65], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa44796b25ed6ed37f7fb25f6f4076c2d":[5,5,17,80], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa4609e941ad1155a86c40bdfcb20a155":[5,5,17,84], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa5afa714de4adf37bc00faf6c3dc589e":[5,5,17,83], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aaa30b9e3fdd5e93bae58e66521b3015d":[5,5,17,82], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aae462c0663af9e2d180cd06c5798ac3b":[5,5,17,105], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab0104dd99e52fbf948f4ae030de5313d":[5,5,17,63], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab55df75d85633505960f611180054524":[5,5,17,60], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab597c78848ce0e63d2518f14e7bac82b":[5,5,17,53], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab7f77dd7e0c3d068baf39117ac08a0b9":[5,5,17,49], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab99693af818132e3cb4f9198965ad637":[5,5,17,51], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aba70aa1970435b3ccd39ac54f9d7a68c":[5,5,17,81], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aba83e94d1735b695119429a4e55bb3a4":[5,5,17,15], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29abdf3fca48a7a13b67d0207aeb59bc581":[5,5,17,28], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ac071c3c3d14ed8afadb2bb6de249c722":[5,5,17,47], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ac4c2c9d32010087f0602dbdf2c389857":[5,5,17,43], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ac509445aa04b715b77e6461993b50085":[5,5,17,86], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29acdfe26eb8bbbaaea438470512401e09a":[5,5,17,93], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ad85b4bef880b9407425398fc584e16a0":[5,5,17,104], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29adb8c69c2f8cd344f3e2dc0b0db4a1631":[5,5,17,33], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0b4ea9f05497a624e32811c4f36a3be":[5,5,17,59], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0c3a94577af5271a1042757d3c8fdc1":[5,5,17,71], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae16d6bba44ae6f24187946960fb826dd":[5,5,17,34], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae28000753ef8046bc9b08e03fc8a1899":[5,5,17,107], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae2f54d029f0e74c8fed8812e24000a74":[5,5,17,52], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae4461a3a085ea13b5fe7908bf1e109f8":[5,5,17,17], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae5b7283daca81a85091e27b80ece629a":[5,5,17,23], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae67462f0d7dbac886d8a1272a29b846c":[5,5,17,109], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7514134f14004b1835d27cac48bd991":[5,5,17,5], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7c95e11ceb8067c9c2703f96e51aca7":[5,5,17,0], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae834c896c69c50855c5cf07768a6cba3":[5,5,17,21], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aedd1abcbca188de6e16a6f088d59e92e":[5,5,17,24], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aee549dc5fb5e05a0d8daaa61a089b222":[5,5,17,4], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aeeb2ed6ef4ce59c7e1d416466f55bfb7":[5,5,17,8], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29af4cabd4468ae9e670d9c188064c56f41":[5,5,17,77], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29af5e618b56733c41397941d8dec581030":[5,5,17,116], -"a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29af98b407f4e66e7046a3173031b45253d":[5,5,17,13], -"a00082.html":[5,10], -"a00082.html#ga0093db979d7b9afca6dca250f42b2eef":[5,10,8], -"a00082.html#ga0efb897bafe816a8a87d92045a78ee9b":[5,10,1], -"a00082.html#ga258d8c49d494cbd0d18321af56e11440":[5,10,5], -"a00082.html#ga361041aa626a50391c40c9d6936ba2c0":[5,10,6], -"a00082.html#ga48b79c8dc56de9714927e70ad230fa0b":[5,10,11], -"a00082.html#ga6b58df04b8aae97c7e31884f3fe3413f":[5,10,7], -"a00082.html#ga747cfb2ed95cefa6f39ae2ad089f8ade":[5,10,3], -"a00082.html#ga916f8b461de93f08044df1724290dcbd":[5,10,2], -"a00082.html#ga93474c7fb9be2c15eabc9dbf73c99bdb":[5,10,9], -"a00082.html#ga93a68a9ca640a51b56350d59cac4dd7c":[5,10,10], -"a00082.html#ga98407c578584b1d88d7be1b3e6d9656a":[5,10,4], -"a00082.html#gaae3267ca6081e1f5620b0aa674c5e8ca":[5,10,0], -"a00082.html#gga0efb897bafe816a8a87d92045a78ee9ba0576e02942748ad3a4b1bb0904267ad4":[5,10,1,0], -"a00082.html#gga0efb897bafe816a8a87d92045a78ee9ba14466fd6ca33233907a499072ed0ea04":[5,10,1,1], -"a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa0f88b3ef422116327baca297944ca597":[5,10,0,23], -"a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa198048f7f05d882a431c4ea4c904174e":[5,10,0,30], -"a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3891f9959d7cdcc9b6ad7e00816b2fc8":[5,10,0,29], -"a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3fa0f400c5389e777ba1f8d6acb27d72":[5,10,0,20], -"a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa5ccbc970e59fd2f3ea432bf5c00fea7e":[5,10,0,19], -"a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa6c51c9bed9f8973d47f7b73e3592b2aa":[5,10,0,21], -"a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa8f9a3e93bf26895690836253d5d9a8bc":[5,10,0,31], -"a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9b439af9906d00c0f5a83e5c878c2e50":[5,10,0,22], -"a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9d9f078feeaf1c2c85c6e1a57a043dd2":[5,10,0,32], -"a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caab7decacdc717da7752b3a4637a228278":[5,10,0,24], -"a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caabda85aeb932e76c81db46f45a8c6f656":[5,10,0,25], -"a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caae3058d7cb02b689b3993fae3c913e0f0":[5,10,0,27], -"a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caae674c1ed0ecf4b2851aefc44261004bc":[5,10,0,28], -"a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caae8bcbc2ebc5c99fd75de395e3d18b021":[5,10,0,18], -"a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caaf09dfcba592f2a1e02727d9eeebee15d":[5,10,0,26], -"a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a0491cac2cd13971f08f754a5365ab356":[5], -"a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a08741d113d7dd7358c0a548ed6bedaa9":[15], -"a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a254b836a21eb98b6211cefd76037dde7":[1], -"a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a35e8de33bf46beecd34105c59ec2bc6e":[0], -"a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a37f09b865f86f3f6f58064be70121c02":[3], -"a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a59fbec3050069d5bf473211cad8ab817":[8], -"a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a61e927398cdd5549301de26831ff5460":[12], -"a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a947c74ac4418ae34ab4b8be2416e11cb":[6], -"a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a98ad8dfd7c9c06637a8ef77669248d44":[14], -"a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab171ff8674c9017605cde0067a84a375":[11], -"a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab36f8ecf47da3052eb485015a1932c26":[2], -"a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ac08832cd7dd8929746e5583b85050a43":[10], -"a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ad9d50e05078873600322a78c0bd94b35":[17], -"a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7adfb58b377dc75167cff2cc8e003939ff":[16], -"a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae4a180ae087b0f4a58a7c89cb081f400":[13], -"a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae69151d679a249f83fde025b5562196a":[4], -"a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7aea4e342b4bc05dc9f04b2a50b5ccba4f":[9], -"a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7affa7c3fd999eb6a4558a4e981aa29ccd":[7], -"a00083.html":[5,9], -"a00084.html":[5,9,0], -"a00084.html#ga2c6171791d36810488b3e31648156d40":[5,9,0,2], -"a00084.html#ga5f10a8d39f171f4c6fb65bba7ff9bb2b":[5,9,0,5], -"a00084.html#ga60fdaec284298758a650ce1122aef231":[5,9,0,0], -"a00084.html#ga6d910a54f8271411dbed62a08ac462a2":[5,9,0,3], -"a00084.html#gaa638faf1f9e205ac8df1e4cf44de0a14":[5,9,0,4], -"a00084.html#gaac288786aaa7d0cb947c91591baf42d7":[5,9,0,1], -"a00084.html#gac815d26a6d964108edbc558afe774828":[5,9,0,6], -"a00084.html#gga99fb83031ce9923c84392b4e92f956b5a0db20b358696db03a068816bb72a0310":[5,9,0,6,4], -"a00084.html#gga99fb83031ce9923c84392b4e92f956b5a139b52cc3305ec2c06d0ac94313c221f":[5,9,0,6,5], -"a00084.html#gga99fb83031ce9923c84392b4e92f956b5a256cb58b123f0814d020cc8449cf48d5":[5,9,0,6,8], -"a00084.html#gga99fb83031ce9923c84392b4e92f956b5a308bcea12eeef85aeac416ead81639b9":[5,9,0,6,3], -"a00084.html#gga99fb83031ce9923c84392b4e92f956b5a49091894b590d7e479605bf113918952":[5,9,0,6,0], -"a00084.html#gga99fb83031ce9923c84392b4e92f956b5a628d242f7bc0e3d5949c7f73eafaa508":[5,9,0,6,1], -"a00084.html#gga99fb83031ce9923c84392b4e92f956b5a72fd33d0b5263a63766e62f71d16be00":[5,9,0,6,2], -"a00084.html#gga99fb83031ce9923c84392b4e92f956b5a81ad7cc198436cabbe91ea55c5288747":[5,9,0,6,11], -"a00084.html#gga99fb83031ce9923c84392b4e92f956b5a834a772763c85b5bedf8eb0a0cfa2f9e":[5,9,0,6,6], -"a00084.html#gga99fb83031ce9923c84392b4e92f956b5a99cb29358dad3255402d30285f844632":[5,9,0,6,9], -"a00084.html#gga99fb83031ce9923c84392b4e92f956b5ac0253b1c986e61b7905da4a7f1fbf844":[5,9,0,6,7], -"a00084.html#gga99fb83031ce9923c84392b4e92f956b5adf7437bfedcc0d57338ed33f1be5c805":[5,9,0,6,10], -"a00085.html":[5,18], -"a00086.html":[5,21], -"a00087.html":[5,14], -"a00087.html#ga00d40f5f01c445206f1f800dcc7b6e89":[5,14,26], -"a00087.html#ga048bc24e58d7df40af2a45efaabeea9b":[5,14,5], -"a00087.html#ga055c655c611b5645ce6903557ef809bb":[5,14,14], -"a00087.html#ga08b623f67c277521182f8c9e630037ae":[5,14,21] +"a00081.html#gaf2dd9adbeea910141dc143654a1065db":[5,17,0], +"a00081.html#ggac287530f011b42355162470f09975770a194baa96cd93ef108f2724fa98352387":[5,17,2,7], +"a00081.html#ggac287530f011b42355162470f09975770a20fd1ac8739a143dddb40a6349a758a6":[5,17,2,16], +"a00081.html#ggac287530f011b42355162470f09975770a244e5cce727a529563a83a1a78f8b3f1":[5,17,2,2], +"a00081.html#ggac287530f011b42355162470f09975770a25d5f742c3cbcf85a4e9c388c5c9e708":[5,17,2,15], +"a00081.html#ggac287530f011b42355162470f09975770a6946809531736fcf4d7067e31f73813b":[5,17,2,17], +"a00081.html#ggac287530f011b42355162470f09975770a7a5b1b185218feb35ce91ce8f77b2106":[5,17,2,18], +"a00081.html#ggac287530f011b42355162470f09975770a80aae2b47fdc2701cb923d27ca9e5a7a":[5,17,2,4], +"a00081.html#ggac287530f011b42355162470f09975770a81a00b3196e6197af1ca45b8a5bac450":[5,17,2,5], +"a00081.html#ggac287530f011b42355162470f09975770a8bc751e4927f0c20a0695b284fc40c38":[5,17,2,9], +"a00081.html#ggac287530f011b42355162470f09975770a900b1deecf2f2316b30e40ff81330499":[5,17,2,14], +"a00081.html#ggac287530f011b42355162470f09975770a99e18503426294e439f4bb181a451999":[5,17,2,13], +"a00081.html#ggac287530f011b42355162470f09975770aa294a3c09c1565947ebcd78694acff24":[5,17,2,8], +"a00081.html#ggac287530f011b42355162470f09975770ab95a1dd8a7fbc479365344d58ac55737":[5,17,2,10], +"a00081.html#ggac287530f011b42355162470f09975770abbd5b2eb886157d35a4ff88077fa192b":[5,17,2,3], +"a00081.html#ggac287530f011b42355162470f09975770ac0e9dc6f492774ceef33623e62882da5":[5,17,2,19], +"a00081.html#ggac287530f011b42355162470f09975770ac1601058bee0888d89675b528432a496":[5,17,2,0], +"a00081.html#ggac287530f011b42355162470f09975770ac1a3b1156e6dbc9d6fecb867f1486c63":[5,17,2,11], +"a00081.html#ggac287530f011b42355162470f09975770ac5dc2b0f880a50d33e7d79f7af4c4f33":[5,17,2,6], +"a00081.html#ggac287530f011b42355162470f09975770ad1ef18ed84027fdc4d41567ae894ea10":[5,17,2,12], +"a00081.html#ggac287530f011b42355162470f09975770aee6d4f65797043b10be7d4a7ae95d206":[5,17,2,1], +"a00082.html":[5,5], +"a00082.html#ga0be5caec9b8493d87cc849714bd47865":[5,5,25], +"a00082.html#ga1a04b7330b5196f73ed4ffe7fa2bae6c":[5,5,6], +"a00082.html#ga262e149f9ce1ef4cf2e539e9494d3cca":[5,5,8], +"a00082.html#ga2b92855a9ebf6eadeed1527b8339d50a":[5,5,27], +"a00082.html#ga2c85202f838f94e51a0bb7b33856c78d":[5,5,11], +"a00082.html#ga44d24ac5229dbbd054f046331b58d43b":[5,5,21], +"a00082.html#ga4e1420d9e817ebe0e8973420411de015":[5,5,24], +"a00082.html#ga4f63764780e8eae7726d7a7e10f4b2a1":[5,5,32], +"a00082.html#ga4f9121590e5b8fe025d706ff2fe5da36":[5,5,26], +"a00082.html#ga59764d32e5394455906edbf03cff0b9f":[5,5,5], +"a00082.html#ga5a951951cdb6063d949523052aa5b5e4":[5,5,12], +"a00082.html#ga601b69842ec962d1babd627e5a3541fd":[5,5,17], +"a00082.html#ga6242a25f9d996f0cc4f4cdb911218b75":[5,5,15], +"a00082.html#ga6b4add5f2d938a89da56f58ac0b4e89b":[5,5,7], +"a00082.html#ga74389c7c04a9f53548d05287c7c665cd":[5,5,14], +"a00082.html#ga75a9e86134df04f6a8b66025d11e25c2":[5,5,10], +"a00082.html#ga7f9bbd23e70eaf6670d3425683753961":[5,5,28], +"a00082.html#ga7ff0b98bb1341c07acefb1473b6eda29":[5,5,23], +"a00082.html#ga812138aa3315b0c6953c1a26130bcc37":[5,5,2], +"a00082.html#ga870e52d54fc8ec35f89fc99ca656fb0b":[5,5,20], +"a00082.html#ga9a10f3f762d9eb7c5db73535db6a9d78":[5,5,0], +"a00082.html#ga9d142691c3a4d810f8bdabc41e89dfd7":[5,5,13], +"a00082.html#ga9d1df086c696c7864d98ea6ec28846f3":[5,5,30], +"a00082.html#gaa7fc9e15d36453236a580b3c8116ee85":[5,5,4], +"a00082.html#gaa9463731425e110e2d841820f4559a3f":[5,5,29], +"a00082.html#gaa9fb2136029d807bd7c349c30348b52a":[5,5,18], +"a00082.html#gaaabdaf7ee58ca7269bd4bf24efcde092":[5,5,22], +"a00082.html#gac6e3c4c6fa592fc0da7fab541e7019f7":[5,5,3], +"a00082.html#gac9b0f720fcb617b3aa9cb554d87dbc55":[5,5,31], +"a00082.html#gacca38e4e11db8e795201c82f6ce4c9d5":[5,5,33], +"a00082.html#gad4f7f7e51a84c34f06bbbb34973ae97a":[5,5,9], +"a00082.html#gad5639aadf668899775fc7506a5e19dc1":[5,5,16], +"a00082.html#gad76dfbb0423d0cd3a7b77cbcd9043146":[5,5,1], +"a00082.html#gafa0fe6bd95d5455c99aee949cb285b20":[5,5,19], +"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba31e314ec45f0b673257687c06b6fe764":[5,5,23,7], +"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba69927473662d55dfe6a4b8b1ea529f67":[5,5,23,2], +"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba829bee76c6b02225d9c891ae8ef70881":[5,5,23,0], +"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba82fc7f2a425a9c3cfcf6636b8c05c06c":[5,5,23,6], +"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba8692e71089c7e81bd5f4503ff55035db":[5,5,23,1], +"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba94770b392eceab60898d03ffcf0cc145":[5,5,23,8], +"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba96d166071c2c0b2672ddaa3307899a0b":[5,5,23,3], +"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba9743ab3bec5065667c0b12510317e76c":[5,5,23,4], +"a00082.html#gga06fc87d81c62e9abb8790b6e5713c55badf7f172a5d4f1a44d5cb8a1121dcafcb":[5,5,23,5], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a01101c0342017a7fbe7225a7aa285bad":[5,5,23,56], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a01bf442d671041dcbd1649ec0428c3b6":[5,5,23,61], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a025ed41827a0bd0b7bf60b853a921c7d":[5,5,23,109], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a02f52b9532ae0d4af04f5c054f3fab84":[5,5,23,44], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a055d3788919f48c2d69463819d02d903":[5,5,23,22], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a073c6e3ccd0dbcf1b812cb21da5e7df9":[5,5,23,14], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a097d515214e888371df5c588b839529d":[5,5,23,72], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0b66f34ec90c9b6a702e0f00f5ddae43":[5,5,23,25], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0d6c6a34fc0b6dbcb323f010556a3be1":[5,5,23,7], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0ec7f0302a8f7eb082f449933880a1ad":[5,5,23,38], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1461a28ac2297f07aa34581074cf9923":[5,5,23,40], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a18ce0675c0cc628b2ff29a1bafce8b58":[5,5,23,78], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1c5e35d9d03724b7ccadcae8e0451384":[5,5,23,16], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d1d1a595e9f00c6c9f80c19ce1b8ec5":[5,5,23,27], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d9b7b66bfaaf53b42aad8462c88ea62":[5,5,23,48], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1dcb6a7c2605c9ed77388fcb25b01feb":[5,5,23,64], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a210ff4fa30e99618f8d3e978df03a7b6":[5,5,23,37], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a214d1cc4faa574be18fa9d865f2ca36d":[5,5,23,42], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a22f1bdf5e7abbeb0bdfe18cda0bc5aca":[5,5,23,57], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a25fb824a9ad702e631276a8ea5d03603":[5,5,23,46], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a298049d9d9c8be1b2e7a42f38a734d87":[5,5,23,50], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2af6421e7b473bd882f5372ad1fa6d0e":[5,5,23,107], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e0ac8d81af0520d13c650cd3a3bcdde":[5,5,23,119], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e3bc103af2d3db7b628f87174d2bff8":[5,5,23,95], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2fef671dda58af09262787022fe321fe":[5,5,23,70], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3091dcef1c0c7cd48658d8b43b52fbaf":[5,5,23,39], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a33255aee9de4a1d093770b218f944d0f":[5,5,23,26], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a376f952aee5cd45d903da520a37e4c52":[5,5,23,35], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a381f1929c41dcaef62d2d44326719db8":[5,5,23,76], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a39a54cda2a1cac31e1c00be0eb4a7620":[5,5,23,89], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3b3116c6f4aa1a88e6e7abc45df58938":[5,5,23,29], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bc2ecc10af8973a1ecc4f0163fb9b53":[5,5,23,3], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bcb0be184f8d5bb76d4be8e99b4e45e":[5,5,23,11], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3e59e90d903b97bd45037175997f4ad6":[5,5,23,104], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a4603f8fedc8ad994788e17baae895013":[5,5,23,88], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a491dbb2373725b607970c032f4e04ee3":[5,5,23,2], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a499ec238a1614827065533960716e652":[5,5,23,69], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a4a488e0f7cc1d3baa449ab4ca99e7b34":[5,5,23,36], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a4f53ab1f39031629ac12159920f0cde1":[5,5,23,103], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a50aada436b514e0056476e275e1fd203":[5,5,23,117], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a51bf18cc27f6df31f1602db81a2f77f5":[5,5,23,116], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a521a4b8ac1776d0f41af044886a71b3b":[5,5,23,32], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a539b147c0d1409a0e11c3ae340886fa8":[5,5,23,99], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a56134a73f0cfd393dd7cf7fb2395dd99":[5,5,23,68], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a5b65c75456471a2536a97a30db4b8da3":[5,5,23,96], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a5c67a60e98e49151455f565c2834d228":[5,5,23,9], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a60c31c70600aff4f290ca2d790622977":[5,5,23,12], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a64b54e0423e0ad8a227a38cad4ad4eda":[5,5,23,41], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6510cce112fc6ed1e0523b5d7b307ca2":[5,5,23,79], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a660addd059be8786f2aa3d9a1a196ae1":[5,5,23,93], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a679444433f12ae5649cb02c2032ca20e":[5,5,23,45], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a67a884fec4d6adb5b455d50a0a5617a5":[5,5,23,58], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a67e5d3d11fb3f47ec11d9ee612b98b94":[5,5,23,6], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6c52b0b8b2d2ca670a5f8eca66212f54":[5,5,23,112], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6d0dedbec7ee218b0ae03f23edad02fd":[5,5,23,98], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6dbe13107a9dbb857242cf05e2319f9d":[5,5,23,66], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a70c9114d88c3a9b48cabb36cd4b2884d":[5,5,23,114], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a713723bd8764655328f1e5283a8e6020":[5,5,23,102], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7415efb189bfb31491ef0ae45fb24d90":[5,5,23,91], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7491bc6ede9a2eb6e7f292bb1530b7d7":[5,5,23,74], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a749eaf601d6fe3124f054bcb796b348c":[5,5,23,67], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7712fcacc6d5e9698366daafc59ea644":[5,5,23,111], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7771d56430ad7ff2553a1258aba16e02":[5,5,23,97], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a79d16666510da650e32b1499cf102aa5":[5,5,23,113], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7c488d28bc9be2e29bd0d133bce7389a":[5,5,23,101], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7d6b922ab2a42d6ef35cfb2be4f80251":[5,5,23,31], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8210c55fe061ff24e75a0f928dbfcb39":[5,5,23,18], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a830e5b42a05424dc026bd36d30f143a5":[5,5,23,100], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a84ab69a4e7f3f1c39f1c3a64d74af08c":[5,5,23,90], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a85685b8cde1285d240569b9518c32fc0":[5,5,23,20], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a858563b1d87d6fa57a8f442025655201":[5,5,23,55], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8bea2b5f8b1458aaa0dc29ddbb972d87":[5,5,23,62], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8db8bea33da992b22cafbae1dcf65bb0":[5,5,23,1], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e4a33b100580c2c1606d66d5ffdfaa3":[5,5,23,92], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e7465155c679388316b2f874a284e9e":[5,5,23,54], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a91a5a43e0f7acfb5894ec7c246d05bcc":[5,5,23,87], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a94d2a6fe8021fed5487169a46421d47e":[5,5,23,30], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9771abafdcf43bf924599a0081e92bf2":[5,5,23,19], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a984927be2e0e57c4125e8e8f5d9c0f48":[5,5,23,75], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9cf111a176ebe1210ae6faaa950aac54":[5,5,23,73], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9ef29ac5ce94ff0617434c39574b4f91":[5,5,23,115], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9f1b2d0eeee16f209d7eed3bdb1cf533":[5,5,23,10], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa0d0535965c8d28434b036961f6a8c20":[5,5,23,85], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa2c382624b5763cf24cf9727fbfadde3":[5,5,23,65], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa44796b25ed6ed37f7fb25f6f4076c2d":[5,5,23,80], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa4609e941ad1155a86c40bdfcb20a155":[5,5,23,84], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa5afa714de4adf37bc00faf6c3dc589e":[5,5,23,83], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aaa30b9e3fdd5e93bae58e66521b3015d":[5,5,23,82], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aae462c0663af9e2d180cd06c5798ac3b":[5,5,23,106], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab0104dd99e52fbf948f4ae030de5313d":[5,5,23,63], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab55df75d85633505960f611180054524":[5,5,23,60], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab597c78848ce0e63d2518f14e7bac82b":[5,5,23,53], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab7f77dd7e0c3d068baf39117ac08a0b9":[5,5,23,49], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab99693af818132e3cb4f9198965ad637":[5,5,23,51], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aba70aa1970435b3ccd39ac54f9d7a68c":[5,5,23,81], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aba83e94d1735b695119429a4e55bb3a4":[5,5,23,15], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29abdf3fca48a7a13b67d0207aeb59bc581":[5,5,23,28], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ac071c3c3d14ed8afadb2bb6de249c722":[5,5,23,47], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ac4c2c9d32010087f0602dbdf2c389857":[5,5,23,43], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ac509445aa04b715b77e6461993b50085":[5,5,23,86], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29acdfe26eb8bbbaaea438470512401e09a":[5,5,23,94], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ad85b4bef880b9407425398fc584e16a0":[5,5,23,105], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29adb8c69c2f8cd344f3e2dc0b0db4a1631":[5,5,23,33], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0b4ea9f05497a624e32811c4f36a3be":[5,5,23,59], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0c3a94577af5271a1042757d3c8fdc1":[5,5,23,71], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae16d6bba44ae6f24187946960fb826dd":[5,5,23,34], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae28000753ef8046bc9b08e03fc8a1899":[5,5,23,108], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae2f54d029f0e74c8fed8812e24000a74":[5,5,23,52], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae4461a3a085ea13b5fe7908bf1e109f8":[5,5,23,17], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae5b7283daca81a85091e27b80ece629a":[5,5,23,23], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae67462f0d7dbac886d8a1272a29b846c":[5,5,23,110], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7514134f14004b1835d27cac48bd991":[5,5,23,5], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7c95e11ceb8067c9c2703f96e51aca7":[5,5,23,0], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae834c896c69c50855c5cf07768a6cba3":[5,5,23,21], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aedd1abcbca188de6e16a6f088d59e92e":[5,5,23,24], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aee549dc5fb5e05a0d8daaa61a089b222":[5,5,23,4], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aeeb2ed6ef4ce59c7e1d416466f55bfb7":[5,5,23,8], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af4cabd4468ae9e670d9c188064c56f41":[5,5,23,77], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af5e618b56733c41397941d8dec581030":[5,5,23,118], +"a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af98b407f4e66e7046a3173031b45253d":[5,5,23,13], +"a00083.html":[5,10], +"a00083.html#ga0efb897bafe816a8a87d92045a78ee9b":[5,10,1], +"a00083.html#ga258d8c49d494cbd0d18321af56e11440":[5,10,5], +"a00083.html#ga747cfb2ed95cefa6f39ae2ad089f8ade":[5,10,3], +"a00083.html#ga916f8b461de93f08044df1724290dcbd":[5,10,2], +"a00083.html#ga98407c578584b1d88d7be1b3e6d9656a":[5,10,4], +"a00083.html#gaae3267ca6081e1f5620b0aa674c5e8ca":[5,10,0], +"a00083.html#gga0efb897bafe816a8a87d92045a78ee9ba0576e02942748ad3a4b1bb0904267ad4":[5,10,1,0], +"a00083.html#gga0efb897bafe816a8a87d92045a78ee9ba14466fd6ca33233907a499072ed0ea04":[5,10,1,1], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa0f88b3ef422116327baca297944ca597":[5,10,0,23], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa198048f7f05d882a431c4ea4c904174e":[5,10,0,30], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3891f9959d7cdcc9b6ad7e00816b2fc8":[5,10,0,29], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3fa0f400c5389e777ba1f8d6acb27d72":[5,10,0,20], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa5ccbc970e59fd2f3ea432bf5c00fea7e":[5,10,0,19], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa6c51c9bed9f8973d47f7b73e3592b2aa":[5,10,0,21], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa8f9a3e93bf26895690836253d5d9a8bc":[5,10,0,31], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9b439af9906d00c0f5a83e5c878c2e50":[5,10,0,22], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9d9f078feeaf1c2c85c6e1a57a043dd2":[5,10,0,32], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caab7decacdc717da7752b3a4637a228278":[5,10,0,24], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caabda85aeb932e76c81db46f45a8c6f656":[5,10,0,25], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae3058d7cb02b689b3993fae3c913e0f0":[5,10,0,27], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae674c1ed0ecf4b2851aefc44261004bc":[5,10,0,28], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae8bcbc2ebc5c99fd75de395e3d18b021":[5,10,0,18], +"a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caaf09dfcba592f2a1e02727d9eeebee15d":[5,10,0,26], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a0491cac2cd13971f08f754a5365ab356":[5], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a08741d113d7dd7358c0a548ed6bedaa9":[15], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a254b836a21eb98b6211cefd76037dde7":[1], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a35e8de33bf46beecd34105c59ec2bc6e":[0], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a37f09b865f86f3f6f58064be70121c02":[3], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a59fbec3050069d5bf473211cad8ab817":[8], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a61e927398cdd5549301de26831ff5460":[12], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a947c74ac4418ae34ab4b8be2416e11cb":[6], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a98ad8dfd7c9c06637a8ef77669248d44":[14], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab171ff8674c9017605cde0067a84a375":[11], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab36f8ecf47da3052eb485015a1932c26":[2], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ac08832cd7dd8929746e5583b85050a43":[10], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ad9d50e05078873600322a78c0bd94b35":[17], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7adfb58b377dc75167cff2cc8e003939ff":[16], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae4a180ae087b0f4a58a7c89cb081f400":[13], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae69151d679a249f83fde025b5562196a":[4], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7aea4e342b4bc05dc9f04b2a50b5ccba4f":[9], +"a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7affa7c3fd999eb6a4558a4e981aa29ccd":[7], +"a00084.html":[5,9], +"a00085.html":[5,9,0], +"a00085.html#ga2c6171791d36810488b3e31648156d40":[5,9,0,2], +"a00085.html#ga5f10a8d39f171f4c6fb65bba7ff9bb2b":[5,9,0,5], +"a00085.html#ga60fdaec284298758a650ce1122aef231":[5,9,0,0], +"a00085.html#ga6d910a54f8271411dbed62a08ac462a2":[5,9,0,3], +"a00085.html#gaa638faf1f9e205ac8df1e4cf44de0a14":[5,9,0,4], +"a00085.html#gaac288786aaa7d0cb947c91591baf42d7":[5,9,0,1], +"a00085.html#gac815d26a6d964108edbc558afe774828":[5,9,0,6], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a0db20b358696db03a068816bb72a0310":[5,9,0,6,4], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a139b52cc3305ec2c06d0ac94313c221f":[5,9,0,6,5], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a256cb58b123f0814d020cc8449cf48d5":[5,9,0,6,8], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a308bcea12eeef85aeac416ead81639b9":[5,9,0,6,3], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a49091894b590d7e479605bf113918952":[5,9,0,6,0], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a628d242f7bc0e3d5949c7f73eafaa508":[5,9,0,6,1], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a72fd33d0b5263a63766e62f71d16be00":[5,9,0,6,2], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a81ad7cc198436cabbe91ea55c5288747":[5,9,0,6,11], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a834a772763c85b5bedf8eb0a0cfa2f9e":[5,9,0,6,6], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5a99cb29358dad3255402d30285f844632":[5,9,0,6,9], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5ac0253b1c986e61b7905da4a7f1fbf844":[5,9,0,6,7], +"a00085.html#gga99fb83031ce9923c84392b4e92f956b5adf7437bfedcc0d57338ed33f1be5c805":[5,9,0,6,10], +"a00086.html":[5,18], +"a00087.html":[5,21] }; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex5.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex5.js index e66a073..5a9781c 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex5.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex5.js @@ -1,253 +1,253 @@ var NAVTREEINDEX5 = { -"a00087.html#ga11c44cbe5b42c79b22a3053c85b1e5d0":[5,14,16], -"a00087.html#ga12c568c9b7f6d46257dc63505a381701":[5,14,9], -"a00087.html#ga15da1f70e8d0a05e9d492d01ceca7da8":[5,14,3], -"a00087.html#ga1dc66cd865640c0b60eb128a868eab37":[5,14,22], -"a00087.html#ga262ac9596c0926fbe5f346e0f6aaf9f5":[5,14,1], -"a00087.html#ga269af5856a4cfd5d2a171b4777d6884a":[5,14,18], -"a00087.html#ga2c7d26f7018dc7e75c1e090090628069":[5,14,0], -"a00087.html#ga2cb0ee73a24657dd6994cb00b78c3137":[5,14,34], -"a00087.html#ga2e05f827d6a43eade4c22e9d75bc5d76":[5,14,4], -"a00087.html#ga363edbba9536380728e44bd7d1a0e7df":[5,14,8], -"a00087.html#ga4dd886dc387a64a5cb146ccd7297e1e1":[5,14,28], -"a00087.html#ga53dd5706866fa0313689db6e4d9c1a9b":[5,14,20], -"a00087.html#ga567b159bbfa265ed02c4900769ed8fe4":[5,14,25], -"a00087.html#ga58eced039e8c793b7ff148d6cfacde5b":[5,14,17], -"a00087.html#ga6a17e5c52721f6eb754f54cc72b58c91":[5,14,2], -"a00087.html#ga6d4f8e83cec4bc716231c97c2ceb5421":[5,14,23], -"a00087.html#ga73f0e7280f898bf99fe92b3519878a77":[5,14,30], -"a00087.html#ga74b3eece6c6eabc38f3194b125ff80d5":[5,14,24], -"a00087.html#ga78ffa3e09e17db8dc6a9038123ad1c46":[5,14,33], -"a00087.html#ga8911d499fb65ffe496c01f65bad9314f":[5,14,27], -"a00087.html#ga99a96e386a822de59ac2d60149ec47f3":[5,14,11], -"a00087.html#gaace443444dec2e5b7e3e20cf69c53def":[5,14,15], -"a00087.html#gab5dbaf58c90b1ef54bcd2c5dba9e178f":[5,14,13], -"a00087.html#gab784e6aea0129b0c90164462bd0dbac5":[5,14,10], -"a00087.html#gac6b1406205adace6696a1647250ad76d":[5,14,31], -"a00087.html#gace94acb3f70964230b2606fdbeb5f58b":[5,14,12], -"a00087.html#gad7300bf374c0cdc65fadff76f3ee7e5c":[5,14,35], -"a00087.html#gada262638d555d9a503ddcdbed24ec475":[5,14,32], -"a00087.html#gae1e5bfc17515fab76a1deab955203c6a":[5,14,6], -"a00087.html#gaec7a3986f92f8c11a70a80af1a93a3b6":[5,14,19], -"a00087.html#gaf043d142dac622bedd50b736b52ac6e9":[5,14,7], -"a00087.html#gaf5754b4b1d1436c359dde18101657d4e":[5,14,29], -"a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba0d3bf0a5022325acaae66e172c984db0":[5,14,5,1], -"a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba106986aeb5e12c2b76e070def3b37d95":[5,14,5,0], -"a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba1ca87ca7db6ec59b675c6e70abc45da0":[5,14,5,5], -"a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba3a75ed56ef8a3429c01fc686f22b8e8a":[5,14,5,7], -"a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba86a79a77b44e91f432bb8ee9070f9602":[5,14,5,6], -"a00087.html#gga048bc24e58d7df40af2a45efaabeea9bac0ce3f2fbc216bc3cec68c47263530c5":[5,14,5,4], -"a00087.html#gga048bc24e58d7df40af2a45efaabeea9bae5db81838d55c8a8839b1dd47954d865":[5,14,5,3], -"a00087.html#gga048bc24e58d7df40af2a45efaabeea9baf00e092237b1b299bdfdd901f207c468":[5,14,5,2], -"a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8a45fc443b2ec03c89708ac897fc3fc902":[5,14,3,5], -"a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8a5df52c4fbb437e61b638d35cbc550162":[5,14,3,0], -"a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8a751ce5a16f196ca04d08d2e5d65af47c":[5,14,3,3], -"a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8a81913bc912b5164bb749b6a2e8823808":[5,14,3,2], -"a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8aae1e0da9add6e3e1dd57705a682d65fa":[5,14,3,7], -"a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8ab62e1c2d3cdccef05e45ae9028cecbb4":[5,14,3,1], -"a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8acf173e0dc3d44c77bb08146494a0249b":[5,14,3,4], -"a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8adf92ec20d1fa6a12bda6c42e5304cf46":[5,14,3,6], -"a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a1f7f561990336a81a46f22395820907d":[5,14,4,8], -"a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a2c93115b816dc676460cfc1be85778f0":[5,14,4,7], -"a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a41b057b493937cd87bc212cb2e316f8a":[5,14,4,2], -"a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a51f543e6f680df9beb5841231b7b3a96":[5,14,4,0], -"a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6be4432cb9e687d043a2c25c1af48869":[5,14,4,3], -"a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6fc5c9dbee30b375feb9ce6c86b224c2":[5,14,4,1], -"a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a78ff6e40ab17d81181284c56b62b77aa":[5,14,4,5], -"a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a8cc05c9aec163085b7a2b4481fdc971d":[5,14,4,9], -"a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a9b2f5abc74e498a599f5c005a6cc911f":[5,14,4,6], -"a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76aabc93fc28498f5577939f38e0513304c":[5,14,4,4], -"a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91a3bd6a570eee5c1fddd95ef2411b35a7e":[5,14,2,4], -"a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91a58ac8e76b409274b7d02ef6e9805dcef":[5,14,2,3], -"a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91a6f54d55ac52e8e697f83637109560e89":[5,14,2,2], -"a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91aa48de84b39b48759086f2d7ae0c8091e":[5,14,2,0], -"a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91ae873d30aec2ca54de88c69f55ea42a07":[5,14,2,1], -"a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91affc9b2756b7642ddb60ea78f4bbbd592":[5,14,2,5], -"a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa059c3472509304186252b655cf486d8b":[5,14,6,3], -"a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa128703d2c2175a315aaffad869ed6a32":[5,14,6,0], -"a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa3b4af984526871b66489b0eb294d2df5":[5,14,6,5], -"a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa654c70eeed38b0be2271dc51d7cc04f5":[5,14,6,1], -"a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa7dc0770cf7ffe184788c30bd1ac9c515":[5,14,6,4], -"a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa9d2a3e9717c34de3a884b695f35a6c37":[5,14,6,2], -"a00087.html#ggae1e5bfc17515fab76a1deab955203c6aaac967156901735a43316f7f1c4720328":[5,14,6,7], -"a00087.html#ggae1e5bfc17515fab76a1deab955203c6aab5630ebfbc6e52c9b04f659918c094f2":[5,14,6,6], -"a00088.html":[5,15], -"a00088.html#ga17f61bbdb9778ca2d86609be38e4bc09":[5,15,9], -"a00088.html#ga399c1d55619ddf6310e44d679b3afaf0":[5,15,0], -"a00088.html#ga3ebfc228971e1d13f03847952605c2d2":[5,15,5], -"a00088.html#ga4b8afdbebd21774bd0c8898077753901":[5,15,8], -"a00088.html#ga4d562c154da2a9152f224e0dbeddb82c":[5,15,7], -"a00088.html#ga9223774c024634ea6aef20ccd4768810":[5,15,6], -"a00088.html#gaa0db99613861d08587e9bf1dd0defe84":[5,15,10], -"a00088.html#gab2d50bbe43b91c74ff406a8d4c359f40":[5,15,4], -"a00088.html#gab4037d3c440abe260269986fbfd2087f":[5,15,2], -"a00088.html#gad119096a745ccaf2fe302d9f25e799d3":[5,15,11], -"a00088.html#gaec74828294222d85c4341013b842eb05":[5,15,3], -"a00088.html#gafe6cff20e6fc0c79d076a7b878f875a1":[5,15,1], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2a0912636699433338f1c5db68c7bbec7f":[5,15,5,25], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2a117f999dffa45b676b077d373cb2e086":[5,15,5,28], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2a1afdc6f86b2c95d086b0c7d2d60d26cc":[5,15,5,27], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2a1bfd5fb60cb03e1ec3ff1cc2b859205d":[5,15,5,9], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2a22a26e5d5f65d4ac8491ad2c87ebeef3":[5,15,5,26], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2a2a0154f5345c71bae6f44244fcb30d46":[5,15,5,29], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2a318c6f61334de6ee3dfdfedd85c892aa":[5,15,5,3], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2a3cffbba2da3be0f386061cdc43c5c58a":[5,15,5,21], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2a3e4635d41b82d9da053cda8b53b16237":[5,15,5,5], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2a47395d18c548dff7cf1e82891808ecc6":[5,15,5,23], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2a4ce7c06a3aa62401716670839ee2db9d":[5,15,5,0], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2a55478a1fa529d12411191d3b57b6aa6f":[5,15,5,13], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2a5b731b787441958b61eb1ef6f13c0a8c":[5,15,5,12], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2a6c8dcd271aa50f8847c21031ca1651cc":[5,15,5,11], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2a71f80a9c0585243f033e80575cc9ef71":[5,15,5,2], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2a74581067715a2b1448ded8335f1618a2":[5,15,5,18], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2a7ee81d7d75ed79784d00718ee8b52883":[5,15,5,1], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2a9dca2593aa3f9571111177158871a171":[5,15,5,17], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2aa0c5d92b16c617f2c77ab0b2e2e6ebb1":[5,15,5,10], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2ab1a914c426c7434b66a2a70e28c91d6d":[5,15,5,8], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2ac5055185f27452b220491009e47a8843":[5,15,5,7], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2acb147f2edce9b8da4c454f80f94ac43c":[5,15,5,16], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2acf68ff6a086185f803b22698327e3109":[5,15,5,14], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2ad50df8fa854b16d2945d2e98dceb167d":[5,15,5,19], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2ae648baa1c80d2711d1bb2bb4fc077827":[5,15,5,24], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2ae6dd471565ca87404e3e950f0b4896ec":[5,15,5,20], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2ae8a09b9553f3e191688cfe6844bc26b9":[5,15,5,6], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2af58d23d9fe1120ca0bd0c9832b9c81df":[5,15,5,4], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2af659716e11aa0911a531d2cdc19bf3ea":[5,15,5,22], -"a00088.html#gga3ebfc228971e1d13f03847952605c2d2afa8b125d171fda1128d55ee1ce6b1bb8":[5,15,5,15], -"a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a05f4915e3590779c64072c567ea8ef77":[5,15,4,3], -"a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3b4542ce1acdb7bd4c87e184129a1cd2":[5,15,4,4], -"a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3db75ac7e6eb4bd49bc6dfcbdca28bab":[5,15,4,5], -"a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a40255673c924ddc3a47215102db19c23":[5,15,4,0], -"a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a42d0169861f7e6874c6cc1cfd38cfdee":[5,15,4,6], -"a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a7f7830f2f3a70270d451466e7fd81b8e":[5,15,4,2], -"a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab84844ba156c357257d0be920c79459d":[5,15,4,7], -"a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab977a1ed5f8dda05f237315bdacbfdce":[5,15,4,1], -"a00088.html#ggab4037d3c440abe260269986fbfd2087fa06891c0dcbd6029f027b2d6398a447df":[5,15,2,3], -"a00088.html#ggab4037d3c440abe260269986fbfd2087fa62c7580fd67b1ffa1385d370a5d3a4e6":[5,15,2,1], -"a00088.html#ggab4037d3c440abe260269986fbfd2087fa648d32891b76f5ea3e6e5cf8a296c01b":[5,15,2,0], -"a00088.html#ggab4037d3c440abe260269986fbfd2087fa6b62d55b282bfe85f5de3d7d38c8c5fa":[5,15,2,4], -"a00088.html#ggab4037d3c440abe260269986fbfd2087fa8587d933d69f3c3bfe42e848db879a20":[5,15,2,2], -"a00088.html#ggaec74828294222d85c4341013b842eb05a0866c5dfcf79f24a034e5487325ad089":[5,15,3,31], -"a00088.html#ggaec74828294222d85c4341013b842eb05a0e731c7f1daf5be7aa344b9f793ef02c":[5,15,3,20], -"a00088.html#ggaec74828294222d85c4341013b842eb05a19ecdb96a7c9b797b938851a9f22611c":[5,15,3,17], -"a00088.html#ggaec74828294222d85c4341013b842eb05a1b56e9b3c1c5e46478eb5673c5bddaed":[5,15,3,2], -"a00088.html#ggaec74828294222d85c4341013b842eb05a2206f6b0a4c280e058652b183d193ad7":[5,15,3,28], -"a00088.html#ggaec74828294222d85c4341013b842eb05a23c7b1eac9733cda25f050d543111d89":[5,15,3,19], -"a00088.html#ggaec74828294222d85c4341013b842eb05a26b5bf6af4b2b774757fc7fa42cdebdf":[5,15,3,35], -"a00088.html#ggaec74828294222d85c4341013b842eb05a279388c181b6df93831630b7b3748fcc":[5,15,3,14], -"a00088.html#ggaec74828294222d85c4341013b842eb05a2a7360dbf2b1ce3c37fec99ab6ea95c2":[5,15,3,26], -"a00088.html#ggaec74828294222d85c4341013b842eb05a2e915ea983c34dc1e202a3ea99ece27b":[5,15,3,6], -"a00088.html#ggaec74828294222d85c4341013b842eb05a315a9f58216b1ac361dc5452805f4cb9":[5,15,3,18], -"a00088.html#ggaec74828294222d85c4341013b842eb05a357a302e7e9f65b3c24b32b3348a18b1":[5,15,3,23], -"a00088.html#ggaec74828294222d85c4341013b842eb05a42744ca56fd5b26094f7f7ebc8142518":[5,15,3,30], -"a00088.html#ggaec74828294222d85c4341013b842eb05a45f568953423b0976250843eade62716":[5,15,3,3], -"a00088.html#ggaec74828294222d85c4341013b842eb05a5e28e0b496b69e7a57f43e810d1179b9":[5,15,3,16], -"a00088.html#ggaec74828294222d85c4341013b842eb05a6b32cf2706b79fff3a7fc2a1d1159e1b":[5,15,3,4], -"a00088.html#ggaec74828294222d85c4341013b842eb05a70ba87af436721a2783e56f3117594ce":[5,15,3,1], -"a00088.html#ggaec74828294222d85c4341013b842eb05a7701a2d64699c85a464acfe6d6f3ec21":[5,15,3,10], -"a00088.html#ggaec74828294222d85c4341013b842eb05a7a4eb0b7daa528b354646ae876fdbc7d":[5,15,3,29], -"a00088.html#ggaec74828294222d85c4341013b842eb05a7cbee20d065a1de8eeb2e842fdca95f2":[5,15,3,15], -"a00088.html#ggaec74828294222d85c4341013b842eb05a7d02ada60d9a59c5bba04cd6a2ca146c":[5,15,3,9], -"a00088.html#ggaec74828294222d85c4341013b842eb05a8ba7dca9c3475364351932fc1a248ffc":[5,15,3,22], -"a00088.html#ggaec74828294222d85c4341013b842eb05a8de9649d2a2fb07fe4e675f6401869d2":[5,15,3,0], -"a00088.html#ggaec74828294222d85c4341013b842eb05a9b1b21d8bd068e9cb385406f5d2ae0f1":[5,15,3,24], -"a00088.html#ggaec74828294222d85c4341013b842eb05aa7ca2e6b97d2947ae2c9781eb66d9a38":[5,15,3,13], -"a00088.html#ggaec74828294222d85c4341013b842eb05ab46ce34ffd4906d48a7f1829861ff193":[5,15,3,34], -"a00088.html#ggaec74828294222d85c4341013b842eb05abb535644479d02d916b2d2606934e28f":[5,15,3,11], -"a00088.html#ggaec74828294222d85c4341013b842eb05ac68302205f055d68cd0833e6ec89cacb":[5,15,3,5], -"a00088.html#ggaec74828294222d85c4341013b842eb05ac741b79171d673ec73a93a7c5e77cb10":[5,15,3,33], -"a00088.html#ggaec74828294222d85c4341013b842eb05ad0c5942c2fb503a4561cb64b1b8b2ba5":[5,15,3,8], -"a00088.html#ggaec74828294222d85c4341013b842eb05ad7617c8c6cd8f49c832f501129279b8e":[5,15,3,32], -"a00088.html#ggaec74828294222d85c4341013b842eb05ad79e240fc201ef98da1a4e217968f087":[5,15,3,7], -"a00088.html#ggaec74828294222d85c4341013b842eb05ae8e8fa7853444eb933183fd905b9ce9d":[5,15,3,21], -"a00088.html#ggaec74828294222d85c4341013b842eb05aea4c749172f50d02938115e943b1a62f":[5,15,3,27], -"a00088.html#ggaec74828294222d85c4341013b842eb05af73267254ab79f85cbbd45b698baf790":[5,15,3,25], -"a00088.html#ggaec74828294222d85c4341013b842eb05af941e0debe79fb78f1fdcf24068298b3":[5,15,3,12], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0646ea5aa4c85d9c19a43f8211d0ffeb":[5,15,1,20], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0784ad660fcb92a3859ed2c0efa77819":[5,15,1,1], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a15bd1d988c6677a6a41edc0846851338":[5,15,1,12], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a30bd16853ab1723b58f1747def9e4252":[5,15,1,13], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a31c3637504bb180309ccf71210ff6fd7":[5,15,1,21], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a518c8602828f0e104a12623958f587fc":[5,15,1,9], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a55b6304360a86b29fb90a42da5aaf493":[5,15,1,23], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5e95a4db197106de75dfccf6e5504364":[5,15,1,2], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5f6d7c46d68bd39e999c53ffabfa8372":[5,15,1,22], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a60ff9ca06daaf5b4f945b6e2e89f4b36":[5,15,1,5], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a63e2b4a4b53cde3b148d52f26d92e1d3":[5,15,1,11], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a6529478ac43b74b509ff0c2cd437e495":[5,15,1,17], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7a4daf25836c5010a6b9ccc29f159091":[5,15,1,18], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7ccad915ba0e8df2a152409690994f16":[5,15,1,14], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7f11a678cf930523e06f52b367f2eca5":[5,15,1,3], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a98359b577ce66e191e23d776d0d0628f":[5,15,1,7], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a9beff09b11808602b2120b42d9ab724e":[5,15,1,10], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa5bff988a77fc711fd0c7b84c1fb105c":[5,15,1,8], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa6e7a35876133d26d47ce9f76b72d520":[5,15,1,24], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab2e2c007b30459eb00fedba56e37da25":[5,15,1,0], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab8a42508ab4be0320796b2eba3b57a7d":[5,15,1,4], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1abcda2f4fbf266f73a80298ae2d090e47":[5,15,1,16], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1ada22615f50454e9ebd246a18a985f016":[5,15,1,19], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1ae68d03749187a90877d1742a3d61e4a1":[5,15,1,6], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1af09d4068b7ef636c55a7ab0feb950a36":[5,15,1,15], -"a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1afff7e144292e1eb0a47774207f42a102":[5,15,1,25], -"a00089.html":[5,19], -"a00089.html#ga45575871cafcadc50292b9bba6b9d13d":[5,19,4], -"a00089.html#ga55452f734d7cc5e02195ed926e4484c8":[5,19,8], -"a00089.html#ga6db188a71a269613f30825af80c02053":[5,19,5], -"a00089.html#gaae2dc5c29ae2358c616fa8a2b61a7e4b":[5,19,6], -"a00089.html#gabdebb214f81e7c4859bd60752b5958cd":[5,19,3], -"a00089.html#gabf427dd8dd6c86d0fac4f05b30e54475":[5,19,1], -"a00089.html#gaca9c780388e187444bfa31a6bcc72d35":[5,19,2], -"a00089.html#gae7a3cbb33db3c5d3f6663bdd21fb32e4":[5,19,7], -"a00089.html#gafa0f32bbd70b73222cc401d997b1f243":[5,19,0], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da048cac659ef579d1358cc6d2a7db2c3a":[5,19,4,14], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da0ac9c784851c59d1c334fba730bdc091":[5,19,4,16], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da11e574aeb2ec56f282a103d78c49ccbe":[5,19,4,18], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da144b0c83acca82fa910848f7587af18e":[5,19,4,35], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da14bfd78536056ebdc4d2bfed0438b5cf":[5,19,4,13], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da167b30e083150f7ef078b3fc15b9a2fb":[5,19,4,28], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da209dc130cd5427683bedca3187726030":[5,19,4,7], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da3ab2e3d866e55d84dc2c342eb660c0d5":[5,19,4,19], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da3ca0015b97fb5a1b24b1f6fda113488d":[5,19,4,5], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da40ca641c0138d551c28bb9dd184bf948":[5,19,4,6], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da4be08849669f9f086a238335662c6ac1":[5,19,4,17], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da4bfff10a0df16a23aebb02bc2a19f662":[5,19,4,27], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da5673e5074470fd8c7773f987a45612ab":[5,19,4,32], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da5ba6a30f56eb6e001abb178bb215469a":[5,19,4,30], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da679e93580df161b665365f658345ed65":[5,19,4,20], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da6edbcf66e2b9d21b36413d5913952b7b":[5,19,4,26], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da6f0a53c70373fdbf41edf57122669a47":[5,19,4,21], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da74e21d63631f6e3eeae57269cc597ff9":[5,19,4,33], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da76769594d29a6d8659c5c625b4212a24":[5,19,4,22], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da7a0b07e7613ca95cbae02f51e74338ae":[5,19,4,0], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da83269426ac6b85e729bd940e7c6bc168":[5,19,4,2], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da839653f003a5f245984cbbb3e0ded090":[5,19,4,38], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da84653c7b62da4f773676a39a2abe597a":[5,19,4,10], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da867964bdb44cfca767b2fe212243ad68":[5,19,4,23], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da95076efb390207c31347c9d6eaf7a568":[5,19,4,4], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13da9e23b0bd838b14f99f22d1ae76d63143":[5,19,4,24], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13dab15fc1b1ba8e4f1a35fc314c60b467be":[5,19,4,29], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13dabd1859e7fd97d6aad4edf95f92eb1df0":[5,19,4,8], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13dabe002214e7dc409f243527310e689060":[5,19,4,37], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13dac38fd128ae8088af0ab82bb9e1ab2b0e":[5,19,4,1], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13dac735c6243e08a73181d0f0f8f6c130bb":[5,19,4,39], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13dacd8498beecae42d50d55fd9135fa5554":[5,19,4,25], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13dacdcd02ea5803089ebcd609e227acab8b":[5,19,4,12], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13dacf5086c4d10114ed1e2adf80ef0c1d8e":[5,19,4,3], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13dad6e9059822d87be57e82960aa79d9229":[5,19,4,9], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13dadad77032cc009f3690361c9f4d552cdd":[5,19,4,36], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13dadeb15fd0fbfb9f438e22762cad170359":[5,19,4,11], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13dae11d56486ef46dfad9ea855f223483f2":[5,19,4,34], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13daef5103aadc41068ca6157543520491b2":[5,19,4,15], -"a00089.html#gga45575871cafcadc50292b9bba6b9d13daf4805a2bfb2a47017d373209a10ce52d":[5,19,4,31], -"a00089.html#gga6db188a71a269613f30825af80c02053a1195026daab11c8e8e8a07ea2588dc59":[5,19,5,21], -"a00089.html#gga6db188a71a269613f30825af80c02053a131299a8724b490b48ff5ea4ae88ec85":[5,19,5,14], -"a00089.html#gga6db188a71a269613f30825af80c02053a1c8051ee75028cdb756ce666cc9b1bb9":[5,19,5,9], -"a00089.html#gga6db188a71a269613f30825af80c02053a28fab9703b5177948f7409efa9ff848a":[5,19,5,0], -"a00089.html#gga6db188a71a269613f30825af80c02053a2c3df2d1d6d1fb817003b824eb699585":[5,19,5,6], -"a00089.html#gga6db188a71a269613f30825af80c02053a2cf1d219093b981b000fefd1b4b88835":[5,19,5,16], -"a00089.html#gga6db188a71a269613f30825af80c02053a2f09e0ca30217841402ba6bf16f8f785":[5,19,5,3], -"a00089.html#gga6db188a71a269613f30825af80c02053a332c28d49858ffceda3b7d9a9a317d0c":[5,19,5,19], -"a00089.html#gga6db188a71a269613f30825af80c02053a3872c11496f6cd2755a2cc33edeee25e":[5,19,5,20], -"a00089.html#gga6db188a71a269613f30825af80c02053a609f40f8714286a7fce78c131a97b69f":[5,19,5,24] +"a00088.html":[5,14], +"a00088.html#ga00d40f5f01c445206f1f800dcc7b6e89":[5,14,25], +"a00088.html#ga048bc24e58d7df40af2a45efaabeea9b":[5,14,4], +"a00088.html#ga055c655c611b5645ce6903557ef809bb":[5,14,13], +"a00088.html#ga08b623f67c277521182f8c9e630037ae":[5,14,20], +"a00088.html#ga11c44cbe5b42c79b22a3053c85b1e5d0":[5,14,15], +"a00088.html#ga12c568c9b7f6d46257dc63505a381701":[5,14,8], +"a00088.html#ga15da1f70e8d0a05e9d492d01ceca7da8":[5,14,2], +"a00088.html#ga1dc66cd865640c0b60eb128a868eab37":[5,14,21], +"a00088.html#ga262ac9596c0926fbe5f346e0f6aaf9f5":[5,14,0], +"a00088.html#ga269af5856a4cfd5d2a171b4777d6884a":[5,14,17], +"a00088.html#ga2cb0ee73a24657dd6994cb00b78c3137":[5,14,33], +"a00088.html#ga2e05f827d6a43eade4c22e9d75bc5d76":[5,14,3], +"a00088.html#ga363edbba9536380728e44bd7d1a0e7df":[5,14,7], +"a00088.html#ga4dd886dc387a64a5cb146ccd7297e1e1":[5,14,27], +"a00088.html#ga53dd5706866fa0313689db6e4d9c1a9b":[5,14,19], +"a00088.html#ga567b159bbfa265ed02c4900769ed8fe4":[5,14,24], +"a00088.html#ga58eced039e8c793b7ff148d6cfacde5b":[5,14,16], +"a00088.html#ga6a17e5c52721f6eb754f54cc72b58c91":[5,14,1], +"a00088.html#ga6d4f8e83cec4bc716231c97c2ceb5421":[5,14,22], +"a00088.html#ga73f0e7280f898bf99fe92b3519878a77":[5,14,29], +"a00088.html#ga74b3eece6c6eabc38f3194b125ff80d5":[5,14,23], +"a00088.html#ga78ffa3e09e17db8dc6a9038123ad1c46":[5,14,32], +"a00088.html#ga8911d499fb65ffe496c01f65bad9314f":[5,14,26], +"a00088.html#ga99a96e386a822de59ac2d60149ec47f3":[5,14,10], +"a00088.html#gaace443444dec2e5b7e3e20cf69c53def":[5,14,14], +"a00088.html#gab5dbaf58c90b1ef54bcd2c5dba9e178f":[5,14,12], +"a00088.html#gab784e6aea0129b0c90164462bd0dbac5":[5,14,9], +"a00088.html#gac6b1406205adace6696a1647250ad76d":[5,14,30], +"a00088.html#gace94acb3f70964230b2606fdbeb5f58b":[5,14,11], +"a00088.html#gad7300bf374c0cdc65fadff76f3ee7e5c":[5,14,34], +"a00088.html#gada262638d555d9a503ddcdbed24ec475":[5,14,31], +"a00088.html#gae1e5bfc17515fab76a1deab955203c6a":[5,14,5], +"a00088.html#gaec7a3986f92f8c11a70a80af1a93a3b6":[5,14,18], +"a00088.html#gaf043d142dac622bedd50b736b52ac6e9":[5,14,6], +"a00088.html#gaf5754b4b1d1436c359dde18101657d4e":[5,14,28], +"a00088.html#gga048bc24e58d7df40af2a45efaabeea9ba106986aeb5e12c2b76e070def3b37d95":[5,14,4,0], +"a00088.html#gga15da1f70e8d0a05e9d492d01ceca7da8a5df52c4fbb437e61b638d35cbc550162":[5,14,2,0], +"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a1f7f561990336a81a46f22395820907d":[5,14,3,8], +"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a2c93115b816dc676460cfc1be85778f0":[5,14,3,7], +"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a41b057b493937cd87bc212cb2e316f8a":[5,14,3,2], +"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a51f543e6f680df9beb5841231b7b3a96":[5,14,3,0], +"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6be4432cb9e687d043a2c25c1af48869":[5,14,3,3], +"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6fc5c9dbee30b375feb9ce6c86b224c2":[5,14,3,1], +"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a78ff6e40ab17d81181284c56b62b77aa":[5,14,3,5], +"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a8cc05c9aec163085b7a2b4481fdc971d":[5,14,3,9], +"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a9b2f5abc74e498a599f5c005a6cc911f":[5,14,3,6], +"a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76aabc93fc28498f5577939f38e0513304c":[5,14,3,4], +"a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a3bd6a570eee5c1fddd95ef2411b35a7e":[5,14,1,4], +"a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a58ac8e76b409274b7d02ef6e9805dcef":[5,14,1,3], +"a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a6f54d55ac52e8e697f83637109560e89":[5,14,1,2], +"a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91aa48de84b39b48759086f2d7ae0c8091e":[5,14,1,0], +"a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91ae873d30aec2ca54de88c69f55ea42a07":[5,14,1,1], +"a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91affc9b2756b7642ddb60ea78f4bbbd592":[5,14,1,5], +"a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa059c3472509304186252b655cf486d8b":[5,14,5,3], +"a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa128703d2c2175a315aaffad869ed6a32":[5,14,5,0], +"a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa3b4af984526871b66489b0eb294d2df5":[5,14,5,5], +"a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa654c70eeed38b0be2271dc51d7cc04f5":[5,14,5,1], +"a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa7dc0770cf7ffe184788c30bd1ac9c515":[5,14,5,4], +"a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa9d2a3e9717c34de3a884b695f35a6c37":[5,14,5,2], +"a00088.html#ggae1e5bfc17515fab76a1deab955203c6aaac967156901735a43316f7f1c4720328":[5,14,5,7], +"a00088.html#ggae1e5bfc17515fab76a1deab955203c6aab5630ebfbc6e52c9b04f659918c094f2":[5,14,5,6], +"a00089.html":[5,15], +"a00089.html#ga17f61bbdb9778ca2d86609be38e4bc09":[5,15,9], +"a00089.html#ga399c1d55619ddf6310e44d679b3afaf0":[5,15,0], +"a00089.html#ga3ebfc228971e1d13f03847952605c2d2":[5,15,5], +"a00089.html#ga4b8afdbebd21774bd0c8898077753901":[5,15,8], +"a00089.html#ga4d562c154da2a9152f224e0dbeddb82c":[5,15,7], +"a00089.html#ga9223774c024634ea6aef20ccd4768810":[5,15,6], +"a00089.html#gaa0db99613861d08587e9bf1dd0defe84":[5,15,10], +"a00089.html#gab2d50bbe43b91c74ff406a8d4c359f40":[5,15,4], +"a00089.html#gab4037d3c440abe260269986fbfd2087f":[5,15,2], +"a00089.html#gad119096a745ccaf2fe302d9f25e799d3":[5,15,11], +"a00089.html#gaec74828294222d85c4341013b842eb05":[5,15,3], +"a00089.html#gafe6cff20e6fc0c79d076a7b878f875a1":[5,15,1], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a0912636699433338f1c5db68c7bbec7f":[5,15,5,25], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a117f999dffa45b676b077d373cb2e086":[5,15,5,28], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a1afdc6f86b2c95d086b0c7d2d60d26cc":[5,15,5,27], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a1bfd5fb60cb03e1ec3ff1cc2b859205d":[5,15,5,9], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a22a26e5d5f65d4ac8491ad2c87ebeef3":[5,15,5,26], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a2a0154f5345c71bae6f44244fcb30d46":[5,15,5,29], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a318c6f61334de6ee3dfdfedd85c892aa":[5,15,5,3], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a3cffbba2da3be0f386061cdc43c5c58a":[5,15,5,21], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a3e4635d41b82d9da053cda8b53b16237":[5,15,5,5], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a47395d18c548dff7cf1e82891808ecc6":[5,15,5,23], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a4ce7c06a3aa62401716670839ee2db9d":[5,15,5,0], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a55478a1fa529d12411191d3b57b6aa6f":[5,15,5,13], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a5b731b787441958b61eb1ef6f13c0a8c":[5,15,5,12], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a6c8dcd271aa50f8847c21031ca1651cc":[5,15,5,11], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a71f80a9c0585243f033e80575cc9ef71":[5,15,5,2], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a74581067715a2b1448ded8335f1618a2":[5,15,5,18], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a7ee81d7d75ed79784d00718ee8b52883":[5,15,5,1], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2a9dca2593aa3f9571111177158871a171":[5,15,5,17], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2aa0c5d92b16c617f2c77ab0b2e2e6ebb1":[5,15,5,10], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2ab1a914c426c7434b66a2a70e28c91d6d":[5,15,5,8], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2ac5055185f27452b220491009e47a8843":[5,15,5,7], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2acb147f2edce9b8da4c454f80f94ac43c":[5,15,5,16], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2acf68ff6a086185f803b22698327e3109":[5,15,5,14], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2ad50df8fa854b16d2945d2e98dceb167d":[5,15,5,19], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae648baa1c80d2711d1bb2bb4fc077827":[5,15,5,24], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae6dd471565ca87404e3e950f0b4896ec":[5,15,5,20], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae8a09b9553f3e191688cfe6844bc26b9":[5,15,5,6], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2af58d23d9fe1120ca0bd0c9832b9c81df":[5,15,5,4], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2af659716e11aa0911a531d2cdc19bf3ea":[5,15,5,22], +"a00089.html#gga3ebfc228971e1d13f03847952605c2d2afa8b125d171fda1128d55ee1ce6b1bb8":[5,15,5,15], +"a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a05f4915e3590779c64072c567ea8ef77":[5,15,4,3], +"a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3b4542ce1acdb7bd4c87e184129a1cd2":[5,15,4,4], +"a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3db75ac7e6eb4bd49bc6dfcbdca28bab":[5,15,4,5], +"a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a40255673c924ddc3a47215102db19c23":[5,15,4,0], +"a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a42d0169861f7e6874c6cc1cfd38cfdee":[5,15,4,6], +"a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a7f7830f2f3a70270d451466e7fd81b8e":[5,15,4,2], +"a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab84844ba156c357257d0be920c79459d":[5,15,4,7], +"a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab977a1ed5f8dda05f237315bdacbfdce":[5,15,4,1], +"a00089.html#ggab4037d3c440abe260269986fbfd2087fa06891c0dcbd6029f027b2d6398a447df":[5,15,2,3], +"a00089.html#ggab4037d3c440abe260269986fbfd2087fa62c7580fd67b1ffa1385d370a5d3a4e6":[5,15,2,1], +"a00089.html#ggab4037d3c440abe260269986fbfd2087fa648d32891b76f5ea3e6e5cf8a296c01b":[5,15,2,0], +"a00089.html#ggab4037d3c440abe260269986fbfd2087fa6b62d55b282bfe85f5de3d7d38c8c5fa":[5,15,2,4], +"a00089.html#ggab4037d3c440abe260269986fbfd2087fa8587d933d69f3c3bfe42e848db879a20":[5,15,2,2], +"a00089.html#ggaec74828294222d85c4341013b842eb05a0866c5dfcf79f24a034e5487325ad089":[5,15,3,31], +"a00089.html#ggaec74828294222d85c4341013b842eb05a0e731c7f1daf5be7aa344b9f793ef02c":[5,15,3,20], +"a00089.html#ggaec74828294222d85c4341013b842eb05a19ecdb96a7c9b797b938851a9f22611c":[5,15,3,17], +"a00089.html#ggaec74828294222d85c4341013b842eb05a1b56e9b3c1c5e46478eb5673c5bddaed":[5,15,3,2], +"a00089.html#ggaec74828294222d85c4341013b842eb05a2206f6b0a4c280e058652b183d193ad7":[5,15,3,28], +"a00089.html#ggaec74828294222d85c4341013b842eb05a23c7b1eac9733cda25f050d543111d89":[5,15,3,19], +"a00089.html#ggaec74828294222d85c4341013b842eb05a26b5bf6af4b2b774757fc7fa42cdebdf":[5,15,3,35], +"a00089.html#ggaec74828294222d85c4341013b842eb05a279388c181b6df93831630b7b3748fcc":[5,15,3,14], +"a00089.html#ggaec74828294222d85c4341013b842eb05a2a7360dbf2b1ce3c37fec99ab6ea95c2":[5,15,3,26], +"a00089.html#ggaec74828294222d85c4341013b842eb05a2e915ea983c34dc1e202a3ea99ece27b":[5,15,3,6], +"a00089.html#ggaec74828294222d85c4341013b842eb05a315a9f58216b1ac361dc5452805f4cb9":[5,15,3,18], +"a00089.html#ggaec74828294222d85c4341013b842eb05a357a302e7e9f65b3c24b32b3348a18b1":[5,15,3,23], +"a00089.html#ggaec74828294222d85c4341013b842eb05a42744ca56fd5b26094f7f7ebc8142518":[5,15,3,30], +"a00089.html#ggaec74828294222d85c4341013b842eb05a45f568953423b0976250843eade62716":[5,15,3,3], +"a00089.html#ggaec74828294222d85c4341013b842eb05a5e28e0b496b69e7a57f43e810d1179b9":[5,15,3,16], +"a00089.html#ggaec74828294222d85c4341013b842eb05a6b32cf2706b79fff3a7fc2a1d1159e1b":[5,15,3,4], +"a00089.html#ggaec74828294222d85c4341013b842eb05a70ba87af436721a2783e56f3117594ce":[5,15,3,1], +"a00089.html#ggaec74828294222d85c4341013b842eb05a7701a2d64699c85a464acfe6d6f3ec21":[5,15,3,10], +"a00089.html#ggaec74828294222d85c4341013b842eb05a7a4eb0b7daa528b354646ae876fdbc7d":[5,15,3,29], +"a00089.html#ggaec74828294222d85c4341013b842eb05a7cbee20d065a1de8eeb2e842fdca95f2":[5,15,3,15], +"a00089.html#ggaec74828294222d85c4341013b842eb05a7d02ada60d9a59c5bba04cd6a2ca146c":[5,15,3,9], +"a00089.html#ggaec74828294222d85c4341013b842eb05a8ba7dca9c3475364351932fc1a248ffc":[5,15,3,22], +"a00089.html#ggaec74828294222d85c4341013b842eb05a8de9649d2a2fb07fe4e675f6401869d2":[5,15,3,0], +"a00089.html#ggaec74828294222d85c4341013b842eb05a9b1b21d8bd068e9cb385406f5d2ae0f1":[5,15,3,24], +"a00089.html#ggaec74828294222d85c4341013b842eb05aa7ca2e6b97d2947ae2c9781eb66d9a38":[5,15,3,13], +"a00089.html#ggaec74828294222d85c4341013b842eb05ab46ce34ffd4906d48a7f1829861ff193":[5,15,3,34], +"a00089.html#ggaec74828294222d85c4341013b842eb05abb535644479d02d916b2d2606934e28f":[5,15,3,11], +"a00089.html#ggaec74828294222d85c4341013b842eb05ac68302205f055d68cd0833e6ec89cacb":[5,15,3,5], +"a00089.html#ggaec74828294222d85c4341013b842eb05ac741b79171d673ec73a93a7c5e77cb10":[5,15,3,33], +"a00089.html#ggaec74828294222d85c4341013b842eb05ad0c5942c2fb503a4561cb64b1b8b2ba5":[5,15,3,8], +"a00089.html#ggaec74828294222d85c4341013b842eb05ad7617c8c6cd8f49c832f501129279b8e":[5,15,3,32], +"a00089.html#ggaec74828294222d85c4341013b842eb05ad79e240fc201ef98da1a4e217968f087":[5,15,3,7], +"a00089.html#ggaec74828294222d85c4341013b842eb05ae8e8fa7853444eb933183fd905b9ce9d":[5,15,3,21], +"a00089.html#ggaec74828294222d85c4341013b842eb05aea4c749172f50d02938115e943b1a62f":[5,15,3,27], +"a00089.html#ggaec74828294222d85c4341013b842eb05af73267254ab79f85cbbd45b698baf790":[5,15,3,25], +"a00089.html#ggaec74828294222d85c4341013b842eb05af941e0debe79fb78f1fdcf24068298b3":[5,15,3,12], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0646ea5aa4c85d9c19a43f8211d0ffeb":[5,15,1,20], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0784ad660fcb92a3859ed2c0efa77819":[5,15,1,1], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a15bd1d988c6677a6a41edc0846851338":[5,15,1,12], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a30bd16853ab1723b58f1747def9e4252":[5,15,1,13], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a31c3637504bb180309ccf71210ff6fd7":[5,15,1,21], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a518c8602828f0e104a12623958f587fc":[5,15,1,9], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a55b6304360a86b29fb90a42da5aaf493":[5,15,1,23], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5e95a4db197106de75dfccf6e5504364":[5,15,1,2], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5f6d7c46d68bd39e999c53ffabfa8372":[5,15,1,22], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a60ff9ca06daaf5b4f945b6e2e89f4b36":[5,15,1,5], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a63e2b4a4b53cde3b148d52f26d92e1d3":[5,15,1,11], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a6529478ac43b74b509ff0c2cd437e495":[5,15,1,17], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7a4daf25836c5010a6b9ccc29f159091":[5,15,1,18], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7ccad915ba0e8df2a152409690994f16":[5,15,1,14], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7f11a678cf930523e06f52b367f2eca5":[5,15,1,3], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a98359b577ce66e191e23d776d0d0628f":[5,15,1,7], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a9beff09b11808602b2120b42d9ab724e":[5,15,1,10], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa5bff988a77fc711fd0c7b84c1fb105c":[5,15,1,8], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa6e7a35876133d26d47ce9f76b72d520":[5,15,1,24], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab2e2c007b30459eb00fedba56e37da25":[5,15,1,0], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab8a42508ab4be0320796b2eba3b57a7d":[5,15,1,4], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1abcda2f4fbf266f73a80298ae2d090e47":[5,15,1,16], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ada22615f50454e9ebd246a18a985f016":[5,15,1,19], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ae68d03749187a90877d1742a3d61e4a1":[5,15,1,6], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1af09d4068b7ef636c55a7ab0feb950a36":[5,15,1,15], +"a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1afff7e144292e1eb0a47774207f42a102":[5,15,1,25], +"a00090.html":[5,19], +"a00090.html#ga45575871cafcadc50292b9bba6b9d13d":[5,19,4], +"a00090.html#ga55452f734d7cc5e02195ed926e4484c8":[5,19,7], +"a00090.html#ga6db188a71a269613f30825af80c02053":[5,19,5], +"a00090.html#gaae2dc5c29ae2358c616fa8a2b61a7e4b":[5,19,6], +"a00090.html#gabdebb214f81e7c4859bd60752b5958cd":[5,19,3], +"a00090.html#gabf427dd8dd6c86d0fac4f05b30e54475":[5,19,1], +"a00090.html#gaca9c780388e187444bfa31a6bcc72d35":[5,19,2], +"a00090.html#gafa0f32bbd70b73222cc401d997b1f243":[5,19,0], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da048cac659ef579d1358cc6d2a7db2c3a":[5,19,4,14], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da0ac9c784851c59d1c334fba730bdc091":[5,19,4,16], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da11e574aeb2ec56f282a103d78c49ccbe":[5,19,4,18], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da144b0c83acca82fa910848f7587af18e":[5,19,4,35], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da14bfd78536056ebdc4d2bfed0438b5cf":[5,19,4,13], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da167b30e083150f7ef078b3fc15b9a2fb":[5,19,4,28], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da209dc130cd5427683bedca3187726030":[5,19,4,7], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da3ab2e3d866e55d84dc2c342eb660c0d5":[5,19,4,19], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da3ca0015b97fb5a1b24b1f6fda113488d":[5,19,4,5], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da40ca641c0138d551c28bb9dd184bf948":[5,19,4,6], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da4be08849669f9f086a238335662c6ac1":[5,19,4,17], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da4bfff10a0df16a23aebb02bc2a19f662":[5,19,4,27], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da5673e5074470fd8c7773f987a45612ab":[5,19,4,32], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da5ba6a30f56eb6e001abb178bb215469a":[5,19,4,30], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da679e93580df161b665365f658345ed65":[5,19,4,20], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da6edbcf66e2b9d21b36413d5913952b7b":[5,19,4,26], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da6f0a53c70373fdbf41edf57122669a47":[5,19,4,21], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da74e21d63631f6e3eeae57269cc597ff9":[5,19,4,33], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da76769594d29a6d8659c5c625b4212a24":[5,19,4,22], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da7a0b07e7613ca95cbae02f51e74338ae":[5,19,4,0], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da83269426ac6b85e729bd940e7c6bc168":[5,19,4,2], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da839653f003a5f245984cbbb3e0ded090":[5,19,4,38], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da84653c7b62da4f773676a39a2abe597a":[5,19,4,10], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da867964bdb44cfca767b2fe212243ad68":[5,19,4,23], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da95076efb390207c31347c9d6eaf7a568":[5,19,4,4], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13da9e23b0bd838b14f99f22d1ae76d63143":[5,19,4,24], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13dab15fc1b1ba8e4f1a35fc314c60b467be":[5,19,4,29], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13dabd1859e7fd97d6aad4edf95f92eb1df0":[5,19,4,8], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13dabe002214e7dc409f243527310e689060":[5,19,4,37], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13dac38fd128ae8088af0ab82bb9e1ab2b0e":[5,19,4,1], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13dac735c6243e08a73181d0f0f8f6c130bb":[5,19,4,39], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13dacd8498beecae42d50d55fd9135fa5554":[5,19,4,25], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13dacdcd02ea5803089ebcd609e227acab8b":[5,19,4,12], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13dacf5086c4d10114ed1e2adf80ef0c1d8e":[5,19,4,3], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13dad6e9059822d87be57e82960aa79d9229":[5,19,4,9], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13dadad77032cc009f3690361c9f4d552cdd":[5,19,4,36], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13dadeb15fd0fbfb9f438e22762cad170359":[5,19,4,11], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13dae11d56486ef46dfad9ea855f223483f2":[5,19,4,34], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13daef5103aadc41068ca6157543520491b2":[5,19,4,15], +"a00090.html#gga45575871cafcadc50292b9bba6b9d13daf4805a2bfb2a47017d373209a10ce52d":[5,19,4,31], +"a00090.html#gga6db188a71a269613f30825af80c02053a1195026daab11c8e8e8a07ea2588dc59":[5,19,5,21], +"a00090.html#gga6db188a71a269613f30825af80c02053a131299a8724b490b48ff5ea4ae88ec85":[5,19,5,14], +"a00090.html#gga6db188a71a269613f30825af80c02053a1c8051ee75028cdb756ce666cc9b1bb9":[5,19,5,9], +"a00090.html#gga6db188a71a269613f30825af80c02053a28fab9703b5177948f7409efa9ff848a":[5,19,5,0], +"a00090.html#gga6db188a71a269613f30825af80c02053a2c3df2d1d6d1fb817003b824eb699585":[5,19,5,6], +"a00090.html#gga6db188a71a269613f30825af80c02053a2cf1d219093b981b000fefd1b4b88835":[5,19,5,16], +"a00090.html#gga6db188a71a269613f30825af80c02053a2f09e0ca30217841402ba6bf16f8f785":[5,19,5,3], +"a00090.html#gga6db188a71a269613f30825af80c02053a332c28d49858ffceda3b7d9a9a317d0c":[5,19,5,19], +"a00090.html#gga6db188a71a269613f30825af80c02053a3872c11496f6cd2755a2cc33edeee25e":[5,19,5,20], +"a00090.html#gga6db188a71a269613f30825af80c02053a609f40f8714286a7fce78c131a97b69f":[5,19,5,24], +"a00090.html#gga6db188a71a269613f30825af80c02053a8f49833db881a5cca72e39060510fe33":[5,19,5,15], +"a00090.html#gga6db188a71a269613f30825af80c02053a9b86fc933fab954e947140ea4ca2ffe3":[5,19,5,4], +"a00090.html#gga6db188a71a269613f30825af80c02053aab8f0dd610beed22acf2a5a2c3149a38":[5,19,5,12], +"a00090.html#gga6db188a71a269613f30825af80c02053aafaffe0fe7c5ed4eaed04bd7acac4f0b":[5,19,5,23], +"a00090.html#gga6db188a71a269613f30825af80c02053ab3e46c2499e53d6187acef5b9c92faeb":[5,19,5,13], +"a00090.html#gga6db188a71a269613f30825af80c02053abd4f15c4f7b44b457764ab2aa67ac5ac":[5,19,5,1], +"a00090.html#gga6db188a71a269613f30825af80c02053ac0ebb404f4a50e198c79a35af9721e6c":[5,19,5,5], +"a00090.html#gga6db188a71a269613f30825af80c02053aca55eb81b04844960f74d6b6f735f406":[5,19,5,18], +"a00090.html#gga6db188a71a269613f30825af80c02053acc0420d5b902bffdc029c0a179fd9653":[5,19,5,10], +"a00090.html#gga6db188a71a269613f30825af80c02053ad1b1f498a44a52ed74eab7333c03e177":[5,19,5,22], +"a00090.html#gga6db188a71a269613f30825af80c02053ad8072ce6e9985ae8377377ae49ba57d7":[5,19,5,2] }; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex6.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex6.js index bc2c8aa..cf7f302 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex6.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/navtreeindex6.js @@ -1,135 +1,126 @@ var NAVTREEINDEX6 = { -"a00089.html#gga6db188a71a269613f30825af80c02053a8f49833db881a5cca72e39060510fe33":[5,19,5,15], -"a00089.html#gga6db188a71a269613f30825af80c02053a9b86fc933fab954e947140ea4ca2ffe3":[5,19,5,4], -"a00089.html#gga6db188a71a269613f30825af80c02053aab8f0dd610beed22acf2a5a2c3149a38":[5,19,5,12], -"a00089.html#gga6db188a71a269613f30825af80c02053aafaffe0fe7c5ed4eaed04bd7acac4f0b":[5,19,5,23], -"a00089.html#gga6db188a71a269613f30825af80c02053ab3e46c2499e53d6187acef5b9c92faeb":[5,19,5,13], -"a00089.html#gga6db188a71a269613f30825af80c02053abd4f15c4f7b44b457764ab2aa67ac5ac":[5,19,5,1], -"a00089.html#gga6db188a71a269613f30825af80c02053ac0ebb404f4a50e198c79a35af9721e6c":[5,19,5,5], -"a00089.html#gga6db188a71a269613f30825af80c02053aca55eb81b04844960f74d6b6f735f406":[5,19,5,18], -"a00089.html#gga6db188a71a269613f30825af80c02053acc0420d5b902bffdc029c0a179fd9653":[5,19,5,10], -"a00089.html#gga6db188a71a269613f30825af80c02053ad1b1f498a44a52ed74eab7333c03e177":[5,19,5,22], -"a00089.html#gga6db188a71a269613f30825af80c02053ad8072ce6e9985ae8377377ae49ba57d7":[5,19,5,2], -"a00089.html#gga6db188a71a269613f30825af80c02053add9353fb1a660338dc4129f564d43c41":[5,19,5,7], -"a00089.html#gga6db188a71a269613f30825af80c02053ae9a9d439d460166f8795ddd23c7135b5":[5,19,5,8], -"a00089.html#gga6db188a71a269613f30825af80c02053af60644696c7226d16b940a379e974d07":[5,19,5,17], -"a00089.html#gga6db188a71a269613f30825af80c02053af96a078ddf8497b6680dcb8812abccaf":[5,19,5,11], -"a00089.html#ggabdebb214f81e7c4859bd60752b5958cda1dea0e7772dbe79bdf2cfb15e7a547a0":[5,19,3,0], -"a00089.html#ggabdebb214f81e7c4859bd60752b5958cda5bb0b796d35f26e384e79aea47cf62b7":[5,19,3,8], -"a00089.html#ggabdebb214f81e7c4859bd60752b5958cda669e23d5037d7508cace2b056a6e5299":[5,19,3,2], -"a00089.html#ggabdebb214f81e7c4859bd60752b5958cda66b170fb8a6d54c31eacce78c619adbf":[5,19,3,11], -"a00089.html#ggabdebb214f81e7c4859bd60752b5958cda865830e9fdc6bf03bf1d477ca3c6a6ca":[5,19,3,7], -"a00089.html#ggabdebb214f81e7c4859bd60752b5958cda8b3379b03023f7f9057837109b142230":[5,19,3,4], -"a00089.html#ggabdebb214f81e7c4859bd60752b5958cdaa2fbf0c2913f92571b79aebda5d5cec6":[5,19,3,6], -"a00089.html#ggabdebb214f81e7c4859bd60752b5958cdaafdc30e41c762800c39cd92cbffb2b31":[5,19,3,13], -"a00089.html#ggabdebb214f81e7c4859bd60752b5958cdab1344f53d421d647d2f85460ff8630df":[5,19,3,14], -"a00089.html#ggabdebb214f81e7c4859bd60752b5958cdac9ea3883dbd984c68e4049f5fa48903c":[5,19,3,1], -"a00089.html#ggabdebb214f81e7c4859bd60752b5958cdad6866a46cce2335ee7228f696af14ea2":[5,19,3,9], -"a00089.html#ggabdebb214f81e7c4859bd60752b5958cdae66a048f12b6af45145f2747fe9de530":[5,19,3,5], -"a00089.html#ggabdebb214f81e7c4859bd60752b5958cdaef14d94c177e35d440f3549aeed9c7b8":[5,19,3,10], -"a00089.html#ggabdebb214f81e7c4859bd60752b5958cdaf70a738eecaddb8268f264bb7baf6b9b":[5,19,3,3], -"a00089.html#ggabdebb214f81e7c4859bd60752b5958cdafae5eae69465f413508aac6ac906b7fe":[5,19,3,12], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0038fe47f7308af0fb046b6977b5928e":[5,19,1,39], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a09729e747b6419e05e6b80eecd08b380":[5,19,1,13], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0c66d3751768e52c7b283f7c94b17bce":[5,19,1,22], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0ead9e203d786e410f1d21f19f02aed2":[5,19,1,41], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2b352e3ffe67686dac289a8f8d86fba0":[5,19,1,38], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2d217651e60f137293707c19d717af37":[5,19,1,26], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2da86e45befea6a916816c5e523daad5":[5,19,1,30], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2e20c680e47cfed45e9ccd1462b921a1":[5,19,1,7], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a30425caf231771228aa99f2871efa005":[5,19,1,16], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a31d722ef719c89924cd70efe94723ad3":[5,19,1,35], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a34bca304086fb621de87b04528f8164b":[5,19,1,2], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a4de20ff3218f9af0175dfba0a1aaa38d":[5,19,1,15], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a576c74aa596dfebd41123a123b1e086f":[5,19,1,8], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a58d7c20b50aaa229094c5dc60a0cb14b":[5,19,1,32], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a1b0e5339f4df77ac5085a16d8d0ff7":[5,19,1,23], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a7ac7b3d83eff1aca3ca60bf8102dac":[5,19,1,6], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a73d53097414e5fc5f4cbe2bcdc5b16d1":[5,19,1,31], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a77450c6220c5a32fde70c7e91d3edbb0":[5,19,1,28], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a78528eef89bede351c7f85b2de156747":[5,19,1,17], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a7ea7748ab2a2bb448eca9daab449a9fa":[5,19,1,36], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a88cbbe93397f750184a74a2fb1635441":[5,19,1,12], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a89ea9c75bd1ef71e7f1d4c52544dad9f":[5,19,1,37], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a8b81353af093a8df62363344a1359c42":[5,19,1,20], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9238a533be8bd8e0955b7a90f5ae4d44":[5,19,1,0], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9c78a8bb274fb2604cb4e80af4da988c":[5,19,1,33], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0c298ece28ee4367783dcf472fe20b6":[5,19,1,29], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0ff213062c17f56f0bd74cf6510b1ee":[5,19,1,10], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa4096fcdb3eb88b567870cf2f250208e":[5,19,1,34], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa54388872ede616ae8431f22adf9b883":[5,19,1,4], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa99b5d54d06869f8977cf41527daf915":[5,19,1,19], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa9aeb1c6417d18b72b3d94aec5ac7431":[5,19,1,27], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475ac53270179d009a402596effdc9ebabdc":[5,19,1,21], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475acc1e82634c86fc3ee7c0a50026e901ad":[5,19,1,25], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475acf4d35c0b2416225ace1794d2316d78b":[5,19,1,18], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475ad0716ea2f10ff5fbd04234889b1e53ed":[5,19,1,1], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475ae557054f417e495346ddcc3e7f7162ba":[5,19,1,9], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af15b31098ffc1b3561d7ffbfd191c2e3":[5,19,1,3], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af1e2e2ccc38fec30ae5b3e617524cdab":[5,19,1,40], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af3bbdfacb373ba7dbcbedde68406d03f":[5,19,1,24], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af6c85c442a0709477e5729c9dde36fdc":[5,19,1,11], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af8ebc1a1ac7242706e0a4d3254de8a1a":[5,19,1,14], -"a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475afa22c48b958dcc1d146a381ceb411dc6":[5,19,1,5], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a0c38ea80f06768e2ad6282fbc11d5187":[5,19,2,16], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a0efea555d71ff08c985b3c002e49f25a":[5,19,2,13], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a1127b8f8732d34f2c80daf825bb14853":[5,19,2,20], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a1eb0795f2598b9acf64e7abcb63f78d5":[5,19,2,18], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a21dd2c94b4ab7b133e9818a5a394f967":[5,19,2,15], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a3a31a8f0c23e7d75db386e0f1705fcb8":[5,19,2,3], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a473548308d7fac0d5fa4ac959f81e444":[5,19,2,12], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a488a17a4e210b5000df9ecc534d24c8b":[5,19,2,19], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a4e2797855da4686eb7de9e75c5034d66":[5,19,2,6], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a6514b3be861759fdc7f645c4a8190176":[5,19,2,11], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a6873cb2ccda9efd34d7fdd75f3829f1c":[5,19,2,32], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a74b6edcb7dba9f95182a4faa57dd110d":[5,19,2,17], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a75b66d0e23730af2446bdec683db11d3":[5,19,2,5], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a7a625624c8520faff1f2e6b0a1230ba5":[5,19,2,25], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a7b0ebc4f977a126eb51422b3df962e87":[5,19,2,31], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a8a3af6c1f0f5791666b2c8409321ee0b":[5,19,2,7], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a8ec22695a3c8fbc028cc86299ca76b9a":[5,19,2,21], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a9010bbdc4d0ba698a18c200f27586c5a":[5,19,2,1], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a933c38c001bf24a62f0c8bf62e9bbbfb":[5,19,2,4], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35aa8e8084f5ea75a2e776f4a8f8a8d5e61":[5,19,2,2], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ab0f66b94af6c72515e705077a0aea28b":[5,19,2,8], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35abf7ea2c0f06252d5e966ca63f23dba2e":[5,19,2,0], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ac557f3233abd222c5cf7d809e082f94b":[5,19,2,26], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ac61483b0bbae6a06d6789e41aaf450fc":[5,19,2,24], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35acc5bcdfe59c04f2da33835496b3a2ddf":[5,19,2,29], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ace9b73074e1c03234c89b40ecd52a1e6":[5,19,2,9], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35adb2af26fbb96cad1a3f4d666e3759e39":[5,19,2,10], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ae2a752505db2acdb06e6047c3c447f63":[5,19,2,14], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ae6795c51fac27d7426848568f125a6e8":[5,19,2,23], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35aea9feb0c4582cb00ea087fef692f7623":[5,19,2,27], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35aeba0cfeb40308a0bd10bd33298bf9e84":[5,19,2,30], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35af2a8176b461addf3d18b1128800dd7ef":[5,19,2,22], -"a00089.html#ggaca9c780388e187444bfa31a6bcc72d35af3005bf86c4488117ca1396151d2c2af":[5,19,2,28], -"a00090.html":[5,20], -"a00090.html#ga3d7a27cd4564bc389103aacd4a0a41e4":[5,20,1], -"a00090.html#ga4a63ee69b68459770551a0e4d7b6cdad":[5,20,2], -"a00090.html#gaa42f53e7578284bdc9816da8800e735b":[5,20,3], -"a00090.html#gacec975ef3b52ff6266f4bf055d8bbbc0":[5,20,0], -"a00090.html#gga4a63ee69b68459770551a0e4d7b6cdadafe2a386c6f3e8884b995d08fd87de542":[5,20,2,0], -"a00091.html":[5,7], -"a00091.html#ga11898c5015274863741c4f3f4d9edc08":[5,7,16], -"a00091.html#ga12e50ee0450679fd8ca950a89338d366":[5,7,10], -"a00091.html#ga299a157e550c253df33f18180f21605e":[5,7,7], -"a00091.html#ga48560c409b88fbe195e140aa20c5307b":[5,7,13], -"a00091.html#ga53b115907016172dcf58fcffab144a6d":[5,7,15], -"a00091.html#ga58226e74553b7976713f08ab6cd1ead3":[5,7,8], -"a00091.html#ga7f9e0678f4c708ed5640b0823c07dc35":[5,7,12], -"a00091.html#ga7fdd594efdc8374ecd8684ed758d6cec":[5,7,4], -"a00091.html#ga926f5c4b8929e99c9f977ad4c48928fd":[5,7,5], -"a00091.html#gabb8f0adbec02f143b4f84d2eb42126df":[5,7,1], -"a00091.html#gac33031f28afa29dc8fe1718bbc86ee23":[5,7,2], -"a00091.html#gacab0122ff00951d58b47d95d95c4d119":[5,7,6], -"a00091.html#gad80e7aa70bbb3fce1a9168621372833e":[5,7,11], -"a00091.html#gada572d86a06f028b5b1a5d0440f683e3":[5,7,14], -"a00091.html#gae1649fc947ca37a86917a08354f48d1a":[5,7,9], -"a00091.html#gaf8f85fd102e4aedcee3d061dc2d3e0c2":[5,7,3], -"a00092.html":[5,7,0], -"a00094.html":[4], +"a00090.html#gga6db188a71a269613f30825af80c02053add9353fb1a660338dc4129f564d43c41":[5,19,5,7], +"a00090.html#gga6db188a71a269613f30825af80c02053ae9a9d439d460166f8795ddd23c7135b5":[5,19,5,8], +"a00090.html#gga6db188a71a269613f30825af80c02053af60644696c7226d16b940a379e974d07":[5,19,5,17], +"a00090.html#gga6db188a71a269613f30825af80c02053af96a078ddf8497b6680dcb8812abccaf":[5,19,5,11], +"a00090.html#ggabdebb214f81e7c4859bd60752b5958cda1dea0e7772dbe79bdf2cfb15e7a547a0":[5,19,3,0], +"a00090.html#ggabdebb214f81e7c4859bd60752b5958cda5bb0b796d35f26e384e79aea47cf62b7":[5,19,3,8], +"a00090.html#ggabdebb214f81e7c4859bd60752b5958cda669e23d5037d7508cace2b056a6e5299":[5,19,3,2], +"a00090.html#ggabdebb214f81e7c4859bd60752b5958cda66b170fb8a6d54c31eacce78c619adbf":[5,19,3,11], +"a00090.html#ggabdebb214f81e7c4859bd60752b5958cda865830e9fdc6bf03bf1d477ca3c6a6ca":[5,19,3,7], +"a00090.html#ggabdebb214f81e7c4859bd60752b5958cda8b3379b03023f7f9057837109b142230":[5,19,3,4], +"a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaa2fbf0c2913f92571b79aebda5d5cec6":[5,19,3,6], +"a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaafdc30e41c762800c39cd92cbffb2b31":[5,19,3,13], +"a00090.html#ggabdebb214f81e7c4859bd60752b5958cdab1344f53d421d647d2f85460ff8630df":[5,19,3,14], +"a00090.html#ggabdebb214f81e7c4859bd60752b5958cdac9ea3883dbd984c68e4049f5fa48903c":[5,19,3,1], +"a00090.html#ggabdebb214f81e7c4859bd60752b5958cdad6866a46cce2335ee7228f696af14ea2":[5,19,3,9], +"a00090.html#ggabdebb214f81e7c4859bd60752b5958cdae66a048f12b6af45145f2747fe9de530":[5,19,3,5], +"a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaef14d94c177e35d440f3549aeed9c7b8":[5,19,3,10], +"a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaf70a738eecaddb8268f264bb7baf6b9b":[5,19,3,3], +"a00090.html#ggabdebb214f81e7c4859bd60752b5958cdafae5eae69465f413508aac6ac906b7fe":[5,19,3,12], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0038fe47f7308af0fb046b6977b5928e":[5,19,1,39], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a09729e747b6419e05e6b80eecd08b380":[5,19,1,13], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0c66d3751768e52c7b283f7c94b17bce":[5,19,1,22], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0ead9e203d786e410f1d21f19f02aed2":[5,19,1,41], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2b352e3ffe67686dac289a8f8d86fba0":[5,19,1,38], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2d217651e60f137293707c19d717af37":[5,19,1,26], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2da86e45befea6a916816c5e523daad5":[5,19,1,30], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2e20c680e47cfed45e9ccd1462b921a1":[5,19,1,7], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a30425caf231771228aa99f2871efa005":[5,19,1,16], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a31d722ef719c89924cd70efe94723ad3":[5,19,1,35], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a34bca304086fb621de87b04528f8164b":[5,19,1,2], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a4de20ff3218f9af0175dfba0a1aaa38d":[5,19,1,15], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a576c74aa596dfebd41123a123b1e086f":[5,19,1,8], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a58d7c20b50aaa229094c5dc60a0cb14b":[5,19,1,32], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a1b0e5339f4df77ac5085a16d8d0ff7":[5,19,1,23], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a7ac7b3d83eff1aca3ca60bf8102dac":[5,19,1,6], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a73d53097414e5fc5f4cbe2bcdc5b16d1":[5,19,1,31], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a77450c6220c5a32fde70c7e91d3edbb0":[5,19,1,28], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a78528eef89bede351c7f85b2de156747":[5,19,1,17], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a7ea7748ab2a2bb448eca9daab449a9fa":[5,19,1,36], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a88cbbe93397f750184a74a2fb1635441":[5,19,1,12], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a89ea9c75bd1ef71e7f1d4c52544dad9f":[5,19,1,37], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a8b81353af093a8df62363344a1359c42":[5,19,1,20], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9238a533be8bd8e0955b7a90f5ae4d44":[5,19,1,0], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9c78a8bb274fb2604cb4e80af4da988c":[5,19,1,33], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0c298ece28ee4367783dcf472fe20b6":[5,19,1,29], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0ff213062c17f56f0bd74cf6510b1ee":[5,19,1,10], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa4096fcdb3eb88b567870cf2f250208e":[5,19,1,34], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa54388872ede616ae8431f22adf9b883":[5,19,1,4], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa99b5d54d06869f8977cf41527daf915":[5,19,1,19], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa9aeb1c6417d18b72b3d94aec5ac7431":[5,19,1,27], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ac53270179d009a402596effdc9ebabdc":[5,19,1,21], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475acc1e82634c86fc3ee7c0a50026e901ad":[5,19,1,25], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475acf4d35c0b2416225ace1794d2316d78b":[5,19,1,18], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ad0716ea2f10ff5fbd04234889b1e53ed":[5,19,1,1], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ae557054f417e495346ddcc3e7f7162ba":[5,19,1,9], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af15b31098ffc1b3561d7ffbfd191c2e3":[5,19,1,3], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af1e2e2ccc38fec30ae5b3e617524cdab":[5,19,1,40], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af3bbdfacb373ba7dbcbedde68406d03f":[5,19,1,24], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af6c85c442a0709477e5729c9dde36fdc":[5,19,1,11], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af8ebc1a1ac7242706e0a4d3254de8a1a":[5,19,1,14], +"a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475afa22c48b958dcc1d146a381ceb411dc6":[5,19,1,5], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a0c38ea80f06768e2ad6282fbc11d5187":[5,19,2,16], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a0efea555d71ff08c985b3c002e49f25a":[5,19,2,13], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a1127b8f8732d34f2c80daf825bb14853":[5,19,2,20], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a1eb0795f2598b9acf64e7abcb63f78d5":[5,19,2,18], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a21dd2c94b4ab7b133e9818a5a394f967":[5,19,2,15], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a3a31a8f0c23e7d75db386e0f1705fcb8":[5,19,2,3], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a473548308d7fac0d5fa4ac959f81e444":[5,19,2,12], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a488a17a4e210b5000df9ecc534d24c8b":[5,19,2,19], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a4e2797855da4686eb7de9e75c5034d66":[5,19,2,6], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a6514b3be861759fdc7f645c4a8190176":[5,19,2,11], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a6873cb2ccda9efd34d7fdd75f3829f1c":[5,19,2,32], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a74b6edcb7dba9f95182a4faa57dd110d":[5,19,2,17], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a75b66d0e23730af2446bdec683db11d3":[5,19,2,5], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a7a625624c8520faff1f2e6b0a1230ba5":[5,19,2,25], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a7b0ebc4f977a126eb51422b3df962e87":[5,19,2,31], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a8a3af6c1f0f5791666b2c8409321ee0b":[5,19,2,7], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a8ec22695a3c8fbc028cc86299ca76b9a":[5,19,2,21], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a9010bbdc4d0ba698a18c200f27586c5a":[5,19,2,1], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a933c38c001bf24a62f0c8bf62e9bbbfb":[5,19,2,4], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aa8e8084f5ea75a2e776f4a8f8a8d5e61":[5,19,2,2], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ab0f66b94af6c72515e705077a0aea28b":[5,19,2,8], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35abf7ea2c0f06252d5e966ca63f23dba2e":[5,19,2,0], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ac557f3233abd222c5cf7d809e082f94b":[5,19,2,26], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ac61483b0bbae6a06d6789e41aaf450fc":[5,19,2,24], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35acc5bcdfe59c04f2da33835496b3a2ddf":[5,19,2,29], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ace9b73074e1c03234c89b40ecd52a1e6":[5,19,2,9], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35adb2af26fbb96cad1a3f4d666e3759e39":[5,19,2,10], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ae2a752505db2acdb06e6047c3c447f63":[5,19,2,14], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ae6795c51fac27d7426848568f125a6e8":[5,19,2,23], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aea9feb0c4582cb00ea087fef692f7623":[5,19,2,27], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aeba0cfeb40308a0bd10bd33298bf9e84":[5,19,2,30], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35af2a8176b461addf3d18b1128800dd7ef":[5,19,2,22], +"a00090.html#ggaca9c780388e187444bfa31a6bcc72d35af3005bf86c4488117ca1396151d2c2af":[5,19,2,28], +"a00091.html":[5,20], +"a00091.html#ga3d7a27cd4564bc389103aacd4a0a41e4":[5,20,1], +"a00091.html#ga4a63ee69b68459770551a0e4d7b6cdad":[5,20,2], +"a00091.html#gaa42f53e7578284bdc9816da8800e735b":[5,20,3], +"a00091.html#gacec975ef3b52ff6266f4bf055d8bbbc0":[5,20,0], +"a00091.html#gga4a63ee69b68459770551a0e4d7b6cdadafe2a386c6f3e8884b995d08fd87de542":[5,20,2,0], +"a00092.html":[5,7], +"a00092.html#ga11898c5015274863741c4f3f4d9edc08":[5,7,18], +"a00092.html#ga12e50ee0450679fd8ca950a89338d366":[5,7,10], +"a00092.html#ga21831f5ee970f3a1f13ff375405f3592":[5,7,13], +"a00092.html#ga299a157e550c253df33f18180f21605e":[5,7,7], +"a00092.html#ga48560c409b88fbe195e140aa20c5307b":[5,7,15], +"a00092.html#ga53b115907016172dcf58fcffab144a6d":[5,7,17], +"a00092.html#ga58226e74553b7976713f08ab6cd1ead3":[5,7,8], +"a00092.html#ga7f9e0678f4c708ed5640b0823c07dc35":[5,7,14], +"a00092.html#ga7fdd594efdc8374ecd8684ed758d6cec":[5,7,4], +"a00092.html#ga926f5c4b8929e99c9f977ad4c48928fd":[5,7,5], +"a00092.html#ga9ce272e795c2b235265d3dfb50669bee":[5,7,12], +"a00092.html#gabb8f0adbec02f143b4f84d2eb42126df":[5,7,1], +"a00092.html#gac33031f28afa29dc8fe1718bbc86ee23":[5,7,2], +"a00092.html#gacab0122ff00951d58b47d95d95c4d119":[5,7,6], +"a00092.html#gad80e7aa70bbb3fce1a9168621372833e":[5,7,11], +"a00092.html#gada572d86a06f028b5b1a5d0440f683e3":[5,7,16], +"a00092.html#gae1649fc947ca37a86917a08354f48d1a":[5,7,9], +"a00092.html#gaf8f85fd102e4aedcee3d061dc2d3e0c2":[5,7,3], +"a00093.html":[5,7,0], +"a00095.html":[4], "index.html":[0], "index.html":[], "modules.html":[5], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/pages.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/pages.html index e91bba0..455d200 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/pages.html +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/pages.html @@ -32,7 +32,7 @@ Logo
    MCUXpresso SDK API Reference Manual -  Rev 2.13.0 +  Rev 2.14.0
    NXP Semiconductors
    @@ -107,7 +107,7 @@ $(document).ready(function(){initNavTree('pages.html','');}); oTrademarks oArchitectural Overview oDriver errors status -\Deprecated List +\Deprecated List
    diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_5f.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_5f.js index 8df312c..cf15179 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_5f.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_5f.js @@ -6,25 +6,25 @@ var searchData= ['_5fcapt_5finterrupt_5fstatus_5fflags',['_capt_interrupt_status_flags',['../a00010.html#ga6764adb0a0bda236d301256120cddae0',1,'fsl_capt.h']]], ['_5fcapt_5fstatus_5fflags',['_capt_status_flags',['../a00010.html#ga86048ff411e845cbde51d9324b5a4b0f',1,'fsl_capt.h']]], ['_5fcapt_5fxpins',['_capt_xpins',['../a00010.html#ga2c35cfaacd7e34465baab32d16a4abb5',1,'fsl_capt.h']]], - ['_5fflash_5faccess_5ftime',['_flash_access_time',['../a00082.html#ga0efb897bafe816a8a87d92045a78ee9b',1,'fsl_iap.h']]], + ['_5fflash_5faccess_5ftime',['_flash_access_time',['../a00083.html#ga0efb897bafe816a8a87d92045a78ee9b',1,'fsl_iap.h']]], ['_5fi2c_5fmaster_5fflags',['_i2c_master_flags',['../a00015.html#gac938392418ba1a891983d11ef5c9f2a3',1,'fsl_i2c.h']]], - ['_5fi2c_5fmaster_5fhandle',['_i2c_master_handle',['../a00015.html#a00095',1,'']]], - ['_5fi2c_5fmaster_5ftransfer',['_i2c_master_transfer',['../a00015.html#a00096',1,'']]], + ['_5fi2c_5fmaster_5fhandle',['_i2c_master_handle',['../a00015.html#a00096',1,'']]], + ['_5fi2c_5fmaster_5ftransfer',['_i2c_master_transfer',['../a00015.html#a00097',1,'']]], ['_5fi2c_5fmaster_5ftransfer_5fflags',['_i2c_master_transfer_flags',['../a00015.html#ga87ea07668194cfb46c7c368d2cb42433',1,'fsl_i2c.h']]], ['_5fi2c_5fslave_5fflags',['_i2c_slave_flags',['../a00016.html#ga419f2fc2e0684671c00ee97e962bbe0c',1,'fsl_i2c.h']]], - ['_5fi2c_5fslave_5fhandle',['_i2c_slave_handle',['../a00016.html#a00097',1,'']]], + ['_5fi2c_5fslave_5fhandle',['_i2c_slave_handle',['../a00016.html#a00098',1,'']]], ['_5fi2c_5ftransfer_5fstates',['_i2c_transfer_states',['../a00015.html#gab08c1a0d50859637b4305687278941ee',1,'fsl_i2c.h']]], - ['_5fiap_5fcommands',['_iap_commands',['../a00082.html#gaae3267ca6081e1f5620b0aa674c5e8ca',1,'fsl_iap.h']]], - ['_5fpower_5fdeep_5fsleep_5factive',['_power_deep_sleep_active',['../a00079.html#gab5d33167d8a4c9d9a407cc1bdd6346a9',1,'fsl_power.h']]], - ['_5fpower_5fdpd_5fwakeup_5fpin',['_power_dpd_wakeup_pin',['../a00079.html#ga774c3c091d12f8f4ca768d112a7fda8d',1,'fsl_power.h']]], - ['_5fpower_5fwakeup',['_power_wakeup',['../a00079.html#ga1680834b74ccfaca0cc98b954cfef0ec',1,'fsl_power.h']]], + ['_5fiap_5fcommands',['_iap_commands',['../a00083.html#gaae3267ca6081e1f5620b0aa674c5e8ca',1,'fsl_iap.h']]], + ['_5fpower_5fdeep_5fsleep_5factive',['_power_deep_sleep_active',['../a00080.html#gab5d33167d8a4c9d9a407cc1bdd6346a9',1,'fsl_power.h']]], + ['_5fpower_5fdpd_5fwakeup_5fpin',['_power_dpd_wakeup_pin',['../a00080.html#ga774c3c091d12f8f4ca768d112a7fda8d',1,'fsl_power.h']]], + ['_5fpower_5fwakeup',['_power_wakeup',['../a00080.html#ga1680834b74ccfaca0cc98b954cfef0ec',1,'fsl_power.h']]], ['_5fspi_5finterrupt_5fenable',['_spi_interrupt_enable',['../a00019.html#gaedd690a0f91a0a9eb0fd573b57e31f67',1,'fsl_spi.h']]], - ['_5fspi_5fmaster_5fhandle',['_spi_master_handle',['../a00019.html#a00098',1,'']]], + ['_5fspi_5fmaster_5fhandle',['_spi_master_handle',['../a00019.html#a00099',1,'']]], ['_5fspi_5fstatus_5fflags',['_spi_status_flags',['../a00019.html#ga17a846c851b3b17e6a1564a5fab48e09',1,'fsl_spi.h']]], ['_5fspi_5fxfer_5foption',['_spi_xfer_option',['../a00019.html#gaaa2e2f2efa7be228c775fa239ab5ea03',1,'fsl_spi.h']]], - ['_5fstatus_5fgroups',['_status_groups',['../a00081.html#ga7ff0b98bb1341c07acefb1473b6eda29',1,'fsl_common.h']]], + ['_5fstatus_5fgroups',['_status_groups',['../a00082.html#ga7ff0b98bb1341c07acefb1473b6eda29',1,'fsl_common.h']]], ['_5fusart_5fflags',['_usart_flags',['../a00020.html#gaa6a81e7e82e236bb1c3c5ba306466610',1,'fsl_usart.h']]], - ['_5fusart_5fhandle',['_usart_handle',['../a00020.html#a00099',1,'']]], + ['_5fusart_5fhandle',['_usart_handle',['../a00020.html#a00100',1,'']]], ['_5fusart_5finterrupt_5fenable',['_usart_interrupt_enable',['../a00020.html#ga78b340bf3b25bfae957d0c5532b9b853',1,'fsl_usart.h']]], ['_5fwwdt_5fstatus_5fflags_5ft',['_wwdt_status_flags_t',['../a00022.html#gaca0e9724bd1f25336527ea66c77e476f',1,'fsl_wwdt.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_61.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_61.js index f42c4d6..f927e77 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_61.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_61.js @@ -1,8 +1,8 @@ var searchData= [ - ['acmp_5fclocks',['ACMP_CLOCKS',['../a00078.html#gaa540fadbafefad92f9f7ae3f63b7a45c',1,'fsl_clock.h']]], + ['acmp_5fclocks',['ACMP_CLOCKS',['../a00079.html#gaa540fadbafefad92f9f7ae3f63b7a45c',1,'fsl_clock.h']]], ['acomp_5fclearinterruptsstatusflags',['ACOMP_ClearInterruptsStatusFlags',['../a00008.html#ga013efc3edfbbd3f7f5044fc7bc2ce802',1,'fsl_acomp.h']]], - ['acomp_5fconfig_5ft',['acomp_config_t',['../a00008.html#a00100',1,'']]], + ['acomp_5fconfig_5ft',['acomp_config_t',['../a00008.html#a00101',1,'']]], ['acomp_5fdeinit',['ACOMP_Deinit',['../a00008.html#ga4e2589a3a011cb833649e301be7fff8c',1,'fsl_acomp.h']]], ['acomp_5fenableinterrupts',['ACOMP_EnableInterrupts',['../a00008.html#ga33fc82023d54cc469fc62ed866a3ca19',1,'fsl_acomp.h']]], ['acomp_5fgetdefaultconfig',['ACOMP_GetDefaultConfig',['../a00008.html#gae217e6b6f23e998b1bfa387e1f3f4181',1,'fsl_acomp.h']]], @@ -11,16 +11,17 @@ var searchData= ['acomp_5fhysteresis_5fselection_5ft',['acomp_hysteresis_selection_t',['../a00008.html#gaa7c0a15052b6421941faad3c6ea3a5ac',1,'fsl_acomp.h']]], ['acomp_5finit',['ACOMP_Init',['../a00008.html#gae8afb04a61cd16f74eba67061409cd30',1,'fsl_acomp.h']]], ['acomp_5finterrupt_5fenable_5ft',['acomp_interrupt_enable_t',['../a00008.html#ga178d521ca2ee7f33d518b30dfb627d20',1,'fsl_acomp.h']]], - ['acomp_5fladder_5fconfig_5ft',['acomp_ladder_config_t',['../a00008.html#a00101',1,'']]], + ['acomp_5fladder_5fconfig_5ft',['acomp_ladder_config_t',['../a00008.html#a00102',1,'']]], ['acomp_5fladder_5freference_5fvoltage_5ft',['acomp_ladder_reference_voltage_t',['../a00008.html#ga7ad629d52b0a70f95cd2ddb3bee8ab5f',1,'fsl_acomp.h']]], ['acomp_5fsetinputchannel',['ACOMP_SetInputChannel',['../a00008.html#gaff80c9cfc3eb8114fcdb525b507f7ba3',1,'fsl_acomp.h']]], ['acomp_5fsetladderconfig',['ACOMP_SetLadderConfig',['../a00008.html#ga6b5b26d4b5e00361635aede71b048ffb',1,'fsl_acomp.h']]], ['adc_5fclearstatusflags',['ADC_ClearStatusFlags',['../a00009.html#gaa94fca220976d31ee991f1eff9cbe0db',1,'fsl_adc.h']]], - ['adc_5fclocks',['ADC_CLOCKS',['../a00078.html#gaef5bfe2c53a7ededa861c4e414cdbf36',1,'fsl_clock.h']]], - ['adc_5fconfig_5ft',['adc_config_t',['../a00009.html#a00102',1,'']]], - ['adc_5fconv_5fseq_5fconfig_5ft',['adc_conv_seq_config_t',['../a00009.html#a00103',1,'']]], + ['adc_5fclocks',['ADC_CLOCKS',['../a00079.html#gaef5bfe2c53a7ededa861c4e414cdbf36',1,'fsl_clock.h']]], + ['adc_5fconfig_5ft',['adc_config_t',['../a00009.html#a00103',1,'']]], + ['adc_5fconv_5fseq_5fconfig_5ft',['adc_conv_seq_config_t',['../a00009.html#a00104',1,'']]], ['adc_5fdeinit',['ADC_Deinit',['../a00009.html#ga9e87d0842217dd30d2d9699df580e237',1,'fsl_adc.h']]], ['adc_5fdisableinterrupts',['ADC_DisableInterrupts',['../a00009.html#gaa134681cb86361faad65aa8c501be403',1,'fsl_adc.h']]], + ['adc_5fdoselfcalibration',['ADC_DoSelfCalibration',['../a00009.html#ga6c5be196874795f6647b18fd1f3443b4',1,'fsl_adc.h']]], ['adc_5fdosoftwaretriggerconvseqa',['ADC_DoSoftwareTriggerConvSeqA',['../a00009.html#ga13edd8c6ef6665907b00a6a819187292',1,'fsl_adc.h']]], ['adc_5fdosoftwaretriggerconvseqb',['ADC_DoSoftwareTriggerConvSeqB',['../a00009.html#ga6bee3a133b58f1badcfded306544d58f',1,'fsl_adc.h']]], ['adc_5fenableconvseqa',['ADC_EnableConvSeqA',['../a00009.html#ga0576bc613006f8a4d3bb6db93167be65',1,'fsl_adc.h']]], @@ -28,6 +29,7 @@ var searchData= ['adc_5fenableconvseqb',['ADC_EnableConvSeqB',['../a00009.html#ga93453ff5ee1ccf1429d5035920cdcd10',1,'fsl_adc.h']]], ['adc_5fenableconvseqbburstmode',['ADC_EnableConvSeqBBurstMode',['../a00009.html#ga4d72a0696ea69cf01a7d121b8e3d1efd',1,'fsl_adc.h']]], ['adc_5fenableinterrupts',['ADC_EnableInterrupts',['../a00009.html#gad4368ec191782815efeea62a95ad3744',1,'fsl_adc.h']]], + ['adc_5fenabletemperaturesensor',['ADC_EnableTemperatureSensor',['../a00009.html#ga038d00e89652d2b860ef23b79cdbe23d',1,'fsl_adc.h']]], ['adc_5fenablethresholdcompareinterrupt',['ADC_EnableThresholdCompareInterrupt',['../a00009.html#gaa1deefb49e6221ba772d755bf4aa1a57',1,'fsl_adc.h']]], ['adc_5fgetchannelconversionresult',['ADC_GetChannelConversionResult',['../a00009.html#gacbd1fa05c3e1095dd2a8a741db533780',1,'fsl_adc.h']]], ['adc_5fgetconvseqaglobalconversionresult',['ADC_GetConvSeqAGlobalConversionResult',['../a00009.html#ga06879c096d9702b2f378dc2db178e961',1,'fsl_adc.h']]], @@ -37,7 +39,7 @@ var searchData= ['adc_5finforesult_5ft',['adc_inforesult_t',['../a00009.html#gaecfd3ba1bc4b014f3c11bc6f348a28cc',1,'fsl_adc.h']]], ['adc_5finit',['ADC_Init',['../a00009.html#gaa407371ebd58e5aa7f75d38bfdad6169',1,'fsl_adc.h']]], ['adc_5fpriority_5ft',['adc_priority_t',['../a00009.html#gab625534aa39c1cd25f18cdc0dc3b9981',1,'fsl_adc.h']]], - ['adc_5fresult_5finfo_5ft',['adc_result_info_t',['../a00009.html#a00104',1,'']]], + ['adc_5fresult_5finfo_5ft',['adc_result_info_t',['../a00009.html#a00105',1,'']]], ['adc_5fsecond_5fcontrol_5ft',['adc_second_control_t',['../a00009.html#gafb17afb34b6114b97b8bfa331465fd23',1,'fsl_adc.h']]], ['adc_5fseq_5finterrupt_5fmode_5ft',['adc_seq_interrupt_mode_t',['../a00009.html#ga3948c83397f351b5ed70bbaf1c5da35b',1,'fsl_adc.h']]], ['adc_5fsetchannelwiththresholdpair0',['ADC_SetChannelWithThresholdPair0',['../a00009.html#ga160dc82588afa2bca1388b35b8e3104d',1,'fsl_adc.h']]], @@ -60,6 +62,6 @@ var searchData= ['address3',['address3',['../a00016.html#a213d1737a633686701581a09859213a6',1,'i2c_slave_config_t']]], ['addressdisable',['addressDisable',['../a00016.html#aca3dcdb3ab2710d991ada52d64bf102c',1,'i2c_slave_address_t']]], ['architectural_20overview',['Architectural Overview',['../a00004.html',1,'']]], - ['array_5fsize',['ARRAY_SIZE',['../a00081.html#ga6242a25f9d996f0cc4f4cdb911218b75',1,'fsl_common.h']]], + ['array_5fsize',['ARRAY_SIZE',['../a00082.html#ga6242a25f9d996f0cc4f4cdb911218b75',1,'fsl_common.h']]], ['adc_3a_2012_2dbit_20sar_20analog_2dto_2ddigital_20converter_20driver',['ADC: 12-bit SAR Analog-to-Digital Converter Driver',['../a00009.html',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_63.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_63.js index 826033e..bf6b01c 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_63.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_63.js @@ -3,8 +3,8 @@ var searchData= ['callback',['callback',['../a00016.html#a7229e894f762ead4bd08b4add49e6bc2',1,'_i2c_slave_handle::callback()'],['../a00019.html#a445e70d437c917e6af1b4037bdbb6a3f',1,'_spi_master_handle::callback()'],['../a00020.html#a50fd5afc23c86d872ee2a1d46bd4145e',1,'_usart_handle::callback()']]], ['capt_3a_20capacitive_20touch',['CAPT: Capacitive Touch',['../a00010.html',1,'']]], ['capt_5fclearinterruptstatusflags',['CAPT_ClearInterruptStatusFlags',['../a00010.html#gaf59ec5edc272fd42a2b66231a16958eb',1,'fsl_capt.h']]], - ['capt_5fclocks',['CAPT_CLOCKS',['../a00078.html#gad73456f246d91b7e9dcbe6c6fc180a2f',1,'fsl_clock.h']]], - ['capt_5fconfig_5ft',['capt_config_t',['../a00010.html#a00105',1,'']]], + ['capt_5fclocks',['CAPT_CLOCKS',['../a00079.html#gad73456f246d91b7e9dcbe6c6fc180a2f',1,'fsl_clock.h']]], + ['capt_5fconfig_5ft',['capt_config_t',['../a00010.html#a00106',1,'']]], ['capt_5fdeinit',['CAPT_Deinit',['../a00010.html#gaea982bc73916fdb713906a2ee49ca604',1,'fsl_capt.h']]], ['capt_5fdisableinterrupts',['CAPT_DisableInterrupts',['../a00010.html#ga382fc66ff401d2ba9bdee87e3c8c09ce',1,'fsl_capt.h']]], ['capt_5fdma_5fmode_5ft',['capt_dma_mode_t',['../a00010.html#ga0be2df04c72a8847673bcb6d9714866a',1,'fsl_capt.h']]], @@ -21,35 +21,35 @@ var searchData= ['capt_5freset_5fdelay_5ft',['capt_reset_delay_t',['../a00010.html#ga4394d9b57a062c83c444e391c4adf855',1,'fsl_capt.h']]], ['capt_5fsetpollmode',['CAPT_SetPollMode',['../a00010.html#gaa5b1edec56bda3e46d42405ca8748b89',1,'fsl_capt.h']]], ['capt_5fsetthreshold',['CAPT_SetThreshold',['../a00010.html#gae9b35ae6b1978ce06851ce86a32af06e',1,'fsl_capt.h']]], - ['capt_5ftouch_5fdata_5ft',['capt_touch_data_t',['../a00010.html#a00106',1,'']]], + ['capt_5ftouch_5fdata_5ft',['capt_touch_data_t',['../a00010.html#a00107',1,'']]], ['capt_5ftrigger_5fmode_5ft',['capt_trigger_mode_t',['../a00010.html#ga0c1eb1e31ad34c8654c981a5e643e2c9',1,'fsl_capt.h']]], ['channelmask',['channelMask',['../a00009.html#ab63c0a8803f4d02e040d55efd8d58e88',1,'adc_conv_seq_config_t']]], ['channelnumber',['channelNumber',['../a00009.html#a6829bb748bc55409fed8615f6a40bb84',1,'adc_result_info_t']]], - ['clk_5fgate_5fdefine',['CLK_GATE_DEFINE',['../a00078.html#gaea083b34e0ae608a94fce91da973a544',1,'fsl_clock.h']]], - ['clock_20driver',['Clock Driver',['../a00078.html',1,'']]], - ['clock_5fdeinitlposc',['CLOCK_DeinitLpOsc',['../a00078.html#gabfcd2e2eae3bb847bb6268557ad9e50f',1,'fsl_clock.h']]], - ['clock_5fdivider_5ft',['clock_divider_t',['../a00078.html#gac344a8334a482bcbcbdf0c4828db872c',1,'fsl_clock.h']]], - ['clock_5ffaim_5fbase',['CLOCK_FAIM_BASE',['../a00078.html#ga0da8ff794475b44b15cda07999bc8678',1,'fsl_clock.h']]], - ['clock_5ffro_5fosc_5ffreq_5ft',['clock_fro_osc_freq_t',['../a00078.html#ga85bf7440c0d77269e3db764083110334',1,'fsl_clock.h']]], - ['clock_5ffro_5fsetting_5fapi_5from_5faddress',['CLOCK_FRO_SETTING_API_ROM_ADDRESS',['../a00078.html#gaf4232ca3ff8c9ea3d8b3cbe4bac6d87a',1,'fsl_clock.h']]], - ['clock_5fgetclockoutclkfreq',['CLOCK_GetClockOutClkFreq',['../a00078.html#gaf85cf02f515cd756873bf2a74ee143e2',1,'fsl_clock.h']]], - ['clock_5fgetcoresysclkfreq',['CLOCK_GetCoreSysClkFreq',['../a00078.html#gaca0f0dcb61434d727340ddde5f131d91',1,'fsl_clock.h']]], - ['clock_5fgetextclkfreq',['CLOCK_GetExtClkFreq',['../a00078.html#ga2d33480c8967a6bac98512e0c47c6b07',1,'fsl_clock.h']]], - ['clock_5fgetfreq',['CLOCK_GetFreq',['../a00078.html#ga53acae220d651789bb505c53c73ecf2b',1,'fsl_clock.h']]], - ['clock_5fgetfrg0clkfreq',['CLOCK_GetFRG0ClkFreq',['../a00078.html#ga7dd0bd48c4a5a68fef7f9524d8999b4b',1,'fsl_clock.h']]], - ['clock_5fgetfrofreq',['CLOCK_GetFroFreq',['../a00078.html#gafe0b410109a29c465df0b4dcbb338ff3',1,'fsl_clock.h']]], - ['clock_5fgetlposcfreq',['CLOCK_GetLPOscFreq',['../a00078.html#ga5a9205e0888bdc8579d3851313662671',1,'fsl_clock.h']]], - ['clock_5fgetmainclkfreq',['CLOCK_GetMainClkFreq',['../a00078.html#gaaa964f2c87ddd18a09c5201e22925fe6',1,'fsl_clock.h']]], - ['clock_5fgetuart0clkfreq',['CLOCK_GetUart0ClkFreq',['../a00078.html#ga47b7ce0a4c83a359f4bc1f2714a13761',1,'fsl_clock.h']]], - ['clock_5fgetuart1clkfreq',['CLOCK_GetUart1ClkFreq',['../a00078.html#ga10c8c8ccc077404a00e1121b5d18e4a8',1,'fsl_clock.h']]], - ['clock_5finitextclkin',['CLOCK_InitExtClkin',['../a00078.html#ga6506e7cdad8dcf18347cdcb1acd8c17d',1,'fsl_clock.h']]], - ['clock_5fip_5fname_5ft',['clock_ip_name_t',['../a00078.html#ga23c8b3ae62f7865b2e228408be95946d',1,'fsl_clock.h']]], - ['clock_5fmain_5fclk_5fsrc_5ft',['clock_main_clk_src_t',['../a00078.html#gab68022e8d8f60a72f86a6a6c13b6e2f4',1,'fsl_clock.h']]], - ['clock_5fname_5ft',['clock_name_t',['../a00078.html#gaf74854e9bcee544d7646c5bafdc00bd3',1,'fsl_clock.h']]], - ['clock_5fselect_5ft',['clock_select_t',['../a00078.html#ga59a363f0296ea51011dd86b405d5db58',1,'fsl_clock.h']]], - ['clock_5fsetfrg0clkfreq',['CLOCK_SetFRG0ClkFreq',['../a00078.html#ga4eb9737ada73b9a575250de526433dff',1,'fsl_clock.h']]], - ['clock_5fsetfrooscfreq',['CLOCK_SetFroOscFreq',['../a00078.html#ga4f99eead2ff26c245d72917e9308ac1d',1,'fsl_clock.h']]], - ['clock_5fsetmainclksrc',['CLOCK_SetMainClkSrc',['../a00078.html#gaa6be3b84fd2a57ec1165954d6c4564e8',1,'fsl_clock.h']]], + ['clk_5fgate_5fdefine',['CLK_GATE_DEFINE',['../a00079.html#gaea083b34e0ae608a94fce91da973a544',1,'fsl_clock.h']]], + ['clock_20driver',['Clock Driver',['../a00079.html',1,'']]], + ['clock_5fdeinitlposc',['CLOCK_DeinitLpOsc',['../a00079.html#gabfcd2e2eae3bb847bb6268557ad9e50f',1,'fsl_clock.h']]], + ['clock_5fdivider_5ft',['clock_divider_t',['../a00079.html#gac344a8334a482bcbcbdf0c4828db872c',1,'fsl_clock.h']]], + ['clock_5ffaim_5fbase',['CLOCK_FAIM_BASE',['../a00079.html#ga0da8ff794475b44b15cda07999bc8678',1,'fsl_clock.h']]], + ['clock_5ffro_5fosc_5ffreq_5ft',['clock_fro_osc_freq_t',['../a00079.html#ga85bf7440c0d77269e3db764083110334',1,'fsl_clock.h']]], + ['clock_5ffro_5fsetting_5fapi_5from_5faddress',['CLOCK_FRO_SETTING_API_ROM_ADDRESS',['../a00079.html#gaf4232ca3ff8c9ea3d8b3cbe4bac6d87a',1,'fsl_clock.h']]], + ['clock_5fgetclockoutclkfreq',['CLOCK_GetClockOutClkFreq',['../a00079.html#gaf85cf02f515cd756873bf2a74ee143e2',1,'fsl_clock.h']]], + ['clock_5fgetcoresysclkfreq',['CLOCK_GetCoreSysClkFreq',['../a00079.html#gaca0f0dcb61434d727340ddde5f131d91',1,'fsl_clock.h']]], + ['clock_5fgetextclkfreq',['CLOCK_GetExtClkFreq',['../a00079.html#ga2d33480c8967a6bac98512e0c47c6b07',1,'fsl_clock.h']]], + ['clock_5fgetfreq',['CLOCK_GetFreq',['../a00079.html#ga53acae220d651789bb505c53c73ecf2b',1,'fsl_clock.h']]], + ['clock_5fgetfrg0clkfreq',['CLOCK_GetFRG0ClkFreq',['../a00079.html#ga7dd0bd48c4a5a68fef7f9524d8999b4b',1,'fsl_clock.h']]], + ['clock_5fgetfrofreq',['CLOCK_GetFroFreq',['../a00079.html#gafe0b410109a29c465df0b4dcbb338ff3',1,'fsl_clock.h']]], + ['clock_5fgetlposcfreq',['CLOCK_GetLPOscFreq',['../a00079.html#ga5a9205e0888bdc8579d3851313662671',1,'fsl_clock.h']]], + ['clock_5fgetmainclkfreq',['CLOCK_GetMainClkFreq',['../a00079.html#gaaa964f2c87ddd18a09c5201e22925fe6',1,'fsl_clock.h']]], + ['clock_5fgetuart0clkfreq',['CLOCK_GetUart0ClkFreq',['../a00079.html#ga47b7ce0a4c83a359f4bc1f2714a13761',1,'fsl_clock.h']]], + ['clock_5fgetuart1clkfreq',['CLOCK_GetUart1ClkFreq',['../a00079.html#ga10c8c8ccc077404a00e1121b5d18e4a8',1,'fsl_clock.h']]], + ['clock_5finitextclkin',['CLOCK_InitExtClkin',['../a00079.html#ga6506e7cdad8dcf18347cdcb1acd8c17d',1,'fsl_clock.h']]], + ['clock_5fip_5fname_5ft',['clock_ip_name_t',['../a00079.html#ga23c8b3ae62f7865b2e228408be95946d',1,'fsl_clock.h']]], + ['clock_5fmain_5fclk_5fsrc_5ft',['clock_main_clk_src_t',['../a00079.html#gab68022e8d8f60a72f86a6a6c13b6e2f4',1,'fsl_clock.h']]], + ['clock_5fname_5ft',['clock_name_t',['../a00079.html#gaf74854e9bcee544d7646c5bafdc00bd3',1,'fsl_clock.h']]], + ['clock_5fselect_5ft',['clock_select_t',['../a00079.html#ga59a363f0296ea51011dd86b405d5db58',1,'fsl_clock.h']]], + ['clock_5fsetfrg0clkfreq',['CLOCK_SetFRG0ClkFreq',['../a00079.html#ga4eb9737ada73b9a575250de526433dff',1,'fsl_clock.h']]], + ['clock_5fsetfrooscfreq',['CLOCK_SetFroOscFreq',['../a00079.html#ga4f99eead2ff26c245d72917e9308ac1d',1,'fsl_clock.h']]], + ['clock_5fsetmainclksrc',['CLOCK_SetMainClkSrc',['../a00079.html#gaa6be3b84fd2a57ec1165954d6c4564e8',1,'fsl_clock.h']]], ['clockdivider',['clockDivider',['../a00010.html#a9a8da1759cc4ea2b284400908075c871',1,'capt_config_t']]], ['clockdividernumber',['clockDividerNumber',['../a00009.html#abb59c0a54988e01653f80c8a59d18b1a',1,'adc_config_t']]], ['clockfreq_5fhz',['clockFreq_Hz',['../a00022.html#a15e2f3ecd343280edfd8fbaa0bf8c9f4',1,'wwdt_config_t']]], @@ -62,9 +62,11 @@ var searchData= ['completionstatus',['completionStatus',['../a00016.html#a35adbf64ca65dd2c1b52f9260f5b9e90',1,'i2c_slave_transfer_t']]], ['configflags',['configFlags',['../a00019.html#a582eea734badd0049c98ea3cf89b3e4b',1,'spi_transfer_t']]], ['count',['count',['../a00010.html#a2b0d01a9feb8b378e76f4d3240e9ebe8',1,'capt_touch_data_t']]], + ['count_5fto_5fmsec',['COUNT_TO_MSEC',['../a00082.html#gafa0fe6bd95d5455c99aee949cb285b20',1,'fsl_common_arm.h']]], + ['count_5fto_5fusec',['COUNT_TO_USEC',['../a00082.html#ga601b69842ec962d1babd627e5a3541fd',1,'fsl_common_arm.h']]], ['crc_3a_20cyclic_20redundancy_20check_20driver',['CRC: Cyclic Redundancy Check Driver',['../a00011.html',1,'']]], - ['crc_5fclocks',['CRC_CLOCKS',['../a00078.html#ga661e3aff590ce2f086ba06745317480b',1,'fsl_clock.h']]], - ['crc_5fconfig_5ft',['crc_config_t',['../a00011.html#a00107',1,'']]], + ['crc_5fclocks',['CRC_CLOCKS',['../a00079.html#ga661e3aff590ce2f086ba06745317480b',1,'fsl_clock.h']]], + ['crc_5fconfig_5ft',['crc_config_t',['../a00011.html#a00108',1,'']]], ['crc_5fdeinit',['CRC_Deinit',['../a00011.html#ga7a4d725b011a98efb29a26bcca04c35b',1,'fsl_crc.h']]], ['crc_5fdriver_5fuse_5fcrc16_5fccitt_5ffalse_5fas_5fdefault',['CRC_DRIVER_USE_CRC16_CCITT_FALSE_AS_DEFAULT',['../a00011.html#ga37d19c87e3adeb47db8f6967df0496e1',1,'fsl_crc.h']]], ['crc_5fget16bitresult',['CRC_Get16bitResult',['../a00011.html#ga5ce766fd31166d3b0d2d7ba594dac0ce',1,'fsl_crc.h']]], @@ -81,13 +83,12 @@ var searchData= ['ctimer_5fcapture_5fchannel_5ft',['ctimer_capture_channel_t',['../a00012.html#ga2fbf5cfa219c31ac16f3786d6897dc3f',1,'fsl_ctimer.h']]], ['ctimer_5fcapture_5fedge_5ft',['ctimer_capture_edge_t',['../a00012.html#gac37706bc06bc7590ce1e3d1b4bf73638',1,'fsl_ctimer.h']]], ['ctimer_5fclearstatusflags',['CTIMER_ClearStatusFlags',['../a00012.html#ga43b7410d9c6aab6aad466bd481b9222b',1,'fsl_ctimer.h']]], - ['ctimer_5fclocks',['CTIMER_CLOCKS',['../a00078.html#gabdb5fc301b32e8998fb9b52e86c5cc1a',1,'fsl_clock.h']]], - ['ctimer_5fconfig_5ft',['ctimer_config_t',['../a00012.html#a00108',1,'']]], + ['ctimer_5fclocks',['CTIMER_CLOCKS',['../a00079.html#gabdb5fc301b32e8998fb9b52e86c5cc1a',1,'fsl_clock.h']]], + ['ctimer_5fconfig_5ft',['ctimer_config_t',['../a00012.html#a00109',1,'']]], ['ctimer_5fdeinit',['CTIMER_Deinit',['../a00012.html#ga97daa711029883d46933204381f44c9f',1,'fsl_ctimer.h']]], ['ctimer_5fdisableinterrupts',['CTIMER_DisableInterrupts',['../a00012.html#gaf1b8088779288346a7508dc7ef6e99d1',1,'fsl_ctimer.h']]], ['ctimer_5fenablefallingedgecapture',['CTIMER_EnableFallingEdgeCapture',['../a00012.html#ga37f6a866661fa105f4e66e76e02d4236',1,'fsl_ctimer.h']]], ['ctimer_5fenableinterrupts',['CTIMER_EnableInterrupts',['../a00012.html#ga24464606cafc0f316116b164966a906e',1,'fsl_ctimer.h']]], - ['ctimer_5fenablematchchannelreload',['CTIMER_EnableMatchChannelReload',['../a00012.html#ga47db49d83551ef1e38375570f29e5f0e',1,'fsl_ctimer.h']]], ['ctimer_5fenableresetmatchchannel',['CTIMER_EnableResetMatchChannel',['../a00012.html#gaeb3527b175c6158c02d76e063e2794b5',1,'fsl_ctimer.h']]], ['ctimer_5fenablerisingedgecapture',['CTIMER_EnableRisingEdgeCapture',['../a00012.html#ga0b4954f928c8e278c29325ea10aa7fa5',1,'fsl_ctimer.h']]], ['ctimer_5fenablestopmatchchannel',['CTIMER_EnableStopMatchChannel',['../a00012.html#gaf575852d70d9db218b0def620a0b7302',1,'fsl_ctimer.h']]], @@ -100,13 +101,12 @@ var searchData= ['ctimer_5fgettimercountvalue',['CTIMER_GetTimerCountValue',['../a00012.html#ga0b2f1b62dee7adf60574c3410e7bd26f',1,'fsl_ctimer.h']]], ['ctimer_5finit',['CTIMER_Init',['../a00012.html#gacae8e1dbb60368ab01a9564de7eda037',1,'fsl_ctimer.h']]], ['ctimer_5finterrupt_5fenable_5ft',['ctimer_interrupt_enable_t',['../a00012.html#ga0971c614f932bcf55994bf6c92325eb2',1,'fsl_ctimer.h']]], - ['ctimer_5fmatch_5fconfig_5ft',['ctimer_match_config_t',['../a00012.html#a00109',1,'']]], + ['ctimer_5fmatch_5fconfig_5ft',['ctimer_match_config_t',['../a00012.html#a00110',1,'']]], ['ctimer_5fmatch_5foutput_5fcontrol_5ft',['ctimer_match_output_control_t',['../a00012.html#ga22cef1fc5f8e23a35b6c3a012e3d143c',1,'fsl_ctimer.h']]], ['ctimer_5fmatch_5ft',['ctimer_match_t',['../a00012.html#gae60f7d34c9e499abba96e5979ee1818d',1,'fsl_ctimer.h']]], ['ctimer_5fregistercallback',['CTIMER_RegisterCallBack',['../a00012.html#gaa7de0b40e3127d3d8901398df50feb20',1,'fsl_ctimer.h']]], ['ctimer_5freset',['CTIMER_Reset',['../a00012.html#ga7ea373165af081e0e1700f7a3ba56642',1,'fsl_ctimer.h']]], ['ctimer_5fsetprescale',['CTIMER_SetPrescale',['../a00012.html#gacd6bd05573ab1128b8f3feaf30058e32',1,'fsl_ctimer.h']]], - ['ctimer_5fsetshadowvalue',['CTIMER_SetShadowValue',['../a00012.html#gabe141933756553c5fcbb23160f065b00',1,'fsl_ctimer.h']]], ['ctimer_5fsetupcapture',['CTIMER_SetupCapture',['../a00012.html#gae279db71f27ceb5a6d15ad8d17cbd670',1,'fsl_ctimer.h']]], ['ctimer_5fsetupmatch',['CTIMER_SetupMatch',['../a00012.html#ga64b1d0ba86d952b5ec30e201880c7bb5',1,'fsl_ctimer.h']]], ['ctimer_5fsetuppwm',['CTIMER_SetupPwm',['../a00012.html#ga2a70f03b835a36e5b321861d0b0ebb41',1,'fsl_ctimer.h']]], @@ -117,5 +117,5 @@ var searchData= ['ctimer_5ftimer_5fmode_5ft',['ctimer_timer_mode_t',['../a00012.html#ga9cc18e14c871b2a79888a4cdacbb0eee',1,'fsl_ctimer.h']]], ['ctimer_5fupdatepwmdutycycle',['CTIMER_UpdatePwmDutycycle',['../a00012.html#ga5d04834d4b29c8505b0a89b8875c2e78',1,'fsl_ctimer.h']]], ['ctimer_5fupdatepwmpulseperiod',['CTIMER_UpdatePwmPulsePeriod',['../a00012.html#gad9f4a6073c669662f300d395d66e16bb',1,'fsl_ctimer.h']]], - ['common_20driver',['Common Driver',['../a00081.html',1,'']]] + ['common_20driver',['Common Driver',['../a00082.html',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_64.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_64.js index e7f7b29..7a034b5 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_64.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_64.js @@ -1,7 +1,7 @@ var searchData= [ - ['dac_5fclocks',['DAC_CLOCKS',['../a00078.html#gab3c8a974e25f615fe023579c847fae6c',1,'fsl_clock.h']]], - ['dac_5fconfig_5ft',['dac_config_t',['../a00013.html#a00110',1,'']]], + ['dac_5fclocks',['DAC_CLOCKS',['../a00079.html#gab3c8a974e25f615fe023579c847fae6c',1,'fsl_clock.h']]], + ['dac_5fconfig_5ft',['dac_config_t',['../a00013.html#a00111',1,'']]], ['dac_5fdeinit',['DAC_Deinit',['../a00013.html#gab9cec79763041b1df321a5839982feba',1,'fsl_dac.h']]], ['dac_5fenablecounter',['DAC_EnableCounter',['../a00013.html#gabee47ca15a3a779e490f073aa1c43563',1,'fsl_dac.h']]], ['dac_5fenabledoublebuffering',['DAC_EnableDoubleBuffering',['../a00013.html#ga5ecbd1908f7743934ccdb090ca0cb1d8',1,'fsl_dac.h']]], @@ -14,31 +14,35 @@ var searchData= ['data',['data',['../a00015.html#a8c7f63bd1e7de04e40f5cd733f5c7388',1,'_i2c_master_transfer::data()'],['../a00020.html#acad21ced979ce0c2af98f0b1837863c9',1,'usart_transfer_t::data()']]], ['datasize',['dataSize',['../a00015.html#a68e2dd69e1e34b1b505270e1e07e8a34',1,'_i2c_master_transfer::dataSize()'],['../a00019.html#ae003337c9398e5f5e3189f5b2ba6335b',1,'spi_transfer_t::dataSize()'],['../a00020.html#a605c0a56df2815ffb3461aaaf116752a',1,'usart_transfer_t::dataSize()']]], ['datawidth',['dataWidth',['../a00019.html#a018402d4d181b482376a878b38db740f',1,'spi_master_config_t::dataWidth()'],['../a00019.html#adc19252b2493f3f9d12f029e57908ce5',1,'spi_slave_config_t::dataWidth()'],['../a00019.html#a11b51e4d88d3f8d9137a13ce301ed46c',1,'_spi_master_handle::dataWidth()']]], - ['dbgconsole_5fdeinit',['DbgConsole_Deinit',['../a00091.html#gad80e7aa70bbb3fce1a9168621372833e',1,'fsl_debug_console.h']]], - ['dbgconsole_5fgetchar',['DbgConsole_Getchar',['../a00091.html#ga11898c5015274863741c4f3f4d9edc08',1,'fsl_debug_console.h']]], - ['dbgconsole_5finit',['DbgConsole_Init',['../a00091.html#ga12e50ee0450679fd8ca950a89338d366',1,'fsl_debug_console.h']]], - ['dbgconsole_5fprintf',['DbgConsole_Printf',['../a00091.html#ga7f9e0678f4c708ed5640b0823c07dc35',1,'fsl_debug_console.h']]], - ['dbgconsole_5fputchar',['DbgConsole_Putchar',['../a00091.html#gada572d86a06f028b5b1a5d0440f683e3',1,'fsl_debug_console.h']]], - ['dbgconsole_5fscanf',['DbgConsole_Scanf',['../a00091.html#ga53b115907016172dcf58fcffab144a6d',1,'fsl_debug_console.h']]], - ['dbgconsole_5fvprintf',['DbgConsole_Vprintf',['../a00091.html#ga48560c409b88fbe195e140aa20c5307b',1,'fsl_debug_console.h']]], - ['debug_5fconsole_5fdevice_5ftype_5fflexcomm',['DEBUG_CONSOLE_DEVICE_TYPE_FLEXCOMM',['../a00081.html#gad4f7f7e51a84c34f06bbbb34973ae97a',1,'fsl_common.h']]], - ['debug_5fconsole_5fdevice_5ftype_5fiuart',['DEBUG_CONSOLE_DEVICE_TYPE_IUART',['../a00081.html#ga75a9e86134df04f6a8b66025d11e25c2',1,'fsl_common.h']]], - ['debug_5fconsole_5fdevice_5ftype_5flpsci',['DEBUG_CONSOLE_DEVICE_TYPE_LPSCI',['../a00081.html#ga6b4add5f2d938a89da56f58ac0b4e89b',1,'fsl_common.h']]], - ['debug_5fconsole_5fdevice_5ftype_5flpuart',['DEBUG_CONSOLE_DEVICE_TYPE_LPUART',['../a00081.html#ga1a04b7330b5196f73ed4ffe7fa2bae6c',1,'fsl_common.h']]], - ['debug_5fconsole_5fdevice_5ftype_5fmini_5fusart',['DEBUG_CONSOLE_DEVICE_TYPE_MINI_USART',['../a00081.html#ga5a951951cdb6063d949523052aa5b5e4',1,'fsl_common.h']]], - ['debug_5fconsole_5fdevice_5ftype_5fnone',['DEBUG_CONSOLE_DEVICE_TYPE_NONE',['../a00081.html#gaa7fc9e15d36453236a580b3c8116ee85',1,'fsl_common.h']]], - ['debug_5fconsole_5fdevice_5ftype_5fqsci',['DEBUG_CONSOLE_DEVICE_TYPE_QSCI',['../a00081.html#ga74389c7c04a9f53548d05287c7c665cd',1,'fsl_common.h']]], - ['debug_5fconsole_5fdevice_5ftype_5fswo',['DEBUG_CONSOLE_DEVICE_TYPE_SWO',['../a00081.html#ga9d142691c3a4d810f8bdabc41e89dfd7',1,'fsl_common.h']]], - ['debug_5fconsole_5fdevice_5ftype_5fuart',['DEBUG_CONSOLE_DEVICE_TYPE_UART',['../a00081.html#ga59764d32e5394455906edbf03cff0b9f',1,'fsl_common.h']]], - ['debug_5fconsole_5fdevice_5ftype_5fusbcdc',['DEBUG_CONSOLE_DEVICE_TYPE_USBCDC',['../a00081.html#ga262e149f9ce1ef4cf2e539e9494d3cca',1,'fsl_common.h']]], - ['debug_5fconsole_5fdevice_5ftype_5fvusart',['DEBUG_CONSOLE_DEVICE_TYPE_VUSART',['../a00081.html#ga2c85202f838f94e51a0bb7b33856c78d',1,'fsl_common.h']]], - ['debugconsole_5fdisable',['DEBUGCONSOLE_DISABLE',['../a00091.html#gaf8f85fd102e4aedcee3d061dc2d3e0c2',1,'fsl_debug_console.h']]], - ['debugconsole_5fredirect_5fto_5fsdk',['DEBUGCONSOLE_REDIRECT_TO_SDK',['../a00091.html#gac33031f28afa29dc8fe1718bbc86ee23',1,'fsl_debug_console.h']]], - ['debugconsole_5fredirect_5fto_5ftoolchain',['DEBUGCONSOLE_REDIRECT_TO_TOOLCHAIN',['../a00091.html#gabb8f0adbec02f143b4f84d2eb42126df',1,'fsl_debug_console.h']]], - ['debug_20console_20lite',['Debug Console Lite',['../a00091.html',1,'']]], + ['dbgconsole_5fdeinit',['DbgConsole_Deinit',['../a00092.html#gad80e7aa70bbb3fce1a9168621372833e',1,'fsl_debug_console.h']]], + ['dbgconsole_5fenterlowpower',['DbgConsole_EnterLowpower',['../a00092.html#ga9ce272e795c2b235265d3dfb50669bee',1,'fsl_debug_console.h']]], + ['dbgconsole_5fexitlowpower',['DbgConsole_ExitLowpower',['../a00092.html#ga21831f5ee970f3a1f13ff375405f3592',1,'fsl_debug_console.h']]], + ['dbgconsole_5fgetchar',['DbgConsole_Getchar',['../a00092.html#ga11898c5015274863741c4f3f4d9edc08',1,'fsl_debug_console.h']]], + ['dbgconsole_5finit',['DbgConsole_Init',['../a00092.html#ga12e50ee0450679fd8ca950a89338d366',1,'fsl_debug_console.h']]], + ['dbgconsole_5fprintf',['DbgConsole_Printf',['../a00092.html#ga7f9e0678f4c708ed5640b0823c07dc35',1,'fsl_debug_console.h']]], + ['dbgconsole_5fputchar',['DbgConsole_Putchar',['../a00092.html#gada572d86a06f028b5b1a5d0440f683e3',1,'fsl_debug_console.h']]], + ['dbgconsole_5fscanf',['DbgConsole_Scanf',['../a00092.html#ga53b115907016172dcf58fcffab144a6d',1,'fsl_debug_console.h']]], + ['dbgconsole_5fvprintf',['DbgConsole_Vprintf',['../a00092.html#ga48560c409b88fbe195e140aa20c5307b',1,'fsl_debug_console.h']]], + ['debug_5fconsole_5fdevice_5ftype_5fflexcomm',['DEBUG_CONSOLE_DEVICE_TYPE_FLEXCOMM',['../a00082.html#gad4f7f7e51a84c34f06bbbb34973ae97a',1,'fsl_common.h']]], + ['debug_5fconsole_5fdevice_5ftype_5fiuart',['DEBUG_CONSOLE_DEVICE_TYPE_IUART',['../a00082.html#ga75a9e86134df04f6a8b66025d11e25c2',1,'fsl_common.h']]], + ['debug_5fconsole_5fdevice_5ftype_5flpsci',['DEBUG_CONSOLE_DEVICE_TYPE_LPSCI',['../a00082.html#ga6b4add5f2d938a89da56f58ac0b4e89b',1,'fsl_common.h']]], + ['debug_5fconsole_5fdevice_5ftype_5flpuart',['DEBUG_CONSOLE_DEVICE_TYPE_LPUART',['../a00082.html#ga1a04b7330b5196f73ed4ffe7fa2bae6c',1,'fsl_common.h']]], + ['debug_5fconsole_5fdevice_5ftype_5fmini_5fusart',['DEBUG_CONSOLE_DEVICE_TYPE_MINI_USART',['../a00082.html#ga5a951951cdb6063d949523052aa5b5e4',1,'fsl_common.h']]], + ['debug_5fconsole_5fdevice_5ftype_5fnone',['DEBUG_CONSOLE_DEVICE_TYPE_NONE',['../a00082.html#gaa7fc9e15d36453236a580b3c8116ee85',1,'fsl_common.h']]], + ['debug_5fconsole_5fdevice_5ftype_5fqsci',['DEBUG_CONSOLE_DEVICE_TYPE_QSCI',['../a00082.html#ga74389c7c04a9f53548d05287c7c665cd',1,'fsl_common.h']]], + ['debug_5fconsole_5fdevice_5ftype_5fswo',['DEBUG_CONSOLE_DEVICE_TYPE_SWO',['../a00082.html#ga9d142691c3a4d810f8bdabc41e89dfd7',1,'fsl_common.h']]], + ['debug_5fconsole_5fdevice_5ftype_5fuart',['DEBUG_CONSOLE_DEVICE_TYPE_UART',['../a00082.html#ga59764d32e5394455906edbf03cff0b9f',1,'fsl_common.h']]], + ['debug_5fconsole_5fdevice_5ftype_5fusbcdc',['DEBUG_CONSOLE_DEVICE_TYPE_USBCDC',['../a00082.html#ga262e149f9ce1ef4cf2e539e9494d3cca',1,'fsl_common.h']]], + ['debug_5fconsole_5fdevice_5ftype_5fvusart',['DEBUG_CONSOLE_DEVICE_TYPE_VUSART',['../a00082.html#ga2c85202f838f94e51a0bb7b33856c78d',1,'fsl_common.h']]], + ['debugconsole_5fdisable',['DEBUGCONSOLE_DISABLE',['../a00092.html#gaf8f85fd102e4aedcee3d061dc2d3e0c2',1,'fsl_debug_console.h']]], + ['debugconsole_5fredirect_5fto_5fsdk',['DEBUGCONSOLE_REDIRECT_TO_SDK',['../a00092.html#gac33031f28afa29dc8fe1718bbc86ee23',1,'fsl_debug_console.h']]], + ['debugconsole_5fredirect_5fto_5ftoolchain',['DEBUGCONSOLE_REDIRECT_TO_TOOLCHAIN',['../a00092.html#gabb8f0adbec02f143b4f84d2eb42126df',1,'fsl_debug_console.h']]], + ['debug_20console_20lite',['Debug Console Lite',['../a00092.html',1,'']]], ['delayconfig',['delayConfig',['../a00019.html#a787477e7b0ae7833dcbdb1c85fef361c',1,'spi_master_config_t']]], - ['deprecated_20list',['Deprecated List',['../a00094.html',1,'']]], + ['deprecated_20list',['Deprecated List',['../a00095.html',1,'']]], ['direction',['direction',['../a00015.html#ac5b89dc9115b7760431c981e0c1fd443',1,'_i2c_master_transfer::direction()'],['../a00019.html#aace9261acfad8526f3d5b0b59346629a',1,'spi_master_config_t::direction()'],['../a00019.html#ad4eb458a0c1a0c0934eec53d71b78ffb',1,'spi_slave_config_t::direction()']]], + ['disableglobalirq',['DisableGlobalIRQ',['../a00082.html#ga4f63764780e8eae7726d7a7e10f4b2a1',1,'fsl_common_arm.h']]], + ['disableirq',['DisableIRQ',['../a00082.html#ga7f9bbd23e70eaf6670d3425683753961',1,'fsl_common_arm.h']]], ['driver_20errors_20status',['Driver errors status',['../a00006.html',1,'']]], ['dac_3a_2010_2dbit_20digital_20to_20analog_20converter_20driver',['DAC: 10-bit Digital To Analog Converter Driver',['../a00013.html',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_65.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_65.js index 65dc865..eb26a71 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_65.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_65.js @@ -3,11 +3,13 @@ var searchData= ['enablecontinuoussclk',['enableContinuousSCLK',['../a00020.html#a8d618d37f6867480bd0e1fcd9e5b50a5',1,'usart_config_t']]], ['enablecounterreset',['enableCounterReset',['../a00012.html#aaa00b16aba273e3f11f9480154acc1e5',1,'ctimer_match_config_t']]], ['enablecounterstop',['enableCounterStop',['../a00012.html#a94fe2c63ce7fcb5cf68f9ce73974a3e8',1,'ctimer_match_config_t']]], + ['enableglobalirq',['EnableGlobalIRQ',['../a00082.html#gacca38e4e11db8e795201c82f6ce4c9d5',1,'fsl_common_arm.h']]], ['enablehardwareflowcontrol',['enableHardwareFlowControl',['../a00020.html#ac34e16abe408d6e9daa37741420f7ec0',1,'usart_config_t']]], ['enableinterrupt',['enableInterrupt',['../a00012.html#ae09fd69820c9285d7f146260d2c51584',1,'ctimer_match_config_t']]], + ['enableirq',['EnableIRQ',['../a00082.html#ga2b92855a9ebf6eadeed1527b8339d50a',1,'fsl_common_arm.h']]], + ['enableirqwithpriority',['EnableIRQWithPriority',['../a00082.html#gaa9463731425e110e2d841820f4559a3f',1,'fsl_common_arm.h']]], ['enablelockoscillator',['enableLockOscillator',['../a00022.html#ac0e6a4a8be4bd468fdb082a156219a44',1,'wwdt_config_t']]], ['enableloopback',['enableLoopback',['../a00019.html#a384bc00ef4cd5b4e9cb6a4d48ec336bd',1,'spi_master_config_t']]], - ['enablelowpowermode',['enableLowPowerMode',['../a00009.html#a92583334531874821348b01b24f03bed',1,'adc_config_t']]], ['enablemaster',['enableMaster',['../a00015.html#a2419131a10906475fd31950f17ac8895',1,'i2c_master_config_t::enableMaster()'],['../a00019.html#aa033bd20cfbb1a14f0fd43f4b31bb27e',1,'spi_master_config_t::enableMaster()']]], ['enablemultitask',['enableMultiTask',['../a00018.html#aa8d8b810ee17770fd48674454f59bb08',1,'mrt_config_t']]], ['enablerx',['enableRx',['../a00020.html#a8b9813693fdfd2116e0d6019ea39b41d',1,'usart_config_t']]], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_66.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_66.js index b0f03f7..7a608d9 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_66.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_66.js @@ -1,28 +1,27 @@ var searchData= [ ['flags',['flags',['../a00015.html#a8835787e1b0f9a4b8868e7cbe53e45d5',1,'_i2c_master_transfer']]], - ['flash_5frsts_5fn',['FLASH_RSTS_N',['../a00080.html#ga337911597d5b1d45ac9ac6abb705a5ef',1,'fsl_reset.h']]], + ['flash_5frsts_5fn',['FLASH_RSTS_N',['../a00081.html#ga337911597d5b1d45ac9ac6abb705a5ef',1,'fsl_reset.h']]], ['framedelay',['frameDelay',['../a00019.html#a9609e5c510bf5d0c120a403ed40aed42',1,'spi_delay_config_t']]], ['fsl_5facomp_5fdriver_5fversion',['FSL_ACOMP_DRIVER_VERSION',['../a00008.html#ga856c35b78deb42642464ecfdb8dfa8aa',1,'fsl_acomp.h']]], ['fsl_5fadc_5fdriver_5fversion',['FSL_ADC_DRIVER_VERSION',['../a00009.html#ga5838d9fe654d6e1503be047d08ce5b32',1,'fsl_adc.h']]], ['fsl_5fcapt_5fdriver_5fversion',['FSL_CAPT_DRIVER_VERSION',['../a00010.html#ga9b69d5e0db52ef3ba10acf4f190b9fc2',1,'fsl_capt.h']]], - ['fsl_5fclock_5fdriver_5fversion',['FSL_CLOCK_DRIVER_VERSION',['../a00078.html#ga0c0cf401613f31feb4a9eb723ad51154',1,'fsl_clock.h']]], - ['fsl_5fcommon_5fdriver_5fversion',['FSL_COMMON_DRIVER_VERSION',['../a00081.html#gac6e3c4c6fa592fc0da7fab541e7019f7',1,'fsl_common.h']]], + ['fsl_5fclock_5fdriver_5fversion',['FSL_CLOCK_DRIVER_VERSION',['../a00079.html#ga0c0cf401613f31feb4a9eb723ad51154',1,'fsl_clock.h']]], + ['fsl_5fcommon_5fdriver_5fversion',['FSL_COMMON_DRIVER_VERSION',['../a00082.html#gac6e3c4c6fa592fc0da7fab541e7019f7',1,'fsl_common.h']]], ['fsl_5fcrc_5fdriver_5fversion',['FSL_CRC_DRIVER_VERSION',['../a00011.html#gac70c03eacdde850267d7fe0d7dca9591',1,'fsl_crc.h']]], ['fsl_5fctimer_5fdriver_5fversion',['FSL_CTIMER_DRIVER_VERSION',['../a00012.html#ga89b626fd25a5b3bbd511672ff51618f8',1,'fsl_ctimer.h']]], - ['fsl_5fdriver_5ftransfer_5fdouble_5fweak_5firq',['FSL_DRIVER_TRANSFER_DOUBLE_WEAK_IRQ',['../a00081.html#ga9a10f3f762d9eb7c5db73535db6a9d78',1,'fsl_common.h']]], + ['fsl_5fdriver_5ftransfer_5fdouble_5fweak_5firq',['FSL_DRIVER_TRANSFER_DOUBLE_WEAK_IRQ',['../a00082.html#ga9a10f3f762d9eb7c5db73535db6a9d78',1,'fsl_common.h']]], ['fsl_5fgpio_5fdriver_5fversion',['FSL_GPIO_DRIVER_VERSION',['../a00014.html#ga5aa5229cbd041b11bcf8417ba12896b2',1,'fsl_gpio.h']]], - ['fsl_5fi2c_5fdriver_5fversion',['FSL_I2C_DRIVER_VERSION',['../a00084.html#ga60fdaec284298758a650ce1122aef231',1,'fsl_i2c.h']]], + ['fsl_5fi2c_5fdriver_5fversion',['FSL_I2C_DRIVER_VERSION',['../a00085.html#ga60fdaec284298758a650ce1122aef231',1,'fsl_i2c.h']]], ['fsl_5fmrt_5fdriver_5fversion',['FSL_MRT_DRIVER_VERSION',['../a00018.html#gae39f6122bd73a5156d40291962f7507f',1,'fsl_mrt.h']]], - ['fsl_5fpint_5fdriver_5fversion',['FSL_PINT_DRIVER_VERSION',['../a00087.html#ga2c7d26f7018dc7e75c1e090090628069',1,'fsl_pint.h']]], - ['fsl_5fplu_5fdriver_5fversion',['FSL_PLU_DRIVER_VERSION',['../a00088.html#ga399c1d55619ddf6310e44d679b3afaf0',1,'fsl_plu.h']]], - ['fsl_5fpower_5fdriver_5fversion',['FSL_POWER_DRIVER_VERSION',['../a00079.html#ga566dde551cccfbff838b7f6f474e525b',1,'fsl_power.h']]], - ['fsl_5freset_5fdriver_5fversion',['FSL_RESET_DRIVER_VERSION',['../a00080.html#gaf2dd9adbeea910141dc143654a1065db',1,'fsl_reset.h']]], + ['fsl_5fplu_5fdriver_5fversion',['FSL_PLU_DRIVER_VERSION',['../a00089.html#ga399c1d55619ddf6310e44d679b3afaf0',1,'fsl_plu.h']]], + ['fsl_5fpower_5fdriver_5fversion',['FSL_POWER_DRIVER_VERSION',['../a00080.html#ga566dde551cccfbff838b7f6f474e525b',1,'fsl_power.h']]], + ['fsl_5freset_5fdriver_5fversion',['FSL_RESET_DRIVER_VERSION',['../a00081.html#gaf2dd9adbeea910141dc143654a1065db',1,'fsl_reset.h']]], ['fsl_5fsdk_5fenable_5fusart_5fdriver_5ftransactional_5fapis',['FSL_SDK_ENABLE_USART_DRIVER_TRANSACTIONAL_APIS',['../a00020.html#gaf7814290d5f1317f0b5dbe97712c3cb7',1,'fsl_usart.h']]], ['fsl_5fsdk_5fusart_5fdriver_5fenable_5fbaudrate_5fauto_5fgenerate',['FSL_SDK_USART_DRIVER_ENABLE_BAUDRATE_AUTO_GENERATE',['../a00020.html#ga855e54d43b294857434ce0d82a6b56cb',1,'fsl_usart.h']]], ['fsl_5fspi_5fdriver_5fversion',['FSL_SPI_DRIVER_VERSION',['../a00019.html#gaeb6046de02dffe9248de71332fac246e',1,'fsl_spi.h']]], - ['fsl_5fswm_5fdriver_5fversion',['FSL_SWM_DRIVER_VERSION',['../a00089.html#gafa0f32bbd70b73222cc401d997b1f243',1,'fsl_swm.h']]], - ['fsl_5fsyson_5fdriver_5fversion',['FSL_SYSON_DRIVER_VERSION',['../a00090.html#ga3d7a27cd4564bc389103aacd4a0a41e4',1,'fsl_syscon.h']]], + ['fsl_5fswm_5fdriver_5fversion',['FSL_SWM_DRIVER_VERSION',['../a00090.html#gafa0f32bbd70b73222cc401d997b1f243',1,'fsl_swm.h']]], + ['fsl_5fsyson_5fdriver_5fversion',['FSL_SYSON_DRIVER_VERSION',['../a00091.html#ga3d7a27cd4564bc389103aacd4a0a41e4',1,'fsl_syscon.h']]], ['fsl_5fusart_5fdriver_5fversion',['FSL_USART_DRIVER_VERSION',['../a00020.html#gad737c0eee502276b7615e83ec3a79be4',1,'fsl_usart.h']]], ['fsl_5fwkt_5fdriver_5fversion',['FSL_WKT_DRIVER_VERSION',['../a00021.html#ga0411f3587cc78cbde38b765e8bf3ba0c',1,'fsl_wkt.h']]], ['fsl_5fwwdt_5fdriver_5fversion',['FSL_WWDT_DRIVER_VERSION',['../a00022.html#gaf661d1e3e19a80b873c54fdbad5e966a',1,'fsl_wwdt.h']]] diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_67.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_67.js index 64cec92..47504e9 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_67.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_67.js @@ -1,11 +1,11 @@ var searchData= [ - ['g_5fext_5fclk_5ffreq',['g_Ext_Clk_Freq',['../a00078.html#ga386d59c21453d1914f3c8194010ff277',1,'fsl_clock.h']]], - ['g_5ffro_5fosc_5ffreq',['g_Fro_Osc_Freq',['../a00078.html#ga62ebb12d760ba0fe5ca71ac1f7a77709',1,'fsl_clock.h']]], - ['g_5flp_5fosc_5ffreq',['g_LP_Osc_Freq',['../a00078.html#gaee8dd95ea14cf8363042356e9fb2e5cb',1,'fsl_clock.h']]], - ['gpio_5fclocks',['GPIO_CLOCKS',['../a00078.html#gac86986db423673c1d9b46882ccd6b3a9',1,'fsl_clock.h']]], - ['gpio_5fint_5fclocks',['GPIO_INT_CLOCKS',['../a00078.html#ga4b1754d575449d4dab7f7e3f0131650c',1,'fsl_clock.h']]], - ['gpio_5fpin_5fconfig_5ft',['gpio_pin_config_t',['../a00014.html#a00111',1,'']]], + ['g_5fext_5fclk_5ffreq',['g_Ext_Clk_Freq',['../a00079.html#ga386d59c21453d1914f3c8194010ff277',1,'fsl_clock.h']]], + ['g_5ffro_5fosc_5ffreq',['g_Fro_Osc_Freq',['../a00079.html#ga62ebb12d760ba0fe5ca71ac1f7a77709',1,'fsl_clock.h']]], + ['g_5flp_5fosc_5ffreq',['g_LP_Osc_Freq',['../a00079.html#gaee8dd95ea14cf8363042356e9fb2e5cb',1,'fsl_clock.h']]], + ['gpio_5fclocks',['GPIO_CLOCKS',['../a00079.html#gac86986db423673c1d9b46882ccd6b3a9',1,'fsl_clock.h']]], + ['gpio_5fint_5fclocks',['GPIO_INT_CLOCKS',['../a00079.html#ga4b1754d575449d4dab7f7e3f0131650c',1,'fsl_clock.h']]], + ['gpio_5fpin_5fconfig_5ft',['gpio_pin_config_t',['../a00014.html#a00112',1,'']]], ['gpio_5fpin_5fdirection_5ft',['gpio_pin_direction_t',['../a00014.html#gada41ca0a2ce239fe125ee96833e715c0',1,'fsl_gpio.h']]], ['gpio_5fpininit',['GPIO_PinInit',['../a00014.html#ga9b8fa194d8fa5a1bf933a709d4dde014',1,'fsl_gpio.h']]], ['gpio_5fpinread',['GPIO_PinRead',['../a00014.html#gabad8dfa62a3e414cc23ff8b32eea81d0',1,'fsl_gpio.h']]], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_69.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_69.js index d2cdacd..9be2304 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_69.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_69.js @@ -1,16 +1,16 @@ var searchData= [ - ['i2c_3a_20inter_2dintegrated_20circuit_20driver',['I2C: Inter-Integrated Circuit Driver',['../a00083.html',1,'']]], - ['i2c_5fclocks',['I2C_CLOCKS',['../a00078.html#gac0c74371f4f724c78ef233de79c3cf14',1,'fsl_clock.h']]], + ['i2c_3a_20inter_2dintegrated_20circuit_20driver',['I2C: Inter-Integrated Circuit Driver',['../a00084.html',1,'']]], + ['i2c_5fclocks',['I2C_CLOCKS',['../a00079.html#gac0c74371f4f724c78ef233de79c3cf14',1,'fsl_clock.h']]], ['i2c_5fdirection_5ft',['i2c_direction_t',['../a00015.html#gab49c827b45635206f06e5737606e4611',1,'fsl_i2c.h']]], ['i2c_5fdisableinterrupts',['I2C_DisableInterrupts',['../a00015.html#gac3e053611231cc886bfa38831a1ac26e',1,'fsl_i2c.h']]], - ['i2c_20driver',['I2C Driver',['../a00084.html',1,'']]], + ['i2c_20driver',['I2C Driver',['../a00085.html',1,'']]], ['i2c_5fenableinterrupts',['I2C_EnableInterrupts',['../a00015.html#ga0f6f1f2fe150661f8eb0a072665a9020',1,'fsl_i2c.h']]], ['i2c_5fgetenabledinterrupts',['I2C_GetEnabledInterrupts',['../a00015.html#gae652236a25f1b4828d596f32190655f8',1,'fsl_i2c.h']]], ['i2c_5fgetinstance',['I2C_GetInstance',['../a00015.html#ga07cc6bd20f700249c335893427bf462a',1,'fsl_i2c.h']]], ['i2c_5fgetstatusflags',['I2C_GetStatusFlags',['../a00015.html#ga441017241044ffdb828385e473f5fb58',1,'fsl_i2c.h']]], ['i2c_5fisr_5ft',['i2c_isr_t',['../a00016.html#ga64e4839b34e9156358c4eaa717b1c14a',1,'fsl_i2c.h']]], - ['i2c_5fmaster_5fconfig_5ft',['i2c_master_config_t',['../a00015.html#a00112',1,'']]], + ['i2c_5fmaster_5fconfig_5ft',['i2c_master_config_t',['../a00015.html#a00113',1,'']]], ['i2c_20master_20driver',['I2C Master Driver',['../a00015.html',1,'']]], ['i2c_5fmaster_5ftransfer_5fcallback_5ft',['i2c_master_transfer_callback_t',['../a00015.html#gad292a48f957a9b76593c1779d9dce497',1,'fsl_i2c.h']]], ['i2c_5fmasterclearstatusflags',['I2C_MasterClearStatusFlags',['../a00015.html#ga437271ffb955b77df0a5dee9ea80cc63',1,'fsl_i2c.h']]], @@ -32,17 +32,17 @@ var searchData= ['i2c_5fmastertransferhandleirq',['I2C_MasterTransferHandleIRQ',['../a00015.html#gad091cd1857d2bd8ce64583739b3a35d5',1,'fsl_i2c.h']]], ['i2c_5fmastertransfernonblocking',['I2C_MasterTransferNonBlocking',['../a00015.html#gac7f76a04b6f6873c52ad176d58901fe9',1,'fsl_i2c.h']]], ['i2c_5fmasterwriteblocking',['I2C_MasterWriteBlocking',['../a00015.html#ga3b4d71b59e118adc63afbc5434e5e7dd',1,'fsl_i2c.h']]], - ['i2c_5fretry_5ftimes',['I2C_RETRY_TIMES',['../a00084.html#gaac288786aaa7d0cb947c91591baf42d7',1,'fsl_i2c.h']]], + ['i2c_5fretry_5ftimes',['I2C_RETRY_TIMES',['../a00085.html#gaac288786aaa7d0cb947c91591baf42d7',1,'fsl_i2c.h']]], ['i2c_5fslave_5faddress_5fqual_5fmode_5ft',['i2c_slave_address_qual_mode_t',['../a00016.html#ga719dc02b99647eb8f08a05d4d6066c51',1,'fsl_i2c.h']]], ['i2c_5fslave_5faddress_5fregister_5ft',['i2c_slave_address_register_t',['../a00016.html#ga833a7311515f1a3bf5cb8da2355cc661',1,'fsl_i2c.h']]], - ['i2c_5fslave_5faddress_5ft',['i2c_slave_address_t',['../a00016.html#a00113',1,'']]], + ['i2c_5fslave_5faddress_5ft',['i2c_slave_address_t',['../a00016.html#a00114',1,'']]], ['i2c_5fslave_5fbus_5fspeed_5ft',['i2c_slave_bus_speed_t',['../a00016.html#ga5f368505586dd356fc680711023ace7f',1,'fsl_i2c.h']]], - ['i2c_5fslave_5fconfig_5ft',['i2c_slave_config_t',['../a00016.html#a00114',1,'']]], + ['i2c_5fslave_5fconfig_5ft',['i2c_slave_config_t',['../a00016.html#a00115',1,'']]], ['i2c_20slave_20driver',['I2C Slave Driver',['../a00016.html',1,'']]], ['i2c_5fslave_5ffsm_5ft',['i2c_slave_fsm_t',['../a00016.html#gad6564299304730416461f62255fcd37c',1,'fsl_i2c.h']]], ['i2c_5fslave_5ftransfer_5fcallback_5ft',['i2c_slave_transfer_callback_t',['../a00016.html#ga0704c8f14da38feb11555e4127d86a18',1,'fsl_i2c.h']]], ['i2c_5fslave_5ftransfer_5fevent_5ft',['i2c_slave_transfer_event_t',['../a00016.html#gac53e5c96a2eed1b5a95b7d84be48f4ac',1,'fsl_i2c.h']]], - ['i2c_5fslave_5ftransfer_5ft',['i2c_slave_transfer_t',['../a00016.html#a00115',1,'']]], + ['i2c_5fslave_5ftransfer_5ft',['i2c_slave_transfer_t',['../a00016.html#a00116',1,'']]], ['i2c_5fslaveclearstatusflags',['I2C_SlaveClearStatusFlags',['../a00016.html#ga545c1a43d8d49c4675de493d45a4063a',1,'fsl_i2c.h']]], ['i2c_5fslavedeinit',['I2C_SlaveDeinit',['../a00016.html#gadb50014db29dd75ea2001fb7bc837e77',1,'fsl_i2c.h']]], ['i2c_5fslaveenable',['I2C_SlaveEnable',['../a00016.html#gacbb93d5ea4f4b40038f41e0e942a9ee1',1,'fsl_i2c.h']]], @@ -59,29 +59,25 @@ var searchData= ['i2c_5fslavetransferhandleirq',['I2C_SlaveTransferHandleIRQ',['../a00016.html#ga097c0b20503381de44cccba311dcf236',1,'fsl_i2c.h']]], ['i2c_5fslavetransfernonblocking',['I2C_SlaveTransferNonBlocking',['../a00016.html#gaa387d81ea08a9d4b39cfeb3dfec505f7',1,'fsl_i2c.h']]], ['i2c_5fslavewriteblocking',['I2C_SlaveWriteBlocking',['../a00016.html#ga280404c6b1ede542f2c1c20bd382869c',1,'fsl_i2c.h']]], - ['i2c_5fstat_5fmstcode_5fidle',['I2C_STAT_MSTCODE_IDLE',['../a00084.html#ga2c6171791d36810488b3e31648156d40',1,'fsl_i2c.h']]], - ['i2c_5fstat_5fmstcode_5fnackadr',['I2C_STAT_MSTCODE_NACKADR',['../a00084.html#ga5f10a8d39f171f4c6fb65bba7ff9bb2b',1,'fsl_i2c.h']]], - ['i2c_5fstat_5fmstcode_5fnackdat',['I2C_STAT_MSTCODE_NACKDAT',['../a00084.html#gac815d26a6d964108edbc558afe774828',1,'fsl_i2c.h']]], - ['i2c_5fstat_5fmstcode_5frxready',['I2C_STAT_MSTCODE_RXREADY',['../a00084.html#ga6d910a54f8271411dbed62a08ac462a2',1,'fsl_i2c.h']]], - ['i2c_5fstat_5fmstcode_5ftxready',['I2C_STAT_MSTCODE_TXREADY',['../a00084.html#gaa638faf1f9e205ac8df1e4cf44de0a14',1,'fsl_i2c.h']]], - ['iap_5fblankchecksector',['IAP_BlankCheckSector',['../a00082.html#ga93a68a9ca640a51b56350d59cac4dd7c',1,'fsl_iap.h']]], - ['iap_5fcompare',['IAP_Compare',['../a00082.html#ga48b79c8dc56de9714927e70ad230fa0b',1,'fsl_iap.h']]], - ['iap_5fcopyramtoflash',['IAP_CopyRamToFlash',['../a00082.html#ga6b58df04b8aae97c7e31884f3fe3413f',1,'fsl_iap.h']]], - ['iap_3a_20in_20application_20programming_20driver',['IAP: In Application Programming Driver',['../a00082.html',1,'']]], - ['iap_5ferasepage',['IAP_ErasePage',['../a00082.html#ga93474c7fb9be2c15eabc9dbf73c99bdb',1,'fsl_iap.h']]], - ['iap_5ferasesector',['IAP_EraseSector',['../a00082.html#ga0093db979d7b9afca6dca250f42b2eef',1,'fsl_iap.h']]], - ['iap_5fpreparesectorforwrite',['IAP_PrepareSectorForWrite',['../a00082.html#ga361041aa626a50391c40c9d6936ba2c0',1,'fsl_iap.h']]], - ['iap_5freadbootcodeversion',['IAP_ReadBootCodeVersion',['../a00082.html#ga747cfb2ed95cefa6f39ae2ad089f8ade',1,'fsl_iap.h']]], - ['iap_5freadpartid',['IAP_ReadPartID',['../a00082.html#ga916f8b461de93f08044df1724290dcbd',1,'fsl_iap.h']]], - ['iap_5freaduniqueid',['IAP_ReadUniqueID',['../a00082.html#ga258d8c49d494cbd0d18321af56e11440',1,'fsl_iap.h']]], - ['iap_5freinvokeisp',['IAP_ReinvokeISP',['../a00082.html#ga98407c578584b1d88d7be1b3e6d9656a',1,'fsl_iap.h']]], + ['i2c_5fstat_5fmstcode_5fidle',['I2C_STAT_MSTCODE_IDLE',['../a00085.html#ga2c6171791d36810488b3e31648156d40',1,'fsl_i2c.h']]], + ['i2c_5fstat_5fmstcode_5fnackadr',['I2C_STAT_MSTCODE_NACKADR',['../a00085.html#ga5f10a8d39f171f4c6fb65bba7ff9bb2b',1,'fsl_i2c.h']]], + ['i2c_5fstat_5fmstcode_5fnackdat',['I2C_STAT_MSTCODE_NACKDAT',['../a00085.html#gac815d26a6d964108edbc558afe774828',1,'fsl_i2c.h']]], + ['i2c_5fstat_5fmstcode_5frxready',['I2C_STAT_MSTCODE_RXREADY',['../a00085.html#ga6d910a54f8271411dbed62a08ac462a2',1,'fsl_i2c.h']]], + ['i2c_5fstat_5fmstcode_5ftxready',['I2C_STAT_MSTCODE_TXREADY',['../a00085.html#gaa638faf1f9e205ac8df1e4cf44de0a14',1,'fsl_i2c.h']]], + ['iap_3a_20in_20application_20programming_20driver',['IAP: In Application Programming Driver',['../a00083.html',1,'']]], + ['iap_5freadbootcodeversion',['IAP_ReadBootCodeVersion',['../a00083.html#ga747cfb2ed95cefa6f39ae2ad089f8ade',1,'fsl_iap.h']]], + ['iap_5freadpartid',['IAP_ReadPartID',['../a00083.html#ga916f8b461de93f08044df1724290dcbd',1,'fsl_iap.h']]], + ['iap_5freaduniqueid',['IAP_ReadUniqueID',['../a00083.html#ga258d8c49d494cbd0d18321af56e11440',1,'fsl_iap.h']]], + ['iap_5freinvokeisp',['IAP_ReinvokeISP',['../a00083.html#ga98407c578584b1d88d7be1b3e6d9656a',1,'fsl_iap.h']]], ['introduction',['Introduction',['../a00007.html',1,'']]], ['input',['input',['../a00012.html#ac07c23c0bbadea74c24c03e401321fbc',1,'ctimer_config_t']]], ['interruptmode',['interruptMode',['../a00009.html#aa37fa0f5c6c3351f811d4f31f40290ad',1,'adc_conv_seq_config_t']]], - ['iocon_5fclocks',['IOCON_CLOCKS',['../a00078.html#ga7c6017a8657328bdaad58beb19de84e1',1,'fsl_clock.h']]], - ['iocon_5fgroup_5ft',['iocon_group_t',['../a00017.html#a00116',1,'']]], + ['iocon_5fclocks',['IOCON_CLOCKS',['../a00079.html#ga7c6017a8657328bdaad58beb19de84e1',1,'fsl_clock.h']]], + ['iocon_5fgroup_5ft',['iocon_group_t',['../a00017.html#a00117',1,'']]], ['iocon_5fpinmuxset',['IOCON_PinMuxSet',['../a00017.html#gaf9258f56192a94928f67940eee5dd879',1,'fsl_iocon.h']]], ['iocon_5fsetpinmuxing',['IOCON_SetPinMuxing',['../a00017.html#gaf2cc5d2d92b4e2898a197479e77a326e',1,'fsl_iocon.h']]], + ['irq_5fclearpendingirq',['IRQ_ClearPendingIRQ',['../a00082.html#gac9b0f720fcb617b3aa9cb554d87dbc55',1,'fsl_common_arm.h']]], + ['irq_5fsetpriority',['IRQ_SetPriority',['../a00082.html#ga9d1df086c696c7864d98ea6ec28846f3',1,'fsl_common_arm.h']]], ['isbusy',['isBusy',['../a00016.html#a81ece18a362fc9779750be91f7cc6b30',1,'_i2c_slave_handle']]], ['iocon_3a_20i_2fo_20pin_20configuration',['IOCON: I/O pin configuration',['../a00017.html',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6b.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6b.js index 9a09f9c..98be3ea 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6b.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6b.js @@ -1,19 +1,18 @@ var searchData= [ - ['kacmp_5frst_5fn_5fshift_5frstn',['kACMP_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770ac1a3b1156e6dbc9d6fecb867f1486c63',1,'fsl_reset.h']]], + ['kacmp_5frst_5fn_5fshift_5frstn',['kACMP_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ac1a3b1156e6dbc9d6fecb867f1486c63',1,'fsl_reset.h']]], ['kacomp_5fhysteresis10mvselection',['kACOMP_Hysteresis10MVSelection',['../a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5aca25ed95469736b1383c06835f1a59a6f7',1,'fsl_acomp.h']]], ['kacomp_5fhysteresis20mvselection',['kACOMP_Hysteresis20MVSelection',['../a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acab609612c0f5c6987b71f287d0ab8e02c',1,'fsl_acomp.h']]], ['kacomp_5fhysteresis5mvselection',['kACOMP_Hysteresis5MVSelection',['../a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acae6c2b1547a7e476425962d46b44a00f9',1,'fsl_acomp.h']]], ['kacomp_5fhysteresisnoneselection',['kACOMP_HysteresisNoneSelection',['../a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acae003c683374deba88f335221e4f6c62b',1,'fsl_acomp.h']]], ['kacomp_5finterruptsbothedgesenable',['kACOMP_InterruptsBothEdgesEnable',['../a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a23743234a07f6c25a2b14cca0cd863c7',1,'fsl_acomp.h']]], - ['kacomp_5finterruptsdisable',['kACOMP_InterruptsDisable',['../a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a629a3a054d3fde07b8f1d4974a9d070d',1,'fsl_acomp.h']]], ['kacomp_5finterruptsfallingedgeenable',['kACOMP_InterruptsFallingEdgeEnable',['../a00008.html#gga178d521ca2ee7f33d518b30dfb627d20ad015e6ad9b09be27a6de122fde6b3997',1,'fsl_acomp.h']]], ['kacomp_5finterruptsrisingedgeenable',['kACOMP_InterruptsRisingEdgeEnable',['../a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a25801b009aba402b9810189eb8545b8b',1,'fsl_acomp.h']]], ['kacomp_5fladderrefvoltagepinvdd',['kACOMP_LadderRefVoltagePinVDD',['../a00008.html#gga7ad629d52b0a70f95cd2ddb3bee8ab5fa70a5aa61894fc8d2195652c2fa3423aa',1,'fsl_acomp.h']]], ['kacomp_5fladderrefvoltagepinvddcmp',['kACOMP_LadderRefVoltagePinVDDCMP',['../a00008.html#gga7ad629d52b0a70f95cd2ddb3bee8ab5fa56718eb64fdbbd04648466547b326c35',1,'fsl_acomp.h']]], ['kadc_5fadcinunitygainmode',['kADC_ADCInUnityGainMode',['../a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a520d81c1c70fab0701cfdcfaa46e1cae',1,'fsl_adc.h']]], - ['kadc_5fclk_5ffrom_5fextclk',['kADC_Clk_From_Extclk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a62d1ae5b5b1bb400be6618e4e2ad45ea',1,'fsl_clock.h']]], - ['kadc_5fclk_5ffrom_5ffro',['kADC_Clk_From_Fro',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a91f272ba2ca7171ce0689d39f9a894af',1,'fsl_clock.h']]], + ['kadc_5fclk_5ffrom_5fextclk',['kADC_Clk_From_Extclk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a62d1ae5b5b1bb400be6618e4e2ad45ea',1,'fsl_clock.h']]], + ['kadc_5fclk_5ffrom_5ffro',['kADC_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a91f272ba2ca7171ce0689d39f9a894af',1,'fsl_clock.h']]], ['kadc_5fconvseqainterruptenable',['kADC_ConvSeqAInterruptEnable',['../a00009.html#ggac5899c7af1f9cac7695d7171f83be5f0a729a5315c1792954ab9455cc6fdb324a',1,'fsl_adc.h']]], ['kadc_5fconvseqainterruptflag',['kADC_ConvSeqAInterruptFlag',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a6387795dcc8897a8d256cdc4a005d1b7',1,'fsl_adc.h']]], ['kadc_5fconvseqbinterruptenable',['kADC_ConvSeqBInterruptEnable',['../a00009.html#ggac5899c7af1f9cac7695d7171f83be5f0ac8937ac90396e6c1ab8b3a8c83c530f8',1,'fsl_adc.h']]], @@ -51,7 +50,7 @@ var searchData= ['kadc_5fresolution12bitinforesultshift',['kADC_Resolution12bitInfoResultShift',['../a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28cca5925d865b6882eeef54d3389dc2e9f98',1,'fsl_adc.h']]], ['kadc_5fresolution6bitinforesultshift',['kADC_Resolution6bitInfoResultShift',['../a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28ccaa51dfd6f4acca775dd0838c40eebe25e',1,'fsl_adc.h']]], ['kadc_5fresolution8bitinforesultshift',['kADC_Resolution8bitInfoResultShift',['../a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28ccae60e431363f005f7830c1751ec9dbb3b',1,'fsl_adc.h']]], - ['kadc_5frst_5fn_5fshift_5frstn',['kADC_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a99e18503426294e439f4bb181a451999',1,'fsl_reset.h']]], + ['kadc_5frst_5fn_5fshift_5frstn',['kADC_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a99e18503426294e439f4bb181a451999',1,'fsl_reset.h']]], ['kadc_5fthresholdcompareaboverange',['kADC_ThresholdCompareAboveRange',['../a00009.html#ggad47d3fd5553ab75cdf6b95268cb94f20a88f6c1b1c2081034a524d1f07bda8479',1,'fsl_adc.h']]], ['kadc_5fthresholdcomparebelowrange',['kADC_ThresholdCompareBelowRange',['../a00009.html#ggad47d3fd5553ab75cdf6b95268cb94f20a4957dc189299bb3397555dbb37991903',1,'fsl_adc.h']]], ['kadc_5fthresholdcompareflagonchn0',['kADC_ThresholdCompareFlagOnChn0',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a07b97b0e0329e40c1829ac1e54467279',1,'fsl_adc.h']]], @@ -76,16 +75,16 @@ var searchData= ['kadc_5fthresholdinterruptonoutside',['kADC_ThresholdInterruptOnOutside',['../a00009.html#ggac6aab6fdd21723cfc6ed4437372521aca699feabaae444d40c7261e26a2872af8',1,'fsl_adc.h']]], ['kadc_5ftriggerpolaritynegativeedge',['kADC_TriggerPolarityNegativeEdge',['../a00009.html#gga538fb95659082602d6ebb205f21573a5a2515d6b46f5b471d516ceff71dda5bb5',1,'fsl_adc.h']]], ['kadc_5ftriggerpolaritypositiveedge',['kADC_TriggerPolarityPositiveEdge',['../a00009.html#gga538fb95659082602d6ebb205f21573a5a1e29943afcd9c5266a8401565798febe',1,'fsl_adc.h']]], - ['kbod_5finterruptlevel1',['kBod_InterruptLevel1',['../a00079.html#ggad856ab8003eb9953525518cddbc7814ca186e757d5a202f806afd889315af3639',1,'fsl_power.h']]], - ['kbod_5finterruptlevel2',['kBod_InterruptLevel2',['../a00079.html#ggad856ab8003eb9953525518cddbc7814ca2094c3f450488ea077bf45edabd227ba',1,'fsl_power.h']]], - ['kbod_5finterruptlevel3',['kBod_InterruptLevel3',['../a00079.html#ggad856ab8003eb9953525518cddbc7814cab28fa5a4937806aefbc4d259a419d354',1,'fsl_power.h']]], - ['kbod_5finterruptlevelreserved',['kBod_InterruptLevelReserved',['../a00079.html#ggad856ab8003eb9953525518cddbc7814cabc8bc042a952213b5364fcc75fb2e459',1,'fsl_power.h']]], - ['kbod_5fresetlevel0',['kBod_ResetLevel0',['../a00079.html#ggad4414c07be8e296fdf41c256e605c161a6767e4aee5d7fbe50704f21d413f5442',1,'fsl_power.h']]], + ['kbod_5finterruptlevel1',['kBod_InterruptLevel1',['../a00080.html#ggad856ab8003eb9953525518cddbc7814ca186e757d5a202f806afd889315af3639',1,'fsl_power.h']]], + ['kbod_5finterruptlevel2',['kBod_InterruptLevel2',['../a00080.html#ggad856ab8003eb9953525518cddbc7814ca2094c3f450488ea077bf45edabd227ba',1,'fsl_power.h']]], + ['kbod_5finterruptlevel3',['kBod_InterruptLevel3',['../a00080.html#ggad856ab8003eb9953525518cddbc7814cab28fa5a4937806aefbc4d259a419d354',1,'fsl_power.h']]], + ['kbod_5finterruptlevelreserved',['kBod_InterruptLevelReserved',['../a00080.html#ggad856ab8003eb9953525518cddbc7814cabc8bc042a952213b5364fcc75fb2e459',1,'fsl_power.h']]], + ['kbod_5fresetlevel0',['kBod_ResetLevel0',['../a00080.html#ggad4414c07be8e296fdf41c256e605c161a6767e4aee5d7fbe50704f21d413f5442',1,'fsl_power.h']]], ['kcapt_5fbusystatusflag',['kCAPT_BusyStatusFlag',['../a00010.html#gga86048ff411e845cbde51d9324b5a4b0fa1a35bccccdc8b9c911a96a14f6413579',1,'fsl_capt.h']]], - ['kcapt_5fclk_5ffrom_5ffro',['kCAPT_Clk_From_Fro',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58af1d8576caccfd1e48d121fae4315c10d',1,'fsl_clock.h']]], - ['kcapt_5fclk_5ffrom_5ffro_5fdiv',['kCAPT_Clk_From_Fro_Div',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58abae02f94616cc17800067d5601943b5e',1,'fsl_clock.h']]], - ['kcapt_5fclk_5ffrom_5flposc',['kCAPT_Clk_From_LPOsc',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58aea7f16ca2ff13032c4cc33a2c45332ae',1,'fsl_clock.h']]], - ['kcapt_5fclk_5ffrom_5fmainclk',['kCAPT_Clk_From_MainClk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a28b61eb46fb752a05934e2d44450acc0',1,'fsl_clock.h']]], + ['kcapt_5fclk_5ffrom_5ffro',['kCAPT_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58af1d8576caccfd1e48d121fae4315c10d',1,'fsl_clock.h']]], + ['kcapt_5fclk_5ffrom_5ffro_5fdiv',['kCAPT_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58abae02f94616cc17800067d5601943b5e',1,'fsl_clock.h']]], + ['kcapt_5fclk_5ffrom_5flposc',['kCAPT_Clk_From_LPOsc',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58aea7f16ca2ff13032c4cc33a2c45332ae',1,'fsl_clock.h']]], + ['kcapt_5fclk_5ffrom_5fmainclk',['kCAPT_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a28b61eb46fb752a05934e2d44450acc0',1,'fsl_clock.h']]], ['kcapt_5fcomparatortriggermode',['kCAPT_ComparatorTriggerMode',['../a00010.html#gga0c1eb1e31ad34c8654c981a5e643e2c9a29ad6662e14cd08a6d3771ecbd591619',1,'fsl_capt.h']]], ['kcapt_5fdmatriggeronallmode',['kCAPT_DMATriggerOnAllMode',['../a00010.html#gga0be2df04c72a8847673bcb6d9714866aae7dbcc430de0ec04afa4b600985bfaf7',1,'fsl_capt.h']]], ['kcapt_5fdmatriggeronbothmode',['kCAPT_DMATriggerOnBothMode',['../a00010.html#gga0be2df04c72a8847673bcb6d9714866aac710d56353625bbc2ed100f6dc28111d',1,'fsl_capt.h']]], @@ -113,7 +112,7 @@ var searchData= ['kcapt_5fresetdelaywait3fclks',['kCAPT_ResetDelayWait3FCLKs',['../a00010.html#gga4394d9b57a062c83c444e391c4adf855a505d962a510aaf415ee47e6d92ca932c',1,'fsl_capt.h']]], ['kcapt_5fresetdelaywait5fclks',['kCAPT_ResetDelayWait5FCLKs',['../a00010.html#gga4394d9b57a062c83c444e391c4adf855aadd3a0bd70e2f2795032fc953d97c677',1,'fsl_capt.h']]], ['kcapt_5fresetdelaywait9fclks',['kCAPT_ResetDelayWait9FCLKs',['../a00010.html#gga4394d9b57a062c83c444e391c4adf855a4d1bfa8129b289d5fe1f56dd980343ea',1,'fsl_capt.h']]], - ['kcapt_5frst_5fn_5fshift_5frstn',['kCAPT_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a6946809531736fcf4d7067e31f73813b',1,'fsl_reset.h']]], + ['kcapt_5frst_5fn_5fshift_5frstn',['kCAPT_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a6946809531736fcf4d7067e31f73813b',1,'fsl_reset.h']]], ['kcapt_5fx0pin',['kCAPT_X0Pin',['../a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5ad4b60dd2d35d11a91c11630a7fe91640',1,'fsl_capt.h']]], ['kcapt_5fx10pin',['kCAPT_X10Pin',['../a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a72314787f99f4a5ba7e51c1445fe65f2',1,'fsl_capt.h']]], ['kcapt_5fx11pin',['kCAPT_X11Pin',['../a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a53723bf555f64a437f12dff73e7f2188',1,'fsl_capt.h']]], @@ -132,56 +131,56 @@ var searchData= ['kcapt_5fx9pin',['kCAPT_X9Pin',['../a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a85bab394942771628a37eb7b0fd5998f',1,'fsl_capt.h']]], ['kcapt_5fxmaxstatusflag',['kCAPT_XMAXStatusFlag',['../a00010.html#gga86048ff411e845cbde51d9324b5a4b0fa9210dee59a14aaec97257fac9a20ae9c',1,'fsl_capt.h']]], ['kcapt_5fyhporttriggermode',['kCAPT_YHPortTriggerMode',['../a00010.html#gga0c1eb1e31ad34c8654c981a5e643e2c9aba5349742fd4b19f8a7ccc0bdc9cb514',1,'fsl_capt.h']]], - ['kclkout_5ffrom_5fextclk',['kCLKOUT_From_ExtClk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a8671f2c92f6e049ed8b2f3b7a5b9b296',1,'fsl_clock.h']]], - ['kclkout_5ffrom_5ffro',['kCLKOUT_From_Fro',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58af2ea89b865003dc40f8923f67d7bb366',1,'fsl_clock.h']]], - ['kclkout_5ffrom_5flposc',['kCLKOUT_From_Lposc',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a34737a1ca71167db472e07d6d9bd4b39',1,'fsl_clock.h']]], - ['kclkout_5ffrom_5fmainclk',['kCLKOUT_From_MainClk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a20d47beaa61ee59b61c34fa4f7e30d21',1,'fsl_clock.h']]], - ['kclock_5facmp',['kCLOCK_Acmp',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da5c44f9347603d8c7fd8eda74e3b3e40f',1,'fsl_clock.h']]], - ['kclock_5fadc',['kCLOCK_Adc',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da2bc16e3ee343b4abfc46ae9506461e97',1,'fsl_clock.h']]], - ['kclock_5fcapt',['kCLOCK_Capt',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da0ffae7aa0d9dbc52524beb5f82ced038',1,'fsl_clock.h']]], - ['kclock_5fcoresysclk',['kCLOCK_CoreSysClk',['../a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a1de4a40f5399eb025d5faefda7491918',1,'fsl_clock.h']]], - ['kclock_5fcrc',['kCLOCK_Crc',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946daa1cfbe8497997fc6dff0d7f0c070aa5a',1,'fsl_clock.h']]], - ['kclock_5fctimer0',['kCLOCK_Ctimer0',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946dad2e9ebc3825a65fae3c13efbc805a128',1,'fsl_clock.h']]], - ['kclock_5fdac',['kCLOCK_Dac',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da32dc8a8723c16dbf0af95927f54a4dcc',1,'fsl_clock.h']]], - ['kclock_5fdivadcclk',['kCLOCK_DivAdcClk',['../a00078.html#ggac344a8334a482bcbcbdf0c4828db872ca7b3998fdf96eb884e35ff56f40feffbd',1,'fsl_clock.h']]], - ['kclock_5fdivahbclk',['kCLOCK_DivAhbClk',['../a00078.html#ggac344a8334a482bcbcbdf0c4828db872caf4f25357ef9794d7d72417977d27ffb2',1,'fsl_clock.h']]], - ['kclock_5fdivclkout',['kCLOCK_DivClkOut',['../a00078.html#ggac344a8334a482bcbcbdf0c4828db872caa7236a2c00237ca7ee5b2ff55058ded6',1,'fsl_clock.h']]], - ['kclock_5fextclk',['kCLOCK_ExtClk',['../a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a30e6333c132740b84bf2ce94b8c44a8f',1,'fsl_clock.h']]], - ['kclock_5fflash',['kCLOCK_Flash',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da00b88c0e9bb08d8b622140c46416d4b9',1,'fsl_clock.h']]], - ['kclock_5ffrg0',['kCLOCK_Frg0',['../a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3aa27972710b7df62d66918584843aee06',1,'fsl_clock.h']]], - ['kclock_5ffro',['kCLOCK_Fro',['../a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01a946b874db04d12aba4c3bbe461580',1,'fsl_clock.h']]], - ['kclock_5ffrodiv',['kCLOCK_FroDiv',['../a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01d0c099adda9e435281c887b12095a8',1,'fsl_clock.h']]], - ['kclock_5ffrooscout18m',['kCLOCK_FroOscOut18M',['../a00078.html#gga85bf7440c0d77269e3db764083110334a60f89d729c96295d1aaa741a412d58ab',1,'fsl_clock.h']]], - ['kclock_5ffrooscout24m',['kCLOCK_FroOscOut24M',['../a00078.html#gga85bf7440c0d77269e3db764083110334a01135115df0c0b0525f2b1391ee9d9ca',1,'fsl_clock.h']]], - ['kclock_5ffrooscout30m',['kCLOCK_FroOscOut30M',['../a00078.html#gga85bf7440c0d77269e3db764083110334a29c4fdcb48fba40928b278765d0e3843',1,'fsl_clock.h']]], - ['kclock_5fgpio0',['kCLOCK_Gpio0',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da9ec19029dc1ad34cb7ae0091e97b7f7d',1,'fsl_clock.h']]], - ['kclock_5fgpioint',['kCLOCK_GpioInt',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da3922459ccb3f2fd90ac39813c0dc39f0',1,'fsl_clock.h']]], - ['kclock_5fi2c0',['kCLOCK_I2c0',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946dac6e6ee6e9f7b28e5c3818324c852b05f',1,'fsl_clock.h']]], - ['kclock_5fi2c1',['kCLOCK_I2c1',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da689e957d82ca723a1a0cc671ba216bf5',1,'fsl_clock.h']]], - ['kclock_5fiocon',['kCLOCK_Iocon',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da909ccfe84f4275dd7b6ba09235583230',1,'fsl_clock.h']]], - ['kclock_5fipinvalid',['kCLOCK_IpInvalid',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da11dd047399d3cf7893682897e34682de',1,'fsl_clock.h']]], - ['kclock_5flposc',['kCLOCK_LPOsc',['../a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3aab25a81f1aefcd33b6de1e10e3169c41',1,'fsl_clock.h']]], - ['kclock_5fmainclk',['kCLOCK_MainClk',['../a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a86d48329b3492ab94a5da3925c73622f',1,'fsl_clock.h']]], - ['kclock_5fmainclksrcextclk',['kCLOCK_MainClkSrcExtClk',['../a00078.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a85dbf0a96c672abcfbc08dfc231088d6',1,'fsl_clock.h']]], - ['kclock_5fmainclksrcfro',['kCLOCK_MainClkSrcFro',['../a00078.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a0aecd9451c5bf4525fe17a7b4734f58f',1,'fsl_clock.h']]], - ['kclock_5fmainclksrcfrodiv',['kCLOCK_MainClkSrcFroDiv',['../a00078.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4afa92bbf8fcfb74ceea172667409f7643',1,'fsl_clock.h']]], - ['kclock_5fmainclksrclposc',['kCLOCK_MainClkSrcLPOsc',['../a00078.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4acb9810ab5676cca7da756b2c28205c12',1,'fsl_clock.h']]], - ['kclock_5fmrt',['kCLOCK_Mrt',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946daace73b709cda5c34ba5bdf6888605f1f',1,'fsl_clock.h']]], - ['kclock_5fplu',['kCLOCK_PLU',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da9facf6722503308f657e1d1da679065a',1,'fsl_clock.h']]], - ['kclock_5fram0',['kCLOCK_Ram0',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da89556150c0329c54c4b6150030e416ff',1,'fsl_clock.h']]], - ['kclock_5from',['kCLOCK_Rom',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da1b9f348e8d5bc1715af7366be3ca87c7',1,'fsl_clock.h']]], - ['kclock_5fspi0',['kCLOCK_Spi0',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946dac6997ec12e66b5e4fad9aa52ef6a2db0',1,'fsl_clock.h']]], - ['kclock_5fswm',['kCLOCK_Swm',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da63bcbbef2627c667b204eae91c3a0718',1,'fsl_clock.h']]], - ['kclock_5fsys',['kCLOCK_Sys',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da0a7890c63167a690ed5199ec17d46670',1,'fsl_clock.h']]], - ['kclock_5fuart0',['kCLOCK_Uart0',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da26876b4bdecc15c46c379a289441b3c6',1,'fsl_clock.h']]], - ['kclock_5fuart1',['kCLOCK_Uart1',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da1d4898f8634e2f18e6a154e2b1a4120e',1,'fsl_clock.h']]], - ['kclock_5fwkt',['kCLOCK_Wkt',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946dad386313192805ba225b0da24e078d387',1,'fsl_clock.h']]], - ['kclock_5fwwdt',['kCLOCK_Wwdt',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946daf1e8b91e80a3a9a4db038c0b4cf7e381',1,'fsl_clock.h']]], + ['kclkout_5ffrom_5fextclk',['kCLKOUT_From_ExtClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a8671f2c92f6e049ed8b2f3b7a5b9b296',1,'fsl_clock.h']]], + ['kclkout_5ffrom_5ffro',['kCLKOUT_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58af2ea89b865003dc40f8923f67d7bb366',1,'fsl_clock.h']]], + ['kclkout_5ffrom_5flposc',['kCLKOUT_From_Lposc',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a34737a1ca71167db472e07d6d9bd4b39',1,'fsl_clock.h']]], + ['kclkout_5ffrom_5fmainclk',['kCLKOUT_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a20d47beaa61ee59b61c34fa4f7e30d21',1,'fsl_clock.h']]], + ['kclock_5facmp',['kCLOCK_Acmp',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da5c44f9347603d8c7fd8eda74e3b3e40f',1,'fsl_clock.h']]], + ['kclock_5fadc',['kCLOCK_Adc',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da2bc16e3ee343b4abfc46ae9506461e97',1,'fsl_clock.h']]], + ['kclock_5fcapt',['kCLOCK_Capt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da0ffae7aa0d9dbc52524beb5f82ced038',1,'fsl_clock.h']]], + ['kclock_5fcoresysclk',['kCLOCK_CoreSysClk',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a1de4a40f5399eb025d5faefda7491918',1,'fsl_clock.h']]], + ['kclock_5fcrc',['kCLOCK_Crc',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946daa1cfbe8497997fc6dff0d7f0c070aa5a',1,'fsl_clock.h']]], + ['kclock_5fctimer0',['kCLOCK_Ctimer0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946dad2e9ebc3825a65fae3c13efbc805a128',1,'fsl_clock.h']]], + ['kclock_5fdac',['kCLOCK_Dac',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da32dc8a8723c16dbf0af95927f54a4dcc',1,'fsl_clock.h']]], + ['kclock_5fdivadcclk',['kCLOCK_DivAdcClk',['../a00079.html#ggac344a8334a482bcbcbdf0c4828db872ca7b3998fdf96eb884e35ff56f40feffbd',1,'fsl_clock.h']]], + ['kclock_5fdivahbclk',['kCLOCK_DivAhbClk',['../a00079.html#ggac344a8334a482bcbcbdf0c4828db872caf4f25357ef9794d7d72417977d27ffb2',1,'fsl_clock.h']]], + ['kclock_5fdivclkout',['kCLOCK_DivClkOut',['../a00079.html#ggac344a8334a482bcbcbdf0c4828db872caa7236a2c00237ca7ee5b2ff55058ded6',1,'fsl_clock.h']]], + ['kclock_5fextclk',['kCLOCK_ExtClk',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a30e6333c132740b84bf2ce94b8c44a8f',1,'fsl_clock.h']]], + ['kclock_5fflash',['kCLOCK_Flash',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da00b88c0e9bb08d8b622140c46416d4b9',1,'fsl_clock.h']]], + ['kclock_5ffrg0',['kCLOCK_Frg0',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3aa27972710b7df62d66918584843aee06',1,'fsl_clock.h']]], + ['kclock_5ffro',['kCLOCK_Fro',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01a946b874db04d12aba4c3bbe461580',1,'fsl_clock.h']]], + ['kclock_5ffrodiv',['kCLOCK_FroDiv',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01d0c099adda9e435281c887b12095a8',1,'fsl_clock.h']]], + ['kclock_5ffrooscout18m',['kCLOCK_FroOscOut18M',['../a00079.html#gga85bf7440c0d77269e3db764083110334a60f89d729c96295d1aaa741a412d58ab',1,'fsl_clock.h']]], + ['kclock_5ffrooscout24m',['kCLOCK_FroOscOut24M',['../a00079.html#gga85bf7440c0d77269e3db764083110334a01135115df0c0b0525f2b1391ee9d9ca',1,'fsl_clock.h']]], + ['kclock_5ffrooscout30m',['kCLOCK_FroOscOut30M',['../a00079.html#gga85bf7440c0d77269e3db764083110334a29c4fdcb48fba40928b278765d0e3843',1,'fsl_clock.h']]], + ['kclock_5fgpio0',['kCLOCK_Gpio0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da9ec19029dc1ad34cb7ae0091e97b7f7d',1,'fsl_clock.h']]], + ['kclock_5fgpioint',['kCLOCK_GpioInt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da3922459ccb3f2fd90ac39813c0dc39f0',1,'fsl_clock.h']]], + ['kclock_5fi2c0',['kCLOCK_I2c0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946dac6e6ee6e9f7b28e5c3818324c852b05f',1,'fsl_clock.h']]], + ['kclock_5fi2c1',['kCLOCK_I2c1',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da689e957d82ca723a1a0cc671ba216bf5',1,'fsl_clock.h']]], + ['kclock_5fiocon',['kCLOCK_Iocon',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da909ccfe84f4275dd7b6ba09235583230',1,'fsl_clock.h']]], + ['kclock_5fipinvalid',['kCLOCK_IpInvalid',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da11dd047399d3cf7893682897e34682de',1,'fsl_clock.h']]], + ['kclock_5flposc',['kCLOCK_LPOsc',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3aab25a81f1aefcd33b6de1e10e3169c41',1,'fsl_clock.h']]], + ['kclock_5fmainclk',['kCLOCK_MainClk',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a86d48329b3492ab94a5da3925c73622f',1,'fsl_clock.h']]], + ['kclock_5fmainclksrcextclk',['kCLOCK_MainClkSrcExtClk',['../a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a85dbf0a96c672abcfbc08dfc231088d6',1,'fsl_clock.h']]], + ['kclock_5fmainclksrcfro',['kCLOCK_MainClkSrcFro',['../a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a0aecd9451c5bf4525fe17a7b4734f58f',1,'fsl_clock.h']]], + ['kclock_5fmainclksrcfrodiv',['kCLOCK_MainClkSrcFroDiv',['../a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4afa92bbf8fcfb74ceea172667409f7643',1,'fsl_clock.h']]], + ['kclock_5fmainclksrclposc',['kCLOCK_MainClkSrcLPOsc',['../a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4acb9810ab5676cca7da756b2c28205c12',1,'fsl_clock.h']]], + ['kclock_5fmrt',['kCLOCK_Mrt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946daace73b709cda5c34ba5bdf6888605f1f',1,'fsl_clock.h']]], + ['kclock_5fplu',['kCLOCK_PLU',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da9facf6722503308f657e1d1da679065a',1,'fsl_clock.h']]], + ['kclock_5fram0',['kCLOCK_Ram0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da89556150c0329c54c4b6150030e416ff',1,'fsl_clock.h']]], + ['kclock_5from',['kCLOCK_Rom',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da1b9f348e8d5bc1715af7366be3ca87c7',1,'fsl_clock.h']]], + ['kclock_5fspi0',['kCLOCK_Spi0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946dac6997ec12e66b5e4fad9aa52ef6a2db0',1,'fsl_clock.h']]], + ['kclock_5fswm',['kCLOCK_Swm',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da63bcbbef2627c667b204eae91c3a0718',1,'fsl_clock.h']]], + ['kclock_5fsys',['kCLOCK_Sys',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da0a7890c63167a690ed5199ec17d46670',1,'fsl_clock.h']]], + ['kclock_5fuart0',['kCLOCK_Uart0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da26876b4bdecc15c46c379a289441b3c6',1,'fsl_clock.h']]], + ['kclock_5fuart1',['kCLOCK_Uart1',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da1d4898f8634e2f18e6a154e2b1a4120e',1,'fsl_clock.h']]], + ['kclock_5fwkt',['kCLOCK_Wkt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946dad386313192805ba225b0da24e078d387',1,'fsl_clock.h']]], + ['kclock_5fwwdt',['kCLOCK_Wwdt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946daf1e8b91e80a3a9a4db038c0b4cf7e381',1,'fsl_clock.h']]], ['kcrc_5fpolynomial_5fcrc_5f16',['kCRC_Polynomial_CRC_16',['../a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da4a13840f3031b3aed89f60ba37a34c8b',1,'fsl_crc.h']]], ['kcrc_5fpolynomial_5fcrc_5f32',['kCRC_Polynomial_CRC_32',['../a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da12ca2ee766202eb962135c76c00b10aa',1,'fsl_crc.h']]], ['kcrc_5fpolynomial_5fcrc_5fccitt',['kCRC_Polynomial_CRC_CCITT',['../a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da9ef1c3d85d4f13199e7df63d170bf6f6',1,'fsl_crc.h']]], - ['kcrc_5frst_5fshift_5frstn',['kCRC_RST_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a194baa96cd93ef108f2724fa98352387',1,'fsl_reset.h']]], - ['kctimer0_5frst_5fn_5fshift_5frstn',['kCTIMER0_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a900b1deecf2f2316b30e40ff81330499',1,'fsl_reset.h']]], + ['kcrc_5frst_5fshift_5frstn',['kCRC_RST_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a194baa96cd93ef108f2724fa98352387',1,'fsl_reset.h']]], + ['kctimer0_5frst_5fn_5fshift_5frstn',['kCTIMER0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a900b1deecf2f2316b30e40ff81330499',1,'fsl_reset.h']]], ['kctimer_5fcapture0flag',['kCTIMER_Capture0Flag',['../a00012.html#ggae64285eb2e52bf5cc2b723870392ff60a5504b0ec94b832a46824ec297a106df1',1,'fsl_ctimer.h']]], ['kctimer_5fcapture0interruptenable',['kCTIMER_Capture0InterruptEnable',['../a00012.html#gga0971c614f932bcf55994bf6c92325eb2a5fe0ea705babd6351564e59c8db8e928',1,'fsl_ctimer.h']]], ['kctimer_5fcapture1flag',['kCTIMER_Capture1Flag',['../a00012.html#ggae64285eb2e52bf5cc2b723870392ff60af3a0db73d48116ea419af737c706b30b',1,'fsl_ctimer.h']]], @@ -216,29 +215,29 @@ var searchData= ['kctimer_5foutput_5fset',['kCTIMER_Output_Set',['../a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143cae1b0b02fe1e0af81f62fb40ff8339b8f',1,'fsl_ctimer.h']]], ['kctimer_5foutput_5ftoggle',['kCTIMER_Output_Toggle',['../a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143ca4ad940f7bea1dbae09b156ec0415f5f2',1,'fsl_ctimer.h']]], ['kctimer_5fsinglecallback',['kCTIMER_SingleCallback',['../a00012.html#gga740677adee6ada817bb45ed62607c3c4af805d881e4dc37e339a8434c1816f930',1,'fsl_ctimer.h']]], - ['kdac0_5frst_5fn_5fshift_5frstn',['kDAC0_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a25d5f742c3cbcf85a4e9c388c5c9e708',1,'fsl_reset.h']]], + ['kdac0_5frst_5fn_5fshift_5frstn',['kDAC0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a25d5f742c3cbcf85a4e9c388c5c9e708',1,'fsl_reset.h']]], ['kdac_5fsettlingtimeis1us',['kDAC_SettlingTimeIs1us',['../a00013.html#gga82eecb700b3afeda8b95a6151d8904fca302776aaf6d8780d9b57e9f910615d6f',1,'fsl_dac.h']]], ['kdac_5fsettlingtimeis25us',['kDAC_SettlingTimeIs25us',['../a00013.html#gga82eecb700b3afeda8b95a6151d8904fcad460e5e0da52ad16bf771892ebfce1f8',1,'fsl_dac.h']]], - ['kflash_5fiap_5fthreesystemclocktime',['kFlash_IAP_ThreeSystemClockTime',['../a00082.html#gga0efb897bafe816a8a87d92045a78ee9ba14466fd6ca33233907a499072ed0ea04',1,'fsl_iap.h']]], - ['kflash_5fiap_5ftwosystemclocktime',['kFlash_IAP_TwoSystemClockTime',['../a00082.html#gga0efb897bafe816a8a87d92045a78ee9ba0576e02942748ad3a4b1bb0904267ad4',1,'fsl_iap.h']]], - ['kflash_5frst_5fn_5fshift_5frstn',['kFLASH_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770ac1601058bee0888d89675b528432a496',1,'fsl_reset.h']]], - ['kfrg0_5fclk_5ffrom_5ffro',['kFRG0_Clk_From_Fro',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58afa871e16cf926d8a08dce1a52f418066',1,'fsl_clock.h']]], - ['kfrg0_5fclk_5ffrom_5fmainclk',['kFRG0_Clk_From_MainClk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a1fe8170ca9a5fcee3e0b3fa1453eb481',1,'fsl_clock.h']]], - ['kfrg0_5frst_5fn_5fshift_5frstn',['kFRG0_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a7a5b1b185218feb35ce91ce8f77b2106',1,'fsl_reset.h']]], - ['kgpio0_5frst_5fn_5fshift_5frstn',['kGPIO0_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a244e5cce727a529563a83a1a78f8b3f1',1,'fsl_reset.h']]], + ['kflash_5fiap_5fthreesystemclocktime',['kFlash_IAP_ThreeSystemClockTime',['../a00083.html#gga0efb897bafe816a8a87d92045a78ee9ba14466fd6ca33233907a499072ed0ea04',1,'fsl_iap.h']]], + ['kflash_5fiap_5ftwosystemclocktime',['kFlash_IAP_TwoSystemClockTime',['../a00083.html#gga0efb897bafe816a8a87d92045a78ee9ba0576e02942748ad3a4b1bb0904267ad4',1,'fsl_iap.h']]], + ['kflash_5frst_5fn_5fshift_5frstn',['kFLASH_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ac1601058bee0888d89675b528432a496',1,'fsl_reset.h']]], + ['kfrg0_5fclk_5ffrom_5ffro',['kFRG0_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58afa871e16cf926d8a08dce1a52f418066',1,'fsl_clock.h']]], + ['kfrg0_5fclk_5ffrom_5fmainclk',['kFRG0_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a1fe8170ca9a5fcee3e0b3fa1453eb481',1,'fsl_clock.h']]], + ['kfrg0_5frst_5fn_5fshift_5frstn',['kFRG0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a7a5b1b185218feb35ce91ce8f77b2106',1,'fsl_reset.h']]], + ['kgpio0_5frst_5fn_5fshift_5frstn',['kGPIO0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a244e5cce727a529563a83a1a78f8b3f1',1,'fsl_reset.h']]], ['kgpio_5fdigitalinput',['kGPIO_DigitalInput',['../a00014.html#ggada41ca0a2ce239fe125ee96833e715c0abacf19933be1940ab40c83535e6a46d4',1,'fsl_gpio.h']]], ['kgpio_5fdigitaloutput',['kGPIO_DigitalOutput',['../a00014.html#ggada41ca0a2ce239fe125ee96833e715c0a509ebcd228fc813cf4afcacd258680f9',1,'fsl_gpio.h']]], - ['kgpioint_5frst_5fn_5fshift_5frstn',['kGPIOINT_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a20fd1ac8739a143dddb40a6349a758a6',1,'fsl_reset.h']]], - ['ki2c0_5fclk_5ffrom_5ffrg0clk',['kI2C0_Clk_From_Frg0Clk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a92f67286aebd8e0fde633cf4b3bd8b57',1,'fsl_clock.h']]], - ['ki2c0_5fclk_5ffrom_5ffro',['kI2C0_Clk_From_Fro',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58ab8065d6f5e9b16e9410feccef7f1c10b',1,'fsl_clock.h']]], - ['ki2c0_5fclk_5ffrom_5ffro_5fdiv',['kI2C0_Clk_From_Fro_Div',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a9fe34f41e6cfa3cd3a0ef15ba9184bb1',1,'fsl_clock.h']]], - ['ki2c0_5fclk_5ffrom_5fmainclk',['kI2C0_Clk_From_MainClk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58ab434281b6471a116a444172bf8a7bf91',1,'fsl_clock.h']]], - ['ki2c0_5frst_5fn_5fshift_5frstn',['kI2C0_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770aee6d4f65797043b10be7d4a7ae95d206',1,'fsl_reset.h']]], - ['ki2c1_5fclk_5ffrom_5ffrg0clk',['kI2C1_Clk_From_Frg0Clk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58ac891ded4c265bfab790d733acbacb753',1,'fsl_clock.h']]], - ['ki2c1_5fclk_5ffrom_5ffro',['kI2C1_Clk_From_Fro',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58ae19e4e49559079c2f95f998c37029642',1,'fsl_clock.h']]], - ['ki2c1_5fclk_5ffrom_5ffro_5fdiv',['kI2C1_Clk_From_Fro_Div',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a8670e7d6a9f2afb045fbf54dc13c4bb2',1,'fsl_clock.h']]], - ['ki2c1_5fclk_5ffrom_5fmainclk',['kI2C1_Clk_From_MainClk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a5cff4ddf26d639e435ce79fe5e83d866',1,'fsl_clock.h']]], - ['ki2c1_5frst_5fn_5fshift_5frstn',['kI2C1_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770ad1ef18ed84027fdc4d41567ae894ea10',1,'fsl_reset.h']]], + ['kgpioint_5frst_5fn_5fshift_5frstn',['kGPIOINT_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a20fd1ac8739a143dddb40a6349a758a6',1,'fsl_reset.h']]], + ['ki2c0_5fclk_5ffrom_5ffrg0clk',['kI2C0_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a92f67286aebd8e0fde633cf4b3bd8b57',1,'fsl_clock.h']]], + ['ki2c0_5fclk_5ffrom_5ffro',['kI2C0_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ab8065d6f5e9b16e9410feccef7f1c10b',1,'fsl_clock.h']]], + ['ki2c0_5fclk_5ffrom_5ffro_5fdiv',['kI2C0_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a9fe34f41e6cfa3cd3a0ef15ba9184bb1',1,'fsl_clock.h']]], + ['ki2c0_5fclk_5ffrom_5fmainclk',['kI2C0_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ab434281b6471a116a444172bf8a7bf91',1,'fsl_clock.h']]], + ['ki2c0_5frst_5fn_5fshift_5frstn',['kI2C0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770aee6d4f65797043b10be7d4a7ae95d206',1,'fsl_reset.h']]], + ['ki2c1_5fclk_5ffrom_5ffrg0clk',['kI2C1_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ac891ded4c265bfab790d733acbacb753',1,'fsl_clock.h']]], + ['ki2c1_5fclk_5ffrom_5ffro',['kI2C1_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ae19e4e49559079c2f95f998c37029642',1,'fsl_clock.h']]], + ['ki2c1_5fclk_5ffrom_5ffro_5fdiv',['kI2C1_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a8670e7d6a9f2afb045fbf54dc13c4bb2',1,'fsl_clock.h']]], + ['ki2c1_5fclk_5ffrom_5fmainclk',['kI2C1_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a5cff4ddf26d639e435ce79fe5e83d866',1,'fsl_clock.h']]], + ['ki2c1_5frst_5fn_5fshift_5frstn',['kI2C1_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ad1ef18ed84027fdc4d41567ae894ea10',1,'fsl_reset.h']]], ['ki2c_5fmasterarbitrationlostflag',['kI2C_MasterArbitrationLostFlag',['../a00015.html#ggac938392418ba1a891983d11ef5c9f2a3a7b487779b58af99a7a8c179545f78ccd',1,'fsl_i2c.h']]], ['ki2c_5fmasterpendingflag',['kI2C_MasterPendingFlag',['../a00015.html#ggac938392418ba1a891983d11ef5c9f2a3a9cc49a1ca21e87058a7fbf733cce0e62',1,'fsl_i2c.h']]], ['ki2c_5fmasterstartstoperrorflag',['kI2C_MasterStartStopErrorFlag',['../a00015.html#ggac938392418ba1a891983d11ef5c9f2a3ab5cec1d9be7dc5fb2c106e2b87889517',1,'fsl_i2c.h']]], @@ -264,22 +263,22 @@ var searchData= ['ki2c_5ftransfernostopflag',['kI2C_TransferNoStopFlag',['../a00015.html#gga87ea07668194cfb46c7c368d2cb42433afb8aeea71b5b7475e3d3df86220db566',1,'fsl_i2c.h']]], ['ki2c_5ftransferrepeatedstartflag',['kI2C_TransferRepeatedStartFlag',['../a00015.html#gga87ea07668194cfb46c7c368d2cb42433aadf112471ef12f194985a093cd9b9721',1,'fsl_i2c.h']]], ['ki2c_5fwrite',['kI2C_Write',['../a00015.html#ggab49c827b45635206f06e5737606e4611a93b476b469c2a4bfed5916b458ae9bb0',1,'fsl_i2c.h']]], - ['kiapcmd_5fiap_5fblankchecksector',['kIapCmd_IAP_BlankCheckSector',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9b439af9906d00c0f5a83e5c878c2e50',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5fcompare',['kIapCmd_IAP_Compare',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caabda85aeb932e76c81db46f45a8c6f656',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5fcopyramtoflash',['kIapCmd_IAP_CopyRamToFlash',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3fa0f400c5389e777ba1f8d6acb27d72',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5ferasepage',['kIapCmd_IAP_ErasePage',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caae674c1ed0ecf4b2851aefc44261004bc',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5ferasesector',['kIapCmd_IAP_EraseSector',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa6c51c9bed9f8973d47f7b73e3592b2aa',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5fextendedreadsignature',['kIapCmd_IAP_ExtendedReadSignature',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa198048f7f05d882a431c4ea4c904174e',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5fpreparesectorforwrite',['kIapCmd_IAP_PrepareSectorforWrite',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa5ccbc970e59fd2f3ea432bf5c00fea7e',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5fread_5fbootromversion',['kIapCmd_IAP_Read_BootromVersion',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caab7decacdc717da7752b3a4637a228278',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5freadeeprompage',['kIapCmd_IAP_ReadEEPROMPage',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa8f9a3e93bf26895690836253d5d9a8bc',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5freadfactorysettings',['kIapCmd_IAP_ReadFactorySettings',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caae8bcbc2ebc5c99fd75de395e3d18b021',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5freadpartid',['kIapCmd_IAP_ReadPartId',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa0f88b3ef422116327baca297944ca597',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5freadsignature',['kIapCmd_IAP_ReadSignature',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3891f9959d7cdcc9b6ad7e00816b2fc8',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5freaduid',['kIapCmd_IAP_ReadUid',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caae3058d7cb02b689b3993fae3c913e0f0',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5freinvokeisp',['kIapCmd_IAP_ReinvokeISP',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caaf09dfcba592f2a1e02727d9eeebee15d',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5fwriteeeprompage',['kIapCmd_IAP_WriteEEPROMPage',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9d9f078feeaf1c2c85c6e1a57a043dd2',1,'fsl_iap.h']]], - ['kiocon_5frst_5fn_5fshift_5frstn',['kIOCON_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770ab95a1dd8a7fbc479365344d58ac55737',1,'fsl_reset.h']]], + ['kiapcmd_5fiap_5fblankchecksector',['kIapCmd_IAP_BlankCheckSector',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9b439af9906d00c0f5a83e5c878c2e50',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5fcompare',['kIapCmd_IAP_Compare',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caabda85aeb932e76c81db46f45a8c6f656',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5fcopyramtoflash',['kIapCmd_IAP_CopyRamToFlash',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3fa0f400c5389e777ba1f8d6acb27d72',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5ferasepage',['kIapCmd_IAP_ErasePage',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae674c1ed0ecf4b2851aefc44261004bc',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5ferasesector',['kIapCmd_IAP_EraseSector',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa6c51c9bed9f8973d47f7b73e3592b2aa',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5fextendedreadsignature',['kIapCmd_IAP_ExtendedReadSignature',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa198048f7f05d882a431c4ea4c904174e',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5fpreparesectorforwrite',['kIapCmd_IAP_PrepareSectorforWrite',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa5ccbc970e59fd2f3ea432bf5c00fea7e',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5fread_5fbootromversion',['kIapCmd_IAP_Read_BootromVersion',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caab7decacdc717da7752b3a4637a228278',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5freadeeprompage',['kIapCmd_IAP_ReadEEPROMPage',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa8f9a3e93bf26895690836253d5d9a8bc',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5freadfactorysettings',['kIapCmd_IAP_ReadFactorySettings',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae8bcbc2ebc5c99fd75de395e3d18b021',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5freadpartid',['kIapCmd_IAP_ReadPartId',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa0f88b3ef422116327baca297944ca597',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5freadsignature',['kIapCmd_IAP_ReadSignature',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3891f9959d7cdcc9b6ad7e00816b2fc8',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5freaduid',['kIapCmd_IAP_ReadUid',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae3058d7cb02b689b3993fae3c913e0f0',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5freinvokeisp',['kIapCmd_IAP_ReinvokeISP',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caaf09dfcba592f2a1e02727d9eeebee15d',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5fwriteeeprompage',['kIapCmd_IAP_WriteEEPROMPage',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9d9f078feeaf1c2c85c6e1a57a043dd2',1,'fsl_iap.h']]], + ['kiocon_5frst_5fn_5fshift_5frstn',['kIOCON_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ab95a1dd8a7fbc479365344d58ac55737',1,'fsl_reset.h']]], ['kmrt_5fchannel_5f0',['kMRT_Channel_0',['../a00018.html#ggaece5c1972e35dec2efcce98847a09622a27e83d437e2798b19c59c2af4d99e1dc',1,'fsl_mrt.h']]], ['kmrt_5fchannel_5f1',['kMRT_Channel_1',['../a00018.html#ggaece5c1972e35dec2efcce98847a09622a44b7807f36fe0a21240e721d46d8f9d7',1,'fsl_mrt.h']]], ['kmrt_5fchannel_5f2',['kMRT_Channel_2',['../a00018.html#ggaece5c1972e35dec2efcce98847a09622a17597fb66d9b1d3e97b318db79267f52',1,'fsl_mrt.h']]], @@ -287,166 +286,152 @@ var searchData= ['kmrt_5foneshotmode',['kMRT_OneShotMode',['../a00018.html#ggad481f648f1c89a1eab327530d6fef1d0abe4ba0a30bc09f1921a0504eb826b3dc',1,'fsl_mrt.h']]], ['kmrt_5foneshotstallmode',['kMRT_OneShotStallMode',['../a00018.html#ggad481f648f1c89a1eab327530d6fef1d0add9f55173bb54f623c955c29c22dd5b2',1,'fsl_mrt.h']]], ['kmrt_5frepeatmode',['kMRT_RepeatMode',['../a00018.html#ggad481f648f1c89a1eab327530d6fef1d0a6b415c060dc09ed293ec3dda829cb257',1,'fsl_mrt.h']]], - ['kmrt_5frst_5fn_5fshift_5frstn',['kMRT_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a81a00b3196e6197af1ca45b8a5bac450',1,'fsl_reset.h']]], + ['kmrt_5frst_5fn_5fshift_5frstn',['kMRT_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a81a00b3196e6197af1ca45b8a5bac450',1,'fsl_reset.h']]], ['kmrt_5ftimerinterruptenable',['kMRT_TimerInterruptEnable',['../a00018.html#gga9d2f90ae2c6f99410e2908dac8cc6943a2a5e8ee568da2c888093b732f2157ea5',1,'fsl_mrt.h']]], ['kmrt_5ftimerinterruptflag',['kMRT_TimerInterruptFlag',['../a00018.html#gga0bb94508d8cf924c3a6971364377673aa6942598faf3aef148a850f8850cf01c3',1,'fsl_mrt.h']]], ['kmrt_5ftimerrunflag',['kMRT_TimerRunFlag',['../a00018.html#gga0bb94508d8cf924c3a6971364377673aa745c62687191197b48b35dbd1aac40db',1,'fsl_mrt.h']]], - ['kpint_5fpatternmatchalways',['kPINT_PatternMatchAlways',['../a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa128703d2c2175a315aaffad869ed6a32',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbothedges',['kPINT_PatternMatchBothEdges',['../a00087.html#ggae1e5bfc17515fab76a1deab955203c6aaac967156901735a43316f7f1c4720328',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbslice0',['kPINT_PatternMatchBSlice0',['../a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba106986aeb5e12c2b76e070def3b37d95',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbslice1',['kPINT_PatternMatchBSlice1',['../a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba0d3bf0a5022325acaae66e172c984db0',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbslice2',['kPINT_PatternMatchBSlice2',['../a00087.html#gga048bc24e58d7df40af2a45efaabeea9baf00e092237b1b299bdfdd901f207c468',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbslice3',['kPINT_PatternMatchBSlice3',['../a00087.html#gga048bc24e58d7df40af2a45efaabeea9bae5db81838d55c8a8839b1dd47954d865',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbslice4',['kPINT_PatternMatchBSlice4',['../a00087.html#gga048bc24e58d7df40af2a45efaabeea9bac0ce3f2fbc216bc3cec68c47263530c5',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbslice5',['kPINT_PatternMatchBSlice5',['../a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba1ca87ca7db6ec59b675c6e70abc45da0',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbslice6',['kPINT_PatternMatchBSlice6',['../a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba86a79a77b44e91f432bb8ee9070f9602',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbslice7',['kPINT_PatternMatchBSlice7',['../a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba3a75ed56ef8a3429c01fc686f22b8e8a',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchhigh',['kPINT_PatternMatchHigh',['../a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa7dc0770cf7ffe184788c30bd1ac9c515',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp0src',['kPINT_PatternMatchInp0Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a51f543e6f680df9beb5841231b7b3a96',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp1src',['kPINT_PatternMatchInp1Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6fc5c9dbee30b375feb9ce6c86b224c2',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp2src',['kPINT_PatternMatchInp2Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a41b057b493937cd87bc212cb2e316f8a',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp3src',['kPINT_PatternMatchInp3Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6be4432cb9e687d043a2c25c1af48869',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp4src',['kPINT_PatternMatchInp4Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76aabc93fc28498f5577939f38e0513304c',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp5src',['kPINT_PatternMatchInp5Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a78ff6e40ab17d81181284c56b62b77aa',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp6src',['kPINT_PatternMatchInp6Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a9b2f5abc74e498a599f5c005a6cc911f',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp7src',['kPINT_PatternMatchInp7Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a2c93115b816dc676460cfc1be85778f0',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchlow',['kPINT_PatternMatchLow',['../a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa3b4af984526871b66489b0eb294d2df5',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchnever',['kPINT_PatternMatchNever',['../a00087.html#ggae1e5bfc17515fab76a1deab955203c6aab5630ebfbc6e52c9b04f659918c094f2',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchstickybothedges',['kPINT_PatternMatchStickyBothEdges',['../a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa059c3472509304186252b655cf486d8b',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchstickyfall',['kPINT_PatternMatchStickyFall',['../a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa9d2a3e9717c34de3a884b695f35a6c37',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchstickyrise',['kPINT_PatternMatchStickyRise',['../a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa654c70eeed38b0be2271dc51d7cc04f5',1,'fsl_pint.h']]], - ['kpint_5fpinint0',['kPINT_PinInt0',['../a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8a5df52c4fbb437e61b638d35cbc550162',1,'fsl_pint.h']]], - ['kpint_5fpinint1',['kPINT_PinInt1',['../a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8ab62e1c2d3cdccef05e45ae9028cecbb4',1,'fsl_pint.h']]], - ['kpint_5fpinint2',['kPINT_PinInt2',['../a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8a81913bc912b5164bb749b6a2e8823808',1,'fsl_pint.h']]], - ['kpint_5fpinint3',['kPINT_PinInt3',['../a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8a751ce5a16f196ca04d08d2e5d65af47c',1,'fsl_pint.h']]], - ['kpint_5fpinint4',['kPINT_PinInt4',['../a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8acf173e0dc3d44c77bb08146494a0249b',1,'fsl_pint.h']]], - ['kpint_5fpinint5',['kPINT_PinInt5',['../a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8a45fc443b2ec03c89708ac897fc3fc902',1,'fsl_pint.h']]], - ['kpint_5fpinint6',['kPINT_PinInt6',['../a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8adf92ec20d1fa6a12bda6c42e5304cf46',1,'fsl_pint.h']]], - ['kpint_5fpinint7',['kPINT_PinInt7',['../a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8aae1e0da9add6e3e1dd57705a682d65fa',1,'fsl_pint.h']]], - ['kpint_5fpinintenablebothedges',['kPINT_PinIntEnableBothEdges',['../a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91a58ac8e76b409274b7d02ef6e9805dcef',1,'fsl_pint.h']]], - ['kpint_5fpinintenablefalledge',['kPINT_PinIntEnableFallEdge',['../a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91a6f54d55ac52e8e697f83637109560e89',1,'fsl_pint.h']]], - ['kpint_5fpinintenablehighlevel',['kPINT_PinIntEnableHighLevel',['../a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91affc9b2756b7642ddb60ea78f4bbbd592',1,'fsl_pint.h']]], - ['kpint_5fpinintenablelowlevel',['kPINT_PinIntEnableLowLevel',['../a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91a3bd6a570eee5c1fddd95ef2411b35a7e',1,'fsl_pint.h']]], - ['kpint_5fpinintenablenone',['kPINT_PinIntEnableNone',['../a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91aa48de84b39b48759086f2d7ae0c8091e',1,'fsl_pint.h']]], - ['kpint_5fpinintenableriseedge',['kPINT_PinIntEnableRiseEdge',['../a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91ae873d30aec2ca54de88c69f55ea42a07',1,'fsl_pint.h']]], - ['kpint_5fsecpatternmatchinp0src',['kPINT_SecPatternMatchInp0Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a1f7f561990336a81a46f22395820907d',1,'fsl_pint.h']]], - ['kpint_5fsecpatternmatchinp1src',['kPINT_SecPatternMatchInp1Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a8cc05c9aec163085b7a2b4481fdc971d',1,'fsl_pint.h']]], - ['kplu_5flut_5f0',['kPLU_LUT_0',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab2e2c007b30459eb00fedba56e37da25',1,'fsl_plu.h']]], - ['kplu_5flut_5f1',['kPLU_LUT_1',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0784ad660fcb92a3859ed2c0efa77819',1,'fsl_plu.h']]], - ['kplu_5flut_5f10',['kPLU_LUT_10',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a9beff09b11808602b2120b42d9ab724e',1,'fsl_plu.h']]], - ['kplu_5flut_5f11',['kPLU_LUT_11',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a63e2b4a4b53cde3b148d52f26d92e1d3',1,'fsl_plu.h']]], - ['kplu_5flut_5f12',['kPLU_LUT_12',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a15bd1d988c6677a6a41edc0846851338',1,'fsl_plu.h']]], - ['kplu_5flut_5f13',['kPLU_LUT_13',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a30bd16853ab1723b58f1747def9e4252',1,'fsl_plu.h']]], - ['kplu_5flut_5f14',['kPLU_LUT_14',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7ccad915ba0e8df2a152409690994f16',1,'fsl_plu.h']]], - ['kplu_5flut_5f15',['kPLU_LUT_15',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1af09d4068b7ef636c55a7ab0feb950a36',1,'fsl_plu.h']]], - ['kplu_5flut_5f16',['kPLU_LUT_16',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1abcda2f4fbf266f73a80298ae2d090e47',1,'fsl_plu.h']]], - ['kplu_5flut_5f17',['kPLU_LUT_17',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a6529478ac43b74b509ff0c2cd437e495',1,'fsl_plu.h']]], - ['kplu_5flut_5f18',['kPLU_LUT_18',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7a4daf25836c5010a6b9ccc29f159091',1,'fsl_plu.h']]], - ['kplu_5flut_5f19',['kPLU_LUT_19',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1ada22615f50454e9ebd246a18a985f016',1,'fsl_plu.h']]], - ['kplu_5flut_5f2',['kPLU_LUT_2',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5e95a4db197106de75dfccf6e5504364',1,'fsl_plu.h']]], - ['kplu_5flut_5f20',['kPLU_LUT_20',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0646ea5aa4c85d9c19a43f8211d0ffeb',1,'fsl_plu.h']]], - ['kplu_5flut_5f21',['kPLU_LUT_21',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a31c3637504bb180309ccf71210ff6fd7',1,'fsl_plu.h']]], - ['kplu_5flut_5f22',['kPLU_LUT_22',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5f6d7c46d68bd39e999c53ffabfa8372',1,'fsl_plu.h']]], - ['kplu_5flut_5f23',['kPLU_LUT_23',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a55b6304360a86b29fb90a42da5aaf493',1,'fsl_plu.h']]], - ['kplu_5flut_5f24',['kPLU_LUT_24',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa6e7a35876133d26d47ce9f76b72d520',1,'fsl_plu.h']]], - ['kplu_5flut_5f25',['kPLU_LUT_25',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1afff7e144292e1eb0a47774207f42a102',1,'fsl_plu.h']]], - ['kplu_5flut_5f3',['kPLU_LUT_3',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7f11a678cf930523e06f52b367f2eca5',1,'fsl_plu.h']]], - ['kplu_5flut_5f4',['kPLU_LUT_4',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab8a42508ab4be0320796b2eba3b57a7d',1,'fsl_plu.h']]], - ['kplu_5flut_5f5',['kPLU_LUT_5',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a60ff9ca06daaf5b4f945b6e2e89f4b36',1,'fsl_plu.h']]], - ['kplu_5flut_5f6',['kPLU_LUT_6',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1ae68d03749187a90877d1742a3d61e4a1',1,'fsl_plu.h']]], - ['kplu_5flut_5f7',['kPLU_LUT_7',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a98359b577ce66e191e23d776d0d0628f',1,'fsl_plu.h']]], - ['kplu_5flut_5f8',['kPLU_LUT_8',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa5bff988a77fc711fd0c7b84c1fb105c',1,'fsl_plu.h']]], - ['kplu_5flut_5f9',['kPLU_LUT_9',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a518c8602828f0e104a12623958f587fc',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f0',['kPLU_LUT_IN_0',['../a00088.html#ggab4037d3c440abe260269986fbfd2087fa648d32891b76f5ea3e6e5cf8a296c01b',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f1',['kPLU_LUT_IN_1',['../a00088.html#ggab4037d3c440abe260269986fbfd2087fa62c7580fd67b1ffa1385d370a5d3a4e6',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f2',['kPLU_LUT_IN_2',['../a00088.html#ggab4037d3c440abe260269986fbfd2087fa8587d933d69f3c3bfe42e848db879a20',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f3',['kPLU_LUT_IN_3',['../a00088.html#ggab4037d3c440abe260269986fbfd2087fa06891c0dcbd6029f027b2d6398a447df',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f4',['kPLU_LUT_IN_4',['../a00088.html#ggab4037d3c440abe260269986fbfd2087fa6b62d55b282bfe85f5de3d7d38c8c5fa',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fflipflop_5f0',['kPLU_LUT_IN_SRC_FLIPFLOP_0',['../a00088.html#ggaec74828294222d85c4341013b842eb05ad7617c8c6cd8f49c832f501129279b8e',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fflipflop_5f1',['kPLU_LUT_IN_SRC_FLIPFLOP_1',['../a00088.html#ggaec74828294222d85c4341013b842eb05ac741b79171d673ec73a93a7c5e77cb10',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fflipflop_5f2',['kPLU_LUT_IN_SRC_FLIPFLOP_2',['../a00088.html#ggaec74828294222d85c4341013b842eb05ab46ce34ffd4906d48a7f1829861ff193',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fflipflop_5f3',['kPLU_LUT_IN_SRC_FLIPFLOP_3',['../a00088.html#ggaec74828294222d85c4341013b842eb05a26b5bf6af4b2b774757fc7fa42cdebdf',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f0',['kPLU_LUT_IN_SRC_LUT_OUT_0',['../a00088.html#ggaec74828294222d85c4341013b842eb05a2e915ea983c34dc1e202a3ea99ece27b',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f1',['kPLU_LUT_IN_SRC_LUT_OUT_1',['../a00088.html#ggaec74828294222d85c4341013b842eb05ad79e240fc201ef98da1a4e217968f087',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f10',['kPLU_LUT_IN_SRC_LUT_OUT_10',['../a00088.html#ggaec74828294222d85c4341013b842eb05a5e28e0b496b69e7a57f43e810d1179b9',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f11',['kPLU_LUT_IN_SRC_LUT_OUT_11',['../a00088.html#ggaec74828294222d85c4341013b842eb05a19ecdb96a7c9b797b938851a9f22611c',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f12',['kPLU_LUT_IN_SRC_LUT_OUT_12',['../a00088.html#ggaec74828294222d85c4341013b842eb05a315a9f58216b1ac361dc5452805f4cb9',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f13',['kPLU_LUT_IN_SRC_LUT_OUT_13',['../a00088.html#ggaec74828294222d85c4341013b842eb05a23c7b1eac9733cda25f050d543111d89',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f14',['kPLU_LUT_IN_SRC_LUT_OUT_14',['../a00088.html#ggaec74828294222d85c4341013b842eb05a0e731c7f1daf5be7aa344b9f793ef02c',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f15',['kPLU_LUT_IN_SRC_LUT_OUT_15',['../a00088.html#ggaec74828294222d85c4341013b842eb05ae8e8fa7853444eb933183fd905b9ce9d',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f16',['kPLU_LUT_IN_SRC_LUT_OUT_16',['../a00088.html#ggaec74828294222d85c4341013b842eb05a8ba7dca9c3475364351932fc1a248ffc',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f17',['kPLU_LUT_IN_SRC_LUT_OUT_17',['../a00088.html#ggaec74828294222d85c4341013b842eb05a357a302e7e9f65b3c24b32b3348a18b1',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f18',['kPLU_LUT_IN_SRC_LUT_OUT_18',['../a00088.html#ggaec74828294222d85c4341013b842eb05a9b1b21d8bd068e9cb385406f5d2ae0f1',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f19',['kPLU_LUT_IN_SRC_LUT_OUT_19',['../a00088.html#ggaec74828294222d85c4341013b842eb05af73267254ab79f85cbbd45b698baf790',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f2',['kPLU_LUT_IN_SRC_LUT_OUT_2',['../a00088.html#ggaec74828294222d85c4341013b842eb05ad0c5942c2fb503a4561cb64b1b8b2ba5',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f20',['kPLU_LUT_IN_SRC_LUT_OUT_20',['../a00088.html#ggaec74828294222d85c4341013b842eb05a2a7360dbf2b1ce3c37fec99ab6ea95c2',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f21',['kPLU_LUT_IN_SRC_LUT_OUT_21',['../a00088.html#ggaec74828294222d85c4341013b842eb05aea4c749172f50d02938115e943b1a62f',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f22',['kPLU_LUT_IN_SRC_LUT_OUT_22',['../a00088.html#ggaec74828294222d85c4341013b842eb05a2206f6b0a4c280e058652b183d193ad7',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f23',['kPLU_LUT_IN_SRC_LUT_OUT_23',['../a00088.html#ggaec74828294222d85c4341013b842eb05a7a4eb0b7daa528b354646ae876fdbc7d',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f24',['kPLU_LUT_IN_SRC_LUT_OUT_24',['../a00088.html#ggaec74828294222d85c4341013b842eb05a42744ca56fd5b26094f7f7ebc8142518',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f25',['kPLU_LUT_IN_SRC_LUT_OUT_25',['../a00088.html#ggaec74828294222d85c4341013b842eb05a0866c5dfcf79f24a034e5487325ad089',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f3',['kPLU_LUT_IN_SRC_LUT_OUT_3',['../a00088.html#ggaec74828294222d85c4341013b842eb05a7d02ada60d9a59c5bba04cd6a2ca146c',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f4',['kPLU_LUT_IN_SRC_LUT_OUT_4',['../a00088.html#ggaec74828294222d85c4341013b842eb05a7701a2d64699c85a464acfe6d6f3ec21',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f5',['kPLU_LUT_IN_SRC_LUT_OUT_5',['../a00088.html#ggaec74828294222d85c4341013b842eb05abb535644479d02d916b2d2606934e28f',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f6',['kPLU_LUT_IN_SRC_LUT_OUT_6',['../a00088.html#ggaec74828294222d85c4341013b842eb05af941e0debe79fb78f1fdcf24068298b3',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f7',['kPLU_LUT_IN_SRC_LUT_OUT_7',['../a00088.html#ggaec74828294222d85c4341013b842eb05aa7ca2e6b97d2947ae2c9781eb66d9a38',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f8',['kPLU_LUT_IN_SRC_LUT_OUT_8',['../a00088.html#ggaec74828294222d85c4341013b842eb05a279388c181b6df93831630b7b3748fcc',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f9',['kPLU_LUT_IN_SRC_LUT_OUT_9',['../a00088.html#ggaec74828294222d85c4341013b842eb05a7cbee20d065a1de8eeb2e842fdca95f2',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f0',['kPLU_LUT_IN_SRC_PLU_IN_0',['../a00088.html#ggaec74828294222d85c4341013b842eb05a8de9649d2a2fb07fe4e675f6401869d2',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f1',['kPLU_LUT_IN_SRC_PLU_IN_1',['../a00088.html#ggaec74828294222d85c4341013b842eb05a70ba87af436721a2783e56f3117594ce',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f2',['kPLU_LUT_IN_SRC_PLU_IN_2',['../a00088.html#ggaec74828294222d85c4341013b842eb05a1b56e9b3c1c5e46478eb5673c5bddaed',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f3',['kPLU_LUT_IN_SRC_PLU_IN_3',['../a00088.html#ggaec74828294222d85c4341013b842eb05a45f568953423b0976250843eade62716',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f4',['kPLU_LUT_IN_SRC_PLU_IN_4',['../a00088.html#ggaec74828294222d85c4341013b842eb05a6b32cf2706b79fff3a7fc2a1d1159e1b',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f5',['kPLU_LUT_IN_SRC_PLU_IN_5',['../a00088.html#ggaec74828294222d85c4341013b842eb05ac68302205f055d68cd0833e6ec89cacb',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5fflipflop_5f0',['kPLU_OUT_SRC_FLIPFLOP_0',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a22a26e5d5f65d4ac8491ad2c87ebeef3',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5fflipflop_5f1',['kPLU_OUT_SRC_FLIPFLOP_1',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a1afdc6f86b2c95d086b0c7d2d60d26cc',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5fflipflop_5f2',['kPLU_OUT_SRC_FLIPFLOP_2',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a117f999dffa45b676b077d373cb2e086',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5fflipflop_5f3',['kPLU_OUT_SRC_FLIPFLOP_3',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a2a0154f5345c71bae6f44244fcb30d46',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f0',['kPLU_OUT_SRC_LUT_0',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a4ce7c06a3aa62401716670839ee2db9d',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f1',['kPLU_OUT_SRC_LUT_1',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a7ee81d7d75ed79784d00718ee8b52883',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f10',['kPLU_OUT_SRC_LUT_10',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2aa0c5d92b16c617f2c77ab0b2e2e6ebb1',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f11',['kPLU_OUT_SRC_LUT_11',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a6c8dcd271aa50f8847c21031ca1651cc',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f12',['kPLU_OUT_SRC_LUT_12',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a5b731b787441958b61eb1ef6f13c0a8c',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f13',['kPLU_OUT_SRC_LUT_13',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a55478a1fa529d12411191d3b57b6aa6f',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f14',['kPLU_OUT_SRC_LUT_14',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2acf68ff6a086185f803b22698327e3109',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f15',['kPLU_OUT_SRC_LUT_15',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2afa8b125d171fda1128d55ee1ce6b1bb8',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f16',['kPLU_OUT_SRC_LUT_16',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2acb147f2edce9b8da4c454f80f94ac43c',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f17',['kPLU_OUT_SRC_LUT_17',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a9dca2593aa3f9571111177158871a171',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f18',['kPLU_OUT_SRC_LUT_18',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a74581067715a2b1448ded8335f1618a2',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f19',['kPLU_OUT_SRC_LUT_19',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2ad50df8fa854b16d2945d2e98dceb167d',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f2',['kPLU_OUT_SRC_LUT_2',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a71f80a9c0585243f033e80575cc9ef71',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f20',['kPLU_OUT_SRC_LUT_20',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2ae6dd471565ca87404e3e950f0b4896ec',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f21',['kPLU_OUT_SRC_LUT_21',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a3cffbba2da3be0f386061cdc43c5c58a',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f22',['kPLU_OUT_SRC_LUT_22',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2af659716e11aa0911a531d2cdc19bf3ea',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f23',['kPLU_OUT_SRC_LUT_23',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a47395d18c548dff7cf1e82891808ecc6',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f24',['kPLU_OUT_SRC_LUT_24',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2ae648baa1c80d2711d1bb2bb4fc077827',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f25',['kPLU_OUT_SRC_LUT_25',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a0912636699433338f1c5db68c7bbec7f',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f3',['kPLU_OUT_SRC_LUT_3',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a318c6f61334de6ee3dfdfedd85c892aa',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f4',['kPLU_OUT_SRC_LUT_4',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2af58d23d9fe1120ca0bd0c9832b9c81df',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f5',['kPLU_OUT_SRC_LUT_5',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a3e4635d41b82d9da053cda8b53b16237',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f6',['kPLU_OUT_SRC_LUT_6',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2ae8a09b9553f3e191688cfe6844bc26b9',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f7',['kPLU_OUT_SRC_LUT_7',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2ac5055185f27452b220491009e47a8843',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f8',['kPLU_OUT_SRC_LUT_8',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2ab1a914c426c7434b66a2a70e28c91d6d',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f9',['kPLU_OUT_SRC_LUT_9',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a1bfd5fb60cb03e1ec3ff1cc2b859205d',1,'fsl_plu.h']]], - ['kplu_5foutput_5f0',['kPLU_OUTPUT_0',['../a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a40255673c924ddc3a47215102db19c23',1,'fsl_plu.h']]], - ['kplu_5foutput_5f1',['kPLU_OUTPUT_1',['../a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab977a1ed5f8dda05f237315bdacbfdce',1,'fsl_plu.h']]], - ['kplu_5foutput_5f2',['kPLU_OUTPUT_2',['../a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a7f7830f2f3a70270d451466e7fd81b8e',1,'fsl_plu.h']]], - ['kplu_5foutput_5f3',['kPLU_OUTPUT_3',['../a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a05f4915e3590779c64072c567ea8ef77',1,'fsl_plu.h']]], - ['kplu_5foutput_5f4',['kPLU_OUTPUT_4',['../a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3b4542ce1acdb7bd4c87e184129a1cd2',1,'fsl_plu.h']]], - ['kplu_5foutput_5f5',['kPLU_OUTPUT_5',['../a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3db75ac7e6eb4bd49bc6dfcbdca28bab',1,'fsl_plu.h']]], - ['kplu_5foutput_5f6',['kPLU_OUTPUT_6',['../a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a42d0169861f7e6874c6cc1cfd38cfdee',1,'fsl_plu.h']]], - ['kplu_5foutput_5f7',['kPLU_OUTPUT_7',['../a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab84844ba156c357257d0be920c79459d',1,'fsl_plu.h']]], - ['kplu_5frst_5fn_5fshift_5frstn',['kPLU_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770ac0e9dc6f492774ceef33623e62882da5',1,'fsl_reset.h']]], - ['kpmu_5fgenreg0',['kPmu_GenReg0',['../a00079.html#ggaacea8a22b7f4706814e61973550d3492a80dcccd621286706e1c8a4ebe9c10f0a',1,'fsl_power.h']]], - ['kpmu_5fgenreg1',['kPmu_GenReg1',['../a00079.html#ggaacea8a22b7f4706814e61973550d3492a740c74b8de11afea3adf94099430d43f',1,'fsl_power.h']]], - ['kpmu_5fgenreg2',['kPmu_GenReg2',['../a00079.html#ggaacea8a22b7f4706814e61973550d3492ad0fed29c216cb44973a5720f27c25b57',1,'fsl_power.h']]], - ['kpmu_5fgenreg3',['kPmu_GenReg3',['../a00079.html#ggaacea8a22b7f4706814e61973550d3492a8464e8acd4980abf527cdc08a1039f03',1,'fsl_power.h']]], - ['kpmu_5fgenreg4',['kPmu_GenReg4',['../a00079.html#ggaacea8a22b7f4706814e61973550d3492ad41dcf0263e8b148f96f850b2be396ac',1,'fsl_power.h']]], - ['kspi0_5fclk_5ffrom_5ffrg0clk',['kSPI0_Clk_From_Frg0Clk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a845c271da53362455548e3a2d785e46f',1,'fsl_clock.h']]], - ['kspi0_5fclk_5ffrom_5ffro',['kSPI0_Clk_From_Fro',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a225c7ee90596ed70ba883f4db61aa8a7',1,'fsl_clock.h']]], - ['kspi0_5fclk_5ffrom_5ffro_5fdiv',['kSPI0_Clk_From_Fro_Div',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58aad0657c34aef515cd39d693c8a7896b2',1,'fsl_clock.h']]], - ['kspi0_5fclk_5ffrom_5fmainclk',['kSPI0_Clk_From_MainClk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58ae27646652709428349bea6c7044c070e',1,'fsl_clock.h']]], - ['kspi0_5frst_5fn_5fshift_5frstn',['kSPI0_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770ac5dc2b0f880a50d33e7d79f7af4c4f33',1,'fsl_reset.h']]], + ['kpint_5fpatternmatchalways',['kPINT_PatternMatchAlways',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa128703d2c2175a315aaffad869ed6a32',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbothedges',['kPINT_PatternMatchBothEdges',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aaac967156901735a43316f7f1c4720328',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbslice0',['kPINT_PatternMatchBSlice0',['../a00088.html#gga048bc24e58d7df40af2a45efaabeea9ba106986aeb5e12c2b76e070def3b37d95',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchhigh',['kPINT_PatternMatchHigh',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa7dc0770cf7ffe184788c30bd1ac9c515',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp0src',['kPINT_PatternMatchInp0Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a51f543e6f680df9beb5841231b7b3a96',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp1src',['kPINT_PatternMatchInp1Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6fc5c9dbee30b375feb9ce6c86b224c2',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp2src',['kPINT_PatternMatchInp2Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a41b057b493937cd87bc212cb2e316f8a',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp3src',['kPINT_PatternMatchInp3Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6be4432cb9e687d043a2c25c1af48869',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp4src',['kPINT_PatternMatchInp4Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76aabc93fc28498f5577939f38e0513304c',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp5src',['kPINT_PatternMatchInp5Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a78ff6e40ab17d81181284c56b62b77aa',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp6src',['kPINT_PatternMatchInp6Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a9b2f5abc74e498a599f5c005a6cc911f',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp7src',['kPINT_PatternMatchInp7Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a2c93115b816dc676460cfc1be85778f0',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchlow',['kPINT_PatternMatchLow',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa3b4af984526871b66489b0eb294d2df5',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchnever',['kPINT_PatternMatchNever',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aab5630ebfbc6e52c9b04f659918c094f2',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchstickybothedges',['kPINT_PatternMatchStickyBothEdges',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa059c3472509304186252b655cf486d8b',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchstickyfall',['kPINT_PatternMatchStickyFall',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa9d2a3e9717c34de3a884b695f35a6c37',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchstickyrise',['kPINT_PatternMatchStickyRise',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa654c70eeed38b0be2271dc51d7cc04f5',1,'fsl_pint.h']]], + ['kpint_5fpinint0',['kPINT_PinInt0',['../a00088.html#gga15da1f70e8d0a05e9d492d01ceca7da8a5df52c4fbb437e61b638d35cbc550162',1,'fsl_pint.h']]], + ['kpint_5fpinintenablebothedges',['kPINT_PinIntEnableBothEdges',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a58ac8e76b409274b7d02ef6e9805dcef',1,'fsl_pint.h']]], + ['kpint_5fpinintenablefalledge',['kPINT_PinIntEnableFallEdge',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a6f54d55ac52e8e697f83637109560e89',1,'fsl_pint.h']]], + ['kpint_5fpinintenablehighlevel',['kPINT_PinIntEnableHighLevel',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91affc9b2756b7642ddb60ea78f4bbbd592',1,'fsl_pint.h']]], + ['kpint_5fpinintenablelowlevel',['kPINT_PinIntEnableLowLevel',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a3bd6a570eee5c1fddd95ef2411b35a7e',1,'fsl_pint.h']]], + ['kpint_5fpinintenablenone',['kPINT_PinIntEnableNone',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91aa48de84b39b48759086f2d7ae0c8091e',1,'fsl_pint.h']]], + ['kpint_5fpinintenableriseedge',['kPINT_PinIntEnableRiseEdge',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91ae873d30aec2ca54de88c69f55ea42a07',1,'fsl_pint.h']]], + ['kpint_5fsecpatternmatchinp0src',['kPINT_SecPatternMatchInp0Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a1f7f561990336a81a46f22395820907d',1,'fsl_pint.h']]], + ['kpint_5fsecpatternmatchinp1src',['kPINT_SecPatternMatchInp1Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a8cc05c9aec163085b7a2b4481fdc971d',1,'fsl_pint.h']]], + ['kplu_5flut_5f0',['kPLU_LUT_0',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab2e2c007b30459eb00fedba56e37da25',1,'fsl_plu.h']]], + ['kplu_5flut_5f1',['kPLU_LUT_1',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0784ad660fcb92a3859ed2c0efa77819',1,'fsl_plu.h']]], + ['kplu_5flut_5f10',['kPLU_LUT_10',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a9beff09b11808602b2120b42d9ab724e',1,'fsl_plu.h']]], + ['kplu_5flut_5f11',['kPLU_LUT_11',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a63e2b4a4b53cde3b148d52f26d92e1d3',1,'fsl_plu.h']]], + ['kplu_5flut_5f12',['kPLU_LUT_12',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a15bd1d988c6677a6a41edc0846851338',1,'fsl_plu.h']]], + ['kplu_5flut_5f13',['kPLU_LUT_13',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a30bd16853ab1723b58f1747def9e4252',1,'fsl_plu.h']]], + ['kplu_5flut_5f14',['kPLU_LUT_14',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7ccad915ba0e8df2a152409690994f16',1,'fsl_plu.h']]], + ['kplu_5flut_5f15',['kPLU_LUT_15',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1af09d4068b7ef636c55a7ab0feb950a36',1,'fsl_plu.h']]], + ['kplu_5flut_5f16',['kPLU_LUT_16',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1abcda2f4fbf266f73a80298ae2d090e47',1,'fsl_plu.h']]], + ['kplu_5flut_5f17',['kPLU_LUT_17',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a6529478ac43b74b509ff0c2cd437e495',1,'fsl_plu.h']]], + ['kplu_5flut_5f18',['kPLU_LUT_18',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7a4daf25836c5010a6b9ccc29f159091',1,'fsl_plu.h']]], + ['kplu_5flut_5f19',['kPLU_LUT_19',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ada22615f50454e9ebd246a18a985f016',1,'fsl_plu.h']]], + ['kplu_5flut_5f2',['kPLU_LUT_2',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5e95a4db197106de75dfccf6e5504364',1,'fsl_plu.h']]], + ['kplu_5flut_5f20',['kPLU_LUT_20',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0646ea5aa4c85d9c19a43f8211d0ffeb',1,'fsl_plu.h']]], + ['kplu_5flut_5f21',['kPLU_LUT_21',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a31c3637504bb180309ccf71210ff6fd7',1,'fsl_plu.h']]], + ['kplu_5flut_5f22',['kPLU_LUT_22',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5f6d7c46d68bd39e999c53ffabfa8372',1,'fsl_plu.h']]], + ['kplu_5flut_5f23',['kPLU_LUT_23',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a55b6304360a86b29fb90a42da5aaf493',1,'fsl_plu.h']]], + ['kplu_5flut_5f24',['kPLU_LUT_24',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa6e7a35876133d26d47ce9f76b72d520',1,'fsl_plu.h']]], + ['kplu_5flut_5f25',['kPLU_LUT_25',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1afff7e144292e1eb0a47774207f42a102',1,'fsl_plu.h']]], + ['kplu_5flut_5f3',['kPLU_LUT_3',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7f11a678cf930523e06f52b367f2eca5',1,'fsl_plu.h']]], + ['kplu_5flut_5f4',['kPLU_LUT_4',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab8a42508ab4be0320796b2eba3b57a7d',1,'fsl_plu.h']]], + ['kplu_5flut_5f5',['kPLU_LUT_5',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a60ff9ca06daaf5b4f945b6e2e89f4b36',1,'fsl_plu.h']]], + ['kplu_5flut_5f6',['kPLU_LUT_6',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ae68d03749187a90877d1742a3d61e4a1',1,'fsl_plu.h']]], + ['kplu_5flut_5f7',['kPLU_LUT_7',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a98359b577ce66e191e23d776d0d0628f',1,'fsl_plu.h']]], + ['kplu_5flut_5f8',['kPLU_LUT_8',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa5bff988a77fc711fd0c7b84c1fb105c',1,'fsl_plu.h']]], + ['kplu_5flut_5f9',['kPLU_LUT_9',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a518c8602828f0e104a12623958f587fc',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f0',['kPLU_LUT_IN_0',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa648d32891b76f5ea3e6e5cf8a296c01b',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f1',['kPLU_LUT_IN_1',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa62c7580fd67b1ffa1385d370a5d3a4e6',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f2',['kPLU_LUT_IN_2',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa8587d933d69f3c3bfe42e848db879a20',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f3',['kPLU_LUT_IN_3',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa06891c0dcbd6029f027b2d6398a447df',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f4',['kPLU_LUT_IN_4',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa6b62d55b282bfe85f5de3d7d38c8c5fa',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fflipflop_5f0',['kPLU_LUT_IN_SRC_FLIPFLOP_0',['../a00089.html#ggaec74828294222d85c4341013b842eb05ad7617c8c6cd8f49c832f501129279b8e',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fflipflop_5f1',['kPLU_LUT_IN_SRC_FLIPFLOP_1',['../a00089.html#ggaec74828294222d85c4341013b842eb05ac741b79171d673ec73a93a7c5e77cb10',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fflipflop_5f2',['kPLU_LUT_IN_SRC_FLIPFLOP_2',['../a00089.html#ggaec74828294222d85c4341013b842eb05ab46ce34ffd4906d48a7f1829861ff193',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fflipflop_5f3',['kPLU_LUT_IN_SRC_FLIPFLOP_3',['../a00089.html#ggaec74828294222d85c4341013b842eb05a26b5bf6af4b2b774757fc7fa42cdebdf',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f0',['kPLU_LUT_IN_SRC_LUT_OUT_0',['../a00089.html#ggaec74828294222d85c4341013b842eb05a2e915ea983c34dc1e202a3ea99ece27b',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f1',['kPLU_LUT_IN_SRC_LUT_OUT_1',['../a00089.html#ggaec74828294222d85c4341013b842eb05ad79e240fc201ef98da1a4e217968f087',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f10',['kPLU_LUT_IN_SRC_LUT_OUT_10',['../a00089.html#ggaec74828294222d85c4341013b842eb05a5e28e0b496b69e7a57f43e810d1179b9',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f11',['kPLU_LUT_IN_SRC_LUT_OUT_11',['../a00089.html#ggaec74828294222d85c4341013b842eb05a19ecdb96a7c9b797b938851a9f22611c',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f12',['kPLU_LUT_IN_SRC_LUT_OUT_12',['../a00089.html#ggaec74828294222d85c4341013b842eb05a315a9f58216b1ac361dc5452805f4cb9',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f13',['kPLU_LUT_IN_SRC_LUT_OUT_13',['../a00089.html#ggaec74828294222d85c4341013b842eb05a23c7b1eac9733cda25f050d543111d89',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f14',['kPLU_LUT_IN_SRC_LUT_OUT_14',['../a00089.html#ggaec74828294222d85c4341013b842eb05a0e731c7f1daf5be7aa344b9f793ef02c',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f15',['kPLU_LUT_IN_SRC_LUT_OUT_15',['../a00089.html#ggaec74828294222d85c4341013b842eb05ae8e8fa7853444eb933183fd905b9ce9d',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f16',['kPLU_LUT_IN_SRC_LUT_OUT_16',['../a00089.html#ggaec74828294222d85c4341013b842eb05a8ba7dca9c3475364351932fc1a248ffc',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f17',['kPLU_LUT_IN_SRC_LUT_OUT_17',['../a00089.html#ggaec74828294222d85c4341013b842eb05a357a302e7e9f65b3c24b32b3348a18b1',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f18',['kPLU_LUT_IN_SRC_LUT_OUT_18',['../a00089.html#ggaec74828294222d85c4341013b842eb05a9b1b21d8bd068e9cb385406f5d2ae0f1',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f19',['kPLU_LUT_IN_SRC_LUT_OUT_19',['../a00089.html#ggaec74828294222d85c4341013b842eb05af73267254ab79f85cbbd45b698baf790',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f2',['kPLU_LUT_IN_SRC_LUT_OUT_2',['../a00089.html#ggaec74828294222d85c4341013b842eb05ad0c5942c2fb503a4561cb64b1b8b2ba5',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f20',['kPLU_LUT_IN_SRC_LUT_OUT_20',['../a00089.html#ggaec74828294222d85c4341013b842eb05a2a7360dbf2b1ce3c37fec99ab6ea95c2',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f21',['kPLU_LUT_IN_SRC_LUT_OUT_21',['../a00089.html#ggaec74828294222d85c4341013b842eb05aea4c749172f50d02938115e943b1a62f',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f22',['kPLU_LUT_IN_SRC_LUT_OUT_22',['../a00089.html#ggaec74828294222d85c4341013b842eb05a2206f6b0a4c280e058652b183d193ad7',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f23',['kPLU_LUT_IN_SRC_LUT_OUT_23',['../a00089.html#ggaec74828294222d85c4341013b842eb05a7a4eb0b7daa528b354646ae876fdbc7d',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f24',['kPLU_LUT_IN_SRC_LUT_OUT_24',['../a00089.html#ggaec74828294222d85c4341013b842eb05a42744ca56fd5b26094f7f7ebc8142518',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f25',['kPLU_LUT_IN_SRC_LUT_OUT_25',['../a00089.html#ggaec74828294222d85c4341013b842eb05a0866c5dfcf79f24a034e5487325ad089',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f3',['kPLU_LUT_IN_SRC_LUT_OUT_3',['../a00089.html#ggaec74828294222d85c4341013b842eb05a7d02ada60d9a59c5bba04cd6a2ca146c',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f4',['kPLU_LUT_IN_SRC_LUT_OUT_4',['../a00089.html#ggaec74828294222d85c4341013b842eb05a7701a2d64699c85a464acfe6d6f3ec21',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f5',['kPLU_LUT_IN_SRC_LUT_OUT_5',['../a00089.html#ggaec74828294222d85c4341013b842eb05abb535644479d02d916b2d2606934e28f',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f6',['kPLU_LUT_IN_SRC_LUT_OUT_6',['../a00089.html#ggaec74828294222d85c4341013b842eb05af941e0debe79fb78f1fdcf24068298b3',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f7',['kPLU_LUT_IN_SRC_LUT_OUT_7',['../a00089.html#ggaec74828294222d85c4341013b842eb05aa7ca2e6b97d2947ae2c9781eb66d9a38',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f8',['kPLU_LUT_IN_SRC_LUT_OUT_8',['../a00089.html#ggaec74828294222d85c4341013b842eb05a279388c181b6df93831630b7b3748fcc',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f9',['kPLU_LUT_IN_SRC_LUT_OUT_9',['../a00089.html#ggaec74828294222d85c4341013b842eb05a7cbee20d065a1de8eeb2e842fdca95f2',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f0',['kPLU_LUT_IN_SRC_PLU_IN_0',['../a00089.html#ggaec74828294222d85c4341013b842eb05a8de9649d2a2fb07fe4e675f6401869d2',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f1',['kPLU_LUT_IN_SRC_PLU_IN_1',['../a00089.html#ggaec74828294222d85c4341013b842eb05a70ba87af436721a2783e56f3117594ce',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f2',['kPLU_LUT_IN_SRC_PLU_IN_2',['../a00089.html#ggaec74828294222d85c4341013b842eb05a1b56e9b3c1c5e46478eb5673c5bddaed',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f3',['kPLU_LUT_IN_SRC_PLU_IN_3',['../a00089.html#ggaec74828294222d85c4341013b842eb05a45f568953423b0976250843eade62716',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f4',['kPLU_LUT_IN_SRC_PLU_IN_4',['../a00089.html#ggaec74828294222d85c4341013b842eb05a6b32cf2706b79fff3a7fc2a1d1159e1b',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f5',['kPLU_LUT_IN_SRC_PLU_IN_5',['../a00089.html#ggaec74828294222d85c4341013b842eb05ac68302205f055d68cd0833e6ec89cacb',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5fflipflop_5f0',['kPLU_OUT_SRC_FLIPFLOP_0',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a22a26e5d5f65d4ac8491ad2c87ebeef3',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5fflipflop_5f1',['kPLU_OUT_SRC_FLIPFLOP_1',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a1afdc6f86b2c95d086b0c7d2d60d26cc',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5fflipflop_5f2',['kPLU_OUT_SRC_FLIPFLOP_2',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a117f999dffa45b676b077d373cb2e086',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5fflipflop_5f3',['kPLU_OUT_SRC_FLIPFLOP_3',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a2a0154f5345c71bae6f44244fcb30d46',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f0',['kPLU_OUT_SRC_LUT_0',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a4ce7c06a3aa62401716670839ee2db9d',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f1',['kPLU_OUT_SRC_LUT_1',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a7ee81d7d75ed79784d00718ee8b52883',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f10',['kPLU_OUT_SRC_LUT_10',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2aa0c5d92b16c617f2c77ab0b2e2e6ebb1',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f11',['kPLU_OUT_SRC_LUT_11',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a6c8dcd271aa50f8847c21031ca1651cc',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f12',['kPLU_OUT_SRC_LUT_12',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a5b731b787441958b61eb1ef6f13c0a8c',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f13',['kPLU_OUT_SRC_LUT_13',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a55478a1fa529d12411191d3b57b6aa6f',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f14',['kPLU_OUT_SRC_LUT_14',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2acf68ff6a086185f803b22698327e3109',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f15',['kPLU_OUT_SRC_LUT_15',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2afa8b125d171fda1128d55ee1ce6b1bb8',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f16',['kPLU_OUT_SRC_LUT_16',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2acb147f2edce9b8da4c454f80f94ac43c',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f17',['kPLU_OUT_SRC_LUT_17',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a9dca2593aa3f9571111177158871a171',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f18',['kPLU_OUT_SRC_LUT_18',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a74581067715a2b1448ded8335f1618a2',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f19',['kPLU_OUT_SRC_LUT_19',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ad50df8fa854b16d2945d2e98dceb167d',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f2',['kPLU_OUT_SRC_LUT_2',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a71f80a9c0585243f033e80575cc9ef71',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f20',['kPLU_OUT_SRC_LUT_20',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae6dd471565ca87404e3e950f0b4896ec',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f21',['kPLU_OUT_SRC_LUT_21',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a3cffbba2da3be0f386061cdc43c5c58a',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f22',['kPLU_OUT_SRC_LUT_22',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2af659716e11aa0911a531d2cdc19bf3ea',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f23',['kPLU_OUT_SRC_LUT_23',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a47395d18c548dff7cf1e82891808ecc6',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f24',['kPLU_OUT_SRC_LUT_24',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae648baa1c80d2711d1bb2bb4fc077827',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f25',['kPLU_OUT_SRC_LUT_25',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a0912636699433338f1c5db68c7bbec7f',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f3',['kPLU_OUT_SRC_LUT_3',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a318c6f61334de6ee3dfdfedd85c892aa',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f4',['kPLU_OUT_SRC_LUT_4',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2af58d23d9fe1120ca0bd0c9832b9c81df',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f5',['kPLU_OUT_SRC_LUT_5',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a3e4635d41b82d9da053cda8b53b16237',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f6',['kPLU_OUT_SRC_LUT_6',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae8a09b9553f3e191688cfe6844bc26b9',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f7',['kPLU_OUT_SRC_LUT_7',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ac5055185f27452b220491009e47a8843',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f8',['kPLU_OUT_SRC_LUT_8',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ab1a914c426c7434b66a2a70e28c91d6d',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f9',['kPLU_OUT_SRC_LUT_9',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a1bfd5fb60cb03e1ec3ff1cc2b859205d',1,'fsl_plu.h']]], + ['kplu_5foutput_5f0',['kPLU_OUTPUT_0',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a40255673c924ddc3a47215102db19c23',1,'fsl_plu.h']]], + ['kplu_5foutput_5f1',['kPLU_OUTPUT_1',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab977a1ed5f8dda05f237315bdacbfdce',1,'fsl_plu.h']]], + ['kplu_5foutput_5f2',['kPLU_OUTPUT_2',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a7f7830f2f3a70270d451466e7fd81b8e',1,'fsl_plu.h']]], + ['kplu_5foutput_5f3',['kPLU_OUTPUT_3',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a05f4915e3590779c64072c567ea8ef77',1,'fsl_plu.h']]], + ['kplu_5foutput_5f4',['kPLU_OUTPUT_4',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3b4542ce1acdb7bd4c87e184129a1cd2',1,'fsl_plu.h']]], + ['kplu_5foutput_5f5',['kPLU_OUTPUT_5',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3db75ac7e6eb4bd49bc6dfcbdca28bab',1,'fsl_plu.h']]], + ['kplu_5foutput_5f6',['kPLU_OUTPUT_6',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a42d0169861f7e6874c6cc1cfd38cfdee',1,'fsl_plu.h']]], + ['kplu_5foutput_5f7',['kPLU_OUTPUT_7',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab84844ba156c357257d0be920c79459d',1,'fsl_plu.h']]], + ['kplu_5frst_5fn_5fshift_5frstn',['kPLU_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ac0e9dc6f492774ceef33623e62882da5',1,'fsl_reset.h']]], + ['kpmu_5fgenreg0',['kPmu_GenReg0',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492a80dcccd621286706e1c8a4ebe9c10f0a',1,'fsl_power.h']]], + ['kpmu_5fgenreg1',['kPmu_GenReg1',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492a740c74b8de11afea3adf94099430d43f',1,'fsl_power.h']]], + ['kpmu_5fgenreg2',['kPmu_GenReg2',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492ad0fed29c216cb44973a5720f27c25b57',1,'fsl_power.h']]], + ['kpmu_5fgenreg3',['kPmu_GenReg3',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492a8464e8acd4980abf527cdc08a1039f03',1,'fsl_power.h']]], + ['kpmu_5fgenreg4',['kPmu_GenReg4',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492ad41dcf0263e8b148f96f850b2be396ac',1,'fsl_power.h']]], + ['kspi0_5fclk_5ffrom_5ffrg0clk',['kSPI0_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a845c271da53362455548e3a2d785e46f',1,'fsl_clock.h']]], + ['kspi0_5fclk_5ffrom_5ffro',['kSPI0_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a225c7ee90596ed70ba883f4db61aa8a7',1,'fsl_clock.h']]], + ['kspi0_5fclk_5ffrom_5ffro_5fdiv',['kSPI0_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58aad0657c34aef515cd39d693c8a7896b2',1,'fsl_clock.h']]], + ['kspi0_5fclk_5ffrom_5fmainclk',['kSPI0_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ae27646652709428349bea6c7044c070e',1,'fsl_clock.h']]], + ['kspi0_5frst_5fn_5fshift_5frstn',['kSPI0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ac5dc2b0f880a50d33e7d79f7af4c4f33',1,'fsl_reset.h']]], ['kspi_5fclockphasefirstedge',['kSPI_ClockPhaseFirstEdge',['../a00019.html#gga9ad313685ade497f5cbcb71c74a1b4dcad15d61c6fd642f13101edbf401d0c72e',1,'fsl_spi.h']]], ['kspi_5fclockphasesecondedge',['kSPI_ClockPhaseSecondEdge',['../a00019.html#gga9ad313685ade497f5cbcb71c74a1b4dca18a98985c1f7dd56175e4a2724db3675',1,'fsl_spi.h']]], ['kspi_5fclockpolarityactivehigh',['kSPI_ClockPolarityActiveHigh',['../a00019.html#gga3e5a7cd043c9596779bc23b34cb3d1f9a031c0ee2693cf1fd621e8b8cba676629',1,'fsl_spi.h']]], @@ -485,50 +470,50 @@ var searchData= ['kspi_5ftxreadyinterruptenable',['kSPI_TxReadyInterruptEnable',['../a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67a0ec3e79b793d213ed30f74a338437129',1,'fsl_spi.h']]], ['kspi_5ftxunderrunflag',['kSPI_TxUnderrunFlag',['../a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a4be82e1306daa139870673c30de9e238',1,'fsl_spi.h']]], ['kspi_5ftxunderruninterruptenable',['kSPI_TxUnderrunInterruptEnable',['../a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67ad1f9b1e8b5209604a0eca8750f2876ad',1,'fsl_spi.h']]], - ['kstatus_5fbusy',['kStatus_Busy',['../a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba31e314ec45f0b673257687c06b6fe764',1,'fsl_common.h']]], - ['kstatus_5ffail',['kStatus_Fail',['../a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba8692e71089c7e81bd5f4503ff55035db',1,'fsl_common.h']]], - ['kstatus_5fi2c_5faddr_5fnak',['kStatus_I2C_Addr_Nak',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5adf7437bfedcc0d57338ed33f1be5c805',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5farbitrationlost',['kStatus_I2C_ArbitrationLost',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a139b52cc3305ec2c06d0ac94313c221f',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5fbiterror',['kStatus_I2C_BitError',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a0db20b358696db03a068816bb72a0310',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5fbusy',['kStatus_I2C_Busy',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a49091894b590d7e479605bf113918952',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5fdmarequestfail',['kStatus_I2C_DmaRequestFail',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5ac0253b1c986e61b7905da4a7f1fbf844',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5fidle',['kStatus_I2C_Idle',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a628d242f7bc0e3d5949c7f73eafaa508',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5finvalidparameter',['kStatus_I2C_InvalidParameter',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a308bcea12eeef85aeac416ead81639b9',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5fnak',['kStatus_I2C_Nak',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a72fd33d0b5263a63766e62f71d16be00',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5fnotransferinprogress',['kStatus_I2C_NoTransferInProgress',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a834a772763c85b5bedf8eb0a0cfa2f9e',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5fstartstoperror',['kStatus_I2C_StartStopError',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a256cb58b123f0814d020cc8449cf48d5',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5ftimeout',['kStatus_I2C_Timeout',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a81ad7cc198436cabbe91ea55c5288747',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5funexpectedstate',['kStatus_I2C_UnexpectedState',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a99cb29358dad3255402d30285f844632',1,'fsl_i2c.h']]], - ['kstatus_5fiap_5faddrerror',['kStatus_IAP_AddrError',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae4a180ae087b0f4a58a7c89cb081f400',1,'fsl_iap.h']]], - ['kstatus_5fiap_5faddrnotmapped',['kStatus_IAP_AddrNotMapped',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a98ad8dfd7c9c06637a8ef77669248d44',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fbusy',['kStatus_IAP_Busy',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab171ff8674c9017605cde0067a84a375',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fcompareerror',['kStatus_IAP_CompareError',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ac08832cd7dd8929746e5583b85050a43',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fcounterror',['kStatus_IAP_CountError',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a947c74ac4418ae34ab4b8be2416e11cb',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fdstaddrerror',['kStatus_IAP_DstAddrError',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a37f09b865f86f3f6f58064be70121c02',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fdstaddrnotmapped',['kStatus_IAP_DstAddrNotMapped',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a0491cac2cd13971f08f754a5365ab356',1,'fsl_iap.h']]], - ['kstatus_5fiap_5finvalidcommand',['kStatus_IAP_InvalidCommand',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a254b836a21eb98b6211cefd76037dde7',1,'fsl_iap.h']]], - ['kstatus_5fiap_5finvalidsector',['kStatus_IAP_InvalidSector',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7affa7c3fd999eb6a4558a4e981aa29ccd',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fnoclock',['kStatus_IAP_NoClock',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7adfb58b377dc75167cff2cc8e003939ff',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fnopower',['kStatus_IAP_NoPower',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a08741d113d7dd7358c0a548ed6bedaa9',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fnotprepared',['kStatus_IAP_NotPrepared',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7aea4e342b4bc05dc9f04b2a50b5ccba4f',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fparamerror',['kStatus_IAP_ParamError',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a61e927398cdd5549301de26831ff5460',1,'fsl_iap.h']]], - ['kstatus_5fiap_5freinvokeispconfig',['kStatus_IAP_ReinvokeISPConfig',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ad9d50e05078873600322a78c0bd94b35',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fsectornotblank',['kStatus_IAP_SectorNotblank',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a59fbec3050069d5bf473211cad8ab817',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fsrcaddrerror',['kStatus_IAP_SrcAddrError',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab36f8ecf47da3052eb485015a1932c26',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fsrcaddrnotmapped',['kStatus_IAP_SrcAddrNotMapped',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae69151d679a249f83fde025b5562196a',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fsuccess',['kStatus_IAP_Success',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a35e8de33bf46beecd34105c59ec2bc6e',1,'fsl_iap.h']]], - ['kstatus_5finvalidargument',['kStatus_InvalidArgument',['../a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba9743ab3bec5065667c0b12510317e76c',1,'fsl_common.h']]], - ['kstatus_5fnodata',['kStatus_NoData',['../a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba94770b392eceab60898d03ffcf0cc145',1,'fsl_common.h']]], - ['kstatus_5fnotransferinprogress',['kStatus_NoTransferInProgress',['../a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba82fc7f2a425a9c3cfcf6636b8c05c06c',1,'fsl_common.h']]], - ['kstatus_5foutofrange',['kStatus_OutOfRange',['../a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba96d166071c2c0b2672ddaa3307899a0b',1,'fsl_common.h']]], - ['kstatus_5freadonly',['kStatus_ReadOnly',['../a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba69927473662d55dfe6a4b8b1ea529f67',1,'fsl_common.h']]], + ['kstatus_5fbusy',['kStatus_Busy',['../a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba31e314ec45f0b673257687c06b6fe764',1,'fsl_common.h']]], + ['kstatus_5ffail',['kStatus_Fail',['../a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba8692e71089c7e81bd5f4503ff55035db',1,'fsl_common.h']]], + ['kstatus_5fi2c_5faddr_5fnak',['kStatus_I2C_Addr_Nak',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5adf7437bfedcc0d57338ed33f1be5c805',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5farbitrationlost',['kStatus_I2C_ArbitrationLost',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a139b52cc3305ec2c06d0ac94313c221f',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5fbiterror',['kStatus_I2C_BitError',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a0db20b358696db03a068816bb72a0310',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5fbusy',['kStatus_I2C_Busy',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a49091894b590d7e479605bf113918952',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5fdmarequestfail',['kStatus_I2C_DmaRequestFail',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5ac0253b1c986e61b7905da4a7f1fbf844',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5fidle',['kStatus_I2C_Idle',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a628d242f7bc0e3d5949c7f73eafaa508',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5finvalidparameter',['kStatus_I2C_InvalidParameter',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a308bcea12eeef85aeac416ead81639b9',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5fnak',['kStatus_I2C_Nak',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a72fd33d0b5263a63766e62f71d16be00',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5fnotransferinprogress',['kStatus_I2C_NoTransferInProgress',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a834a772763c85b5bedf8eb0a0cfa2f9e',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5fstartstoperror',['kStatus_I2C_StartStopError',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a256cb58b123f0814d020cc8449cf48d5',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5ftimeout',['kStatus_I2C_Timeout',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a81ad7cc198436cabbe91ea55c5288747',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5funexpectedstate',['kStatus_I2C_UnexpectedState',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a99cb29358dad3255402d30285f844632',1,'fsl_i2c.h']]], + ['kstatus_5fiap_5faddrerror',['kStatus_IAP_AddrError',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae4a180ae087b0f4a58a7c89cb081f400',1,'fsl_iap.h']]], + ['kstatus_5fiap_5faddrnotmapped',['kStatus_IAP_AddrNotMapped',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a98ad8dfd7c9c06637a8ef77669248d44',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fbusy',['kStatus_IAP_Busy',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab171ff8674c9017605cde0067a84a375',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fcompareerror',['kStatus_IAP_CompareError',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ac08832cd7dd8929746e5583b85050a43',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fcounterror',['kStatus_IAP_CountError',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a947c74ac4418ae34ab4b8be2416e11cb',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fdstaddrerror',['kStatus_IAP_DstAddrError',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a37f09b865f86f3f6f58064be70121c02',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fdstaddrnotmapped',['kStatus_IAP_DstAddrNotMapped',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a0491cac2cd13971f08f754a5365ab356',1,'fsl_iap.h']]], + ['kstatus_5fiap_5finvalidcommand',['kStatus_IAP_InvalidCommand',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a254b836a21eb98b6211cefd76037dde7',1,'fsl_iap.h']]], + ['kstatus_5fiap_5finvalidsector',['kStatus_IAP_InvalidSector',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7affa7c3fd999eb6a4558a4e981aa29ccd',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fnoclock',['kStatus_IAP_NoClock',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7adfb58b377dc75167cff2cc8e003939ff',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fnopower',['kStatus_IAP_NoPower',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a08741d113d7dd7358c0a548ed6bedaa9',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fnotprepared',['kStatus_IAP_NotPrepared',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7aea4e342b4bc05dc9f04b2a50b5ccba4f',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fparamerror',['kStatus_IAP_ParamError',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a61e927398cdd5549301de26831ff5460',1,'fsl_iap.h']]], + ['kstatus_5fiap_5freinvokeispconfig',['kStatus_IAP_ReinvokeISPConfig',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ad9d50e05078873600322a78c0bd94b35',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fsectornotblank',['kStatus_IAP_SectorNotblank',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a59fbec3050069d5bf473211cad8ab817',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fsrcaddrerror',['kStatus_IAP_SrcAddrError',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab36f8ecf47da3052eb485015a1932c26',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fsrcaddrnotmapped',['kStatus_IAP_SrcAddrNotMapped',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae69151d679a249f83fde025b5562196a',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fsuccess',['kStatus_IAP_Success',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a35e8de33bf46beecd34105c59ec2bc6e',1,'fsl_iap.h']]], + ['kstatus_5finvalidargument',['kStatus_InvalidArgument',['../a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba9743ab3bec5065667c0b12510317e76c',1,'fsl_common.h']]], + ['kstatus_5fnodata',['kStatus_NoData',['../a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba94770b392eceab60898d03ffcf0cc145',1,'fsl_common.h']]], + ['kstatus_5fnotransferinprogress',['kStatus_NoTransferInProgress',['../a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba82fc7f2a425a9c3cfcf6636b8c05c06c',1,'fsl_common.h']]], + ['kstatus_5foutofrange',['kStatus_OutOfRange',['../a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba96d166071c2c0b2672ddaa3307899a0b',1,'fsl_common.h']]], + ['kstatus_5freadonly',['kStatus_ReadOnly',['../a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba69927473662d55dfe6a4b8b1ea529f67',1,'fsl_common.h']]], ['kstatus_5fspi_5fbaudratenotsupport',['kStatus_SPI_BaudrateNotSupport',['../a00019.html#ggabc6126af1d45847bc59afa0aa3216b04ab0f4d4de5f92524c9d33524f22a04e03',1,'fsl_spi.h']]], ['kstatus_5fspi_5fbusy',['kStatus_SPI_Busy',['../a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a703abdf7900047c4d13536480f3463ab',1,'fsl_spi.h']]], ['kstatus_5fspi_5ferror',['kStatus_SPI_Error',['../a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a2ff91d774e93aed936b87ffaa18aaf9e',1,'fsl_spi.h']]], ['kstatus_5fspi_5fidle',['kStatus_SPI_Idle',['../a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a4e32c5b06dccaf4b81e2fd1679e1b560',1,'fsl_spi.h']]], ['kstatus_5fspi_5ftimeout',['kStatus_SPI_Timeout',['../a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a496ced6fffc33d7bbeb01d203dfc4836',1,'fsl_spi.h']]], - ['kstatus_5fsuccess',['kStatus_Success',['../a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba829bee76c6b02225d9c891ae8ef70881',1,'fsl_common.h']]], - ['kstatus_5ftimeout',['kStatus_Timeout',['../a00081.html#gga06fc87d81c62e9abb8790b6e5713c55badf7f172a5d4f1a44d5cb8a1121dcafcb',1,'fsl_common.h']]], + ['kstatus_5fsuccess',['kStatus_Success',['../a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba829bee76c6b02225d9c891ae8ef70881',1,'fsl_common.h']]], + ['kstatus_5ftimeout',['kStatus_Timeout',['../a00082.html#gga06fc87d81c62e9abb8790b6e5713c55badf7f172a5d4f1a44d5cb8a1121dcafcb',1,'fsl_common.h']]], ['kstatus_5fusart_5fbaudratenotsupport',['kStatus_USART_BaudrateNotSupport',['../a00020.html#ggadc29c2ff13d900c2f185ee95427fb06cae427c8491ce7294dfeaa3b87e506bd81',1,'fsl_usart.h']]], ['kstatus_5fusart_5fframingerror',['kStatus_USART_FramingError',['../a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca9e2f548075400b4c0a719ee1b0a534a9',1,'fsl_usart.h']]], ['kstatus_5fusart_5fhardwareoverrun',['kStatus_USART_HardwareOverrun',['../a00020.html#ggadc29c2ff13d900c2f185ee95427fb06cade18e0468490127d2e0a8c71a190bdc8',1,'fsl_usart.h']]], @@ -542,296 +527,296 @@ var searchData= ['kstatus_5fusart_5ftxbusy',['kStatus_USART_TxBusy',['../a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca78157ec0658118f9205521c03da99093',1,'fsl_usart.h']]], ['kstatus_5fusart_5ftxerror',['kStatus_USART_TxError',['../a00020.html#ggadc29c2ff13d900c2f185ee95427fb06cabf010640733ea1ae8c97d7a0b83ea11b',1,'fsl_usart.h']]], ['kstatus_5fusart_5ftxidle',['kStatus_USART_TxIdle',['../a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca539a0ebaa0137fdf6d7cc9b984acb13f',1,'fsl_usart.h']]], - ['kstatusgroup_5fapplicationrangestart',['kStatusGroup_ApplicationRangeStart',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0c3a94577af5271a1042757d3c8fdc1',1,'fsl_common.h']]], - ['kstatusgroup_5fasrc',['kStatusGroup_ASRC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a713723bd8764655328f1e5283a8e6020',1,'fsl_common.h']]], - ['kstatusgroup_5fbma',['kStatusGroup_BMA',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29af5e618b56733c41397941d8dec581030',1,'fsl_common.h']]], - ['kstatusgroup_5fbutton',['kStatusGroup_BUTTON',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7415efb189bfb31491ef0ae45fb24d90',1,'fsl_common.h']]], - ['kstatusgroup_5fcaam',['kStatusGroup_CAAM',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a298049d9d9c8be1b2e7a42f38a734d87',1,'fsl_common.h']]], - ['kstatusgroup_5fclif',['kStatusGroup_CLIF',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a50aada436b514e0056476e275e1fd203',1,'fsl_common.h']]], - ['kstatusgroup_5fcodec',['kStatusGroup_CODEC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7c488d28bc9be2e29bd0d133bce7389a',1,'fsl_common.h']]], - ['kstatusgroup_5fcommon_5ftask',['kStatusGroup_COMMON_TASK',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7771d56430ad7ff2553a1258aba16e02',1,'fsl_common.h']]], - ['kstatusgroup_5fcsi',['kStatusGroup_CSI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d1d1a595e9f00c6c9f80c19ce1b8ec5',1,'fsl_common.h']]], - ['kstatusgroup_5fcss_5fpkc',['kStatusGroup_CSS_PKC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a9ef29ac5ce94ff0617434c39574b4f91',1,'fsl_common.h']]], - ['kstatusgroup_5fdcp',['kStatusGroup_DCP',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e7465155c679388316b2f874a284e9e',1,'fsl_common.h']]], - ['kstatusgroup_5fdebugconsole',['kStatusGroup_DebugConsole',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a499ec238a1614827065533960716e652',1,'fsl_common.h']]], - ['kstatusgroup_5fdma',['kStatusGroup_DMA',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a210ff4fa30e99618f8d3e978df03a7b6',1,'fsl_common.h']]], - ['kstatusgroup_5fdmamgr',['kStatusGroup_DMAMGR',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3091dcef1c0c7cd48658d8b43b52fbaf',1,'fsl_common.h']]], - ['kstatusgroup_5fdmic',['kStatusGroup_DMIC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a679444433f12ae5649cb02c2032ca20e',1,'fsl_common.h']]], - ['kstatusgroup_5fdspi',['kStatusGroup_DSPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aee549dc5fb5e05a0d8daaa61a089b222',1,'fsl_common.h']]], - ['kstatusgroup_5fecspi',['kStatusGroup_ECSPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab99693af818132e3cb4f9198965ad637',1,'fsl_common.h']]], - ['kstatusgroup_5fedma',['kStatusGroup_EDMA',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a0ec7f0302a8f7eb082f449933880a1ad',1,'fsl_common.h']]], - ['kstatusgroup_5fenet',['kStatusGroup_ENET',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7d6b922ab2a42d6ef35cfb2be4f80251',1,'fsl_common.h']]], - ['kstatusgroup_5fenet_5fqos',['kStatusGroup_ENET_QOS',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aae462c0663af9e2d180cd06c5798ac3b',1,'fsl_common.h']]], - ['kstatusgroup_5fesai',['kStatusGroup_ESAI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a01101c0342017a7fbe7225a7aa285bad',1,'fsl_common.h']]], - ['kstatusgroup_5fextern_5feeprom',['kStatusGroup_EXTERN_EEPROM',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e4a33b100580c2c1606d66d5ffdfaa3',1,'fsl_common.h']]], - ['kstatusgroup_5fflash',['kStatusGroup_FLASH',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8db8bea33da992b22cafbae1dcf65bb0',1,'fsl_common.h']]], - ['kstatusgroup_5fflashiap',['kStatusGroup_FLASHIAP',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae5b7283daca81a85091e27b80ece629a',1,'fsl_common.h']]], - ['kstatusgroup_5fflexcan',['kStatusGroup_FLEXCAN',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1461a28ac2297f07aa34581074cf9923',1,'fsl_common.h']]], - ['kstatusgroup_5fflexcomm_5fi2c',['kStatusGroup_FLEXCOMM_I2C',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aedd1abcbca188de6e16a6f088d59e92e',1,'fsl_common.h']]], - ['kstatusgroup_5fflexio_5fcamera',['kStatusGroup_FLEXIO_CAMERA',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a214d1cc4faa574be18fa9d865f2ca36d',1,'fsl_common.h']]], - ['kstatusgroup_5fflexio_5fi2c',['kStatusGroup_FLEXIO_I2C',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a67e5d3d11fb3f47ec11d9ee612b98b94',1,'fsl_common.h']]], - ['kstatusgroup_5fflexio_5fi2s',['kStatusGroup_FLEXIO_I2S',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae834c896c69c50855c5cf07768a6cba3',1,'fsl_common.h']]], - ['kstatusgroup_5fflexio_5fmculcd',['kStatusGroup_FLEXIO_MCULCD',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a055d3788919f48c2d69463819d02d903',1,'fsl_common.h']]], - ['kstatusgroup_5fflexio_5fspi',['kStatusGroup_FLEXIO_SPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bc2ecc10af8973a1ecc4f0163fb9b53',1,'fsl_common.h']]], - ['kstatusgroup_5fflexio_5fuart',['kStatusGroup_FLEXIO_UART',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7514134f14004b1835d27cac48bd991',1,'fsl_common.h']]], - ['kstatusgroup_5fflexspi',['kStatusGroup_FLEXSPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a22f1bdf5e7abbeb0bdfe18cda0bc5aca',1,'fsl_common.h']]], - ['kstatusgroup_5fgeneric',['kStatusGroup_Generic',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7c95e11ceb8067c9c2703f96e51aca7',1,'fsl_common.h']]], - ['kstatusgroup_5fhal_5fflash',['kStatusGroup_HAL_FLASH',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa5afa714de4adf37bc00faf6c3dc589e',1,'fsl_common.h']]], - ['kstatusgroup_5fhal_5fgpio',['kStatusGroup_HAL_GPIO',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a18ce0675c0cc628b2ff29a1bafce8b58',1,'fsl_common.h']]], - ['kstatusgroup_5fhal_5fi2c',['kStatusGroup_HAL_I2C',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aaa30b9e3fdd5e93bae58e66521b3015d',1,'fsl_common.h']]], - ['kstatusgroup_5fhal_5fi2s',['kStatusGroup_HAL_I2S',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ac509445aa04b715b77e6461993b50085',1,'fsl_common.h']]], - ['kstatusgroup_5fhal_5fpwm',['kStatusGroup_HAL_PWM',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa4609e941ad1155a86c40bdfcb20a155',1,'fsl_common.h']]], - ['kstatusgroup_5fhal_5frng',['kStatusGroup_HAL_RNG',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa0d0535965c8d28434b036961f6a8c20',1,'fsl_common.h']]], - ['kstatusgroup_5fhal_5fspi',['kStatusGroup_HAL_SPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aba70aa1970435b3ccd39ac54f9d7a68c',1,'fsl_common.h']]], - ['kstatusgroup_5fhal_5ftimer',['kStatusGroup_HAL_TIMER',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa44796b25ed6ed37f7fb25f6f4076c2d',1,'fsl_common.h']]], - ['kstatusgroup_5fhal_5fuart',['kStatusGroup_HAL_UART',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a6510cce112fc6ed1e0523b5d7b307ca2',1,'fsl_common.h']]], - ['kstatusgroup_5fhashcrypt',['kStatusGroup_HASHCRYPT',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1dcb6a7c2605c9ed77388fcb25b01feb',1,'fsl_common.h']]], - ['kstatusgroup_5fhostif',['kStatusGroup_HOSTIF',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a51bf18cc27f6df31f1602db81a2f77f5',1,'fsl_common.h']]], - ['kstatusgroup_5fi2c',['kStatusGroup_I2C',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a5c67a60e98e49151455f565c2834d228',1,'fsl_common.h']]], - ['kstatusgroup_5fi2s',['kStatusGroup_I2S',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a0b66f34ec90c9b6a702e0f00f5ddae43',1,'fsl_common.h']]], - ['kstatusgroup_5fi3c',['kStatusGroup_I3C',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a6dbe13107a9dbb857242cf05e2319f9d',1,'fsl_common.h']]], - ['kstatusgroup_5fi3cbus',['kStatusGroup_I3CBUS',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae28000753ef8046bc9b08e03fc8a1899',1,'fsl_common.h']]], - ['kstatusgroup_5fiap',['kStatusGroup_IAP',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a097d515214e888371df5c588b839529d',1,'fsl_common.h']]], - ['kstatusgroup_5fics',['kStatusGroup_ICS',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a01bf442d671041dcbd1649ec0428c3b6',1,'fsl_common.h']]], - ['kstatusgroup_5fiped',['kStatusGroup_IPED',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a79d16666510da650e32b1499cf102aa5',1,'fsl_common.h']]], - ['kstatusgroup_5fiuart',['kStatusGroup_IUART',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a33255aee9de4a1d093770b218f944d0f',1,'fsl_common.h']]], - ['kstatusgroup_5fled',['kStatusGroup_LED',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a84ab69a4e7f3f1c39f1c3a64d74af08c',1,'fsl_common.h']]], - ['kstatusgroup_5flist',['kStatusGroup_LIST',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e3bc103af2d3db7b628f87174d2bff8',1,'fsl_common.h']]], - ['kstatusgroup_5flmem',['kStatusGroup_LMEM',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a376f952aee5cd45d903da520a37e4c52',1,'fsl_common.h']]], - ['kstatusgroup_5flog',['kStatusGroup_LOG',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a2af6421e7b473bd882f5372ad1fa6d0e',1,'fsl_common.h']]], - ['kstatusgroup_5flpc_5fi2c',['kStatusGroup_LPC_I2C',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab597c78848ce0e63d2518f14e7bac82b',1,'fsl_common.h']]], - ['kstatusgroup_5flpc_5fi2c_5f1',['kStatusGroup_LPC_I2C_1',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a749eaf601d6fe3124f054bcb796b348c',1,'fsl_common.h']]], - ['kstatusgroup_5flpc_5fminispi',['kStatusGroup_LPC_MINISPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab0104dd99e52fbf948f4ae030de5313d',1,'fsl_common.h']]], - ['kstatusgroup_5flpc_5fspi',['kStatusGroup_LPC_SPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ac4c2c9d32010087f0602dbdf2c389857',1,'fsl_common.h']]], - ['kstatusgroup_5flpc_5fspi_5fssp',['kStatusGroup_LPC_SPI_SSP',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa2c382624b5763cf24cf9727fbfadde3',1,'fsl_common.h']]], - ['kstatusgroup_5flpc_5fusart',['kStatusGroup_LPC_USART',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a02f52b9532ae0d4af04f5c054f3fab84',1,'fsl_common.h']]], - ['kstatusgroup_5flpi2c',['kStatusGroup_LPI2C',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a0d6c6a34fc0b6dbcb323f010556a3be1',1,'fsl_common.h']]], - ['kstatusgroup_5flpsci',['kStatusGroup_LPSCI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a9f1b2d0eeee16f209d7eed3bdb1cf533',1,'fsl_common.h']]], - ['kstatusgroup_5flpspi',['kStatusGroup_LPSPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a491dbb2373725b607970c032f4e04ee3',1,'fsl_common.h']]], - ['kstatusgroup_5flpuart',['kStatusGroup_LPUART',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bcb0be184f8d5bb76d4be8e99b4e45e',1,'fsl_common.h']]], - ['kstatusgroup_5fltc',['kStatusGroup_LTC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a64b54e0423e0ad8a227a38cad4ad4eda',1,'fsl_common.h']]], - ['kstatusgroup_5fmcan',['kStatusGroup_MCAN',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab7f77dd7e0c3d068baf39117ac08a0b9',1,'fsl_common.h']]], - ['kstatusgroup_5fmcg',['kStatusGroup_MCG',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8210c55fe061ff24e75a0f928dbfcb39',1,'fsl_common.h']]], - ['kstatusgroup_5fmecc',['kStatusGroup_MECC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ad85b4bef880b9407425398fc584e16a0',1,'fsl_common.h']]], - ['kstatusgroup_5fmem_5fmanager',['kStatusGroup_MEM_MANAGER',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29acdfe26eb8bbbaaea438470512401e09a',1,'fsl_common.h']]], - ['kstatusgroup_5fmipi_5fdsi',['kStatusGroup_MIPI_DSI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29abdf3fca48a7a13b67d0207aeb59bc581',1,'fsl_common.h']]], - ['kstatusgroup_5fmmdc',['kStatusGroup_MMDC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a67a884fec4d6adb5b455d50a0a5617a5',1,'fsl_common.h']]], - ['kstatusgroup_5fmscan',['kStatusGroup_MSCAN',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a858563b1d87d6fa57a8f442025655201',1,'fsl_common.h']]], - ['kstatusgroup_5fmsg',['kStatusGroup_MSG',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a6d0dedbec7ee218b0ae03f23edad02fd',1,'fsl_common.h']]], - ['kstatusgroup_5fnetc',['kStatusGroup_NETC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e0ac8d81af0520d13c650cd3a3bcdde',1,'fsl_common.h']]], - ['kstatusgroup_5fnotifier',['kStatusGroup_NOTIFIER',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a56134a73f0cfd393dd7cf7fb2395dd99',1,'fsl_common.h']]], - ['kstatusgroup_5fosa',['kStatusGroup_OSA',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a5b65c75456471a2536a97a30db4b8da3',1,'fsl_common.h']]], - ['kstatusgroup_5fotfad',['kStatusGroup_OTFAD',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a4f53ab1f39031629ac12159920f0cde1',1,'fsl_common.h']]], - ['kstatusgroup_5fotp',['kStatusGroup_OTP',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d9b7b66bfaaf53b42aad8462c88ea62',1,'fsl_common.h']]], - ['kstatusgroup_5fpdm',['kStatusGroup_PDM',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0b4ea9f05497a624e32811c4f36a3be',1,'fsl_common.h']]], - ['kstatusgroup_5fphy',['kStatusGroup_PHY',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a521a4b8ac1776d0f41af044886a71b3b',1,'fsl_common.h']]], - ['kstatusgroup_5fpower',['kStatusGroup_POWER',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a94d2a6fe8021fed5487169a46421d47e',1,'fsl_common.h']]], - ['kstatusgroup_5fpower_5fmanager',['kStatusGroup_POWER_MANAGER',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a6c52b0b8b2d2ca670a5f8eca66212f54',1,'fsl_common.h']]], - ['kstatusgroup_5fpuf',['kStatusGroup_PUF',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a984927be2e0e57c4125e8e8f5d9c0f48',1,'fsl_common.h']]], - ['kstatusgroup_5fqsci',['kStatusGroup_QSCI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a025ed41827a0bd0b7bf60b853a921c7d',1,'fsl_common.h']]], - ['kstatusgroup_5fqspi',['kStatusGroup_QSPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a4a488e0f7cc1d3baa449ab4ca99e7b34',1,'fsl_common.h']]], - ['kstatusgroup_5fqueuedspi',['kStatusGroup_QUEUEDSPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7712fcacc6d5e9698366daafc59ea644',1,'fsl_common.h']]], - ['kstatusgroup_5fsai',['kStatusGroup_SAI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae4461a3a085ea13b5fe7908bf1e109f8',1,'fsl_common.h']]], - ['kstatusgroup_5fscg',['kStatusGroup_SCG',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a9771abafdcf43bf924599a0081e92bf2',1,'fsl_common.h']]], - ['kstatusgroup_5fsdhc',['kStatusGroup_SDHC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aba83e94d1735b695119429a4e55bb3a4',1,'fsl_common.h']]], - ['kstatusgroup_5fsdif',['kStatusGroup_SDIF',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a25fb824a9ad702e631276a8ea5d03603',1,'fsl_common.h']]], - ['kstatusgroup_5fsdioslv',['kStatusGroup_SDIOSLV',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3e59e90d903b97bd45037175997f4ad6',1,'fsl_common.h']]], - ['kstatusgroup_5fsdk_5fflexspinor',['kStatusGroup_SDK_FLEXSPINOR',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a830e5b42a05424dc026bd36d30f143a5',1,'fsl_common.h']]], - ['kstatusgroup_5fsdk_5focotp',['kStatusGroup_SDK_OCOTP',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a539b147c0d1409a0e11c3ae340886fa8',1,'fsl_common.h']]], - ['kstatusgroup_5fsdma',['kStatusGroup_SDMA',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab55df75d85633505960f611180054524',1,'fsl_common.h']]], - ['kstatusgroup_5fsdmmc',['kStatusGroup_SDMMC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1c5e35d9d03724b7ccadcae8e0451384',1,'fsl_common.h']]], - ['kstatusgroup_5fsdramc',['kStatusGroup_SDRAMC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3b3116c6f4aa1a88e6e7abc45df58938',1,'fsl_common.h']]], - ['kstatusgroup_5fsdspi',['kStatusGroup_SDSPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a85685b8cde1285d240569b9518c32fc0',1,'fsl_common.h']]], - ['kstatusgroup_5fsema42',['kStatusGroup_SEMA42',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a073c6e3ccd0dbcf1b812cb21da5e7df9',1,'fsl_common.h']]], - ['kstatusgroup_5fsemc',['kStatusGroup_SEMC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a2fef671dda58af09262787022fe321fe',1,'fsl_common.h']]], - ['kstatusgroup_5fserialmanager',['kStatusGroup_SERIALMANAGER',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a39a54cda2a1cac31e1c00be0eb4a7620',1,'fsl_common.h']]], - ['kstatusgroup_5fsfa',['kStatusGroup_SFA',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a9cf111a176ebe1210ae6faaa950aac54',1,'fsl_common.h']]], - ['kstatusgroup_5fshell',['kStatusGroup_SHELL',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a660addd059be8786f2aa3d9a1a196ae1',1,'fsl_common.h']]], - ['kstatusgroup_5fsmartcard',['kStatusGroup_SMARTCARD',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae16d6bba44ae6f24187946960fb826dd',1,'fsl_common.h']]], - ['kstatusgroup_5fsnt',['kStatusGroup_SNT',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae67462f0d7dbac886d8a1272a29b846c',1,'fsl_common.h']]], - ['kstatusgroup_5fspc',['kStatusGroup_SPC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7491bc6ede9a2eb6e7f292bb1530b7d7',1,'fsl_common.h']]], - ['kstatusgroup_5fspdif',['kStatusGroup_SPDIF',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8bea2b5f8b1458aaa0dc29ddbb972d87',1,'fsl_common.h']]], - ['kstatusgroup_5fspi',['kStatusGroup_SPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a60c31c70600aff4f290ca2d790622977',1,'fsl_common.h']]], - ['kstatusgroup_5fspifi',['kStatusGroup_SPIFI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ac071c3c3d14ed8afadb2bb6de249c722',1,'fsl_common.h']]], - ['kstatusgroup_5ftimermanager',['kStatusGroup_TIMERMANAGER',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a4603f8fedc8ad994788e17baae895013',1,'fsl_common.h']]], - ['kstatusgroup_5ftouch_5fpanel',['kStatusGroup_TOUCH_PANEL',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a381f1929c41dcaef62d2d44326719db8',1,'fsl_common.h']]], - ['kstatusgroup_5ftrgmux',['kStatusGroup_TRGMUX',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29adb8c69c2f8cd344f3e2dc0b0db4a1631',1,'fsl_common.h']]], - ['kstatusgroup_5fuart',['kStatusGroup_UART',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aeeb2ed6ef4ce59c7e1d416466f55bfb7',1,'fsl_common.h']]], - ['kstatusgroup_5fusdhc',['kStatusGroup_USDHC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae2f54d029f0e74c8fed8812e24000a74',1,'fsl_common.h']]], - ['kstatusgroup_5fvbat',['kStatusGroup_VBAT',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29af4cabd4468ae9e670d9c188064c56f41',1,'fsl_common.h']]], - ['kstatusgroup_5fxrdc',['kStatusGroup_XRDC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29af98b407f4e66e7046a3173031b45253d',1,'fsl_common.h']]], - ['kswm_5facmp_5finput1',['kSWM_ACMP_INPUT1',['../a00089.html#gga6db188a71a269613f30825af80c02053a28fab9703b5177948f7409efa9ff848a',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5finput2',['kSWM_ACMP_INPUT2',['../a00089.html#gga6db188a71a269613f30825af80c02053abd4f15c4f7b44b457764ab2aa67ac5ac',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5finput3',['kSWM_ACMP_INPUT3',['../a00089.html#gga6db188a71a269613f30825af80c02053ad8072ce6e9985ae8377377ae49ba57d7',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5finput4',['kSWM_ACMP_INPUT4',['../a00089.html#gga6db188a71a269613f30825af80c02053a2f09e0ca30217841402ba6bf16f8f785',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5finput5',['kSWM_ACMP_INPUT5',['../a00089.html#gga6db188a71a269613f30825af80c02053ad1b1f498a44a52ed74eab7333c03e177',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5fout',['kSWM_ACMP_OUT',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da76769594d29a6d8659c5c625b4212a24',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn0',['kSWM_ADC_CHN0',['../a00089.html#gga6db188a71a269613f30825af80c02053acc0420d5b902bffdc029c0a179fd9653',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn1',['kSWM_ADC_CHN1',['../a00089.html#gga6db188a71a269613f30825af80c02053af96a078ddf8497b6680dcb8812abccaf',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn10',['kSWM_ADC_CHN10',['../a00089.html#gga6db188a71a269613f30825af80c02053a3872c11496f6cd2755a2cc33edeee25e',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn11',['kSWM_ADC_CHN11',['../a00089.html#gga6db188a71a269613f30825af80c02053a1195026daab11c8e8e8a07ea2588dc59',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn2',['kSWM_ADC_CHN2',['../a00089.html#gga6db188a71a269613f30825af80c02053aab8f0dd610beed22acf2a5a2c3149a38',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn3',['kSWM_ADC_CHN3',['../a00089.html#gga6db188a71a269613f30825af80c02053ab3e46c2499e53d6187acef5b9c92faeb',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn4',['kSWM_ADC_CHN4',['../a00089.html#gga6db188a71a269613f30825af80c02053a131299a8724b490b48ff5ea4ae88ec85',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn5',['kSWM_ADC_CHN5',['../a00089.html#gga6db188a71a269613f30825af80c02053a8f49833db881a5cca72e39060510fe33',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn6',['kSWM_ADC_CHN6',['../a00089.html#gga6db188a71a269613f30825af80c02053a2cf1d219093b981b000fefd1b4b88835',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn7',['kSWM_ADC_CHN7',['../a00089.html#gga6db188a71a269613f30825af80c02053af60644696c7226d16b940a379e974d07',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn8',['kSWM_ADC_CHN8',['../a00089.html#gga6db188a71a269613f30825af80c02053aca55eb81b04844960f74d6b6f735f406',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn9',['kSWM_ADC_CHN9',['../a00089.html#gga6db188a71a269613f30825af80c02053a332c28d49858ffceda3b7d9a9a317d0c',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx0',['kSWM_CAPT_X0',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da5673e5074470fd8c7773f987a45612ab',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx1',['kSWM_CAPT_X1',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da74e21d63631f6e3eeae57269cc597ff9',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx2',['kSWM_CAPT_X2',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dae11d56486ef46dfad9ea855f223483f2',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx3',['kSWM_CAPT_X3',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da144b0c83acca82fa910848f7587af18e',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx4',['kSWM_CAPT_X4',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dadad77032cc009f3690361c9f4d552cdd',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fyh',['kSWM_CAPT_YH',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da839653f003a5f245984cbbb3e0ded090',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fyl',['kSWM_CAPT_YL',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dabe002214e7dc409f243527310e689060',1,'fsl_swm_connections.h']]], - ['kswm_5fclkin',['kSWM_CLKIN',['../a00089.html#gga6db188a71a269613f30825af80c02053add9353fb1a660338dc4129f564d43c41',1,'fsl_swm_connections.h']]], - ['kswm_5fclkout',['kSWM_CLKOUT',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da867964bdb44cfca767b2fe212243ad68',1,'fsl_swm_connections.h']]], - ['kswm_5fdac_5fout0',['kSWM_DAC_OUT0',['../a00089.html#gga6db188a71a269613f30825af80c02053aafaffe0fe7c5ed4eaed04bd7acac4f0b',1,'fsl_swm_connections.h']]], - ['kswm_5ffixedpin_5fnum_5ffuncs',['kSWM_FIXEDPIN_NUM_FUNCS',['../a00089.html#gga6db188a71a269613f30825af80c02053a609f40f8714286a7fce78c131a97b69f',1,'fsl_swm_connections.h']]], - ['kswm_5fgpio_5fint_5fbmat',['kSWM_GPIO_INT_BMAT',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da9e23b0bd838b14f99f22d1ae76d63143',1,'fsl_swm_connections.h']]], - ['kswm_5fi2c0_5fscl',['kSWM_I2C0_SCL',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da6f0a53c70373fdbf41edf57122669a47',1,'fsl_swm_connections.h']]], - ['kswm_5fi2c0_5fsda',['kSWM_I2C0_SDA',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da679e93580df161b665365f658345ed65',1,'fsl_swm_connections.h']]], - ['kswm_5fi2c1_5fscl',['kSWM_I2C1_SCL',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da5ba6a30f56eb6e001abb178bb215469a',1,'fsl_swm_connections.h']]], - ['kswm_5fi2c1_5fsda',['kSWM_I2C1_SDA',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dab15fc1b1ba8e4f1a35fc314c60b467be',1,'fsl_swm_connections.h']]], - ['kswm_5flvlshft_5fin0',['kSWM_LVLSHFT_IN0',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dacd8498beecae42d50d55fd9135fa5554',1,'fsl_swm_connections.h']]], - ['kswm_5flvlshft_5fin1',['kSWM_LVLSHFT_IN1',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da6edbcf66e2b9d21b36413d5913952b7b',1,'fsl_swm_connections.h']]], - ['kswm_5flvlshft_5fout0',['kSWM_LVLSHFT_OUT0',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da4bfff10a0df16a23aebb02bc2a19f662',1,'fsl_swm_connections.h']]], - ['kswm_5flvlshft_5fout1',['kSWM_LVLSHFT_OUT1',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da167b30e083150f7ef078b3fc15b9a2fb',1,'fsl_swm_connections.h']]], - ['kswm_5fmovable_5fnum_5ffuncs',['kSWM_MOVABLE_NUM_FUNCS',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dac735c6243e08a73181d0f0f8f6c130bb',1,'fsl_swm_connections.h']]], - ['kswm_5fpinassingnfixed_5fmovable_5fnum_5ffuncs',['kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cdab1344f53d421d647d2f85460ff8630df',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fclkin_5fin',['kSWM_PLU_CLKIN_IN',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13daf4805a2bfb2a47017d373209a10ce52d',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput0',['kSWM_PLU_INPUT0',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cda1dea0e7772dbe79bdf2cfb15e7a547a0',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput0_5fportpin_5fp0_5f0',['kSWM_PLU_INPUT0_PortPin_P0_0',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9238a533be8bd8e0955b7a90f5ae4d44',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput0_5fportpin_5fp0_5f17',['kSWM_PLU_INPUT0_PortPin_P0_17',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a34bca304086fb621de87b04528f8164b',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput0_5fportpin_5fp0_5f8',['kSWM_PLU_INPUT0_PortPin_P0_8',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475ad0716ea2f10ff5fbd04234889b1e53ed',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput1',['kSWM_PLU_INPUT1',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cdac9ea3883dbd984c68e4049f5fa48903c',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput1_5fportpin_5fp0_5f1',['kSWM_PLU_INPUT1_PortPin_P0_1',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af15b31098ffc1b3561d7ffbfd191c2e3',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput1_5fportpin_5fp0_5f18',['kSWM_PLU_INPUT1_PortPin_P0_18',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475afa22c48b958dcc1d146a381ceb411dc6',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput1_5fportpin_5fp0_5f9',['kSWM_PLU_INPUT1_PortPin_P0_9',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa54388872ede616ae8431f22adf9b883',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput2',['kSWM_PLU_INPUT2',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cda669e23d5037d7508cace2b056a6e5299',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput2_5fportpin_5fp0_5f10',['kSWM_PLU_INPUT2_PortPin_P0_10',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2e20c680e47cfed45e9ccd1462b921a1',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput2_5fportpin_5fp0_5f19',['kSWM_PLU_INPUT2_PortPin_P0_19',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a576c74aa596dfebd41123a123b1e086f',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput2_5fportpin_5fp0_5f2',['kSWM_PLU_INPUT2_PortPin_P0_2',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a7ac7b3d83eff1aca3ca60bf8102dac',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput3',['kSWM_PLU_INPUT3',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cdaf70a738eecaddb8268f264bb7baf6b9b',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput3_5fportpin_5fp0_5f11',['kSWM_PLU_INPUT3_PortPin_P0_11',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0ff213062c17f56f0bd74cf6510b1ee',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput3_5fportpin_5fp0_5f20',['kSWM_PLU_INPUT3_PortPin_P0_20',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af6c85c442a0709477e5729c9dde36fdc',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput3_5fportpin_5fp0_5f3',['kSWM_PLU_INPUT3_PortPin_P0_3',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475ae557054f417e495346ddcc3e7f7162ba',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput4',['kSWM_PLU_INPUT4',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cda8b3379b03023f7f9057837109b142230',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput4_5fportpin_5fp0_5f12',['kSWM_PLU_INPUT4_PortPin_P0_12',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a09729e747b6419e05e6b80eecd08b380',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput4_5fportpin_5fp0_5f21',['kSWM_PLU_INPUT4_PortPin_P0_21',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af8ebc1a1ac7242706e0a4d3254de8a1a',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput4_5fportpin_5fp0_5f4',['kSWM_PLU_INPUT4_PortPin_P0_4',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a88cbbe93397f750184a74a2fb1635441',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput5',['kSWM_PLU_INPUT5',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cdae66a048f12b6af45145f2747fe9de530',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput5_5fportpin_5fp0_5f13',['kSWM_PLU_INPUT5_PortPin_P0_13',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a30425caf231771228aa99f2871efa005',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput5_5fportpin_5fp0_5f22',['kSWM_PLU_INPUT5_PortPin_P0_22',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a78528eef89bede351c7f85b2de156747',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput5_5fportpin_5fp0_5f5',['kSWM_PLU_INPUT5_PortPin_P0_5',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a4de20ff3218f9af0175dfba0a1aaa38d',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout0',['kSWM_PLU_OUT0',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cdaa2fbf0c2913f92571b79aebda5d5cec6',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout0_5fportpin_5fp0_5f14',['kSWM_PLU_OUT0_PortPin_P0_14',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa99b5d54d06869f8977cf41527daf915',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout0_5fportpin_5fp0_5f23',['kSWM_PLU_OUT0_PortPin_P0_23',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a8b81353af093a8df62363344a1359c42',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout0_5fportpin_5fp0_5f7',['kSWM_PLU_OUT0_PortPin_P0_7',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475acf4d35c0b2416225ace1794d2316d78b',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout1',['kSWM_PLU_OUT1',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cda865830e9fdc6bf03bf1d477ca3c6a6ca',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout1_5fportpin_5fp0_5f15',['kSWM_PLU_OUT1_PortPin_P0_15',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0c66d3751768e52c7b283f7c94b17bce',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout1_5fportpin_5fp0_5f24',['kSWM_PLU_OUT1_PortPin_P0_24',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a1b0e5339f4df77ac5085a16d8d0ff7',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout1_5fportpin_5fp0_5f8',['kSWM_PLU_OUT1_PortPin_P0_8',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475ac53270179d009a402596effdc9ebabdc',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout2',['kSWM_PLU_OUT2',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cda5bb0b796d35f26e384e79aea47cf62b7',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout2_5fportpin_5fp0_5f16',['kSWM_PLU_OUT2_PortPin_P0_16',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475acc1e82634c86fc3ee7c0a50026e901ad',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout2_5fportpin_5fp0_5f25',['kSWM_PLU_OUT2_PortPin_P0_25',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2d217651e60f137293707c19d717af37',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout2_5fportpin_5fp0_5f9',['kSWM_PLU_OUT2_PortPin_P0_9',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af3bbdfacb373ba7dbcbedde68406d03f',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout3',['kSWM_PLU_OUT3',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cdad6866a46cce2335ee7228f696af14ea2',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout3_5fportpin_5fp0_5f10',['kSWM_PLU_OUT3_PortPin_P0_10',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa9aeb1c6417d18b72b3d94aec5ac7431',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout3_5fportpin_5fp0_5f17',['kSWM_PLU_OUT3_PortPin_P0_17',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a77450c6220c5a32fde70c7e91d3edbb0',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout3_5fportpin_5fp0_5f26',['kSWM_PLU_OUT3_PortPin_P0_26',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0c298ece28ee4367783dcf472fe20b6',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout4',['kSWM_PLU_OUT4',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cdaef14d94c177e35d440f3549aeed9c7b8',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout4_5fportpin_5fp0_5f11',['kSWM_PLU_OUT4_PortPin_P0_11',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2da86e45befea6a916816c5e523daad5',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout4_5fportpin_5fp0_5f18',['kSWM_PLU_OUT4_PortPin_P0_18',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a73d53097414e5fc5f4cbe2bcdc5b16d1',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout4_5fportpin_5fp0_5f27',['kSWM_PLU_OUT4_PortPin_P0_27',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a58d7c20b50aaa229094c5dc60a0cb14b',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout5',['kSWM_PLU_OUT5',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cda66b170fb8a6d54c31eacce78c619adbf',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout5_5fportpin_5fp0_5f12',['kSWM_PLU_OUT5_PortPin_P0_12',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9c78a8bb274fb2604cb4e80af4da988c',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout5_5fportpin_5fp0_5f19',['kSWM_PLU_OUT5_PortPin_P0_19',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa4096fcdb3eb88b567870cf2f250208e',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout5_5fportpin_5fp0_5f28',['kSWM_PLU_OUT5_PortPin_P0_28',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a31d722ef719c89924cd70efe94723ad3',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout6',['kSWM_PLU_OUT6',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cdafae5eae69465f413508aac6ac906b7fe',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout6_5fportpin_5fp0_5f13',['kSWM_PLU_OUT6_PortPin_P0_13',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a7ea7748ab2a2bb448eca9daab449a9fa',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout6_5fportpin_5fp0_5f20',['kSWM_PLU_OUT6_PortPin_P0_20',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a89ea9c75bd1ef71e7f1d4c52544dad9f',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout6_5fportpin_5fp0_5f29',['kSWM_PLU_OUT6_PortPin_P0_29',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2b352e3ffe67686dac289a8f8d86fba0',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout7',['kSWM_PLU_OUT7',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cdaafdc30e41c762800c39cd92cbffb2b31',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout7_5fportpin_5fp0_5f14',['kSWM_PLU_OUT7_PortPin_P0_14',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0038fe47f7308af0fb046b6977b5928e',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout7_5fportpin_5fp0_5f21',['kSWM_PLU_OUT7_PortPin_P0_21',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af1e2e2ccc38fec30ae5b3e617524cdab',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout7_5fportpin_5fp0_5f30',['kSWM_PLU_OUT7_PortPin_P0_30',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0ead9e203d786e410f1d21f19f02aed2',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f0',['kSWM_PortPin_P0_0',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35abf7ea2c0f06252d5e966ca63f23dba2e',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f1',['kSWM_PortPin_P0_1',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a9010bbdc4d0ba698a18c200f27586c5a',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f10',['kSWM_PortPin_P0_10',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35adb2af26fbb96cad1a3f4d666e3759e39',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f11',['kSWM_PortPin_P0_11',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a6514b3be861759fdc7f645c4a8190176',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f12',['kSWM_PortPin_P0_12',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a473548308d7fac0d5fa4ac959f81e444',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f13',['kSWM_PortPin_P0_13',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a0efea555d71ff08c985b3c002e49f25a',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f14',['kSWM_PortPin_P0_14',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ae2a752505db2acdb06e6047c3c447f63',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f15',['kSWM_PortPin_P0_15',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a21dd2c94b4ab7b133e9818a5a394f967',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f16',['kSWM_PortPin_P0_16',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a0c38ea80f06768e2ad6282fbc11d5187',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f17',['kSWM_PortPin_P0_17',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a74b6edcb7dba9f95182a4faa57dd110d',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f18',['kSWM_PortPin_P0_18',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a1eb0795f2598b9acf64e7abcb63f78d5',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f19',['kSWM_PortPin_P0_19',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a488a17a4e210b5000df9ecc534d24c8b',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f2',['kSWM_PortPin_P0_2',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35aa8e8084f5ea75a2e776f4a8f8a8d5e61',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f20',['kSWM_PortPin_P0_20',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a1127b8f8732d34f2c80daf825bb14853',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f21',['kSWM_PortPin_P0_21',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a8ec22695a3c8fbc028cc86299ca76b9a',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f22',['kSWM_PortPin_P0_22',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35af2a8176b461addf3d18b1128800dd7ef',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f23',['kSWM_PortPin_P0_23',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ae6795c51fac27d7426848568f125a6e8',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f24',['kSWM_PortPin_P0_24',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ac61483b0bbae6a06d6789e41aaf450fc',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f25',['kSWM_PortPin_P0_25',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a7a625624c8520faff1f2e6b0a1230ba5',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f26',['kSWM_PortPin_P0_26',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ac557f3233abd222c5cf7d809e082f94b',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f27',['kSWM_PortPin_P0_27',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35aea9feb0c4582cb00ea087fef692f7623',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f28',['kSWM_PortPin_P0_28',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35af3005bf86c4488117ca1396151d2c2af',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f29',['kSWM_PortPin_P0_29',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35acc5bcdfe59c04f2da33835496b3a2ddf',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f3',['kSWM_PortPin_P0_3',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a3a31a8f0c23e7d75db386e0f1705fcb8',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f30',['kSWM_PortPin_P0_30',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35aeba0cfeb40308a0bd10bd33298bf9e84',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f31',['kSWM_PortPin_P0_31',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a7b0ebc4f977a126eb51422b3df962e87',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f4',['kSWM_PortPin_P0_4',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a933c38c001bf24a62f0c8bf62e9bbbfb',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f5',['kSWM_PortPin_P0_5',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a75b66d0e23730af2446bdec683db11d3',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f6',['kSWM_PortPin_P0_6',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a4e2797855da4686eb7de9e75c5034d66',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f7',['kSWM_PortPin_P0_7',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a8a3af6c1f0f5791666b2c8409321ee0b',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f8',['kSWM_PortPin_P0_8',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ab0f66b94af6c72515e705077a0aea28b',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f9',['kSWM_PortPin_P0_9',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ace9b73074e1c03234c89b40ecd52a1e6',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5freset',['kSWM_PortPin_Reset',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a6873cb2ccda9efd34d7fdd75f3829f1c',1,'fsl_swm_connections.h']]], - ['kswm_5fresetn',['kSWM_RESETN',['../a00089.html#gga6db188a71a269613f30825af80c02053a2c3df2d1d6d1fb817003b824eb699585',1,'fsl_swm_connections.h']]], - ['kswm_5frst_5fn_5fshift_5frstn',['kSWM_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770abbd5b2eb886157d35a4ff88077fa192b',1,'fsl_reset.h']]], - ['kswm_5fspi0_5fmiso',['kSWM_SPI0_MISO',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da84653c7b62da4f773676a39a2abe597a',1,'fsl_swm_connections.h']]], - ['kswm_5fspi0_5fmosi',['kSWM_SPI0_MOSI',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dad6e9059822d87be57e82960aa79d9229',1,'fsl_swm_connections.h']]], - ['kswm_5fspi0_5fsck',['kSWM_SPI0_SCK',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dabd1859e7fd97d6aad4edf95f92eb1df0',1,'fsl_swm_connections.h']]], - ['kswm_5fspi0_5fssel0',['kSWM_SPI0_SSEL0',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dadeb15fd0fbfb9f438e22762cad170359',1,'fsl_swm_connections.h']]], - ['kswm_5fspi0_5fssel1',['kSWM_SPI0_SSEL1',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dacdcd02ea5803089ebcd609e227acab8b',1,'fsl_swm_connections.h']]], - ['kswm_5fswclk',['kSWM_SWCLK',['../a00089.html#gga6db188a71a269613f30825af80c02053a9b86fc933fab954e947140ea4ca2ffe3',1,'fsl_swm_connections.h']]], - ['kswm_5fswdio',['kSWM_SWDIO',['../a00089.html#gga6db188a71a269613f30825af80c02053ac0ebb404f4a50e198c79a35af9721e6c',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fcap_5fchn0',['kSWM_T0_CAP_CHN0',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da14bfd78536056ebdc4d2bfed0438b5cf',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fcap_5fchn1',['kSWM_T0_CAP_CHN1',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da048cac659ef579d1358cc6d2a7db2c3a',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fcap_5fchn2',['kSWM_T0_CAP_CHN2',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13daef5103aadc41068ca6157543520491b2',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fmat_5fchn0',['kSWM_T0_MAT_CHN0',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da0ac9c784851c59d1c334fba730bdc091',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fmat_5fchn1',['kSWM_T0_MAT_CHN1',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da4be08849669f9f086a238335662c6ac1',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fmat_5fchn2',['kSWM_T0_MAT_CHN2',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da11e574aeb2ec56f282a103d78c49ccbe',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fmat_5fchn3',['kSWM_T0_MAT_CHN3',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da3ab2e3d866e55d84dc2c342eb660c0d5',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5fcts',['kSWM_USART0_CTS',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dacf5086c4d10114ed1e2adf80ef0c1d8e',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5frts',['kSWM_USART0_RTS',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da83269426ac6b85e729bd940e7c6bc168',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5frxd',['kSWM_USART0_RXD',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dac38fd128ae8088af0ab82bb9e1ab2b0e',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5fsclk',['kSWM_USART0_SCLK',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da95076efb390207c31347c9d6eaf7a568',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5ftxd',['kSWM_USART0_TXD',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da7a0b07e7613ca95cbae02f51e74338ae',1,'fsl_swm_connections.h']]], - ['kswm_5fusart1_5frxd',['kSWM_USART1_RXD',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da40ca641c0138d551c28bb9dd184bf948',1,'fsl_swm_connections.h']]], - ['kswm_5fusart1_5fsclk',['kSWM_USART1_SCLK',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da209dc130cd5427683bedca3187726030',1,'fsl_swm_connections.h']]], - ['kswm_5fusart1_5ftxd',['kSWM_USART1_TXD',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da3ca0015b97fb5a1b24b1f6fda113488d',1,'fsl_swm_connections.h']]], - ['kswm_5fvddcmp',['kSWM_VDDCMP',['../a00089.html#gga6db188a71a269613f30825af80c02053a1c8051ee75028cdb756ce666cc9b1bb9',1,'fsl_swm_connections.h']]], - ['kswm_5fwkclkin',['kSWM_WKCLKIN',['../a00089.html#gga6db188a71a269613f30825af80c02053ae9a9d439d460166f8795ddd23c7135b5',1,'fsl_swm_connections.h']]], - ['ksyscon_5fgpioport0pin0topintsel',['kSYSCON_GpioPort0Pin0ToPintsel',['../a00090.html#gga4a63ee69b68459770551a0e4d7b6cdadafe2a386c6f3e8884b995d08fd87de542',1,'fsl_syscon_connections.h']]], - ['kuart0_5fclk_5ffrom_5ffrg0clk',['kUART0_Clk_From_Frg0Clk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58ad0784125581fd7bf09f4b3bab3af725d',1,'fsl_clock.h']]], - ['kuart0_5fclk_5ffrom_5ffro',['kUART0_Clk_From_Fro',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a67d2eb5235a0677d1ef161e5e1c2b340',1,'fsl_clock.h']]], - ['kuart0_5fclk_5ffrom_5ffro_5fdiv',['kUART0_Clk_From_Fro_Div',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58af06770587aa45bbb62d1e4fe36d03d81',1,'fsl_clock.h']]], - ['kuart0_5fclk_5ffrom_5fmainclk',['kUART0_Clk_From_MainClk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a841785f980e4c406eeecdcf07559fe66',1,'fsl_clock.h']]], - ['kuart0_5frst_5fn_5fshift_5frstn',['kUART0_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770aa294a3c09c1565947ebcd78694acff24',1,'fsl_reset.h']]], - ['kuart1_5fclk_5ffrom_5ffrg0clk',['kUART1_Clk_From_Frg0Clk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58af45a833dead43235df03a982dc25ea33',1,'fsl_clock.h']]], - ['kuart1_5fclk_5ffrom_5ffro',['kUART1_Clk_From_Fro',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a9fbd759cc60932bb0896625543477290',1,'fsl_clock.h']]], - ['kuart1_5fclk_5ffrom_5ffro_5fdiv',['kUART1_Clk_From_Fro_Div',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a1b183d67629d90ddc1a9b9baf2ebc285',1,'fsl_clock.h']]], - ['kuart1_5fclk_5ffrom_5fmainclk',['kUART1_Clk_From_MainClk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a066679b9e0a01ccd0aad6e6caa643f2f',1,'fsl_clock.h']]], - ['kuart1_5frst_5fn_5fshift_5frstn',['kUART1_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a8bc751e4927f0c20a0695b284fc40c38',1,'fsl_reset.h']]], + ['kstatusgroup_5fapplicationrangestart',['kStatusGroup_ApplicationRangeStart',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0c3a94577af5271a1042757d3c8fdc1',1,'fsl_common.h']]], + ['kstatusgroup_5fasrc',['kStatusGroup_ASRC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a713723bd8764655328f1e5283a8e6020',1,'fsl_common.h']]], + ['kstatusgroup_5fbma',['kStatusGroup_BMA',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af5e618b56733c41397941d8dec581030',1,'fsl_common.h']]], + ['kstatusgroup_5fbutton',['kStatusGroup_BUTTON',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7415efb189bfb31491ef0ae45fb24d90',1,'fsl_common.h']]], + ['kstatusgroup_5fcaam',['kStatusGroup_CAAM',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a298049d9d9c8be1b2e7a42f38a734d87',1,'fsl_common.h']]], + ['kstatusgroup_5fclif',['kStatusGroup_CLIF',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a50aada436b514e0056476e275e1fd203',1,'fsl_common.h']]], + ['kstatusgroup_5fcodec',['kStatusGroup_CODEC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7c488d28bc9be2e29bd0d133bce7389a',1,'fsl_common.h']]], + ['kstatusgroup_5fcommon_5ftask',['kStatusGroup_COMMON_TASK',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7771d56430ad7ff2553a1258aba16e02',1,'fsl_common.h']]], + ['kstatusgroup_5fcsi',['kStatusGroup_CSI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d1d1a595e9f00c6c9f80c19ce1b8ec5',1,'fsl_common.h']]], + ['kstatusgroup_5fcss_5fpkc',['kStatusGroup_CSS_PKC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9ef29ac5ce94ff0617434c39574b4f91',1,'fsl_common.h']]], + ['kstatusgroup_5fdcp',['kStatusGroup_DCP',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e7465155c679388316b2f874a284e9e',1,'fsl_common.h']]], + ['kstatusgroup_5fdebugconsole',['kStatusGroup_DebugConsole',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a499ec238a1614827065533960716e652',1,'fsl_common.h']]], + ['kstatusgroup_5fdma',['kStatusGroup_DMA',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a210ff4fa30e99618f8d3e978df03a7b6',1,'fsl_common.h']]], + ['kstatusgroup_5fdmamgr',['kStatusGroup_DMAMGR',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3091dcef1c0c7cd48658d8b43b52fbaf',1,'fsl_common.h']]], + ['kstatusgroup_5fdmic',['kStatusGroup_DMIC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a679444433f12ae5649cb02c2032ca20e',1,'fsl_common.h']]], + ['kstatusgroup_5fdspi',['kStatusGroup_DSPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aee549dc5fb5e05a0d8daaa61a089b222',1,'fsl_common.h']]], + ['kstatusgroup_5fecspi',['kStatusGroup_ECSPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab99693af818132e3cb4f9198965ad637',1,'fsl_common.h']]], + ['kstatusgroup_5fedma',['kStatusGroup_EDMA',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0ec7f0302a8f7eb082f449933880a1ad',1,'fsl_common.h']]], + ['kstatusgroup_5fels_5fpkc',['kStatusGroup_ELS_PKC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a70c9114d88c3a9b48cabb36cd4b2884d',1,'fsl_common.h']]], + ['kstatusgroup_5fenet',['kStatusGroup_ENET',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7d6b922ab2a42d6ef35cfb2be4f80251',1,'fsl_common.h']]], + ['kstatusgroup_5fenet_5fqos',['kStatusGroup_ENET_QOS',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aae462c0663af9e2d180cd06c5798ac3b',1,'fsl_common.h']]], + ['kstatusgroup_5fesai',['kStatusGroup_ESAI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a01101c0342017a7fbe7225a7aa285bad',1,'fsl_common.h']]], + ['kstatusgroup_5fextern_5feeprom',['kStatusGroup_EXTERN_EEPROM',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e4a33b100580c2c1606d66d5ffdfaa3',1,'fsl_common.h']]], + ['kstatusgroup_5fflash',['kStatusGroup_FLASH',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8db8bea33da992b22cafbae1dcf65bb0',1,'fsl_common.h']]], + ['kstatusgroup_5fflashiap',['kStatusGroup_FLASHIAP',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae5b7283daca81a85091e27b80ece629a',1,'fsl_common.h']]], + ['kstatusgroup_5fflexcan',['kStatusGroup_FLEXCAN',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1461a28ac2297f07aa34581074cf9923',1,'fsl_common.h']]], + ['kstatusgroup_5fflexcomm_5fi2c',['kStatusGroup_FLEXCOMM_I2C',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aedd1abcbca188de6e16a6f088d59e92e',1,'fsl_common.h']]], + ['kstatusgroup_5fflexio_5fcamera',['kStatusGroup_FLEXIO_CAMERA',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a214d1cc4faa574be18fa9d865f2ca36d',1,'fsl_common.h']]], + ['kstatusgroup_5fflexio_5fi2c',['kStatusGroup_FLEXIO_I2C',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a67e5d3d11fb3f47ec11d9ee612b98b94',1,'fsl_common.h']]], + ['kstatusgroup_5fflexio_5fi2s',['kStatusGroup_FLEXIO_I2S',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae834c896c69c50855c5cf07768a6cba3',1,'fsl_common.h']]], + ['kstatusgroup_5fflexio_5fmculcd',['kStatusGroup_FLEXIO_MCULCD',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a055d3788919f48c2d69463819d02d903',1,'fsl_common.h']]], + ['kstatusgroup_5fflexio_5fspi',['kStatusGroup_FLEXIO_SPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bc2ecc10af8973a1ecc4f0163fb9b53',1,'fsl_common.h']]], + ['kstatusgroup_5fflexio_5fuart',['kStatusGroup_FLEXIO_UART',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7514134f14004b1835d27cac48bd991',1,'fsl_common.h']]], + ['kstatusgroup_5fflexspi',['kStatusGroup_FLEXSPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a22f1bdf5e7abbeb0bdfe18cda0bc5aca',1,'fsl_common.h']]], + ['kstatusgroup_5fgeneric',['kStatusGroup_Generic',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7c95e11ceb8067c9c2703f96e51aca7',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5fadc_5fsensor',['kStatusGroup_HAL_ADC_SENSOR',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a91a5a43e0f7acfb5894ec7c246d05bcc',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5fflash',['kStatusGroup_HAL_FLASH',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa5afa714de4adf37bc00faf6c3dc589e',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5fgpio',['kStatusGroup_HAL_GPIO',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a18ce0675c0cc628b2ff29a1bafce8b58',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5fi2c',['kStatusGroup_HAL_I2C',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aaa30b9e3fdd5e93bae58e66521b3015d',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5fi2s',['kStatusGroup_HAL_I2S',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ac509445aa04b715b77e6461993b50085',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5fpwm',['kStatusGroup_HAL_PWM',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa4609e941ad1155a86c40bdfcb20a155',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5frng',['kStatusGroup_HAL_RNG',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa0d0535965c8d28434b036961f6a8c20',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5fspi',['kStatusGroup_HAL_SPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aba70aa1970435b3ccd39ac54f9d7a68c',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5ftimer',['kStatusGroup_HAL_TIMER',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa44796b25ed6ed37f7fb25f6f4076c2d',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5fuart',['kStatusGroup_HAL_UART',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6510cce112fc6ed1e0523b5d7b307ca2',1,'fsl_common.h']]], + ['kstatusgroup_5fhashcrypt',['kStatusGroup_HASHCRYPT',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1dcb6a7c2605c9ed77388fcb25b01feb',1,'fsl_common.h']]], + ['kstatusgroup_5fhostif',['kStatusGroup_HOSTIF',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a51bf18cc27f6df31f1602db81a2f77f5',1,'fsl_common.h']]], + ['kstatusgroup_5fi2c',['kStatusGroup_I2C',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a5c67a60e98e49151455f565c2834d228',1,'fsl_common.h']]], + ['kstatusgroup_5fi2s',['kStatusGroup_I2S',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0b66f34ec90c9b6a702e0f00f5ddae43',1,'fsl_common.h']]], + ['kstatusgroup_5fi3c',['kStatusGroup_I3C',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6dbe13107a9dbb857242cf05e2319f9d',1,'fsl_common.h']]], + ['kstatusgroup_5fi3cbus',['kStatusGroup_I3CBUS',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae28000753ef8046bc9b08e03fc8a1899',1,'fsl_common.h']]], + ['kstatusgroup_5fiap',['kStatusGroup_IAP',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a097d515214e888371df5c588b839529d',1,'fsl_common.h']]], + ['kstatusgroup_5fics',['kStatusGroup_ICS',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a01bf442d671041dcbd1649ec0428c3b6',1,'fsl_common.h']]], + ['kstatusgroup_5fiped',['kStatusGroup_IPED',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a79d16666510da650e32b1499cf102aa5',1,'fsl_common.h']]], + ['kstatusgroup_5fiuart',['kStatusGroup_IUART',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a33255aee9de4a1d093770b218f944d0f',1,'fsl_common.h']]], + ['kstatusgroup_5fled',['kStatusGroup_LED',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a84ab69a4e7f3f1c39f1c3a64d74af08c',1,'fsl_common.h']]], + ['kstatusgroup_5flist',['kStatusGroup_LIST',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e3bc103af2d3db7b628f87174d2bff8',1,'fsl_common.h']]], + ['kstatusgroup_5flmem',['kStatusGroup_LMEM',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a376f952aee5cd45d903da520a37e4c52',1,'fsl_common.h']]], + ['kstatusgroup_5flog',['kStatusGroup_LOG',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2af6421e7b473bd882f5372ad1fa6d0e',1,'fsl_common.h']]], + ['kstatusgroup_5flpc_5fi2c',['kStatusGroup_LPC_I2C',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab597c78848ce0e63d2518f14e7bac82b',1,'fsl_common.h']]], + ['kstatusgroup_5flpc_5fi2c_5f1',['kStatusGroup_LPC_I2C_1',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a749eaf601d6fe3124f054bcb796b348c',1,'fsl_common.h']]], + ['kstatusgroup_5flpc_5fminispi',['kStatusGroup_LPC_MINISPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab0104dd99e52fbf948f4ae030de5313d',1,'fsl_common.h']]], + ['kstatusgroup_5flpc_5fspi',['kStatusGroup_LPC_SPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ac4c2c9d32010087f0602dbdf2c389857',1,'fsl_common.h']]], + ['kstatusgroup_5flpc_5fspi_5fssp',['kStatusGroup_LPC_SPI_SSP',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa2c382624b5763cf24cf9727fbfadde3',1,'fsl_common.h']]], + ['kstatusgroup_5flpc_5fusart',['kStatusGroup_LPC_USART',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a02f52b9532ae0d4af04f5c054f3fab84',1,'fsl_common.h']]], + ['kstatusgroup_5flpi2c',['kStatusGroup_LPI2C',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0d6c6a34fc0b6dbcb323f010556a3be1',1,'fsl_common.h']]], + ['kstatusgroup_5flpsci',['kStatusGroup_LPSCI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9f1b2d0eeee16f209d7eed3bdb1cf533',1,'fsl_common.h']]], + ['kstatusgroup_5flpspi',['kStatusGroup_LPSPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a491dbb2373725b607970c032f4e04ee3',1,'fsl_common.h']]], + ['kstatusgroup_5flpuart',['kStatusGroup_LPUART',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bcb0be184f8d5bb76d4be8e99b4e45e',1,'fsl_common.h']]], + ['kstatusgroup_5fltc',['kStatusGroup_LTC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a64b54e0423e0ad8a227a38cad4ad4eda',1,'fsl_common.h']]], + ['kstatusgroup_5fmcan',['kStatusGroup_MCAN',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab7f77dd7e0c3d068baf39117ac08a0b9',1,'fsl_common.h']]], + ['kstatusgroup_5fmcg',['kStatusGroup_MCG',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8210c55fe061ff24e75a0f928dbfcb39',1,'fsl_common.h']]], + ['kstatusgroup_5fmecc',['kStatusGroup_MECC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ad85b4bef880b9407425398fc584e16a0',1,'fsl_common.h']]], + ['kstatusgroup_5fmem_5fmanager',['kStatusGroup_MEM_MANAGER',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29acdfe26eb8bbbaaea438470512401e09a',1,'fsl_common.h']]], + ['kstatusgroup_5fmipi_5fdsi',['kStatusGroup_MIPI_DSI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29abdf3fca48a7a13b67d0207aeb59bc581',1,'fsl_common.h']]], + ['kstatusgroup_5fmmdc',['kStatusGroup_MMDC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a67a884fec4d6adb5b455d50a0a5617a5',1,'fsl_common.h']]], + ['kstatusgroup_5fmscan',['kStatusGroup_MSCAN',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a858563b1d87d6fa57a8f442025655201',1,'fsl_common.h']]], + ['kstatusgroup_5fmsg',['kStatusGroup_MSG',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6d0dedbec7ee218b0ae03f23edad02fd',1,'fsl_common.h']]], + ['kstatusgroup_5fnetc',['kStatusGroup_NETC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e0ac8d81af0520d13c650cd3a3bcdde',1,'fsl_common.h']]], + ['kstatusgroup_5fnotifier',['kStatusGroup_NOTIFIER',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a56134a73f0cfd393dd7cf7fb2395dd99',1,'fsl_common.h']]], + ['kstatusgroup_5fosa',['kStatusGroup_OSA',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a5b65c75456471a2536a97a30db4b8da3',1,'fsl_common.h']]], + ['kstatusgroup_5fotfad',['kStatusGroup_OTFAD',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a4f53ab1f39031629ac12159920f0cde1',1,'fsl_common.h']]], + ['kstatusgroup_5fotp',['kStatusGroup_OTP',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d9b7b66bfaaf53b42aad8462c88ea62',1,'fsl_common.h']]], + ['kstatusgroup_5fpdm',['kStatusGroup_PDM',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0b4ea9f05497a624e32811c4f36a3be',1,'fsl_common.h']]], + ['kstatusgroup_5fphy',['kStatusGroup_PHY',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a521a4b8ac1776d0f41af044886a71b3b',1,'fsl_common.h']]], + ['kstatusgroup_5fpower',['kStatusGroup_POWER',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a94d2a6fe8021fed5487169a46421d47e',1,'fsl_common.h']]], + ['kstatusgroup_5fpower_5fmanager',['kStatusGroup_POWER_MANAGER',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6c52b0b8b2d2ca670a5f8eca66212f54',1,'fsl_common.h']]], + ['kstatusgroup_5fpuf',['kStatusGroup_PUF',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a984927be2e0e57c4125e8e8f5d9c0f48',1,'fsl_common.h']]], + ['kstatusgroup_5fqsci',['kStatusGroup_QSCI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a025ed41827a0bd0b7bf60b853a921c7d',1,'fsl_common.h']]], + ['kstatusgroup_5fqspi',['kStatusGroup_QSPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a4a488e0f7cc1d3baa449ab4ca99e7b34',1,'fsl_common.h']]], + ['kstatusgroup_5fqueuedspi',['kStatusGroup_QUEUEDSPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7712fcacc6d5e9698366daafc59ea644',1,'fsl_common.h']]], + ['kstatusgroup_5fsai',['kStatusGroup_SAI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae4461a3a085ea13b5fe7908bf1e109f8',1,'fsl_common.h']]], + ['kstatusgroup_5fscg',['kStatusGroup_SCG',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9771abafdcf43bf924599a0081e92bf2',1,'fsl_common.h']]], + ['kstatusgroup_5fsdhc',['kStatusGroup_SDHC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aba83e94d1735b695119429a4e55bb3a4',1,'fsl_common.h']]], + ['kstatusgroup_5fsdif',['kStatusGroup_SDIF',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a25fb824a9ad702e631276a8ea5d03603',1,'fsl_common.h']]], + ['kstatusgroup_5fsdioslv',['kStatusGroup_SDIOSLV',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3e59e90d903b97bd45037175997f4ad6',1,'fsl_common.h']]], + ['kstatusgroup_5fsdk_5fflexspinor',['kStatusGroup_SDK_FLEXSPINOR',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a830e5b42a05424dc026bd36d30f143a5',1,'fsl_common.h']]], + ['kstatusgroup_5fsdk_5focotp',['kStatusGroup_SDK_OCOTP',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a539b147c0d1409a0e11c3ae340886fa8',1,'fsl_common.h']]], + ['kstatusgroup_5fsdma',['kStatusGroup_SDMA',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab55df75d85633505960f611180054524',1,'fsl_common.h']]], + ['kstatusgroup_5fsdmmc',['kStatusGroup_SDMMC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1c5e35d9d03724b7ccadcae8e0451384',1,'fsl_common.h']]], + ['kstatusgroup_5fsdramc',['kStatusGroup_SDRAMC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3b3116c6f4aa1a88e6e7abc45df58938',1,'fsl_common.h']]], + ['kstatusgroup_5fsdspi',['kStatusGroup_SDSPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a85685b8cde1285d240569b9518c32fc0',1,'fsl_common.h']]], + ['kstatusgroup_5fsema42',['kStatusGroup_SEMA42',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a073c6e3ccd0dbcf1b812cb21da5e7df9',1,'fsl_common.h']]], + ['kstatusgroup_5fsemc',['kStatusGroup_SEMC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2fef671dda58af09262787022fe321fe',1,'fsl_common.h']]], + ['kstatusgroup_5fserialmanager',['kStatusGroup_SERIALMANAGER',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a39a54cda2a1cac31e1c00be0eb4a7620',1,'fsl_common.h']]], + ['kstatusgroup_5fsfa',['kStatusGroup_SFA',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9cf111a176ebe1210ae6faaa950aac54',1,'fsl_common.h']]], + ['kstatusgroup_5fshell',['kStatusGroup_SHELL',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a660addd059be8786f2aa3d9a1a196ae1',1,'fsl_common.h']]], + ['kstatusgroup_5fsmartcard',['kStatusGroup_SMARTCARD',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae16d6bba44ae6f24187946960fb826dd',1,'fsl_common.h']]], + ['kstatusgroup_5fsnt',['kStatusGroup_SNT',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae67462f0d7dbac886d8a1272a29b846c',1,'fsl_common.h']]], + ['kstatusgroup_5fspc',['kStatusGroup_SPC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7491bc6ede9a2eb6e7f292bb1530b7d7',1,'fsl_common.h']]], + ['kstatusgroup_5fspdif',['kStatusGroup_SPDIF',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8bea2b5f8b1458aaa0dc29ddbb972d87',1,'fsl_common.h']]], + ['kstatusgroup_5fspi',['kStatusGroup_SPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a60c31c70600aff4f290ca2d790622977',1,'fsl_common.h']]], + ['kstatusgroup_5fspifi',['kStatusGroup_SPIFI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ac071c3c3d14ed8afadb2bb6de249c722',1,'fsl_common.h']]], + ['kstatusgroup_5ftimermanager',['kStatusGroup_TIMERMANAGER',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a4603f8fedc8ad994788e17baae895013',1,'fsl_common.h']]], + ['kstatusgroup_5ftouch_5fpanel',['kStatusGroup_TOUCH_PANEL',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a381f1929c41dcaef62d2d44326719db8',1,'fsl_common.h']]], + ['kstatusgroup_5ftrgmux',['kStatusGroup_TRGMUX',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29adb8c69c2f8cd344f3e2dc0b0db4a1631',1,'fsl_common.h']]], + ['kstatusgroup_5fuart',['kStatusGroup_UART',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aeeb2ed6ef4ce59c7e1d416466f55bfb7',1,'fsl_common.h']]], + ['kstatusgroup_5fusdhc',['kStatusGroup_USDHC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae2f54d029f0e74c8fed8812e24000a74',1,'fsl_common.h']]], + ['kstatusgroup_5fvbat',['kStatusGroup_VBAT',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af4cabd4468ae9e670d9c188064c56f41',1,'fsl_common.h']]], + ['kstatusgroup_5fxrdc',['kStatusGroup_XRDC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af98b407f4e66e7046a3173031b45253d',1,'fsl_common.h']]], + ['kswm_5facmp_5finput1',['kSWM_ACMP_INPUT1',['../a00090.html#gga6db188a71a269613f30825af80c02053a28fab9703b5177948f7409efa9ff848a',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5finput2',['kSWM_ACMP_INPUT2',['../a00090.html#gga6db188a71a269613f30825af80c02053abd4f15c4f7b44b457764ab2aa67ac5ac',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5finput3',['kSWM_ACMP_INPUT3',['../a00090.html#gga6db188a71a269613f30825af80c02053ad8072ce6e9985ae8377377ae49ba57d7',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5finput4',['kSWM_ACMP_INPUT4',['../a00090.html#gga6db188a71a269613f30825af80c02053a2f09e0ca30217841402ba6bf16f8f785',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5finput5',['kSWM_ACMP_INPUT5',['../a00090.html#gga6db188a71a269613f30825af80c02053ad1b1f498a44a52ed74eab7333c03e177',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5fout',['kSWM_ACMP_OUT',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da76769594d29a6d8659c5c625b4212a24',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn0',['kSWM_ADC_CHN0',['../a00090.html#gga6db188a71a269613f30825af80c02053acc0420d5b902bffdc029c0a179fd9653',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn1',['kSWM_ADC_CHN1',['../a00090.html#gga6db188a71a269613f30825af80c02053af96a078ddf8497b6680dcb8812abccaf',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn10',['kSWM_ADC_CHN10',['../a00090.html#gga6db188a71a269613f30825af80c02053a3872c11496f6cd2755a2cc33edeee25e',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn11',['kSWM_ADC_CHN11',['../a00090.html#gga6db188a71a269613f30825af80c02053a1195026daab11c8e8e8a07ea2588dc59',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn2',['kSWM_ADC_CHN2',['../a00090.html#gga6db188a71a269613f30825af80c02053aab8f0dd610beed22acf2a5a2c3149a38',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn3',['kSWM_ADC_CHN3',['../a00090.html#gga6db188a71a269613f30825af80c02053ab3e46c2499e53d6187acef5b9c92faeb',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn4',['kSWM_ADC_CHN4',['../a00090.html#gga6db188a71a269613f30825af80c02053a131299a8724b490b48ff5ea4ae88ec85',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn5',['kSWM_ADC_CHN5',['../a00090.html#gga6db188a71a269613f30825af80c02053a8f49833db881a5cca72e39060510fe33',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn6',['kSWM_ADC_CHN6',['../a00090.html#gga6db188a71a269613f30825af80c02053a2cf1d219093b981b000fefd1b4b88835',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn7',['kSWM_ADC_CHN7',['../a00090.html#gga6db188a71a269613f30825af80c02053af60644696c7226d16b940a379e974d07',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn8',['kSWM_ADC_CHN8',['../a00090.html#gga6db188a71a269613f30825af80c02053aca55eb81b04844960f74d6b6f735f406',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn9',['kSWM_ADC_CHN9',['../a00090.html#gga6db188a71a269613f30825af80c02053a332c28d49858ffceda3b7d9a9a317d0c',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx0',['kSWM_CAPT_X0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da5673e5074470fd8c7773f987a45612ab',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx1',['kSWM_CAPT_X1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da74e21d63631f6e3eeae57269cc597ff9',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx2',['kSWM_CAPT_X2',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dae11d56486ef46dfad9ea855f223483f2',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx3',['kSWM_CAPT_X3',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da144b0c83acca82fa910848f7587af18e',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx4',['kSWM_CAPT_X4',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dadad77032cc009f3690361c9f4d552cdd',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fyh',['kSWM_CAPT_YH',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da839653f003a5f245984cbbb3e0ded090',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fyl',['kSWM_CAPT_YL',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dabe002214e7dc409f243527310e689060',1,'fsl_swm_connections.h']]], + ['kswm_5fclkin',['kSWM_CLKIN',['../a00090.html#gga6db188a71a269613f30825af80c02053add9353fb1a660338dc4129f564d43c41',1,'fsl_swm_connections.h']]], + ['kswm_5fclkout',['kSWM_CLKOUT',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da867964bdb44cfca767b2fe212243ad68',1,'fsl_swm_connections.h']]], + ['kswm_5fdac_5fout0',['kSWM_DAC_OUT0',['../a00090.html#gga6db188a71a269613f30825af80c02053aafaffe0fe7c5ed4eaed04bd7acac4f0b',1,'fsl_swm_connections.h']]], + ['kswm_5ffixedpin_5fnum_5ffuncs',['kSWM_FIXEDPIN_NUM_FUNCS',['../a00090.html#gga6db188a71a269613f30825af80c02053a609f40f8714286a7fce78c131a97b69f',1,'fsl_swm_connections.h']]], + ['kswm_5fgpio_5fint_5fbmat',['kSWM_GPIO_INT_BMAT',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da9e23b0bd838b14f99f22d1ae76d63143',1,'fsl_swm_connections.h']]], + ['kswm_5fi2c0_5fscl',['kSWM_I2C0_SCL',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da6f0a53c70373fdbf41edf57122669a47',1,'fsl_swm_connections.h']]], + ['kswm_5fi2c0_5fsda',['kSWM_I2C0_SDA',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da679e93580df161b665365f658345ed65',1,'fsl_swm_connections.h']]], + ['kswm_5fi2c1_5fscl',['kSWM_I2C1_SCL',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da5ba6a30f56eb6e001abb178bb215469a',1,'fsl_swm_connections.h']]], + ['kswm_5fi2c1_5fsda',['kSWM_I2C1_SDA',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dab15fc1b1ba8e4f1a35fc314c60b467be',1,'fsl_swm_connections.h']]], + ['kswm_5flvlshft_5fin0',['kSWM_LVLSHFT_IN0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dacd8498beecae42d50d55fd9135fa5554',1,'fsl_swm_connections.h']]], + ['kswm_5flvlshft_5fin1',['kSWM_LVLSHFT_IN1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da6edbcf66e2b9d21b36413d5913952b7b',1,'fsl_swm_connections.h']]], + ['kswm_5flvlshft_5fout0',['kSWM_LVLSHFT_OUT0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da4bfff10a0df16a23aebb02bc2a19f662',1,'fsl_swm_connections.h']]], + ['kswm_5flvlshft_5fout1',['kSWM_LVLSHFT_OUT1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da167b30e083150f7ef078b3fc15b9a2fb',1,'fsl_swm_connections.h']]], + ['kswm_5fmovable_5fnum_5ffuncs',['kSWM_MOVABLE_NUM_FUNCS',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dac735c6243e08a73181d0f0f8f6c130bb',1,'fsl_swm_connections.h']]], + ['kswm_5fpinassingnfixed_5fmovable_5fnum_5ffuncs',['kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdab1344f53d421d647d2f85460ff8630df',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fclkin_5fin',['kSWM_PLU_CLKIN_IN',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13daf4805a2bfb2a47017d373209a10ce52d',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput0',['kSWM_PLU_INPUT0',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda1dea0e7772dbe79bdf2cfb15e7a547a0',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput0_5fportpin_5fp0_5f0',['kSWM_PLU_INPUT0_PortPin_P0_0',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9238a533be8bd8e0955b7a90f5ae4d44',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput0_5fportpin_5fp0_5f17',['kSWM_PLU_INPUT0_PortPin_P0_17',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a34bca304086fb621de87b04528f8164b',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput0_5fportpin_5fp0_5f8',['kSWM_PLU_INPUT0_PortPin_P0_8',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ad0716ea2f10ff5fbd04234889b1e53ed',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput1',['kSWM_PLU_INPUT1',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdac9ea3883dbd984c68e4049f5fa48903c',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput1_5fportpin_5fp0_5f1',['kSWM_PLU_INPUT1_PortPin_P0_1',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af15b31098ffc1b3561d7ffbfd191c2e3',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput1_5fportpin_5fp0_5f18',['kSWM_PLU_INPUT1_PortPin_P0_18',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475afa22c48b958dcc1d146a381ceb411dc6',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput1_5fportpin_5fp0_5f9',['kSWM_PLU_INPUT1_PortPin_P0_9',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa54388872ede616ae8431f22adf9b883',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput2',['kSWM_PLU_INPUT2',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda669e23d5037d7508cace2b056a6e5299',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput2_5fportpin_5fp0_5f10',['kSWM_PLU_INPUT2_PortPin_P0_10',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2e20c680e47cfed45e9ccd1462b921a1',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput2_5fportpin_5fp0_5f19',['kSWM_PLU_INPUT2_PortPin_P0_19',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a576c74aa596dfebd41123a123b1e086f',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput2_5fportpin_5fp0_5f2',['kSWM_PLU_INPUT2_PortPin_P0_2',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a7ac7b3d83eff1aca3ca60bf8102dac',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput3',['kSWM_PLU_INPUT3',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaf70a738eecaddb8268f264bb7baf6b9b',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput3_5fportpin_5fp0_5f11',['kSWM_PLU_INPUT3_PortPin_P0_11',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0ff213062c17f56f0bd74cf6510b1ee',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput3_5fportpin_5fp0_5f20',['kSWM_PLU_INPUT3_PortPin_P0_20',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af6c85c442a0709477e5729c9dde36fdc',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput3_5fportpin_5fp0_5f3',['kSWM_PLU_INPUT3_PortPin_P0_3',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ae557054f417e495346ddcc3e7f7162ba',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput4',['kSWM_PLU_INPUT4',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda8b3379b03023f7f9057837109b142230',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput4_5fportpin_5fp0_5f12',['kSWM_PLU_INPUT4_PortPin_P0_12',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a09729e747b6419e05e6b80eecd08b380',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput4_5fportpin_5fp0_5f21',['kSWM_PLU_INPUT4_PortPin_P0_21',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af8ebc1a1ac7242706e0a4d3254de8a1a',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput4_5fportpin_5fp0_5f4',['kSWM_PLU_INPUT4_PortPin_P0_4',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a88cbbe93397f750184a74a2fb1635441',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput5',['kSWM_PLU_INPUT5',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdae66a048f12b6af45145f2747fe9de530',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput5_5fportpin_5fp0_5f13',['kSWM_PLU_INPUT5_PortPin_P0_13',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a30425caf231771228aa99f2871efa005',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput5_5fportpin_5fp0_5f22',['kSWM_PLU_INPUT5_PortPin_P0_22',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a78528eef89bede351c7f85b2de156747',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput5_5fportpin_5fp0_5f5',['kSWM_PLU_INPUT5_PortPin_P0_5',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a4de20ff3218f9af0175dfba0a1aaa38d',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout0',['kSWM_PLU_OUT0',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaa2fbf0c2913f92571b79aebda5d5cec6',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout0_5fportpin_5fp0_5f14',['kSWM_PLU_OUT0_PortPin_P0_14',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa99b5d54d06869f8977cf41527daf915',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout0_5fportpin_5fp0_5f23',['kSWM_PLU_OUT0_PortPin_P0_23',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a8b81353af093a8df62363344a1359c42',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout0_5fportpin_5fp0_5f7',['kSWM_PLU_OUT0_PortPin_P0_7',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475acf4d35c0b2416225ace1794d2316d78b',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout1',['kSWM_PLU_OUT1',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda865830e9fdc6bf03bf1d477ca3c6a6ca',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout1_5fportpin_5fp0_5f15',['kSWM_PLU_OUT1_PortPin_P0_15',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0c66d3751768e52c7b283f7c94b17bce',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout1_5fportpin_5fp0_5f24',['kSWM_PLU_OUT1_PortPin_P0_24',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a1b0e5339f4df77ac5085a16d8d0ff7',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout1_5fportpin_5fp0_5f8',['kSWM_PLU_OUT1_PortPin_P0_8',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ac53270179d009a402596effdc9ebabdc',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout2',['kSWM_PLU_OUT2',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda5bb0b796d35f26e384e79aea47cf62b7',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout2_5fportpin_5fp0_5f16',['kSWM_PLU_OUT2_PortPin_P0_16',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475acc1e82634c86fc3ee7c0a50026e901ad',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout2_5fportpin_5fp0_5f25',['kSWM_PLU_OUT2_PortPin_P0_25',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2d217651e60f137293707c19d717af37',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout2_5fportpin_5fp0_5f9',['kSWM_PLU_OUT2_PortPin_P0_9',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af3bbdfacb373ba7dbcbedde68406d03f',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout3',['kSWM_PLU_OUT3',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdad6866a46cce2335ee7228f696af14ea2',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout3_5fportpin_5fp0_5f10',['kSWM_PLU_OUT3_PortPin_P0_10',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa9aeb1c6417d18b72b3d94aec5ac7431',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout3_5fportpin_5fp0_5f17',['kSWM_PLU_OUT3_PortPin_P0_17',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a77450c6220c5a32fde70c7e91d3edbb0',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout3_5fportpin_5fp0_5f26',['kSWM_PLU_OUT3_PortPin_P0_26',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0c298ece28ee4367783dcf472fe20b6',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout4',['kSWM_PLU_OUT4',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaef14d94c177e35d440f3549aeed9c7b8',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout4_5fportpin_5fp0_5f11',['kSWM_PLU_OUT4_PortPin_P0_11',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2da86e45befea6a916816c5e523daad5',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout4_5fportpin_5fp0_5f18',['kSWM_PLU_OUT4_PortPin_P0_18',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a73d53097414e5fc5f4cbe2bcdc5b16d1',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout4_5fportpin_5fp0_5f27',['kSWM_PLU_OUT4_PortPin_P0_27',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a58d7c20b50aaa229094c5dc60a0cb14b',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout5',['kSWM_PLU_OUT5',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda66b170fb8a6d54c31eacce78c619adbf',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout5_5fportpin_5fp0_5f12',['kSWM_PLU_OUT5_PortPin_P0_12',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9c78a8bb274fb2604cb4e80af4da988c',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout5_5fportpin_5fp0_5f19',['kSWM_PLU_OUT5_PortPin_P0_19',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa4096fcdb3eb88b567870cf2f250208e',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout5_5fportpin_5fp0_5f28',['kSWM_PLU_OUT5_PortPin_P0_28',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a31d722ef719c89924cd70efe94723ad3',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout6',['kSWM_PLU_OUT6',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdafae5eae69465f413508aac6ac906b7fe',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout6_5fportpin_5fp0_5f13',['kSWM_PLU_OUT6_PortPin_P0_13',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a7ea7748ab2a2bb448eca9daab449a9fa',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout6_5fportpin_5fp0_5f20',['kSWM_PLU_OUT6_PortPin_P0_20',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a89ea9c75bd1ef71e7f1d4c52544dad9f',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout6_5fportpin_5fp0_5f29',['kSWM_PLU_OUT6_PortPin_P0_29',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2b352e3ffe67686dac289a8f8d86fba0',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout7',['kSWM_PLU_OUT7',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaafdc30e41c762800c39cd92cbffb2b31',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout7_5fportpin_5fp0_5f14',['kSWM_PLU_OUT7_PortPin_P0_14',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0038fe47f7308af0fb046b6977b5928e',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout7_5fportpin_5fp0_5f21',['kSWM_PLU_OUT7_PortPin_P0_21',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af1e2e2ccc38fec30ae5b3e617524cdab',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout7_5fportpin_5fp0_5f30',['kSWM_PLU_OUT7_PortPin_P0_30',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0ead9e203d786e410f1d21f19f02aed2',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f0',['kSWM_PortPin_P0_0',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35abf7ea2c0f06252d5e966ca63f23dba2e',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f1',['kSWM_PortPin_P0_1',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a9010bbdc4d0ba698a18c200f27586c5a',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f10',['kSWM_PortPin_P0_10',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35adb2af26fbb96cad1a3f4d666e3759e39',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f11',['kSWM_PortPin_P0_11',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a6514b3be861759fdc7f645c4a8190176',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f12',['kSWM_PortPin_P0_12',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a473548308d7fac0d5fa4ac959f81e444',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f13',['kSWM_PortPin_P0_13',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a0efea555d71ff08c985b3c002e49f25a',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f14',['kSWM_PortPin_P0_14',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ae2a752505db2acdb06e6047c3c447f63',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f15',['kSWM_PortPin_P0_15',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a21dd2c94b4ab7b133e9818a5a394f967',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f16',['kSWM_PortPin_P0_16',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a0c38ea80f06768e2ad6282fbc11d5187',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f17',['kSWM_PortPin_P0_17',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a74b6edcb7dba9f95182a4faa57dd110d',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f18',['kSWM_PortPin_P0_18',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a1eb0795f2598b9acf64e7abcb63f78d5',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f19',['kSWM_PortPin_P0_19',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a488a17a4e210b5000df9ecc534d24c8b',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f2',['kSWM_PortPin_P0_2',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aa8e8084f5ea75a2e776f4a8f8a8d5e61',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f20',['kSWM_PortPin_P0_20',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a1127b8f8732d34f2c80daf825bb14853',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f21',['kSWM_PortPin_P0_21',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a8ec22695a3c8fbc028cc86299ca76b9a',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f22',['kSWM_PortPin_P0_22',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35af2a8176b461addf3d18b1128800dd7ef',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f23',['kSWM_PortPin_P0_23',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ae6795c51fac27d7426848568f125a6e8',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f24',['kSWM_PortPin_P0_24',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ac61483b0bbae6a06d6789e41aaf450fc',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f25',['kSWM_PortPin_P0_25',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a7a625624c8520faff1f2e6b0a1230ba5',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f26',['kSWM_PortPin_P0_26',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ac557f3233abd222c5cf7d809e082f94b',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f27',['kSWM_PortPin_P0_27',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aea9feb0c4582cb00ea087fef692f7623',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f28',['kSWM_PortPin_P0_28',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35af3005bf86c4488117ca1396151d2c2af',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f29',['kSWM_PortPin_P0_29',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35acc5bcdfe59c04f2da33835496b3a2ddf',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f3',['kSWM_PortPin_P0_3',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a3a31a8f0c23e7d75db386e0f1705fcb8',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f30',['kSWM_PortPin_P0_30',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aeba0cfeb40308a0bd10bd33298bf9e84',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f31',['kSWM_PortPin_P0_31',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a7b0ebc4f977a126eb51422b3df962e87',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f4',['kSWM_PortPin_P0_4',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a933c38c001bf24a62f0c8bf62e9bbbfb',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f5',['kSWM_PortPin_P0_5',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a75b66d0e23730af2446bdec683db11d3',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f6',['kSWM_PortPin_P0_6',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a4e2797855da4686eb7de9e75c5034d66',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f7',['kSWM_PortPin_P0_7',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a8a3af6c1f0f5791666b2c8409321ee0b',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f8',['kSWM_PortPin_P0_8',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ab0f66b94af6c72515e705077a0aea28b',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f9',['kSWM_PortPin_P0_9',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ace9b73074e1c03234c89b40ecd52a1e6',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5freset',['kSWM_PortPin_Reset',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a6873cb2ccda9efd34d7fdd75f3829f1c',1,'fsl_swm_connections.h']]], + ['kswm_5fresetn',['kSWM_RESETN',['../a00090.html#gga6db188a71a269613f30825af80c02053a2c3df2d1d6d1fb817003b824eb699585',1,'fsl_swm_connections.h']]], + ['kswm_5frst_5fn_5fshift_5frstn',['kSWM_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770abbd5b2eb886157d35a4ff88077fa192b',1,'fsl_reset.h']]], + ['kswm_5fspi0_5fmiso',['kSWM_SPI0_MISO',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da84653c7b62da4f773676a39a2abe597a',1,'fsl_swm_connections.h']]], + ['kswm_5fspi0_5fmosi',['kSWM_SPI0_MOSI',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dad6e9059822d87be57e82960aa79d9229',1,'fsl_swm_connections.h']]], + ['kswm_5fspi0_5fsck',['kSWM_SPI0_SCK',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dabd1859e7fd97d6aad4edf95f92eb1df0',1,'fsl_swm_connections.h']]], + ['kswm_5fspi0_5fssel0',['kSWM_SPI0_SSEL0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dadeb15fd0fbfb9f438e22762cad170359',1,'fsl_swm_connections.h']]], + ['kswm_5fspi0_5fssel1',['kSWM_SPI0_SSEL1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dacdcd02ea5803089ebcd609e227acab8b',1,'fsl_swm_connections.h']]], + ['kswm_5fswclk',['kSWM_SWCLK',['../a00090.html#gga6db188a71a269613f30825af80c02053a9b86fc933fab954e947140ea4ca2ffe3',1,'fsl_swm_connections.h']]], + ['kswm_5fswdio',['kSWM_SWDIO',['../a00090.html#gga6db188a71a269613f30825af80c02053ac0ebb404f4a50e198c79a35af9721e6c',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fcap_5fchn0',['kSWM_T0_CAP_CHN0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da14bfd78536056ebdc4d2bfed0438b5cf',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fcap_5fchn1',['kSWM_T0_CAP_CHN1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da048cac659ef579d1358cc6d2a7db2c3a',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fcap_5fchn2',['kSWM_T0_CAP_CHN2',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13daef5103aadc41068ca6157543520491b2',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fmat_5fchn0',['kSWM_T0_MAT_CHN0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da0ac9c784851c59d1c334fba730bdc091',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fmat_5fchn1',['kSWM_T0_MAT_CHN1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da4be08849669f9f086a238335662c6ac1',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fmat_5fchn2',['kSWM_T0_MAT_CHN2',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da11e574aeb2ec56f282a103d78c49ccbe',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fmat_5fchn3',['kSWM_T0_MAT_CHN3',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da3ab2e3d866e55d84dc2c342eb660c0d5',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5fcts',['kSWM_USART0_CTS',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dacf5086c4d10114ed1e2adf80ef0c1d8e',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5frts',['kSWM_USART0_RTS',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da83269426ac6b85e729bd940e7c6bc168',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5frxd',['kSWM_USART0_RXD',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dac38fd128ae8088af0ab82bb9e1ab2b0e',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5fsclk',['kSWM_USART0_SCLK',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da95076efb390207c31347c9d6eaf7a568',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5ftxd',['kSWM_USART0_TXD',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da7a0b07e7613ca95cbae02f51e74338ae',1,'fsl_swm_connections.h']]], + ['kswm_5fusart1_5frxd',['kSWM_USART1_RXD',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da40ca641c0138d551c28bb9dd184bf948',1,'fsl_swm_connections.h']]], + ['kswm_5fusart1_5fsclk',['kSWM_USART1_SCLK',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da209dc130cd5427683bedca3187726030',1,'fsl_swm_connections.h']]], + ['kswm_5fusart1_5ftxd',['kSWM_USART1_TXD',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da3ca0015b97fb5a1b24b1f6fda113488d',1,'fsl_swm_connections.h']]], + ['kswm_5fvddcmp',['kSWM_VDDCMP',['../a00090.html#gga6db188a71a269613f30825af80c02053a1c8051ee75028cdb756ce666cc9b1bb9',1,'fsl_swm_connections.h']]], + ['kswm_5fwkclkin',['kSWM_WKCLKIN',['../a00090.html#gga6db188a71a269613f30825af80c02053ae9a9d439d460166f8795ddd23c7135b5',1,'fsl_swm_connections.h']]], + ['ksyscon_5fgpioport0pin0topintsel',['kSYSCON_GpioPort0Pin0ToPintsel',['../a00091.html#gga4a63ee69b68459770551a0e4d7b6cdadafe2a386c6f3e8884b995d08fd87de542',1,'fsl_syscon_connections.h']]], + ['kuart0_5fclk_5ffrom_5ffrg0clk',['kUART0_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ad0784125581fd7bf09f4b3bab3af725d',1,'fsl_clock.h']]], + ['kuart0_5fclk_5ffrom_5ffro',['kUART0_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a67d2eb5235a0677d1ef161e5e1c2b340',1,'fsl_clock.h']]], + ['kuart0_5fclk_5ffrom_5ffro_5fdiv',['kUART0_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58af06770587aa45bbb62d1e4fe36d03d81',1,'fsl_clock.h']]], + ['kuart0_5fclk_5ffrom_5fmainclk',['kUART0_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a841785f980e4c406eeecdcf07559fe66',1,'fsl_clock.h']]], + ['kuart0_5frst_5fn_5fshift_5frstn',['kUART0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770aa294a3c09c1565947ebcd78694acff24',1,'fsl_reset.h']]], + ['kuart1_5fclk_5ffrom_5ffrg0clk',['kUART1_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58af45a833dead43235df03a982dc25ea33',1,'fsl_clock.h']]], + ['kuart1_5fclk_5ffrom_5ffro',['kUART1_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a9fbd759cc60932bb0896625543477290',1,'fsl_clock.h']]], + ['kuart1_5fclk_5ffrom_5ffro_5fdiv',['kUART1_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a1b183d67629d90ddc1a9b9baf2ebc285',1,'fsl_clock.h']]], + ['kuart1_5fclk_5ffrom_5fmainclk',['kUART1_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a066679b9e0a01ccd0aad6e6caa643f2f',1,'fsl_clock.h']]], + ['kuart1_5frst_5fn_5fshift_5frstn',['kUART1_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a8bc751e4927f0c20a0695b284fc40c38',1,'fsl_reset.h']]], ['kusart_5f7bitsperchar',['kUSART_7BitsPerChar',['../a00020.html#gga28e46a3538cf5f5140523132a963283ca9562d6cdd240e9808a9a1d1946f400b7',1,'fsl_usart.h']]], ['kusart_5f8bitsperchar',['kUSART_8BitsPerChar',['../a00020.html#gga28e46a3538cf5f5140523132a963283cad71aee19329a4127614132d30e50ad8a',1,'fsl_usart.h']]], ['kusart_5fallinterruptenable',['kUSART_AllInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ad97d89d6e3a853cc07c854838a4f31f9',1,'fsl_usart.h']]], - ['kusart_5fautobauderrorflag',['kUSART_AutoBaudErrorFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610aa655bdfd278003a00cf5195986a38892',1,'fsl_usart.h']]], - ['kusart_5fautobauderrorinterruptenable',['kUSART_AutoBaudErrorInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a7154c6ede60243bf5f9e727a5cb18ce5',1,'fsl_usart.h']]], ['kusart_5fctsstate',['kUSART_CtsState',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a2515d20982ec4edf617f6872e666a1d3',1,'fsl_usart.h']]], ['kusart_5fdeltactsflag',['kUSART_DeltaCtsFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a722bb0119b5dc19d471b62c622aca9c7',1,'fsl_usart.h']]], ['kusart_5fdeltactsinterruptenable',['kUSART_DeltaCtsInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ac01c5ca3a2671c568e35d196ea223ada',1,'fsl_usart.h']]], @@ -863,14 +848,13 @@ var searchData= ['kusart_5ftxdisableflag',['kUSART_TxDisableFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a615387365fba3c394b1a595e9baa3c95',1,'fsl_usart.h']]], ['kusart_5ftxdisableinterruptenable',['kUSART_TxDisableInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a2dae162d4f0509695fce62b0ed1b9e4b',1,'fsl_usart.h']]], ['kusart_5ftxidleflag',['kUSART_TxIdleFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a8106fa188ea138f48c7740dcf5c2b294',1,'fsl_usart.h']]], - ['kusart_5ftxidleinterruptenable',['kUSART_TxIdleInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ac5611ab6b973e6777a7d7db8321f62f2',1,'fsl_usart.h']]], ['kusart_5ftxready',['kUSART_TxReady',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610ab35037d2ed958a740984aa0a125d72aa',1,'fsl_usart.h']]], ['kusart_5ftxreadyinterruptenable',['kUSART_TxReadyInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a42c8946331d417404af48aed9fe53f88',1,'fsl_usart.h']]], ['kwkt_5falarmflag',['kWKT_AlarmFlag',['../a00021.html#gga0c4c3a2aa689d7cdd0608eb1076d83aaaf60149e2b9f22db27ef273f412e86150',1,'fsl_wkt.h']]], ['kwkt_5fdividedfroclocksource',['kWKT_DividedFROClockSource',['../a00021.html#ggaf985ebf5e165e2fbda510cac71cad222a22e7e8fe1fd3cc9d4d3278e0fb7bae32',1,'fsl_wkt.h']]], ['kwkt_5fexternalclocksource',['kWKT_ExternalClockSource',['../a00021.html#ggaf985ebf5e165e2fbda510cac71cad222afe5c2695869b965f7833386743cc1147',1,'fsl_wkt.h']]], ['kwkt_5flowpowerclocksource',['kWKT_LowPowerClockSource',['../a00021.html#ggaf985ebf5e165e2fbda510cac71cad222a3c617873be9cb696f53cca9529ca6dfc',1,'fsl_wkt.h']]], - ['kwkt_5frst_5fn_5fshift_5frstn',['kWKT_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a80aae2b47fdc2701cb923d27ca9e5a7a',1,'fsl_reset.h']]], + ['kwkt_5frst_5fn_5fshift_5frstn',['kWKT_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a80aae2b47fdc2701cb923d27ca9e5a7a',1,'fsl_reset.h']]], ['kwwdt_5ftimeoutflag',['kWWDT_TimeoutFlag',['../a00022.html#ggaca0e9724bd1f25336527ea66c77e476faea9db9afc1f9c70872a755eaa24a4184',1,'fsl_wwdt.h']]], ['kwwdt_5fwarningflag',['kWWDT_WarningFlag',['../a00022.html#ggaca0e9724bd1f25336527ea66c77e476fa825be03d771f06e1d6cf947ca78f857e',1,'fsl_wwdt.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6d.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6d.js index 680fc68..6942da5 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6d.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_6d.js @@ -1,15 +1,15 @@ var searchData= [ - ['make_5fstatus',['MAKE_STATUS',['../a00081.html#gad76dfbb0423d0cd3a7b77cbcd9043146',1,'fsl_common.h']]], - ['make_5fversion',['MAKE_VERSION',['../a00081.html#ga812138aa3315b0c6953c1a26130bcc37',1,'fsl_common.h']]], + ['make_5fstatus',['MAKE_STATUS',['../a00082.html#gad76dfbb0423d0cd3a7b77cbcd9043146',1,'fsl_common.h']]], + ['make_5fversion',['MAKE_VERSION',['../a00082.html#ga812138aa3315b0c6953c1a26130bcc37',1,'fsl_common.h']]], ['matchvalue',['matchValue',['../a00012.html#afa3614f09e787565b3514ddd4d9545a0',1,'ctimer_match_config_t']]], ['mdelay',['mDelay',['../a00010.html#a6f8664474411be56853bb70cd8de8b70',1,'capt_config_t']]], ['mode',['mode',['../a00012.html#ab72ef3a10cab9754d3cecb44ef7ad6ac',1,'ctimer_config_t']]], ['mrt_3a_20multi_2drate_20timer',['MRT: Multi-Rate Timer',['../a00018.html',1,'']]], ['mrt_5fchnl_5ft',['mrt_chnl_t',['../a00018.html#gaece5c1972e35dec2efcce98847a09622',1,'fsl_mrt.h']]], ['mrt_5fclearstatusflags',['MRT_ClearStatusFlags',['../a00018.html#ga959db20281cfce080c684bd94f667799',1,'fsl_mrt.h']]], - ['mrt_5fclocks',['MRT_CLOCKS',['../a00078.html#gac3a1bd1656603951722b345b45f888e9',1,'fsl_clock.h']]], - ['mrt_5fconfig_5ft',['mrt_config_t',['../a00018.html#a00117',1,'']]], + ['mrt_5fclocks',['MRT_CLOCKS',['../a00079.html#gac3a1bd1656603951722b345b45f888e9',1,'fsl_clock.h']]], + ['mrt_5fconfig_5ft',['mrt_config_t',['../a00018.html#a00118',1,'']]], ['mrt_5fdeinit',['MRT_Deinit',['../a00018.html#ga5d988ee09b604ab28f1b37320ee8d2d9',1,'fsl_mrt.h']]], ['mrt_5fdisableinterrupts',['MRT_DisableInterrupts',['../a00018.html#ga7a8066680089523fa602dbe58b0c0505',1,'fsl_mrt.h']]], ['mrt_5fenableinterrupts',['MRT_EnableInterrupts',['../a00018.html#gae875817838659e596dc1cb1527c948c3',1,'fsl_mrt.h']]], @@ -20,10 +20,12 @@ var searchData= ['mrt_5fgetstatusflags',['MRT_GetStatusFlags',['../a00018.html#gafa8493a0faee1cc356da26ca99a54da8',1,'fsl_mrt.h']]], ['mrt_5finit',['MRT_Init',['../a00018.html#ga44091408531a61dfac7c4ee4982be317',1,'fsl_mrt.h']]], ['mrt_5finterrupt_5fenable_5ft',['mrt_interrupt_enable_t',['../a00018.html#ga9d2f90ae2c6f99410e2908dac8cc6943',1,'fsl_mrt.h']]], + ['mrt_5freleasechannel',['MRT_ReleaseChannel',['../a00018.html#ga8e55bb859e4f9b98ea8ec580ed7b106a',1,'fsl_mrt.h']]], ['mrt_5fsetupchannelmode',['MRT_SetupChannelMode',['../a00018.html#ga8f4d38afa34e99ba521d9ca08be06355',1,'fsl_mrt.h']]], ['mrt_5fstarttimer',['MRT_StartTimer',['../a00018.html#ga388dd5041e6c179d637e17cd6e5a607e',1,'fsl_mrt.h']]], ['mrt_5fstatus_5fflags_5ft',['mrt_status_flags_t',['../a00018.html#ga0bb94508d8cf924c3a6971364377673a',1,'fsl_mrt.h']]], ['mrt_5fstoptimer',['MRT_StopTimer',['../a00018.html#ga7620866a54acdcc17abab2b46a94dc6c',1,'fsl_mrt.h']]], ['mrt_5ftimer_5fmode_5ft',['mrt_timer_mode_t',['../a00018.html#gad481f648f1c89a1eab327530d6fef1d0',1,'fsl_mrt.h']]], - ['mrt_5fupdatetimerperiod',['MRT_UpdateTimerPeriod',['../a00018.html#ga57f3d18e0ec88a5eb04b3282e0f3dc95',1,'fsl_mrt.h']]] + ['mrt_5fupdatetimerperiod',['MRT_UpdateTimerPeriod',['../a00018.html#ga57f3d18e0ec88a5eb04b3282e0f3dc95',1,'fsl_mrt.h']]], + ['msec_5fto_5fcount',['MSEC_TO_COUNT',['../a00082.html#gaa9fb2136029d807bd7c349c30348b52a',1,'fsl_common_arm.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_70.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_70.js index 2dd28e7..fd5a3d0 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_70.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_70.js @@ -2,87 +2,87 @@ var searchData= [ ['paritymode',['parityMode',['../a00020.html#aff1d7e368b35ae89db8aa393207e7ccc',1,'usart_config_t']]], ['pindirection',['pinDirection',['../a00014.html#a70aed128003103272f5740f12fbff525',1,'gpio_pin_config_t']]], - ['pint_5fcb_5ft',['pint_cb_t',['../a00087.html#ga262ac9596c0926fbe5f346e0f6aaf9f5',1,'fsl_pint.h']]], - ['pint_5fdeinit',['PINT_Deinit',['../a00087.html#ga78ffa3e09e17db8dc6a9038123ad1c46',1,'fsl_pint.h']]], - ['pint_5fdisablecallback',['PINT_DisableCallback',['../a00087.html#gada262638d555d9a503ddcdbed24ec475',1,'fsl_pint.h']]], - ['pint_5fdisablecallbackbyindex',['PINT_DisableCallbackByIndex',['../a00087.html#gad7300bf374c0cdc65fadff76f3ee7e5c',1,'fsl_pint.h']]], - ['pint_3a_20pin_20interrupt_20and_20pattern_20match_20driver',['PINT: Pin Interrupt and Pattern Match Driver',['../a00087.html',1,'']]], - ['pint_5fenablecallback',['PINT_EnableCallback',['../a00087.html#gac6b1406205adace6696a1647250ad76d',1,'fsl_pint.h']]], - ['pint_5fenablecallbackbyindex',['PINT_EnableCallbackByIndex',['../a00087.html#ga2cb0ee73a24657dd6994cb00b78c3137',1,'fsl_pint.h']]], - ['pint_5finit',['PINT_Init',['../a00087.html#gaf043d142dac622bedd50b736b52ac6e9',1,'fsl_pint.h']]], - ['pint_5fpatternmatchconfig',['PINT_PatternMatchConfig',['../a00087.html#ga1dc66cd865640c0b60eb128a868eab37',1,'fsl_pint.h']]], - ['pint_5fpatternmatchdisable',['PINT_PatternMatchDisable',['../a00087.html#ga4dd886dc387a64a5cb146ccd7297e1e1',1,'fsl_pint.h']]], - ['pint_5fpatternmatchdisablerxev',['PINT_PatternMatchDisableRXEV',['../a00087.html#ga73f0e7280f898bf99fe92b3519878a77',1,'fsl_pint.h']]], - ['pint_5fpatternmatchenable',['PINT_PatternMatchEnable',['../a00087.html#ga8911d499fb65ffe496c01f65bad9314f',1,'fsl_pint.h']]], - ['pint_5fpatternmatchenablerxev',['PINT_PatternMatchEnableRXEV',['../a00087.html#gaf5754b4b1d1436c359dde18101657d4e',1,'fsl_pint.h']]], - ['pint_5fpatternmatchgetconfig',['PINT_PatternMatchGetConfig',['../a00087.html#ga6d4f8e83cec4bc716231c97c2ceb5421',1,'fsl_pint.h']]], - ['pint_5fpatternmatchgetstatus',['PINT_PatternMatchGetStatus',['../a00087.html#ga74b3eece6c6eabc38f3194b125ff80d5',1,'fsl_pint.h']]], - ['pint_5fpatternmatchgetstatusall',['PINT_PatternMatchGetStatusAll',['../a00087.html#ga567b159bbfa265ed02c4900769ed8fe4',1,'fsl_pint.h']]], - ['pint_5fpatternmatchresetdetectlogic',['PINT_PatternMatchResetDetectLogic',['../a00087.html#ga00d40f5f01c445206f1f800dcc7b6e89',1,'fsl_pint.h']]], - ['pint_5fpin_5fenable_5ft',['pint_pin_enable_t',['../a00087.html#ga6a17e5c52721f6eb754f54cc72b58c91',1,'fsl_pint.h']]], - ['pint_5fpin_5fint_5ft',['pint_pin_int_t',['../a00087.html#ga15da1f70e8d0a05e9d492d01ceca7da8',1,'fsl_pint.h']]], - ['pint_5fpininterruptclrfallflag',['PINT_PinInterruptClrFallFlag',['../a00087.html#ga055c655c611b5645ce6903557ef809bb',1,'fsl_pint.h']]], - ['pint_5fpininterruptclrfallflagall',['PINT_PinInterruptClrFallFlagAll',['../a00087.html#ga11c44cbe5b42c79b22a3053c85b1e5d0',1,'fsl_pint.h']]], - ['pint_5fpininterruptclrriseflag',['PINT_PinInterruptClrRiseFlag',['../a00087.html#ga269af5856a4cfd5d2a171b4777d6884a',1,'fsl_pint.h']]], - ['pint_5fpininterruptclrriseflagall',['PINT_PinInterruptClrRiseFlagAll',['../a00087.html#ga53dd5706866fa0313689db6e4d9c1a9b',1,'fsl_pint.h']]], - ['pint_5fpininterruptclrstatus',['PINT_PinInterruptClrStatus',['../a00087.html#gab784e6aea0129b0c90164462bd0dbac5',1,'fsl_pint.h']]], - ['pint_5fpininterruptclrstatusall',['PINT_PinInterruptClrStatusAll',['../a00087.html#gace94acb3f70964230b2606fdbeb5f58b',1,'fsl_pint.h']]], - ['pint_5fpininterruptconfig',['PINT_PinInterruptConfig',['../a00087.html#ga363edbba9536380728e44bd7d1a0e7df',1,'fsl_pint.h']]], - ['pint_5fpininterruptgetconfig',['PINT_PinInterruptGetConfig',['../a00087.html#ga12c568c9b7f6d46257dc63505a381701',1,'fsl_pint.h']]], - ['pint_5fpininterruptgetfallflag',['PINT_PinInterruptGetFallFlag',['../a00087.html#gaace443444dec2e5b7e3e20cf69c53def',1,'fsl_pint.h']]], - ['pint_5fpininterruptgetfallflagall',['PINT_PinInterruptGetFallFlagAll',['../a00087.html#ga58eced039e8c793b7ff148d6cfacde5b',1,'fsl_pint.h']]], - ['pint_5fpininterruptgetriseflag',['PINT_PinInterruptGetRiseFlag',['../a00087.html#gaec7a3986f92f8c11a70a80af1a93a3b6',1,'fsl_pint.h']]], - ['pint_5fpininterruptgetriseflagall',['PINT_PinInterruptGetRiseFlagAll',['../a00087.html#ga08b623f67c277521182f8c9e630037ae',1,'fsl_pint.h']]], - ['pint_5fpininterruptgetstatus',['PINT_PinInterruptGetStatus',['../a00087.html#ga99a96e386a822de59ac2d60149ec47f3',1,'fsl_pint.h']]], - ['pint_5fpininterruptgetstatusall',['PINT_PinInterruptGetStatusAll',['../a00087.html#gab5dbaf58c90b1ef54bcd2c5dba9e178f',1,'fsl_pint.h']]], - ['pint_5fpmatch_5fbslice_5fcfg_5ft',['pint_pmatch_bslice_cfg_t',['../a00087.html#gae1e5bfc17515fab76a1deab955203c6a',1,'fsl_pint.h']]], - ['pint_5fpmatch_5fbslice_5ft',['pint_pmatch_bslice_t',['../a00087.html#ga048bc24e58d7df40af2a45efaabeea9b',1,'fsl_pint.h']]], - ['pint_5fpmatch_5finput_5fsrc_5ft',['pint_pmatch_input_src_t',['../a00087.html#ga2e05f827d6a43eade4c22e9d75bc5d76',1,'fsl_pint.h']]], - ['pintsel_5fid',['PINTSEL_ID',['../a00090.html#gacec975ef3b52ff6266f4bf055d8bbbc0',1,'fsl_syscon_connections.h']]], - ['plu_3a_20programmable_20logic_20unit',['PLU: Programmable Logic Unit',['../a00088.html',1,'']]], - ['plu_5fclocks',['PLU_CLOCKS',['../a00078.html#gadfccab39614758cd5e147aafb5157610',1,'fsl_clock.h']]], - ['plu_5fdeinit',['PLU_Deinit',['../a00088.html#ga4d562c154da2a9152f224e0dbeddb82c',1,'fsl_plu.h']]], - ['plu_5finit',['PLU_Init',['../a00088.html#ga9223774c024634ea6aef20ccd4768810',1,'fsl_plu.h']]], - ['plu_5flut_5fin_5findex_5ft',['plu_lut_in_index_t',['../a00088.html#gab4037d3c440abe260269986fbfd2087f',1,'fsl_plu.h']]], - ['plu_5flut_5findex_5ft',['plu_lut_index_t',['../a00088.html#gafe6cff20e6fc0c79d076a7b878f875a1',1,'fsl_plu.h']]], - ['plu_5flut_5finput_5fsource_5ft',['plu_lut_input_source_t',['../a00088.html#gaec74828294222d85c4341013b842eb05',1,'fsl_plu.h']]], - ['plu_5foutput_5findex_5ft',['plu_output_index_t',['../a00088.html#gab2d50bbe43b91c74ff406a8d4c359f40',1,'fsl_plu.h']]], - ['plu_5foutput_5fsource_5ft',['plu_output_source_t',['../a00088.html#ga3ebfc228971e1d13f03847952605c2d2',1,'fsl_plu.h']]], - ['plu_5freadoutputstate',['PLU_ReadOutputState',['../a00088.html#gad119096a745ccaf2fe302d9f25e799d3',1,'fsl_plu.h']]], - ['plu_5fsetlutinputsource',['PLU_SetLutInputSource',['../a00088.html#ga4b8afdbebd21774bd0c8898077753901',1,'fsl_plu.h']]], - ['plu_5fsetluttruthtable',['PLU_SetLutTruthTable',['../a00088.html#gaa0db99613861d08587e9bf1dd0defe84',1,'fsl_plu.h']]], - ['plu_5fsetoutputsource',['PLU_SetOutputSource',['../a00088.html#ga17f61bbdb9778ca2d86609be38e4bc09',1,'fsl_plu.h']]], - ['pmuc_5fpcon_5freserved_5fmask',['PMUC_PCON_RESERVED_MASK',['../a00079.html#gacc5b8fefeacc0f1909d657be9cedddf3',1,'fsl_power.h']]], + ['pint_5fcb_5ft',['pint_cb_t',['../a00088.html#ga262ac9596c0926fbe5f346e0f6aaf9f5',1,'fsl_pint.h']]], + ['pint_5fdeinit',['PINT_Deinit',['../a00088.html#ga78ffa3e09e17db8dc6a9038123ad1c46',1,'fsl_pint.h']]], + ['pint_5fdisablecallback',['PINT_DisableCallback',['../a00088.html#gada262638d555d9a503ddcdbed24ec475',1,'fsl_pint.h']]], + ['pint_5fdisablecallbackbyindex',['PINT_DisableCallbackByIndex',['../a00088.html#gad7300bf374c0cdc65fadff76f3ee7e5c',1,'fsl_pint.h']]], + ['pint_3a_20pin_20interrupt_20and_20pattern_20match_20driver',['PINT: Pin Interrupt and Pattern Match Driver',['../a00088.html',1,'']]], + ['pint_5fenablecallback',['PINT_EnableCallback',['../a00088.html#gac6b1406205adace6696a1647250ad76d',1,'fsl_pint.h']]], + ['pint_5fenablecallbackbyindex',['PINT_EnableCallbackByIndex',['../a00088.html#ga2cb0ee73a24657dd6994cb00b78c3137',1,'fsl_pint.h']]], + ['pint_5finit',['PINT_Init',['../a00088.html#gaf043d142dac622bedd50b736b52ac6e9',1,'fsl_pint.h']]], + ['pint_5fpatternmatchconfig',['PINT_PatternMatchConfig',['../a00088.html#ga1dc66cd865640c0b60eb128a868eab37',1,'fsl_pint.h']]], + ['pint_5fpatternmatchdisable',['PINT_PatternMatchDisable',['../a00088.html#ga4dd886dc387a64a5cb146ccd7297e1e1',1,'fsl_pint.h']]], + ['pint_5fpatternmatchdisablerxev',['PINT_PatternMatchDisableRXEV',['../a00088.html#ga73f0e7280f898bf99fe92b3519878a77',1,'fsl_pint.h']]], + ['pint_5fpatternmatchenable',['PINT_PatternMatchEnable',['../a00088.html#ga8911d499fb65ffe496c01f65bad9314f',1,'fsl_pint.h']]], + ['pint_5fpatternmatchenablerxev',['PINT_PatternMatchEnableRXEV',['../a00088.html#gaf5754b4b1d1436c359dde18101657d4e',1,'fsl_pint.h']]], + ['pint_5fpatternmatchgetconfig',['PINT_PatternMatchGetConfig',['../a00088.html#ga6d4f8e83cec4bc716231c97c2ceb5421',1,'fsl_pint.h']]], + ['pint_5fpatternmatchgetstatus',['PINT_PatternMatchGetStatus',['../a00088.html#ga74b3eece6c6eabc38f3194b125ff80d5',1,'fsl_pint.h']]], + ['pint_5fpatternmatchgetstatusall',['PINT_PatternMatchGetStatusAll',['../a00088.html#ga567b159bbfa265ed02c4900769ed8fe4',1,'fsl_pint.h']]], + ['pint_5fpatternmatchresetdetectlogic',['PINT_PatternMatchResetDetectLogic',['../a00088.html#ga00d40f5f01c445206f1f800dcc7b6e89',1,'fsl_pint.h']]], + ['pint_5fpin_5fenable_5ft',['pint_pin_enable_t',['../a00088.html#ga6a17e5c52721f6eb754f54cc72b58c91',1,'fsl_pint.h']]], + ['pint_5fpin_5fint_5ft',['pint_pin_int_t',['../a00088.html#ga15da1f70e8d0a05e9d492d01ceca7da8',1,'fsl_pint.h']]], + ['pint_5fpininterruptclrfallflag',['PINT_PinInterruptClrFallFlag',['../a00088.html#ga055c655c611b5645ce6903557ef809bb',1,'fsl_pint.h']]], + ['pint_5fpininterruptclrfallflagall',['PINT_PinInterruptClrFallFlagAll',['../a00088.html#ga11c44cbe5b42c79b22a3053c85b1e5d0',1,'fsl_pint.h']]], + ['pint_5fpininterruptclrriseflag',['PINT_PinInterruptClrRiseFlag',['../a00088.html#ga269af5856a4cfd5d2a171b4777d6884a',1,'fsl_pint.h']]], + ['pint_5fpininterruptclrriseflagall',['PINT_PinInterruptClrRiseFlagAll',['../a00088.html#ga53dd5706866fa0313689db6e4d9c1a9b',1,'fsl_pint.h']]], + ['pint_5fpininterruptclrstatus',['PINT_PinInterruptClrStatus',['../a00088.html#gab784e6aea0129b0c90164462bd0dbac5',1,'fsl_pint.h']]], + ['pint_5fpininterruptclrstatusall',['PINT_PinInterruptClrStatusAll',['../a00088.html#gace94acb3f70964230b2606fdbeb5f58b',1,'fsl_pint.h']]], + ['pint_5fpininterruptconfig',['PINT_PinInterruptConfig',['../a00088.html#ga363edbba9536380728e44bd7d1a0e7df',1,'fsl_pint.h']]], + ['pint_5fpininterruptgetconfig',['PINT_PinInterruptGetConfig',['../a00088.html#ga12c568c9b7f6d46257dc63505a381701',1,'fsl_pint.h']]], + ['pint_5fpininterruptgetfallflag',['PINT_PinInterruptGetFallFlag',['../a00088.html#gaace443444dec2e5b7e3e20cf69c53def',1,'fsl_pint.h']]], + ['pint_5fpininterruptgetfallflagall',['PINT_PinInterruptGetFallFlagAll',['../a00088.html#ga58eced039e8c793b7ff148d6cfacde5b',1,'fsl_pint.h']]], + ['pint_5fpininterruptgetriseflag',['PINT_PinInterruptGetRiseFlag',['../a00088.html#gaec7a3986f92f8c11a70a80af1a93a3b6',1,'fsl_pint.h']]], + ['pint_5fpininterruptgetriseflagall',['PINT_PinInterruptGetRiseFlagAll',['../a00088.html#ga08b623f67c277521182f8c9e630037ae',1,'fsl_pint.h']]], + ['pint_5fpininterruptgetstatus',['PINT_PinInterruptGetStatus',['../a00088.html#ga99a96e386a822de59ac2d60149ec47f3',1,'fsl_pint.h']]], + ['pint_5fpininterruptgetstatusall',['PINT_PinInterruptGetStatusAll',['../a00088.html#gab5dbaf58c90b1ef54bcd2c5dba9e178f',1,'fsl_pint.h']]], + ['pint_5fpmatch_5fbslice_5fcfg_5ft',['pint_pmatch_bslice_cfg_t',['../a00088.html#gae1e5bfc17515fab76a1deab955203c6a',1,'fsl_pint.h']]], + ['pint_5fpmatch_5fbslice_5ft',['pint_pmatch_bslice_t',['../a00088.html#ga048bc24e58d7df40af2a45efaabeea9b',1,'fsl_pint.h']]], + ['pint_5fpmatch_5finput_5fsrc_5ft',['pint_pmatch_input_src_t',['../a00088.html#ga2e05f827d6a43eade4c22e9d75bc5d76',1,'fsl_pint.h']]], + ['pintsel_5fid',['PINTSEL_ID',['../a00091.html#gacec975ef3b52ff6266f4bf055d8bbbc0',1,'fsl_syscon_connections.h']]], + ['plu_3a_20programmable_20logic_20unit',['PLU: Programmable Logic Unit',['../a00089.html',1,'']]], + ['plu_5fclocks',['PLU_CLOCKS',['../a00079.html#gadfccab39614758cd5e147aafb5157610',1,'fsl_clock.h']]], + ['plu_5fdeinit',['PLU_Deinit',['../a00089.html#ga4d562c154da2a9152f224e0dbeddb82c',1,'fsl_plu.h']]], + ['plu_5finit',['PLU_Init',['../a00089.html#ga9223774c024634ea6aef20ccd4768810',1,'fsl_plu.h']]], + ['plu_5flut_5fin_5findex_5ft',['plu_lut_in_index_t',['../a00089.html#gab4037d3c440abe260269986fbfd2087f',1,'fsl_plu.h']]], + ['plu_5flut_5findex_5ft',['plu_lut_index_t',['../a00089.html#gafe6cff20e6fc0c79d076a7b878f875a1',1,'fsl_plu.h']]], + ['plu_5flut_5finput_5fsource_5ft',['plu_lut_input_source_t',['../a00089.html#gaec74828294222d85c4341013b842eb05',1,'fsl_plu.h']]], + ['plu_5foutput_5findex_5ft',['plu_output_index_t',['../a00089.html#gab2d50bbe43b91c74ff406a8d4c359f40',1,'fsl_plu.h']]], + ['plu_5foutput_5fsource_5ft',['plu_output_source_t',['../a00089.html#ga3ebfc228971e1d13f03847952605c2d2',1,'fsl_plu.h']]], + ['plu_5freadoutputstate',['PLU_ReadOutputState',['../a00089.html#gad119096a745ccaf2fe302d9f25e799d3',1,'fsl_plu.h']]], + ['plu_5fsetlutinputsource',['PLU_SetLutInputSource',['../a00089.html#ga4b8afdbebd21774bd0c8898077753901',1,'fsl_plu.h']]], + ['plu_5fsetluttruthtable',['PLU_SetLutTruthTable',['../a00089.html#gaa0db99613861d08587e9bf1dd0defe84',1,'fsl_plu.h']]], + ['plu_5fsetoutputsource',['PLU_SetOutputSource',['../a00089.html#ga17f61bbdb9778ca2d86609be38e4bc09',1,'fsl_plu.h']]], + ['pmuc_5fpcon_5freserved_5fmask',['PMUC_PCON_RESERVED_MASK',['../a00080.html#gacc5b8fefeacc0f1909d657be9cedddf3',1,'fsl_power.h']]], ['pollcount',['pollCount',['../a00010.html#ac5c6145f8f3ee5abc6130bea3de2d8c8',1,'capt_config_t']]], ['polynomial',['polynomial',['../a00011.html#afbe53ea36b200e69cb7f693e6f0a11f1',1,'crc_config_t']]], ['postdelay',['postDelay',['../a00019.html#a960b87887e431dabbb5641109cb56d90',1,'spi_delay_config_t']]], - ['power_20driver',['Power Driver',['../a00079.html',1,'']]], - ['power_5fbod_5finterrupt_5flevel_5ft',['power_bod_interrupt_level_t',['../a00079.html#gad856ab8003eb9953525518cddbc7814c',1,'fsl_power.h']]], - ['power_5fbod_5freset_5flevel_5ft',['power_bod_reset_level_t',['../a00079.html#gad4414c07be8e296fdf41c256e605c161',1,'fsl_power.h']]], - ['power_5fclrdeeppowerdownmodeflag',['POWER_ClrDeepPowerDownModeFlag',['../a00079.html#ga4b9f6c3aa18e60b7a85edfa8857906ed',1,'fsl_power.h']]], - ['power_5fclrsleepmodeflag',['POWER_ClrSleepModeFlag',['../a00079.html#ga7069e59acd0a3e196bb54b7a5bcead3d',1,'fsl_power.h']]], - ['power_5fclrwakeuppinflag',['POWER_ClrWakeupPinFlag',['../a00079.html#ga6f4c4db233f8d1cb2aafa7b7a3c34f84',1,'fsl_power.h']]], - ['power_5fdeepsleepconfig',['POWER_DeepSleepConfig',['../a00079.html#ga64687ae9aee146f932c9d822633ed751',1,'fsl_power.h']]], - ['power_5fdisabledeepsleep',['POWER_DisableDeepSleep',['../a00079.html#gabea720a67b6b06b187a5781b9955dc9c',1,'fsl_power.h']]], - ['power_5fdisablepd',['POWER_DisablePD',['../a00079.html#ga40a1d0c80f5189e9c56133a2bc15a4c2',1,'fsl_power.h']]], - ['power_5fenabledeepsleep',['POWER_EnableDeepSleep',['../a00079.html#gae9733cfb042126b04d237cc8db40dba0',1,'fsl_power.h']]], - ['power_5fenablelpo',['POWER_EnableLPO',['../a00079.html#ga86ad2183a772150f3c72d5aaf158afaa',1,'fsl_power.h']]], - ['power_5fenablenondpd',['POWER_EnableNonDpd',['../a00079.html#ga7bfb95e1397b0fc1b02b740458667d4c',1,'fsl_power.h']]], - ['power_5fenablepd',['POWER_EnablePD',['../a00079.html#gacf3a138e73725bb8c50290295259bfa4',1,'fsl_power.h']]], - ['power_5fenterdeeppowerdownmode',['POWER_EnterDeepPowerDownMode',['../a00079.html#gafd390219700f64b63df3ded05212bda5',1,'fsl_power.h']]], - ['power_5fenterdeepsleep',['POWER_EnterDeepSleep',['../a00079.html#ga4b0c77a348378a9c77539fc160c239df',1,'fsl_power.h']]], - ['power_5fenterpowerdown',['POWER_EnterPowerDown',['../a00079.html#ga85cddf883d8412b35f3648fa5a62b136',1,'fsl_power.h']]], - ['power_5fentersleep',['POWER_EnterSleep',['../a00079.html#ga6ad77a0ddbf43076a49a207534c6b7f8',1,'fsl_power.h']]], - ['power_5fgen_5freg_5ft',['power_gen_reg_t',['../a00079.html#gaacea8a22b7f4706814e61973550d3492',1,'fsl_power.h']]], - ['power_5fgetdeeppowerdownmodeflag',['POWER_GetDeepPowerDownModeFlag',['../a00079.html#ga7b82522f086af61786aa316142d3540c',1,'fsl_power.h']]], - ['power_5fgetretaindata',['POWER_GetRetainData',['../a00079.html#ga2cef71a7429671e6aaf71b3c40f1bc23',1,'fsl_power.h']]], - ['power_5fgetsleepmodeflag',['POWER_GetSleepModeFlag',['../a00079.html#gaa25d9a439cb1779e76c107cfc9c1a86e',1,'fsl_power.h']]], - ['power_5fsetbodlevel',['POWER_SetBodLevel',['../a00079.html#ga85b6d46e43b818ba54dba92256db4499',1,'fsl_power.h']]], - ['power_5fsetretaindata',['POWER_SetRetainData',['../a00079.html#gada7daa74280566725bfa2854613c91cc',1,'fsl_power.h']]], - ['power_5fwakeupconfig',['POWER_WakeUpConfig',['../a00079.html#ga83d78eac8ce2faa5f92738c681846d5b',1,'fsl_power.h']]], + ['power_20driver',['Power Driver',['../a00080.html',1,'']]], + ['power_5fbod_5finterrupt_5flevel_5ft',['power_bod_interrupt_level_t',['../a00080.html#gad856ab8003eb9953525518cddbc7814c',1,'fsl_power.h']]], + ['power_5fbod_5freset_5flevel_5ft',['power_bod_reset_level_t',['../a00080.html#gad4414c07be8e296fdf41c256e605c161',1,'fsl_power.h']]], + ['power_5fclrdeeppowerdownmodeflag',['POWER_ClrDeepPowerDownModeFlag',['../a00080.html#ga4b9f6c3aa18e60b7a85edfa8857906ed',1,'fsl_power.h']]], + ['power_5fclrsleepmodeflag',['POWER_ClrSleepModeFlag',['../a00080.html#ga7069e59acd0a3e196bb54b7a5bcead3d',1,'fsl_power.h']]], + ['power_5fclrwakeuppinflag',['POWER_ClrWakeupPinFlag',['../a00080.html#ga6f4c4db233f8d1cb2aafa7b7a3c34f84',1,'fsl_power.h']]], + ['power_5fdeepsleepconfig',['POWER_DeepSleepConfig',['../a00080.html#ga64687ae9aee146f932c9d822633ed751',1,'fsl_power.h']]], + ['power_5fdisabledeepsleep',['POWER_DisableDeepSleep',['../a00080.html#gabea720a67b6b06b187a5781b9955dc9c',1,'fsl_power.h']]], + ['power_5fdisablepd',['POWER_DisablePD',['../a00080.html#ga40a1d0c80f5189e9c56133a2bc15a4c2',1,'fsl_power.h']]], + ['power_5fenabledeepsleep',['POWER_EnableDeepSleep',['../a00080.html#gae9733cfb042126b04d237cc8db40dba0',1,'fsl_power.h']]], + ['power_5fenablelpo',['POWER_EnableLPO',['../a00080.html#ga86ad2183a772150f3c72d5aaf158afaa',1,'fsl_power.h']]], + ['power_5fenablenondpd',['POWER_EnableNonDpd',['../a00080.html#ga7bfb95e1397b0fc1b02b740458667d4c',1,'fsl_power.h']]], + ['power_5fenablepd',['POWER_EnablePD',['../a00080.html#gacf3a138e73725bb8c50290295259bfa4',1,'fsl_power.h']]], + ['power_5fenterdeeppowerdownmode',['POWER_EnterDeepPowerDownMode',['../a00080.html#gafd390219700f64b63df3ded05212bda5',1,'fsl_power.h']]], + ['power_5fenterdeepsleep',['POWER_EnterDeepSleep',['../a00080.html#ga4b0c77a348378a9c77539fc160c239df',1,'fsl_power.h']]], + ['power_5fenterpowerdown',['POWER_EnterPowerDown',['../a00080.html#ga85cddf883d8412b35f3648fa5a62b136',1,'fsl_power.h']]], + ['power_5fentersleep',['POWER_EnterSleep',['../a00080.html#ga6ad77a0ddbf43076a49a207534c6b7f8',1,'fsl_power.h']]], + ['power_5fgen_5freg_5ft',['power_gen_reg_t',['../a00080.html#gaacea8a22b7f4706814e61973550d3492',1,'fsl_power.h']]], + ['power_5fgetdeeppowerdownmodeflag',['POWER_GetDeepPowerDownModeFlag',['../a00080.html#ga7b82522f086af61786aa316142d3540c',1,'fsl_power.h']]], + ['power_5fgetretaindata',['POWER_GetRetainData',['../a00080.html#ga2cef71a7429671e6aaf71b3c40f1bc23',1,'fsl_power.h']]], + ['power_5fgetsleepmodeflag',['POWER_GetSleepModeFlag',['../a00080.html#gaa25d9a439cb1779e76c107cfc9c1a86e',1,'fsl_power.h']]], + ['power_5fsetbodlevel',['POWER_SetBodLevel',['../a00080.html#ga85b6d46e43b818ba54dba92256db4499',1,'fsl_power.h']]], + ['power_5fsetretaindata',['POWER_SetRetainData',['../a00080.html#gada7daa74280566725bfa2854613c91cc',1,'fsl_power.h']]], + ['power_5fwakeupconfig',['POWER_WakeUpConfig',['../a00080.html#ga83d78eac8ce2faa5f92738c681846d5b',1,'fsl_power.h']]], ['predelay',['preDelay',['../a00019.html#a24a2584817f03d1ace0a6cecc718bc09',1,'spi_delay_config_t']]], ['prescale',['prescale',['../a00012.html#aa8baec2c586854dc58ff4cdb82b7996b',1,'ctimer_config_t']]], - ['printf',['PRINTF',['../a00091.html#gae1649fc947ca37a86917a08354f48d1a',1,'fsl_debug_console.h']]], - ['printf_5fadvanced_5fenable',['PRINTF_ADVANCED_ENABLE',['../a00091.html#ga299a157e550c253df33f18180f21605e',1,'fsl_debug_console.h']]], - ['printf_5ffloat_5fenable',['PRINTF_FLOAT_ENABLE',['../a00091.html#ga926f5c4b8929e99c9f977ad4c48928fd',1,'fsl_debug_console.h']]] + ['printf',['PRINTF',['../a00092.html#gae1649fc947ca37a86917a08354f48d1a',1,'fsl_debug_console.h']]], + ['printf_5fadvanced_5fenable',['PRINTF_ADVANCED_ENABLE',['../a00092.html#ga299a157e550c253df33f18180f21605e',1,'fsl_debug_console.h']]], + ['printf_5ffloat_5fenable',['PRINTF_FLOAT_ENABLE',['../a00092.html#ga926f5c4b8929e99c9f977ad4c48928fd',1,'fsl_debug_console.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_72.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_72.js index db0e27e..133d621 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_72.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_72.js @@ -4,12 +4,12 @@ var searchData= ['receivedaddress',['receivedAddress',['../a00016.html#ad6f3b291ee81b69cf91c161ae26d65ae',1,'i2c_slave_transfer_t']]], ['referencevoltage',['referenceVoltage',['../a00008.html#a66356c0246938695521e8a0ece69cf11',1,'acomp_ladder_config_t']]], ['remainingbytes',['remainingBytes',['../a00015.html#aca481b5d3ca6dce53cf440b534ff80c2',1,'_i2c_master_handle']]], - ['reset_20driver',['Reset Driver',['../a00080.html',1,'']]], - ['reset_5fperipheralreset',['RESET_PeripheralReset',['../a00080.html#gac33f3428cb55ce07ae67802e5f534ffc',1,'fsl_reset.h']]], + ['reset_20driver',['Reset Driver',['../a00081.html',1,'']]], + ['reset_5fperipheralreset',['RESET_PeripheralReset',['../a00081.html#gac33f3428cb55ce07ae67802e5f534ffc',1,'fsl_reset.h']]], ['result',['result',['../a00009.html#afa0a0f7e84c888060b963280035378f6',1,'adc_result_info_t']]], ['reversein',['reverseIn',['../a00011.html#aecb53a8e8a78e3273c6d3b2c874ab7f2',1,'crc_config_t']]], ['reverseout',['reverseOut',['../a00011.html#ab34c2bedb6fc476f9cbe760b6d45a417',1,'crc_config_t']]], - ['rom_5fclocks',['ROM_CLOCKS',['../a00078.html#gaffc6cb67ee605165b0660db6031d5871',1,'fsl_clock.h']]], + ['rom_5fclocks',['ROM_CLOCKS',['../a00079.html#gaffc6cb67ee605165b0660db6031d5871',1,'fsl_clock.h']]], ['rxdata',['rxData',['../a00016.html#a3e497078c6038baa8748ce5ecebc7e3d',1,'i2c_slave_transfer_t::rxData()'],['../a00019.html#a28b878b99d5ec790b5c8f3bafe140da0',1,'spi_transfer_t::rxData()'],['../a00019.html#a69f260bde2e1728233f835a148b2f51b',1,'_spi_master_handle::rxData()'],['../a00020.html#a44bd38d7d89b7e185ac9bb49bd7214ea',1,'usart_transfer_t::rxData()'],['../a00020.html#a7fcedbe0ea6e380084a05ba1bb06e38d',1,'_usart_handle::rxData()']]], ['rxdatasize',['rxDataSize',['../a00020.html#a94a60ffa711d28e3c9151c9990a9145c',1,'_usart_handle']]], ['rxdatasizeall',['rxDataSizeAll',['../a00020.html#a35109a019b73257d24021ad79d951e64',1,'_usart_handle']]], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_73.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_73.js index d2b8957..7d0462a 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_73.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_73.js @@ -1,26 +1,28 @@ var searchData= [ - ['scanf_5fadvanced_5fenable',['SCANF_ADVANCED_ENABLE',['../a00091.html#ga58226e74553b7976713f08ab6cd1ead3',1,'fsl_debug_console.h']]], - ['scanf_5ffloat_5fenable',['SCANF_FLOAT_ENABLE',['../a00091.html#gacab0122ff00951d58b47d95d95c4d119',1,'fsl_debug_console.h']]], - ['sct_5fclocks',['SCT_CLOCKS',['../a00078.html#gac72477e518b30ceb277b83f555ac0d9e',1,'fsl_clock.h']]], - ['sdk_5fdebugconsole',['SDK_DEBUGCONSOLE',['../a00091.html#ga7fdd594efdc8374ecd8684ed758d6cec',1,'fsl_debug_console.h']]], - ['sdk_5fdelayatleastus',['SDK_DelayAtLeastUs',['../a00081.html#ga4f9121590e5b8fe025d706ff2fe5da36',1,'fsl_common.h']]], - ['sdk_5ffree',['SDK_Free',['../a00081.html#ga0be5caec9b8493d87cc849714bd47865',1,'fsl_common.h']]], - ['sdk_5fmalloc',['SDK_Malloc',['../a00081.html#ga4e1420d9e817ebe0e8973420411de015',1,'fsl_common.h']]], + ['scanf_5fadvanced_5fenable',['SCANF_ADVANCED_ENABLE',['../a00092.html#ga58226e74553b7976713f08ab6cd1ead3',1,'fsl_debug_console.h']]], + ['scanf_5ffloat_5fenable',['SCANF_FLOAT_ENABLE',['../a00092.html#gacab0122ff00951d58b47d95d95c4d119',1,'fsl_debug_console.h']]], + ['sct_5fclocks',['SCT_CLOCKS',['../a00079.html#gac72477e518b30ceb277b83f555ac0d9e',1,'fsl_clock.h']]], + ['sdk_5fdebugconsole',['SDK_DEBUGCONSOLE',['../a00092.html#ga7fdd594efdc8374ecd8684ed758d6cec',1,'fsl_debug_console.h']]], + ['sdk_5fdelayatleastus',['SDK_DelayAtLeastUs',['../a00082.html#ga4f9121590e5b8fe025d706ff2fe5da36',1,'fsl_common.h']]], + ['sdk_5ffree',['SDK_Free',['../a00082.html#ga0be5caec9b8493d87cc849714bd47865',1,'fsl_common.h']]], + ['sdk_5fl1dcache_5falign',['SDK_L1DCACHE_ALIGN',['../a00082.html#ga870e52d54fc8ec35f89fc99ca656fb0b',1,'fsl_common_arm.h']]], + ['sdk_5fmalloc',['SDK_Malloc',['../a00082.html#ga4e1420d9e817ebe0e8973420411de015',1,'fsl_common.h']]], + ['sdk_5fsizealign',['SDK_SIZEALIGN',['../a00082.html#ga44d24ac5229dbbd054f046331b58d43b',1,'fsl_common_arm.h']]], ['seed',['seed',['../a00011.html#a88920b83ff1317f511c1e9f4916b3b30',1,'crc_config_t']]], - ['semihosting',['Semihosting',['../a00092.html',1,'']]], + ['semihosting',['Semihosting',['../a00093.html',1,'']]], ['sequencenumber',['sequenceNumber',['../a00010.html#a3305567326ede24c2f45a2d5b788eb2e',1,'capt_touch_data_t']]], ['settlingtime',['settlingTime',['../a00013.html#a64eb5fd25bfaaa5593caf6e9116dbb5b',1,'dac_config_t']]], ['slaveaddress',['slaveAddress',['../a00015.html#a97891bd050609c6dcd1276714277e480',1,'_i2c_master_transfer']]], ['slavefsm',['slaveFsm',['../a00016.html#a18589a5de9b06b2eaf7d4260eac03a58',1,'_i2c_slave_handle']]], - ['spi_3a_20serial_20peripheral_20interface_20driver',['SPI: Serial Peripheral Interface Driver',['../a00085.html',1,'']]], + ['spi_3a_20serial_20peripheral_20interface_20driver',['SPI: Serial Peripheral Interface Driver',['../a00086.html',1,'']]], ['spi_5fclearstatusflags',['SPI_ClearStatusFlags',['../a00019.html#ga794c7435fa108012df54bb6294f20505',1,'fsl_spi.h']]], ['spi_5fclock_5fphase_5ft',['spi_clock_phase_t',['../a00019.html#ga9ad313685ade497f5cbcb71c74a1b4dc',1,'fsl_spi.h']]], ['spi_5fclock_5fpolarity_5ft',['spi_clock_polarity_t',['../a00019.html#ga3e5a7cd043c9596779bc23b34cb3d1f9',1,'fsl_spi.h']]], - ['spi_5fclocks',['SPI_CLOCKS',['../a00078.html#ga2323a706e6e7796fa2e352a5b5a70f59',1,'fsl_clock.h']]], + ['spi_5fclocks',['SPI_CLOCKS',['../a00079.html#ga2323a706e6e7796fa2e352a5b5a70f59',1,'fsl_clock.h']]], ['spi_5fdata_5fwidth_5ft',['spi_data_width_t',['../a00019.html#gafa691c5329a7325ee57c9f06fa295731',1,'fsl_spi.h']]], ['spi_5fdeinit',['SPI_Deinit',['../a00019.html#gaac0bc2b87ea2eb7eeba78d9449d4dbbf',1,'fsl_spi.h']]], - ['spi_5fdelay_5fconfig_5ft',['spi_delay_config_t',['../a00019.html#a00118',1,'']]], + ['spi_5fdelay_5fconfig_5ft',['spi_delay_config_t',['../a00019.html#a00119',1,'']]], ['spi_5fdisableinterrupts',['SPI_DisableInterrupts',['../a00019.html#ga6289b192b135592b7b0996c05422be4d',1,'fsl_spi.h']]], ['spi_20driver',['SPI Driver',['../a00019.html',1,'']]], ['spi_5fdummydata',['SPI_DUMMYDATA',['../a00019.html#ga1541129ba8262e1649592b5109e2074c',1,'fsl_spi.h']]], @@ -30,7 +32,7 @@ var searchData= ['spi_5fgetstatusflags',['SPI_GetStatusFlags',['../a00019.html#ga9e7412ad45aeb7f620fe34559034ad2e',1,'fsl_spi.h']]], ['spi_5fismaster',['SPI_IsMaster',['../a00019.html#ga97782e786464ec2de946bd42e63aefbc',1,'fsl_spi.h']]], ['spi_5fmaster_5fcallback_5ft',['spi_master_callback_t',['../a00019.html#gae9bd140aeb645efab6c7552b3994e01a',1,'fsl_spi.h']]], - ['spi_5fmaster_5fconfig_5ft',['spi_master_config_t',['../a00019.html#a00119',1,'']]], + ['spi_5fmaster_5fconfig_5ft',['spi_master_config_t',['../a00019.html#a00120',1,'']]], ['spi_5fmastergetdefaultconfig',['SPI_MasterGetDefaultConfig',['../a00019.html#ga45c08fc078ae334b79fb844379140838',1,'fsl_spi.h']]], ['spi_5fmasterinit',['SPI_MasterInit',['../a00019.html#gab36e8463576abeded221a1e5a1eec01c',1,'fsl_spi.h']]], ['spi_5fmastersetbaudrate',['SPI_MasterSetBaudRate',['../a00019.html#ga3ad4747ccc31c142c54af5639cd49328',1,'fsl_spi.h']]], @@ -46,7 +48,7 @@ var searchData= ['spi_5fsettransferdelay',['SPI_SetTransferDelay',['../a00019.html#gad4071c9fc158109945e724872f4760b6',1,'fsl_spi.h']]], ['spi_5fshift_5fdirection_5ft',['spi_shift_direction_t',['../a00019.html#gaa68518c16202382c2e1f1c7c66a9d53d',1,'fsl_spi.h']]], ['spi_5fslave_5fcallback_5ft',['spi_slave_callback_t',['../a00019.html#ga86b45b85e036adc762eed5bcd2a0491d',1,'fsl_spi.h']]], - ['spi_5fslave_5fconfig_5ft',['spi_slave_config_t',['../a00019.html#a00120',1,'']]], + ['spi_5fslave_5fconfig_5ft',['spi_slave_config_t',['../a00019.html#a00121',1,'']]], ['spi_5fslave_5fhandle_5ft',['spi_slave_handle_t',['../a00019.html#gad267cfee3a876b2860217ff94f03f574',1,'fsl_spi.h']]], ['spi_5fslavegetdefaultconfig',['SPI_SlaveGetDefaultConfig',['../a00019.html#gac000b63ed033f57a9eee342a8c8e67f2',1,'fsl_spi.h']]], ['spi_5fslaveinit',['SPI_SlaveInit',['../a00019.html#gae40850ce14ba74ea75b3eef79beecf8a',1,'fsl_spi.h']]], @@ -57,31 +59,30 @@ var searchData= ['spi_5fslavetransfernonblocking',['SPI_SlaveTransferNonBlocking',['../a00019.html#ga93765aa3b062471b641f1b8f733b8b5b',1,'fsl_spi.h']]], ['spi_5fspol_5ft',['spi_spol_t',['../a00019.html#gafd7e7bd8e060742346806ed8c8f1db4c',1,'fsl_spi.h']]], ['spi_5fssel_5ft',['spi_ssel_t',['../a00019.html#ga420a3d3f841957068648585b89fa66d1',1,'fsl_spi.h']]], - ['spi_5ftransfer_5ft',['spi_transfer_t',['../a00019.html#a00121',1,'']]], + ['spi_5ftransfer_5ft',['spi_transfer_t',['../a00019.html#a00122',1,'']]], ['spi_5fwriteconfigflags',['SPI_WriteConfigFlags',['../a00019.html#ga709b0d176b737a8009221565f3ae19e6',1,'fsl_spi.h']]], ['spi_5fwritedata',['SPI_WriteData',['../a00019.html#ga92c1bd47d2ad7b45fc138fccb4bc1ace',1,'fsl_spi.h']]], ['spi_5fwritedatawithconfigflags',['SPI_WriteDataWithConfigFlags',['../a00019.html#gad3810513c85cb28f1dbf18b15614a09d',1,'fsl_spi.h']]], - ['sram_5fclocks',['SRAM_CLOCKS',['../a00078.html#ga06cf7a516d7600127c0af0e1e0cc5759',1,'fsl_clock.h']]], + ['sram_5fclocks',['SRAM_CLOCKS',['../a00079.html#ga06cf7a516d7600127c0af0e1e0cc5759',1,'fsl_clock.h']]], ['sselnumber',['sselNumber',['../a00019.html#af889de97327eb44641d8acc1f509d074',1,'spi_master_config_t']]], ['sselpolarity',['sselPolarity',['../a00019.html#ab514b4866ee539808abd92a75ca8be51',1,'spi_master_config_t::sselPolarity()'],['../a00019.html#a0d0bceaaae2e5bae690fb2c3289f48f6',1,'spi_slave_config_t::sselPolarity()']]], ['state',['state',['../a00015.html#add7ec18bc8239c5c87ffcec2fbcf5dd8',1,'_i2c_master_handle::state()'],['../a00019.html#ae7933252a37be998d127217f34f6fd16',1,'_spi_master_handle::state()']]], - ['status_5ft',['status_t',['../a00081.html#gaaabdaf7ee58ca7269bd4bf24efcde092',1,'fsl_common.h']]], + ['status_5ft',['status_t',['../a00082.html#gaaabdaf7ee58ca7269bd4bf24efcde092',1,'fsl_common.h']]], ['stopbitcount',['stopBitCount',['../a00020.html#aeb0b8a38f5d7e0def2aa1b079643682f',1,'usart_config_t']]], ['subaddress',['subaddress',['../a00015.html#ae7facb612714785d4e143e57d47a5af3',1,'_i2c_master_transfer']]], ['subaddresssize',['subaddressSize',['../a00015.html#aeec8dccf4a49f03ff9a40f5982a24796',1,'_i2c_master_transfer']]], - ['swm_3a_20switch_20matrix_20module',['SWM: Switch Matrix Module',['../a00089.html',1,'']]], - ['swm_5fclocks',['SWM_CLOCKS',['../a00078.html#gad0c6602129535798b81d753e67cabc99',1,'fsl_clock.h']]], - ['swm_5ffixed_5fport_5fpin_5ftype_5ft',['swm_fixed_port_pin_type_t',['../a00089.html#gabf427dd8dd6c86d0fac4f05b30e54475',1,'fsl_swm_connections.h']]], - ['swm_5fport_5fpin_5ftype_5ft',['swm_port_pin_type_t',['../a00089.html#gaca9c780388e187444bfa31a6bcc72d35',1,'fsl_swm_connections.h']]], - ['swm_5fselect_5ffixed_5fmovable_5ft',['swm_select_fixed_movable_t',['../a00089.html#gabdebb214f81e7c4859bd60752b5958cd',1,'fsl_swm_connections.h']]], - ['swm_5fselect_5ffixed_5fpin_5ft',['swm_select_fixed_pin_t',['../a00089.html#ga6db188a71a269613f30825af80c02053',1,'fsl_swm_connections.h']]], - ['swm_5fselect_5fmovable_5ft',['swm_select_movable_t',['../a00089.html#ga45575871cafcadc50292b9bba6b9d13d',1,'fsl_swm_connections.h']]], - ['swm_5fsetfixedmovablepinselect',['SWM_SetFixedMovablePinSelect',['../a00089.html#gae7a3cbb33db3c5d3f6663bdd21fb32e4',1,'fsl_swm.h']]], - ['swm_5fsetfixedpinselect',['SWM_SetFixedPinSelect',['../a00089.html#ga55452f734d7cc5e02195ed926e4484c8',1,'fsl_swm.h']]], - ['swm_5fsetmovablepinselect',['SWM_SetMovablePinSelect',['../a00089.html#gaae2dc5c29ae2358c616fa8a2b61a7e4b',1,'fsl_swm.h']]], + ['swm_3a_20switch_20matrix_20module',['SWM: Switch Matrix Module',['../a00090.html',1,'']]], + ['swm_5fclocks',['SWM_CLOCKS',['../a00079.html#gad0c6602129535798b81d753e67cabc99',1,'fsl_clock.h']]], + ['swm_5ffixed_5fport_5fpin_5ftype_5ft',['swm_fixed_port_pin_type_t',['../a00090.html#gabf427dd8dd6c86d0fac4f05b30e54475',1,'fsl_swm_connections.h']]], + ['swm_5fport_5fpin_5ftype_5ft',['swm_port_pin_type_t',['../a00090.html#gaca9c780388e187444bfa31a6bcc72d35',1,'fsl_swm_connections.h']]], + ['swm_5fselect_5ffixed_5fmovable_5ft',['swm_select_fixed_movable_t',['../a00090.html#gabdebb214f81e7c4859bd60752b5958cd',1,'fsl_swm_connections.h']]], + ['swm_5fselect_5ffixed_5fpin_5ft',['swm_select_fixed_pin_t',['../a00090.html#ga6db188a71a269613f30825af80c02053',1,'fsl_swm_connections.h']]], + ['swm_5fselect_5fmovable_5ft',['swm_select_movable_t',['../a00090.html#ga45575871cafcadc50292b9bba6b9d13d',1,'fsl_swm_connections.h']]], + ['swm_5fsetfixedpinselect',['SWM_SetFixedPinSelect',['../a00090.html#ga55452f734d7cc5e02195ed926e4484c8',1,'fsl_swm.h']]], + ['swm_5fsetmovablepinselect',['SWM_SetMovablePinSelect',['../a00090.html#gaae2dc5c29ae2358c616fa8a2b61a7e4b',1,'fsl_swm.h']]], ['syncmode',['syncMode',['../a00020.html#ad5debb2aa90fc8fa732edd1ab4bdbbea',1,'usart_config_t']]], - ['syscon_3a_20system_20configuration',['SYSCON: System Configuration',['../a00090.html',1,'']]], - ['syscon_5fattachsignal',['SYSCON_AttachSignal',['../a00090.html#gaa42f53e7578284bdc9816da8800e735b',1,'fsl_syscon.h']]], - ['syscon_5fconnection_5ft',['syscon_connection_t',['../a00090.html#ga4a63ee69b68459770551a0e4d7b6cdad',1,'fsl_syscon_connections.h']]], - ['syscon_5frstn_5ft',['SYSCON_RSTn_t',['../a00080.html#gac287530f011b42355162470f09975770',1,'fsl_reset.h']]] + ['syscon_3a_20system_20configuration',['SYSCON: System Configuration',['../a00091.html',1,'']]], + ['syscon_5fattachsignal',['SYSCON_AttachSignal',['../a00091.html#gaa42f53e7578284bdc9816da8800e735b',1,'fsl_syscon.h']]], + ['syscon_5fconnection_5ft',['syscon_connection_t',['../a00091.html#ga4a63ee69b68459770551a0e4d7b6cdad',1,'fsl_syscon_connections.h']]], + ['syscon_5frstn_5ft',['SYSCON_RSTn_t',['../a00081.html#gac287530f011b42355162470f09975770',1,'fsl_reset.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_75.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_75.js index 2c6b03c..820ff90 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_75.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_75.js @@ -1,11 +1,11 @@ var searchData= [ ['uart_5fretry_5ftimes',['UART_RETRY_TIMES',['../a00020.html#gac0f88b67f77fd05f186a5ec940c340bd',1,'fsl_usart.h']]], - ['usart_3a_20universal_20asynchronous_20receiver_2ftransmitter_20driver',['USART: Universal Asynchronous Receiver/Transmitter Driver',['../a00086.html',1,'']]], + ['usart_3a_20universal_20asynchronous_20receiver_2ftransmitter_20driver',['USART: Universal Asynchronous Receiver/Transmitter Driver',['../a00087.html',1,'']]], ['usart_5fclearstatusflags',['USART_ClearStatusFlags',['../a00020.html#ga235a301d8f22d6ce3a6f77dbdb76cfe3',1,'fsl_usart.h']]], ['usart_5fclock_5fpolarity_5ft',['usart_clock_polarity_t',['../a00020.html#ga786ba5b98195c3df810a061b6c0cca91',1,'fsl_usart.h']]], - ['usart_5fclocks',['USART_CLOCKS',['../a00078.html#ga2172b1f5c29b7d0bf0fe8a9c9d45ed43',1,'fsl_clock.h']]], - ['usart_5fconfig_5ft',['usart_config_t',['../a00020.html#a00122',1,'']]], + ['usart_5fclocks',['USART_CLOCKS',['../a00079.html#ga2172b1f5c29b7d0bf0fe8a9c9d45ed43',1,'fsl_clock.h']]], + ['usart_5fconfig_5ft',['usart_config_t',['../a00020.html#a00123',1,'']]], ['usart_5fdata_5flen_5ft',['usart_data_len_t',['../a00020.html#ga28e46a3538cf5f5140523132a963283c',1,'fsl_usart.h']]], ['usart_5fdeinit',['USART_Deinit',['../a00020.html#ga80892980b702b0b7614691014a5aaaca',1,'fsl_usart.h']]], ['usart_5fdisableinterrupts',['USART_DisableInterrupts',['../a00020.html#ga091d6509100a6e6206483b2f41f16d6c',1,'fsl_usart.h']]], @@ -28,7 +28,7 @@ var searchData= ['usart_5fstop_5fbit_5fcount_5ft',['usart_stop_bit_count_t',['../a00020.html#ga58ab07609b094f719f903475de6e57b4',1,'fsl_usart.h']]], ['usart_5fsync_5fmode_5ft',['usart_sync_mode_t',['../a00020.html#ga7ecd603d2579abbe714d58eb582821b8',1,'fsl_usart.h']]], ['usart_5ftransfer_5fcallback_5ft',['usart_transfer_callback_t',['../a00020.html#ga9688f27725349ed0dd7a37c9a75eccc0',1,'fsl_usart.h']]], - ['usart_5ftransfer_5ft',['usart_transfer_t',['../a00020.html#a00123',1,'']]], + ['usart_5ftransfer_5ft',['usart_transfer_t',['../a00020.html#a00124',1,'']]], ['usart_5ftransferabortreceive',['USART_TransferAbortReceive',['../a00020.html#ga2de9bf9557d2f7bc6fe0236608cb958e',1,'fsl_usart.h']]], ['usart_5ftransferabortsend',['USART_TransferAbortSend',['../a00020.html#ga095596e064fa8aa6bac0927e71b0329c',1,'fsl_usart.h']]], ['usart_5ftransfercreatehandle',['USART_TransferCreateHandle',['../a00020.html#ga9aed876794d5c2ab2e37196242602b89',1,'fsl_usart.h']]], @@ -42,5 +42,6 @@ var searchData= ['usart_5ftransferstopringbuffer',['USART_TransferStopRingBuffer',['../a00020.html#gaedb2f678849734999e373a8b00a5248c',1,'fsl_usart.h']]], ['usart_5fwriteblocking',['USART_WriteBlocking',['../a00020.html#ga95abde78d5cbf569f2b6e4d7942b64df',1,'fsl_usart.h']]], ['usart_5fwritebyte',['USART_WriteByte',['../a00020.html#gae7a92a20789cf111acadb543916aac91',1,'fsl_usart.h']]], + ['usec_5fto_5fcount',['USEC_TO_COUNT',['../a00082.html#gad5639aadf668899775fc7506a5e19dc1',1,'fsl_common_arm.h']]], ['userdata',['userData',['../a00015.html#aad7df570c53adb2e80acd2ba0d39d109',1,'_i2c_master_handle::userData()'],['../a00016.html#a98ea5e99278b386e2ddb99d45a9750ee',1,'_i2c_slave_handle::userData()'],['../a00019.html#ab8d01b85149d749ab1c748bb5116b90e',1,'_spi_master_handle::userData()'],['../a00020.html#adbecb8574e5d62ee38761a3b4c30e4c3',1,'_usart_handle::userData()']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_77.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_77.js index 8636b5a..b932c5d 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_77.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/all_77.js @@ -5,8 +5,8 @@ var searchData= ['wkt_3a_20self_2dwake_2dup_20timer',['WKT: Self-wake-up Timer',['../a00021.html',1,'']]], ['wkt_5fclearstatusflags',['WKT_ClearStatusFlags',['../a00021.html#gaa0d7b68f6ecfd1f4429c5dabb4b5aec3',1,'fsl_wkt.h']]], ['wkt_5fclock_5fsource_5ft',['wkt_clock_source_t',['../a00021.html#gaf985ebf5e165e2fbda510cac71cad222',1,'fsl_wkt.h']]], - ['wkt_5fclocks',['WKT_CLOCKS',['../a00078.html#ga24f65b321ca1b862cf159bec396453bd',1,'fsl_clock.h']]], - ['wkt_5fconfig_5ft',['wkt_config_t',['../a00021.html#a00124',1,'']]], + ['wkt_5fclocks',['WKT_CLOCKS',['../a00079.html#ga24f65b321ca1b862cf159bec396453bd',1,'fsl_clock.h']]], + ['wkt_5fconfig_5ft',['wkt_config_t',['../a00021.html#a00125',1,'']]], ['wkt_5fdeinit',['WKT_Deinit',['../a00021.html#gac9fb07a0516fdfdbb40129dd85f82b4b',1,'fsl_wkt.h']]], ['wkt_5fgetcountervalue',['WKT_GetCounterValue',['../a00021.html#ga6a2589301e091e4ced6951f49e8ab636',1,'fsl_wkt.h']]], ['wkt_5fgetdefaultconfig',['WKT_GetDefaultConfig',['../a00021.html#ga34bc8734ca383ef16dbe8683f65f2d74',1,'fsl_wkt.h']]], @@ -17,8 +17,8 @@ var searchData= ['wkt_5fstoptimer',['WKT_StopTimer',['../a00021.html#gab70db33e771d229596af0a64a398aa78',1,'fsl_wkt.h']]], ['wwdt_3a_20windowed_20watchdog_20timer_20driver',['WWDT: Windowed Watchdog Timer Driver',['../a00022.html',1,'']]], ['wwdt_5fclearstatusflags',['WWDT_ClearStatusFlags',['../a00022.html#ga5666008b33bf327c80afb90e0733512e',1,'fsl_wwdt.h']]], - ['wwdt_5fclocks',['WWDT_CLOCKS',['../a00078.html#ga23dea91a4cc65d3b9ba93c83313496a1',1,'fsl_clock.h']]], - ['wwdt_5fconfig_5ft',['wwdt_config_t',['../a00022.html#a00125',1,'']]], + ['wwdt_5fclocks',['WWDT_CLOCKS',['../a00079.html#ga23dea91a4cc65d3b9ba93c83313496a1',1,'fsl_clock.h']]], + ['wwdt_5fconfig_5ft',['wwdt_config_t',['../a00022.html#a00126',1,'']]], ['wwdt_5fdeinit',['WWDT_Deinit',['../a00022.html#gaae4415d32cd0f67908d0ab9494736742',1,'fsl_wwdt.h']]], ['wwdt_5fdisable',['WWDT_Disable',['../a00022.html#ga358bab6648d05345bda057a72cfb5547',1,'fsl_wwdt.h']]], ['wwdt_5fenable',['WWDT_Enable',['../a00022.html#ga2620dd2baf891f32359fbe85faaca563',1,'fsl_wwdt.h']]], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_5f.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_5f.js index 67bd8fa..0c368ac 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_5f.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_5f.js @@ -1,8 +1,8 @@ var searchData= [ - ['_5fi2c_5fmaster_5fhandle',['_i2c_master_handle',['../a00015.html#a00095',1,'']]], - ['_5fi2c_5fmaster_5ftransfer',['_i2c_master_transfer',['../a00015.html#a00096',1,'']]], - ['_5fi2c_5fslave_5fhandle',['_i2c_slave_handle',['../a00016.html#a00097',1,'']]], - ['_5fspi_5fmaster_5fhandle',['_spi_master_handle',['../a00019.html#a00098',1,'']]], - ['_5fusart_5fhandle',['_usart_handle',['../a00020.html#a00099',1,'']]] + ['_5fi2c_5fmaster_5fhandle',['_i2c_master_handle',['../a00015.html#a00096',1,'']]], + ['_5fi2c_5fmaster_5ftransfer',['_i2c_master_transfer',['../a00015.html#a00097',1,'']]], + ['_5fi2c_5fslave_5fhandle',['_i2c_slave_handle',['../a00016.html#a00098',1,'']]], + ['_5fspi_5fmaster_5fhandle',['_spi_master_handle',['../a00019.html#a00099',1,'']]], + ['_5fusart_5fhandle',['_usart_handle',['../a00020.html#a00100',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_61.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_61.js index 5ee1a76..5605150 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_61.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_61.js @@ -1,8 +1,8 @@ var searchData= [ - ['acomp_5fconfig_5ft',['acomp_config_t',['../a00008.html#a00100',1,'']]], - ['acomp_5fladder_5fconfig_5ft',['acomp_ladder_config_t',['../a00008.html#a00101',1,'']]], - ['adc_5fconfig_5ft',['adc_config_t',['../a00009.html#a00102',1,'']]], - ['adc_5fconv_5fseq_5fconfig_5ft',['adc_conv_seq_config_t',['../a00009.html#a00103',1,'']]], - ['adc_5fresult_5finfo_5ft',['adc_result_info_t',['../a00009.html#a00104',1,'']]] + ['acomp_5fconfig_5ft',['acomp_config_t',['../a00008.html#a00101',1,'']]], + ['acomp_5fladder_5fconfig_5ft',['acomp_ladder_config_t',['../a00008.html#a00102',1,'']]], + ['adc_5fconfig_5ft',['adc_config_t',['../a00009.html#a00103',1,'']]], + ['adc_5fconv_5fseq_5fconfig_5ft',['adc_conv_seq_config_t',['../a00009.html#a00104',1,'']]], + ['adc_5fresult_5finfo_5ft',['adc_result_info_t',['../a00009.html#a00105',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_63.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_63.js index 0fd9b7e..ef59392 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_63.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_63.js @@ -1,8 +1,8 @@ var searchData= [ - ['capt_5fconfig_5ft',['capt_config_t',['../a00010.html#a00105',1,'']]], - ['capt_5ftouch_5fdata_5ft',['capt_touch_data_t',['../a00010.html#a00106',1,'']]], - ['crc_5fconfig_5ft',['crc_config_t',['../a00011.html#a00107',1,'']]], - ['ctimer_5fconfig_5ft',['ctimer_config_t',['../a00012.html#a00108',1,'']]], - ['ctimer_5fmatch_5fconfig_5ft',['ctimer_match_config_t',['../a00012.html#a00109',1,'']]] + ['capt_5fconfig_5ft',['capt_config_t',['../a00010.html#a00106',1,'']]], + ['capt_5ftouch_5fdata_5ft',['capt_touch_data_t',['../a00010.html#a00107',1,'']]], + ['crc_5fconfig_5ft',['crc_config_t',['../a00011.html#a00108',1,'']]], + ['ctimer_5fconfig_5ft',['ctimer_config_t',['../a00012.html#a00109',1,'']]], + ['ctimer_5fmatch_5fconfig_5ft',['ctimer_match_config_t',['../a00012.html#a00110',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_64.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_64.js index 0c7125a..11ea374 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_64.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_64.js @@ -1,4 +1,4 @@ var searchData= [ - ['dac_5fconfig_5ft',['dac_config_t',['../a00013.html#a00110',1,'']]] + ['dac_5fconfig_5ft',['dac_config_t',['../a00013.html#a00111',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_67.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_67.js index 5bb0efe..250e6c6 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_67.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_67.js @@ -1,4 +1,4 @@ var searchData= [ - ['gpio_5fpin_5fconfig_5ft',['gpio_pin_config_t',['../a00014.html#a00111',1,'']]] + ['gpio_5fpin_5fconfig_5ft',['gpio_pin_config_t',['../a00014.html#a00112',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_69.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_69.js index 5c90b8c..031d6ee 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_69.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_69.js @@ -1,8 +1,8 @@ var searchData= [ - ['i2c_5fmaster_5fconfig_5ft',['i2c_master_config_t',['../a00015.html#a00112',1,'']]], - ['i2c_5fslave_5faddress_5ft',['i2c_slave_address_t',['../a00016.html#a00113',1,'']]], - ['i2c_5fslave_5fconfig_5ft',['i2c_slave_config_t',['../a00016.html#a00114',1,'']]], - ['i2c_5fslave_5ftransfer_5ft',['i2c_slave_transfer_t',['../a00016.html#a00115',1,'']]], - ['iocon_5fgroup_5ft',['iocon_group_t',['../a00017.html#a00116',1,'']]] + ['i2c_5fmaster_5fconfig_5ft',['i2c_master_config_t',['../a00015.html#a00113',1,'']]], + ['i2c_5fslave_5faddress_5ft',['i2c_slave_address_t',['../a00016.html#a00114',1,'']]], + ['i2c_5fslave_5fconfig_5ft',['i2c_slave_config_t',['../a00016.html#a00115',1,'']]], + ['i2c_5fslave_5ftransfer_5ft',['i2c_slave_transfer_t',['../a00016.html#a00116',1,'']]], + ['iocon_5fgroup_5ft',['iocon_group_t',['../a00017.html#a00117',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_6d.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_6d.js index d88cd39..5a656ef 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_6d.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_6d.js @@ -1,4 +1,4 @@ var searchData= [ - ['mrt_5fconfig_5ft',['mrt_config_t',['../a00018.html#a00117',1,'']]] + ['mrt_5fconfig_5ft',['mrt_config_t',['../a00018.html#a00118',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_73.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_73.js index 772cf1e..3d9d9c8 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_73.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_73.js @@ -1,7 +1,7 @@ var searchData= [ - ['spi_5fdelay_5fconfig_5ft',['spi_delay_config_t',['../a00019.html#a00118',1,'']]], - ['spi_5fmaster_5fconfig_5ft',['spi_master_config_t',['../a00019.html#a00119',1,'']]], - ['spi_5fslave_5fconfig_5ft',['spi_slave_config_t',['../a00019.html#a00120',1,'']]], - ['spi_5ftransfer_5ft',['spi_transfer_t',['../a00019.html#a00121',1,'']]] + ['spi_5fdelay_5fconfig_5ft',['spi_delay_config_t',['../a00019.html#a00119',1,'']]], + ['spi_5fmaster_5fconfig_5ft',['spi_master_config_t',['../a00019.html#a00120',1,'']]], + ['spi_5fslave_5fconfig_5ft',['spi_slave_config_t',['../a00019.html#a00121',1,'']]], + ['spi_5ftransfer_5ft',['spi_transfer_t',['../a00019.html#a00122',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_75.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_75.js index c020adb..55e023a 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_75.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_75.js @@ -1,5 +1,5 @@ var searchData= [ - ['usart_5fconfig_5ft',['usart_config_t',['../a00020.html#a00122',1,'']]], - ['usart_5ftransfer_5ft',['usart_transfer_t',['../a00020.html#a00123',1,'']]] + ['usart_5fconfig_5ft',['usart_config_t',['../a00020.html#a00123',1,'']]], + ['usart_5ftransfer_5ft',['usart_transfer_t',['../a00020.html#a00124',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_77.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_77.js index 660d298..b2f000c 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_77.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/classes_77.js @@ -1,5 +1,5 @@ var searchData= [ - ['wkt_5fconfig_5ft',['wkt_config_t',['../a00021.html#a00124',1,'']]], - ['wwdt_5fconfig_5ft',['wwdt_config_t',['../a00022.html#a00125',1,'']]] + ['wkt_5fconfig_5ft',['wkt_config_t',['../a00021.html#a00125',1,'']]], + ['wwdt_5fconfig_5ft',['wwdt_config_t',['../a00022.html#a00126',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_5f.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_5f.js index 5db98fa..f94953f 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_5f.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_5f.js @@ -6,19 +6,19 @@ var searchData= ['_5fcapt_5finterrupt_5fstatus_5fflags',['_capt_interrupt_status_flags',['../a00010.html#ga6764adb0a0bda236d301256120cddae0',1,'fsl_capt.h']]], ['_5fcapt_5fstatus_5fflags',['_capt_status_flags',['../a00010.html#ga86048ff411e845cbde51d9324b5a4b0f',1,'fsl_capt.h']]], ['_5fcapt_5fxpins',['_capt_xpins',['../a00010.html#ga2c35cfaacd7e34465baab32d16a4abb5',1,'fsl_capt.h']]], - ['_5fflash_5faccess_5ftime',['_flash_access_time',['../a00082.html#ga0efb897bafe816a8a87d92045a78ee9b',1,'fsl_iap.h']]], + ['_5fflash_5faccess_5ftime',['_flash_access_time',['../a00083.html#ga0efb897bafe816a8a87d92045a78ee9b',1,'fsl_iap.h']]], ['_5fi2c_5fmaster_5fflags',['_i2c_master_flags',['../a00015.html#gac938392418ba1a891983d11ef5c9f2a3',1,'fsl_i2c.h']]], ['_5fi2c_5fmaster_5ftransfer_5fflags',['_i2c_master_transfer_flags',['../a00015.html#ga87ea07668194cfb46c7c368d2cb42433',1,'fsl_i2c.h']]], ['_5fi2c_5fslave_5fflags',['_i2c_slave_flags',['../a00016.html#ga419f2fc2e0684671c00ee97e962bbe0c',1,'fsl_i2c.h']]], ['_5fi2c_5ftransfer_5fstates',['_i2c_transfer_states',['../a00015.html#gab08c1a0d50859637b4305687278941ee',1,'fsl_i2c.h']]], - ['_5fiap_5fcommands',['_iap_commands',['../a00082.html#gaae3267ca6081e1f5620b0aa674c5e8ca',1,'fsl_iap.h']]], - ['_5fpower_5fdeep_5fsleep_5factive',['_power_deep_sleep_active',['../a00079.html#gab5d33167d8a4c9d9a407cc1bdd6346a9',1,'fsl_power.h']]], - ['_5fpower_5fdpd_5fwakeup_5fpin',['_power_dpd_wakeup_pin',['../a00079.html#ga774c3c091d12f8f4ca768d112a7fda8d',1,'fsl_power.h']]], - ['_5fpower_5fwakeup',['_power_wakeup',['../a00079.html#ga1680834b74ccfaca0cc98b954cfef0ec',1,'fsl_power.h']]], + ['_5fiap_5fcommands',['_iap_commands',['../a00083.html#gaae3267ca6081e1f5620b0aa674c5e8ca',1,'fsl_iap.h']]], + ['_5fpower_5fdeep_5fsleep_5factive',['_power_deep_sleep_active',['../a00080.html#gab5d33167d8a4c9d9a407cc1bdd6346a9',1,'fsl_power.h']]], + ['_5fpower_5fdpd_5fwakeup_5fpin',['_power_dpd_wakeup_pin',['../a00080.html#ga774c3c091d12f8f4ca768d112a7fda8d',1,'fsl_power.h']]], + ['_5fpower_5fwakeup',['_power_wakeup',['../a00080.html#ga1680834b74ccfaca0cc98b954cfef0ec',1,'fsl_power.h']]], ['_5fspi_5finterrupt_5fenable',['_spi_interrupt_enable',['../a00019.html#gaedd690a0f91a0a9eb0fd573b57e31f67',1,'fsl_spi.h']]], ['_5fspi_5fstatus_5fflags',['_spi_status_flags',['../a00019.html#ga17a846c851b3b17e6a1564a5fab48e09',1,'fsl_spi.h']]], ['_5fspi_5fxfer_5foption',['_spi_xfer_option',['../a00019.html#gaaa2e2f2efa7be228c775fa239ab5ea03',1,'fsl_spi.h']]], - ['_5fstatus_5fgroups',['_status_groups',['../a00081.html#ga7ff0b98bb1341c07acefb1473b6eda29',1,'fsl_common.h']]], + ['_5fstatus_5fgroups',['_status_groups',['../a00082.html#ga7ff0b98bb1341c07acefb1473b6eda29',1,'fsl_common.h']]], ['_5fusart_5fflags',['_usart_flags',['../a00020.html#gaa6a81e7e82e236bb1c3c5ba306466610',1,'fsl_usart.h']]], ['_5fusart_5finterrupt_5fenable',['_usart_interrupt_enable',['../a00020.html#ga78b340bf3b25bfae957d0c5532b9b853',1,'fsl_usart.h']]], ['_5fwwdt_5fstatus_5fflags_5ft',['_wwdt_status_flags_t',['../a00022.html#gaca0e9724bd1f25336527ea66c77e476f',1,'fsl_wwdt.h']]] diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_63.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_63.js index 1710e94..b246115 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_63.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_63.js @@ -6,12 +6,12 @@ var searchData= ['capt_5fpolling_5fmode_5ft',['capt_polling_mode_t',['../a00010.html#ga43dfe06b3cce27e03b4b131557a5237b',1,'fsl_capt.h']]], ['capt_5freset_5fdelay_5ft',['capt_reset_delay_t',['../a00010.html#ga4394d9b57a062c83c444e391c4adf855',1,'fsl_capt.h']]], ['capt_5ftrigger_5fmode_5ft',['capt_trigger_mode_t',['../a00010.html#ga0c1eb1e31ad34c8654c981a5e643e2c9',1,'fsl_capt.h']]], - ['clock_5fdivider_5ft',['clock_divider_t',['../a00078.html#gac344a8334a482bcbcbdf0c4828db872c',1,'fsl_clock.h']]], - ['clock_5ffro_5fosc_5ffreq_5ft',['clock_fro_osc_freq_t',['../a00078.html#ga85bf7440c0d77269e3db764083110334',1,'fsl_clock.h']]], - ['clock_5fip_5fname_5ft',['clock_ip_name_t',['../a00078.html#ga23c8b3ae62f7865b2e228408be95946d',1,'fsl_clock.h']]], - ['clock_5fmain_5fclk_5fsrc_5ft',['clock_main_clk_src_t',['../a00078.html#gab68022e8d8f60a72f86a6a6c13b6e2f4',1,'fsl_clock.h']]], - ['clock_5fname_5ft',['clock_name_t',['../a00078.html#gaf74854e9bcee544d7646c5bafdc00bd3',1,'fsl_clock.h']]], - ['clock_5fselect_5ft',['clock_select_t',['../a00078.html#ga59a363f0296ea51011dd86b405d5db58',1,'fsl_clock.h']]], + ['clock_5fdivider_5ft',['clock_divider_t',['../a00079.html#gac344a8334a482bcbcbdf0c4828db872c',1,'fsl_clock.h']]], + ['clock_5ffro_5fosc_5ffreq_5ft',['clock_fro_osc_freq_t',['../a00079.html#ga85bf7440c0d77269e3db764083110334',1,'fsl_clock.h']]], + ['clock_5fip_5fname_5ft',['clock_ip_name_t',['../a00079.html#ga23c8b3ae62f7865b2e228408be95946d',1,'fsl_clock.h']]], + ['clock_5fmain_5fclk_5fsrc_5ft',['clock_main_clk_src_t',['../a00079.html#gab68022e8d8f60a72f86a6a6c13b6e2f4',1,'fsl_clock.h']]], + ['clock_5fname_5ft',['clock_name_t',['../a00079.html#gaf74854e9bcee544d7646c5bafdc00bd3',1,'fsl_clock.h']]], + ['clock_5fselect_5ft',['clock_select_t',['../a00079.html#ga59a363f0296ea51011dd86b405d5db58',1,'fsl_clock.h']]], ['crc_5fpolynomial_5ft',['crc_polynomial_t',['../a00011.html#ga7daa8fa0df9f42a98afcf766a63d931d',1,'fsl_crc.h']]], ['ctimer_5fcallback_5ftype_5ft',['ctimer_callback_type_t',['../a00012.html#ga740677adee6ada817bb45ed62607c3c4',1,'fsl_ctimer.h']]], ['ctimer_5fcapture_5fchannel_5ft',['ctimer_capture_channel_t',['../a00012.html#ga2fbf5cfa219c31ac16f3786d6897dc3f',1,'fsl_ctimer.h']]], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_70.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_70.js index cb4caa9..40ca02b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_70.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_70.js @@ -1,16 +1,16 @@ var searchData= [ - ['pint_5fpin_5fenable_5ft',['pint_pin_enable_t',['../a00087.html#ga6a17e5c52721f6eb754f54cc72b58c91',1,'fsl_pint.h']]], - ['pint_5fpin_5fint_5ft',['pint_pin_int_t',['../a00087.html#ga15da1f70e8d0a05e9d492d01ceca7da8',1,'fsl_pint.h']]], - ['pint_5fpmatch_5fbslice_5fcfg_5ft',['pint_pmatch_bslice_cfg_t',['../a00087.html#gae1e5bfc17515fab76a1deab955203c6a',1,'fsl_pint.h']]], - ['pint_5fpmatch_5fbslice_5ft',['pint_pmatch_bslice_t',['../a00087.html#ga048bc24e58d7df40af2a45efaabeea9b',1,'fsl_pint.h']]], - ['pint_5fpmatch_5finput_5fsrc_5ft',['pint_pmatch_input_src_t',['../a00087.html#ga2e05f827d6a43eade4c22e9d75bc5d76',1,'fsl_pint.h']]], - ['plu_5flut_5fin_5findex_5ft',['plu_lut_in_index_t',['../a00088.html#gab4037d3c440abe260269986fbfd2087f',1,'fsl_plu.h']]], - ['plu_5flut_5findex_5ft',['plu_lut_index_t',['../a00088.html#gafe6cff20e6fc0c79d076a7b878f875a1',1,'fsl_plu.h']]], - ['plu_5flut_5finput_5fsource_5ft',['plu_lut_input_source_t',['../a00088.html#gaec74828294222d85c4341013b842eb05',1,'fsl_plu.h']]], - ['plu_5foutput_5findex_5ft',['plu_output_index_t',['../a00088.html#gab2d50bbe43b91c74ff406a8d4c359f40',1,'fsl_plu.h']]], - ['plu_5foutput_5fsource_5ft',['plu_output_source_t',['../a00088.html#ga3ebfc228971e1d13f03847952605c2d2',1,'fsl_plu.h']]], - ['power_5fbod_5finterrupt_5flevel_5ft',['power_bod_interrupt_level_t',['../a00079.html#gad856ab8003eb9953525518cddbc7814c',1,'fsl_power.h']]], - ['power_5fbod_5freset_5flevel_5ft',['power_bod_reset_level_t',['../a00079.html#gad4414c07be8e296fdf41c256e605c161',1,'fsl_power.h']]], - ['power_5fgen_5freg_5ft',['power_gen_reg_t',['../a00079.html#gaacea8a22b7f4706814e61973550d3492',1,'fsl_power.h']]] + ['pint_5fpin_5fenable_5ft',['pint_pin_enable_t',['../a00088.html#ga6a17e5c52721f6eb754f54cc72b58c91',1,'fsl_pint.h']]], + ['pint_5fpin_5fint_5ft',['pint_pin_int_t',['../a00088.html#ga15da1f70e8d0a05e9d492d01ceca7da8',1,'fsl_pint.h']]], + ['pint_5fpmatch_5fbslice_5fcfg_5ft',['pint_pmatch_bslice_cfg_t',['../a00088.html#gae1e5bfc17515fab76a1deab955203c6a',1,'fsl_pint.h']]], + ['pint_5fpmatch_5fbslice_5ft',['pint_pmatch_bslice_t',['../a00088.html#ga048bc24e58d7df40af2a45efaabeea9b',1,'fsl_pint.h']]], + ['pint_5fpmatch_5finput_5fsrc_5ft',['pint_pmatch_input_src_t',['../a00088.html#ga2e05f827d6a43eade4c22e9d75bc5d76',1,'fsl_pint.h']]], + ['plu_5flut_5fin_5findex_5ft',['plu_lut_in_index_t',['../a00089.html#gab4037d3c440abe260269986fbfd2087f',1,'fsl_plu.h']]], + ['plu_5flut_5findex_5ft',['plu_lut_index_t',['../a00089.html#gafe6cff20e6fc0c79d076a7b878f875a1',1,'fsl_plu.h']]], + ['plu_5flut_5finput_5fsource_5ft',['plu_lut_input_source_t',['../a00089.html#gaec74828294222d85c4341013b842eb05',1,'fsl_plu.h']]], + ['plu_5foutput_5findex_5ft',['plu_output_index_t',['../a00089.html#gab2d50bbe43b91c74ff406a8d4c359f40',1,'fsl_plu.h']]], + ['plu_5foutput_5fsource_5ft',['plu_output_source_t',['../a00089.html#ga3ebfc228971e1d13f03847952605c2d2',1,'fsl_plu.h']]], + ['power_5fbod_5finterrupt_5flevel_5ft',['power_bod_interrupt_level_t',['../a00080.html#gad856ab8003eb9953525518cddbc7814c',1,'fsl_power.h']]], + ['power_5fbod_5freset_5flevel_5ft',['power_bod_reset_level_t',['../a00080.html#gad4414c07be8e296fdf41c256e605c161',1,'fsl_power.h']]], + ['power_5fgen_5freg_5ft',['power_gen_reg_t',['../a00080.html#gaacea8a22b7f4706814e61973550d3492',1,'fsl_power.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_73.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_73.js index bb00927..6e4ba3c 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_73.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enums_73.js @@ -6,11 +6,11 @@ var searchData= ['spi_5fshift_5fdirection_5ft',['spi_shift_direction_t',['../a00019.html#gaa68518c16202382c2e1f1c7c66a9d53d',1,'fsl_spi.h']]], ['spi_5fspol_5ft',['spi_spol_t',['../a00019.html#gafd7e7bd8e060742346806ed8c8f1db4c',1,'fsl_spi.h']]], ['spi_5fssel_5ft',['spi_ssel_t',['../a00019.html#ga420a3d3f841957068648585b89fa66d1',1,'fsl_spi.h']]], - ['swm_5ffixed_5fport_5fpin_5ftype_5ft',['swm_fixed_port_pin_type_t',['../a00089.html#gabf427dd8dd6c86d0fac4f05b30e54475',1,'fsl_swm_connections.h']]], - ['swm_5fport_5fpin_5ftype_5ft',['swm_port_pin_type_t',['../a00089.html#gaca9c780388e187444bfa31a6bcc72d35',1,'fsl_swm_connections.h']]], - ['swm_5fselect_5ffixed_5fmovable_5ft',['swm_select_fixed_movable_t',['../a00089.html#gabdebb214f81e7c4859bd60752b5958cd',1,'fsl_swm_connections.h']]], - ['swm_5fselect_5ffixed_5fpin_5ft',['swm_select_fixed_pin_t',['../a00089.html#ga6db188a71a269613f30825af80c02053',1,'fsl_swm_connections.h']]], - ['swm_5fselect_5fmovable_5ft',['swm_select_movable_t',['../a00089.html#ga45575871cafcadc50292b9bba6b9d13d',1,'fsl_swm_connections.h']]], - ['syscon_5fconnection_5ft',['syscon_connection_t',['../a00090.html#ga4a63ee69b68459770551a0e4d7b6cdad',1,'fsl_syscon_connections.h']]], - ['syscon_5frstn_5ft',['SYSCON_RSTn_t',['../a00080.html#gac287530f011b42355162470f09975770',1,'fsl_reset.h']]] + ['swm_5ffixed_5fport_5fpin_5ftype_5ft',['swm_fixed_port_pin_type_t',['../a00090.html#gabf427dd8dd6c86d0fac4f05b30e54475',1,'fsl_swm_connections.h']]], + ['swm_5fport_5fpin_5ftype_5ft',['swm_port_pin_type_t',['../a00090.html#gaca9c780388e187444bfa31a6bcc72d35',1,'fsl_swm_connections.h']]], + ['swm_5fselect_5ffixed_5fmovable_5ft',['swm_select_fixed_movable_t',['../a00090.html#gabdebb214f81e7c4859bd60752b5958cd',1,'fsl_swm_connections.h']]], + ['swm_5fselect_5ffixed_5fpin_5ft',['swm_select_fixed_pin_t',['../a00090.html#ga6db188a71a269613f30825af80c02053',1,'fsl_swm_connections.h']]], + ['swm_5fselect_5fmovable_5ft',['swm_select_movable_t',['../a00090.html#ga45575871cafcadc50292b9bba6b9d13d',1,'fsl_swm_connections.h']]], + ['syscon_5fconnection_5ft',['syscon_connection_t',['../a00091.html#ga4a63ee69b68459770551a0e4d7b6cdad',1,'fsl_syscon_connections.h']]], + ['syscon_5frstn_5ft',['SYSCON_RSTn_t',['../a00081.html#gac287530f011b42355162470f09975770',1,'fsl_reset.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enumvalues_6b.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enumvalues_6b.js index 9a09f9c..98be3ea 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enumvalues_6b.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/enumvalues_6b.js @@ -1,19 +1,18 @@ var searchData= [ - ['kacmp_5frst_5fn_5fshift_5frstn',['kACMP_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770ac1a3b1156e6dbc9d6fecb867f1486c63',1,'fsl_reset.h']]], + ['kacmp_5frst_5fn_5fshift_5frstn',['kACMP_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ac1a3b1156e6dbc9d6fecb867f1486c63',1,'fsl_reset.h']]], ['kacomp_5fhysteresis10mvselection',['kACOMP_Hysteresis10MVSelection',['../a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5aca25ed95469736b1383c06835f1a59a6f7',1,'fsl_acomp.h']]], ['kacomp_5fhysteresis20mvselection',['kACOMP_Hysteresis20MVSelection',['../a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acab609612c0f5c6987b71f287d0ab8e02c',1,'fsl_acomp.h']]], ['kacomp_5fhysteresis5mvselection',['kACOMP_Hysteresis5MVSelection',['../a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acae6c2b1547a7e476425962d46b44a00f9',1,'fsl_acomp.h']]], ['kacomp_5fhysteresisnoneselection',['kACOMP_HysteresisNoneSelection',['../a00008.html#ggaa7c0a15052b6421941faad3c6ea3a5acae003c683374deba88f335221e4f6c62b',1,'fsl_acomp.h']]], ['kacomp_5finterruptsbothedgesenable',['kACOMP_InterruptsBothEdgesEnable',['../a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a23743234a07f6c25a2b14cca0cd863c7',1,'fsl_acomp.h']]], - ['kacomp_5finterruptsdisable',['kACOMP_InterruptsDisable',['../a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a629a3a054d3fde07b8f1d4974a9d070d',1,'fsl_acomp.h']]], ['kacomp_5finterruptsfallingedgeenable',['kACOMP_InterruptsFallingEdgeEnable',['../a00008.html#gga178d521ca2ee7f33d518b30dfb627d20ad015e6ad9b09be27a6de122fde6b3997',1,'fsl_acomp.h']]], ['kacomp_5finterruptsrisingedgeenable',['kACOMP_InterruptsRisingEdgeEnable',['../a00008.html#gga178d521ca2ee7f33d518b30dfb627d20a25801b009aba402b9810189eb8545b8b',1,'fsl_acomp.h']]], ['kacomp_5fladderrefvoltagepinvdd',['kACOMP_LadderRefVoltagePinVDD',['../a00008.html#gga7ad629d52b0a70f95cd2ddb3bee8ab5fa70a5aa61894fc8d2195652c2fa3423aa',1,'fsl_acomp.h']]], ['kacomp_5fladderrefvoltagepinvddcmp',['kACOMP_LadderRefVoltagePinVDDCMP',['../a00008.html#gga7ad629d52b0a70f95cd2ddb3bee8ab5fa56718eb64fdbbd04648466547b326c35',1,'fsl_acomp.h']]], ['kadc_5fadcinunitygainmode',['kADC_ADCInUnityGainMode',['../a00009.html#ggafb17afb34b6114b97b8bfa331465fd23a520d81c1c70fab0701cfdcfaa46e1cae',1,'fsl_adc.h']]], - ['kadc_5fclk_5ffrom_5fextclk',['kADC_Clk_From_Extclk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a62d1ae5b5b1bb400be6618e4e2ad45ea',1,'fsl_clock.h']]], - ['kadc_5fclk_5ffrom_5ffro',['kADC_Clk_From_Fro',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a91f272ba2ca7171ce0689d39f9a894af',1,'fsl_clock.h']]], + ['kadc_5fclk_5ffrom_5fextclk',['kADC_Clk_From_Extclk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a62d1ae5b5b1bb400be6618e4e2ad45ea',1,'fsl_clock.h']]], + ['kadc_5fclk_5ffrom_5ffro',['kADC_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a91f272ba2ca7171ce0689d39f9a894af',1,'fsl_clock.h']]], ['kadc_5fconvseqainterruptenable',['kADC_ConvSeqAInterruptEnable',['../a00009.html#ggac5899c7af1f9cac7695d7171f83be5f0a729a5315c1792954ab9455cc6fdb324a',1,'fsl_adc.h']]], ['kadc_5fconvseqainterruptflag',['kADC_ConvSeqAInterruptFlag',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a6387795dcc8897a8d256cdc4a005d1b7',1,'fsl_adc.h']]], ['kadc_5fconvseqbinterruptenable',['kADC_ConvSeqBInterruptEnable',['../a00009.html#ggac5899c7af1f9cac7695d7171f83be5f0ac8937ac90396e6c1ab8b3a8c83c530f8',1,'fsl_adc.h']]], @@ -51,7 +50,7 @@ var searchData= ['kadc_5fresolution12bitinforesultshift',['kADC_Resolution12bitInfoResultShift',['../a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28cca5925d865b6882eeef54d3389dc2e9f98',1,'fsl_adc.h']]], ['kadc_5fresolution6bitinforesultshift',['kADC_Resolution6bitInfoResultShift',['../a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28ccaa51dfd6f4acca775dd0838c40eebe25e',1,'fsl_adc.h']]], ['kadc_5fresolution8bitinforesultshift',['kADC_Resolution8bitInfoResultShift',['../a00009.html#ggaecfd3ba1bc4b014f3c11bc6f348a28ccae60e431363f005f7830c1751ec9dbb3b',1,'fsl_adc.h']]], - ['kadc_5frst_5fn_5fshift_5frstn',['kADC_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a99e18503426294e439f4bb181a451999',1,'fsl_reset.h']]], + ['kadc_5frst_5fn_5fshift_5frstn',['kADC_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a99e18503426294e439f4bb181a451999',1,'fsl_reset.h']]], ['kadc_5fthresholdcompareaboverange',['kADC_ThresholdCompareAboveRange',['../a00009.html#ggad47d3fd5553ab75cdf6b95268cb94f20a88f6c1b1c2081034a524d1f07bda8479',1,'fsl_adc.h']]], ['kadc_5fthresholdcomparebelowrange',['kADC_ThresholdCompareBelowRange',['../a00009.html#ggad47d3fd5553ab75cdf6b95268cb94f20a4957dc189299bb3397555dbb37991903',1,'fsl_adc.h']]], ['kadc_5fthresholdcompareflagonchn0',['kADC_ThresholdCompareFlagOnChn0',['../a00009.html#gga417e499fb2f1ee7ba05088468b392ce1a07b97b0e0329e40c1829ac1e54467279',1,'fsl_adc.h']]], @@ -76,16 +75,16 @@ var searchData= ['kadc_5fthresholdinterruptonoutside',['kADC_ThresholdInterruptOnOutside',['../a00009.html#ggac6aab6fdd21723cfc6ed4437372521aca699feabaae444d40c7261e26a2872af8',1,'fsl_adc.h']]], ['kadc_5ftriggerpolaritynegativeedge',['kADC_TriggerPolarityNegativeEdge',['../a00009.html#gga538fb95659082602d6ebb205f21573a5a2515d6b46f5b471d516ceff71dda5bb5',1,'fsl_adc.h']]], ['kadc_5ftriggerpolaritypositiveedge',['kADC_TriggerPolarityPositiveEdge',['../a00009.html#gga538fb95659082602d6ebb205f21573a5a1e29943afcd9c5266a8401565798febe',1,'fsl_adc.h']]], - ['kbod_5finterruptlevel1',['kBod_InterruptLevel1',['../a00079.html#ggad856ab8003eb9953525518cddbc7814ca186e757d5a202f806afd889315af3639',1,'fsl_power.h']]], - ['kbod_5finterruptlevel2',['kBod_InterruptLevel2',['../a00079.html#ggad856ab8003eb9953525518cddbc7814ca2094c3f450488ea077bf45edabd227ba',1,'fsl_power.h']]], - ['kbod_5finterruptlevel3',['kBod_InterruptLevel3',['../a00079.html#ggad856ab8003eb9953525518cddbc7814cab28fa5a4937806aefbc4d259a419d354',1,'fsl_power.h']]], - ['kbod_5finterruptlevelreserved',['kBod_InterruptLevelReserved',['../a00079.html#ggad856ab8003eb9953525518cddbc7814cabc8bc042a952213b5364fcc75fb2e459',1,'fsl_power.h']]], - ['kbod_5fresetlevel0',['kBod_ResetLevel0',['../a00079.html#ggad4414c07be8e296fdf41c256e605c161a6767e4aee5d7fbe50704f21d413f5442',1,'fsl_power.h']]], + ['kbod_5finterruptlevel1',['kBod_InterruptLevel1',['../a00080.html#ggad856ab8003eb9953525518cddbc7814ca186e757d5a202f806afd889315af3639',1,'fsl_power.h']]], + ['kbod_5finterruptlevel2',['kBod_InterruptLevel2',['../a00080.html#ggad856ab8003eb9953525518cddbc7814ca2094c3f450488ea077bf45edabd227ba',1,'fsl_power.h']]], + ['kbod_5finterruptlevel3',['kBod_InterruptLevel3',['../a00080.html#ggad856ab8003eb9953525518cddbc7814cab28fa5a4937806aefbc4d259a419d354',1,'fsl_power.h']]], + ['kbod_5finterruptlevelreserved',['kBod_InterruptLevelReserved',['../a00080.html#ggad856ab8003eb9953525518cddbc7814cabc8bc042a952213b5364fcc75fb2e459',1,'fsl_power.h']]], + ['kbod_5fresetlevel0',['kBod_ResetLevel0',['../a00080.html#ggad4414c07be8e296fdf41c256e605c161a6767e4aee5d7fbe50704f21d413f5442',1,'fsl_power.h']]], ['kcapt_5fbusystatusflag',['kCAPT_BusyStatusFlag',['../a00010.html#gga86048ff411e845cbde51d9324b5a4b0fa1a35bccccdc8b9c911a96a14f6413579',1,'fsl_capt.h']]], - ['kcapt_5fclk_5ffrom_5ffro',['kCAPT_Clk_From_Fro',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58af1d8576caccfd1e48d121fae4315c10d',1,'fsl_clock.h']]], - ['kcapt_5fclk_5ffrom_5ffro_5fdiv',['kCAPT_Clk_From_Fro_Div',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58abae02f94616cc17800067d5601943b5e',1,'fsl_clock.h']]], - ['kcapt_5fclk_5ffrom_5flposc',['kCAPT_Clk_From_LPOsc',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58aea7f16ca2ff13032c4cc33a2c45332ae',1,'fsl_clock.h']]], - ['kcapt_5fclk_5ffrom_5fmainclk',['kCAPT_Clk_From_MainClk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a28b61eb46fb752a05934e2d44450acc0',1,'fsl_clock.h']]], + ['kcapt_5fclk_5ffrom_5ffro',['kCAPT_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58af1d8576caccfd1e48d121fae4315c10d',1,'fsl_clock.h']]], + ['kcapt_5fclk_5ffrom_5ffro_5fdiv',['kCAPT_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58abae02f94616cc17800067d5601943b5e',1,'fsl_clock.h']]], + ['kcapt_5fclk_5ffrom_5flposc',['kCAPT_Clk_From_LPOsc',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58aea7f16ca2ff13032c4cc33a2c45332ae',1,'fsl_clock.h']]], + ['kcapt_5fclk_5ffrom_5fmainclk',['kCAPT_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a28b61eb46fb752a05934e2d44450acc0',1,'fsl_clock.h']]], ['kcapt_5fcomparatortriggermode',['kCAPT_ComparatorTriggerMode',['../a00010.html#gga0c1eb1e31ad34c8654c981a5e643e2c9a29ad6662e14cd08a6d3771ecbd591619',1,'fsl_capt.h']]], ['kcapt_5fdmatriggeronallmode',['kCAPT_DMATriggerOnAllMode',['../a00010.html#gga0be2df04c72a8847673bcb6d9714866aae7dbcc430de0ec04afa4b600985bfaf7',1,'fsl_capt.h']]], ['kcapt_5fdmatriggeronbothmode',['kCAPT_DMATriggerOnBothMode',['../a00010.html#gga0be2df04c72a8847673bcb6d9714866aac710d56353625bbc2ed100f6dc28111d',1,'fsl_capt.h']]], @@ -113,7 +112,7 @@ var searchData= ['kcapt_5fresetdelaywait3fclks',['kCAPT_ResetDelayWait3FCLKs',['../a00010.html#gga4394d9b57a062c83c444e391c4adf855a505d962a510aaf415ee47e6d92ca932c',1,'fsl_capt.h']]], ['kcapt_5fresetdelaywait5fclks',['kCAPT_ResetDelayWait5FCLKs',['../a00010.html#gga4394d9b57a062c83c444e391c4adf855aadd3a0bd70e2f2795032fc953d97c677',1,'fsl_capt.h']]], ['kcapt_5fresetdelaywait9fclks',['kCAPT_ResetDelayWait9FCLKs',['../a00010.html#gga4394d9b57a062c83c444e391c4adf855a4d1bfa8129b289d5fe1f56dd980343ea',1,'fsl_capt.h']]], - ['kcapt_5frst_5fn_5fshift_5frstn',['kCAPT_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a6946809531736fcf4d7067e31f73813b',1,'fsl_reset.h']]], + ['kcapt_5frst_5fn_5fshift_5frstn',['kCAPT_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a6946809531736fcf4d7067e31f73813b',1,'fsl_reset.h']]], ['kcapt_5fx0pin',['kCAPT_X0Pin',['../a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5ad4b60dd2d35d11a91c11630a7fe91640',1,'fsl_capt.h']]], ['kcapt_5fx10pin',['kCAPT_X10Pin',['../a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a72314787f99f4a5ba7e51c1445fe65f2',1,'fsl_capt.h']]], ['kcapt_5fx11pin',['kCAPT_X11Pin',['../a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a53723bf555f64a437f12dff73e7f2188',1,'fsl_capt.h']]], @@ -132,56 +131,56 @@ var searchData= ['kcapt_5fx9pin',['kCAPT_X9Pin',['../a00010.html#gga2c35cfaacd7e34465baab32d16a4abb5a85bab394942771628a37eb7b0fd5998f',1,'fsl_capt.h']]], ['kcapt_5fxmaxstatusflag',['kCAPT_XMAXStatusFlag',['../a00010.html#gga86048ff411e845cbde51d9324b5a4b0fa9210dee59a14aaec97257fac9a20ae9c',1,'fsl_capt.h']]], ['kcapt_5fyhporttriggermode',['kCAPT_YHPortTriggerMode',['../a00010.html#gga0c1eb1e31ad34c8654c981a5e643e2c9aba5349742fd4b19f8a7ccc0bdc9cb514',1,'fsl_capt.h']]], - ['kclkout_5ffrom_5fextclk',['kCLKOUT_From_ExtClk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a8671f2c92f6e049ed8b2f3b7a5b9b296',1,'fsl_clock.h']]], - ['kclkout_5ffrom_5ffro',['kCLKOUT_From_Fro',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58af2ea89b865003dc40f8923f67d7bb366',1,'fsl_clock.h']]], - ['kclkout_5ffrom_5flposc',['kCLKOUT_From_Lposc',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a34737a1ca71167db472e07d6d9bd4b39',1,'fsl_clock.h']]], - ['kclkout_5ffrom_5fmainclk',['kCLKOUT_From_MainClk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a20d47beaa61ee59b61c34fa4f7e30d21',1,'fsl_clock.h']]], - ['kclock_5facmp',['kCLOCK_Acmp',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da5c44f9347603d8c7fd8eda74e3b3e40f',1,'fsl_clock.h']]], - ['kclock_5fadc',['kCLOCK_Adc',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da2bc16e3ee343b4abfc46ae9506461e97',1,'fsl_clock.h']]], - ['kclock_5fcapt',['kCLOCK_Capt',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da0ffae7aa0d9dbc52524beb5f82ced038',1,'fsl_clock.h']]], - ['kclock_5fcoresysclk',['kCLOCK_CoreSysClk',['../a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a1de4a40f5399eb025d5faefda7491918',1,'fsl_clock.h']]], - ['kclock_5fcrc',['kCLOCK_Crc',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946daa1cfbe8497997fc6dff0d7f0c070aa5a',1,'fsl_clock.h']]], - ['kclock_5fctimer0',['kCLOCK_Ctimer0',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946dad2e9ebc3825a65fae3c13efbc805a128',1,'fsl_clock.h']]], - ['kclock_5fdac',['kCLOCK_Dac',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da32dc8a8723c16dbf0af95927f54a4dcc',1,'fsl_clock.h']]], - ['kclock_5fdivadcclk',['kCLOCK_DivAdcClk',['../a00078.html#ggac344a8334a482bcbcbdf0c4828db872ca7b3998fdf96eb884e35ff56f40feffbd',1,'fsl_clock.h']]], - ['kclock_5fdivahbclk',['kCLOCK_DivAhbClk',['../a00078.html#ggac344a8334a482bcbcbdf0c4828db872caf4f25357ef9794d7d72417977d27ffb2',1,'fsl_clock.h']]], - ['kclock_5fdivclkout',['kCLOCK_DivClkOut',['../a00078.html#ggac344a8334a482bcbcbdf0c4828db872caa7236a2c00237ca7ee5b2ff55058ded6',1,'fsl_clock.h']]], - ['kclock_5fextclk',['kCLOCK_ExtClk',['../a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a30e6333c132740b84bf2ce94b8c44a8f',1,'fsl_clock.h']]], - ['kclock_5fflash',['kCLOCK_Flash',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da00b88c0e9bb08d8b622140c46416d4b9',1,'fsl_clock.h']]], - ['kclock_5ffrg0',['kCLOCK_Frg0',['../a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3aa27972710b7df62d66918584843aee06',1,'fsl_clock.h']]], - ['kclock_5ffro',['kCLOCK_Fro',['../a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01a946b874db04d12aba4c3bbe461580',1,'fsl_clock.h']]], - ['kclock_5ffrodiv',['kCLOCK_FroDiv',['../a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01d0c099adda9e435281c887b12095a8',1,'fsl_clock.h']]], - ['kclock_5ffrooscout18m',['kCLOCK_FroOscOut18M',['../a00078.html#gga85bf7440c0d77269e3db764083110334a60f89d729c96295d1aaa741a412d58ab',1,'fsl_clock.h']]], - ['kclock_5ffrooscout24m',['kCLOCK_FroOscOut24M',['../a00078.html#gga85bf7440c0d77269e3db764083110334a01135115df0c0b0525f2b1391ee9d9ca',1,'fsl_clock.h']]], - ['kclock_5ffrooscout30m',['kCLOCK_FroOscOut30M',['../a00078.html#gga85bf7440c0d77269e3db764083110334a29c4fdcb48fba40928b278765d0e3843',1,'fsl_clock.h']]], - ['kclock_5fgpio0',['kCLOCK_Gpio0',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da9ec19029dc1ad34cb7ae0091e97b7f7d',1,'fsl_clock.h']]], - ['kclock_5fgpioint',['kCLOCK_GpioInt',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da3922459ccb3f2fd90ac39813c0dc39f0',1,'fsl_clock.h']]], - ['kclock_5fi2c0',['kCLOCK_I2c0',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946dac6e6ee6e9f7b28e5c3818324c852b05f',1,'fsl_clock.h']]], - ['kclock_5fi2c1',['kCLOCK_I2c1',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da689e957d82ca723a1a0cc671ba216bf5',1,'fsl_clock.h']]], - ['kclock_5fiocon',['kCLOCK_Iocon',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da909ccfe84f4275dd7b6ba09235583230',1,'fsl_clock.h']]], - ['kclock_5fipinvalid',['kCLOCK_IpInvalid',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da11dd047399d3cf7893682897e34682de',1,'fsl_clock.h']]], - ['kclock_5flposc',['kCLOCK_LPOsc',['../a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3aab25a81f1aefcd33b6de1e10e3169c41',1,'fsl_clock.h']]], - ['kclock_5fmainclk',['kCLOCK_MainClk',['../a00078.html#ggaf74854e9bcee544d7646c5bafdc00bd3a86d48329b3492ab94a5da3925c73622f',1,'fsl_clock.h']]], - ['kclock_5fmainclksrcextclk',['kCLOCK_MainClkSrcExtClk',['../a00078.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a85dbf0a96c672abcfbc08dfc231088d6',1,'fsl_clock.h']]], - ['kclock_5fmainclksrcfro',['kCLOCK_MainClkSrcFro',['../a00078.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a0aecd9451c5bf4525fe17a7b4734f58f',1,'fsl_clock.h']]], - ['kclock_5fmainclksrcfrodiv',['kCLOCK_MainClkSrcFroDiv',['../a00078.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4afa92bbf8fcfb74ceea172667409f7643',1,'fsl_clock.h']]], - ['kclock_5fmainclksrclposc',['kCLOCK_MainClkSrcLPOsc',['../a00078.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4acb9810ab5676cca7da756b2c28205c12',1,'fsl_clock.h']]], - ['kclock_5fmrt',['kCLOCK_Mrt',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946daace73b709cda5c34ba5bdf6888605f1f',1,'fsl_clock.h']]], - ['kclock_5fplu',['kCLOCK_PLU',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da9facf6722503308f657e1d1da679065a',1,'fsl_clock.h']]], - ['kclock_5fram0',['kCLOCK_Ram0',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da89556150c0329c54c4b6150030e416ff',1,'fsl_clock.h']]], - ['kclock_5from',['kCLOCK_Rom',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da1b9f348e8d5bc1715af7366be3ca87c7',1,'fsl_clock.h']]], - ['kclock_5fspi0',['kCLOCK_Spi0',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946dac6997ec12e66b5e4fad9aa52ef6a2db0',1,'fsl_clock.h']]], - ['kclock_5fswm',['kCLOCK_Swm',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da63bcbbef2627c667b204eae91c3a0718',1,'fsl_clock.h']]], - ['kclock_5fsys',['kCLOCK_Sys',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da0a7890c63167a690ed5199ec17d46670',1,'fsl_clock.h']]], - ['kclock_5fuart0',['kCLOCK_Uart0',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da26876b4bdecc15c46c379a289441b3c6',1,'fsl_clock.h']]], - ['kclock_5fuart1',['kCLOCK_Uart1',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946da1d4898f8634e2f18e6a154e2b1a4120e',1,'fsl_clock.h']]], - ['kclock_5fwkt',['kCLOCK_Wkt',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946dad386313192805ba225b0da24e078d387',1,'fsl_clock.h']]], - ['kclock_5fwwdt',['kCLOCK_Wwdt',['../a00078.html#gga23c8b3ae62f7865b2e228408be95946daf1e8b91e80a3a9a4db038c0b4cf7e381',1,'fsl_clock.h']]], + ['kclkout_5ffrom_5fextclk',['kCLKOUT_From_ExtClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a8671f2c92f6e049ed8b2f3b7a5b9b296',1,'fsl_clock.h']]], + ['kclkout_5ffrom_5ffro',['kCLKOUT_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58af2ea89b865003dc40f8923f67d7bb366',1,'fsl_clock.h']]], + ['kclkout_5ffrom_5flposc',['kCLKOUT_From_Lposc',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a34737a1ca71167db472e07d6d9bd4b39',1,'fsl_clock.h']]], + ['kclkout_5ffrom_5fmainclk',['kCLKOUT_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a20d47beaa61ee59b61c34fa4f7e30d21',1,'fsl_clock.h']]], + ['kclock_5facmp',['kCLOCK_Acmp',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da5c44f9347603d8c7fd8eda74e3b3e40f',1,'fsl_clock.h']]], + ['kclock_5fadc',['kCLOCK_Adc',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da2bc16e3ee343b4abfc46ae9506461e97',1,'fsl_clock.h']]], + ['kclock_5fcapt',['kCLOCK_Capt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da0ffae7aa0d9dbc52524beb5f82ced038',1,'fsl_clock.h']]], + ['kclock_5fcoresysclk',['kCLOCK_CoreSysClk',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a1de4a40f5399eb025d5faefda7491918',1,'fsl_clock.h']]], + ['kclock_5fcrc',['kCLOCK_Crc',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946daa1cfbe8497997fc6dff0d7f0c070aa5a',1,'fsl_clock.h']]], + ['kclock_5fctimer0',['kCLOCK_Ctimer0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946dad2e9ebc3825a65fae3c13efbc805a128',1,'fsl_clock.h']]], + ['kclock_5fdac',['kCLOCK_Dac',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da32dc8a8723c16dbf0af95927f54a4dcc',1,'fsl_clock.h']]], + ['kclock_5fdivadcclk',['kCLOCK_DivAdcClk',['../a00079.html#ggac344a8334a482bcbcbdf0c4828db872ca7b3998fdf96eb884e35ff56f40feffbd',1,'fsl_clock.h']]], + ['kclock_5fdivahbclk',['kCLOCK_DivAhbClk',['../a00079.html#ggac344a8334a482bcbcbdf0c4828db872caf4f25357ef9794d7d72417977d27ffb2',1,'fsl_clock.h']]], + ['kclock_5fdivclkout',['kCLOCK_DivClkOut',['../a00079.html#ggac344a8334a482bcbcbdf0c4828db872caa7236a2c00237ca7ee5b2ff55058ded6',1,'fsl_clock.h']]], + ['kclock_5fextclk',['kCLOCK_ExtClk',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a30e6333c132740b84bf2ce94b8c44a8f',1,'fsl_clock.h']]], + ['kclock_5fflash',['kCLOCK_Flash',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da00b88c0e9bb08d8b622140c46416d4b9',1,'fsl_clock.h']]], + ['kclock_5ffrg0',['kCLOCK_Frg0',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3aa27972710b7df62d66918584843aee06',1,'fsl_clock.h']]], + ['kclock_5ffro',['kCLOCK_Fro',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01a946b874db04d12aba4c3bbe461580',1,'fsl_clock.h']]], + ['kclock_5ffrodiv',['kCLOCK_FroDiv',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a01d0c099adda9e435281c887b12095a8',1,'fsl_clock.h']]], + ['kclock_5ffrooscout18m',['kCLOCK_FroOscOut18M',['../a00079.html#gga85bf7440c0d77269e3db764083110334a60f89d729c96295d1aaa741a412d58ab',1,'fsl_clock.h']]], + ['kclock_5ffrooscout24m',['kCLOCK_FroOscOut24M',['../a00079.html#gga85bf7440c0d77269e3db764083110334a01135115df0c0b0525f2b1391ee9d9ca',1,'fsl_clock.h']]], + ['kclock_5ffrooscout30m',['kCLOCK_FroOscOut30M',['../a00079.html#gga85bf7440c0d77269e3db764083110334a29c4fdcb48fba40928b278765d0e3843',1,'fsl_clock.h']]], + ['kclock_5fgpio0',['kCLOCK_Gpio0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da9ec19029dc1ad34cb7ae0091e97b7f7d',1,'fsl_clock.h']]], + ['kclock_5fgpioint',['kCLOCK_GpioInt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da3922459ccb3f2fd90ac39813c0dc39f0',1,'fsl_clock.h']]], + ['kclock_5fi2c0',['kCLOCK_I2c0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946dac6e6ee6e9f7b28e5c3818324c852b05f',1,'fsl_clock.h']]], + ['kclock_5fi2c1',['kCLOCK_I2c1',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da689e957d82ca723a1a0cc671ba216bf5',1,'fsl_clock.h']]], + ['kclock_5fiocon',['kCLOCK_Iocon',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da909ccfe84f4275dd7b6ba09235583230',1,'fsl_clock.h']]], + ['kclock_5fipinvalid',['kCLOCK_IpInvalid',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da11dd047399d3cf7893682897e34682de',1,'fsl_clock.h']]], + ['kclock_5flposc',['kCLOCK_LPOsc',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3aab25a81f1aefcd33b6de1e10e3169c41',1,'fsl_clock.h']]], + ['kclock_5fmainclk',['kCLOCK_MainClk',['../a00079.html#ggaf74854e9bcee544d7646c5bafdc00bd3a86d48329b3492ab94a5da3925c73622f',1,'fsl_clock.h']]], + ['kclock_5fmainclksrcextclk',['kCLOCK_MainClkSrcExtClk',['../a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a85dbf0a96c672abcfbc08dfc231088d6',1,'fsl_clock.h']]], + ['kclock_5fmainclksrcfro',['kCLOCK_MainClkSrcFro',['../a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4a0aecd9451c5bf4525fe17a7b4734f58f',1,'fsl_clock.h']]], + ['kclock_5fmainclksrcfrodiv',['kCLOCK_MainClkSrcFroDiv',['../a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4afa92bbf8fcfb74ceea172667409f7643',1,'fsl_clock.h']]], + ['kclock_5fmainclksrclposc',['kCLOCK_MainClkSrcLPOsc',['../a00079.html#ggab68022e8d8f60a72f86a6a6c13b6e2f4acb9810ab5676cca7da756b2c28205c12',1,'fsl_clock.h']]], + ['kclock_5fmrt',['kCLOCK_Mrt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946daace73b709cda5c34ba5bdf6888605f1f',1,'fsl_clock.h']]], + ['kclock_5fplu',['kCLOCK_PLU',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da9facf6722503308f657e1d1da679065a',1,'fsl_clock.h']]], + ['kclock_5fram0',['kCLOCK_Ram0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da89556150c0329c54c4b6150030e416ff',1,'fsl_clock.h']]], + ['kclock_5from',['kCLOCK_Rom',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da1b9f348e8d5bc1715af7366be3ca87c7',1,'fsl_clock.h']]], + ['kclock_5fspi0',['kCLOCK_Spi0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946dac6997ec12e66b5e4fad9aa52ef6a2db0',1,'fsl_clock.h']]], + ['kclock_5fswm',['kCLOCK_Swm',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da63bcbbef2627c667b204eae91c3a0718',1,'fsl_clock.h']]], + ['kclock_5fsys',['kCLOCK_Sys',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da0a7890c63167a690ed5199ec17d46670',1,'fsl_clock.h']]], + ['kclock_5fuart0',['kCLOCK_Uart0',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da26876b4bdecc15c46c379a289441b3c6',1,'fsl_clock.h']]], + ['kclock_5fuart1',['kCLOCK_Uart1',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946da1d4898f8634e2f18e6a154e2b1a4120e',1,'fsl_clock.h']]], + ['kclock_5fwkt',['kCLOCK_Wkt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946dad386313192805ba225b0da24e078d387',1,'fsl_clock.h']]], + ['kclock_5fwwdt',['kCLOCK_Wwdt',['../a00079.html#gga23c8b3ae62f7865b2e228408be95946daf1e8b91e80a3a9a4db038c0b4cf7e381',1,'fsl_clock.h']]], ['kcrc_5fpolynomial_5fcrc_5f16',['kCRC_Polynomial_CRC_16',['../a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da4a13840f3031b3aed89f60ba37a34c8b',1,'fsl_crc.h']]], ['kcrc_5fpolynomial_5fcrc_5f32',['kCRC_Polynomial_CRC_32',['../a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da12ca2ee766202eb962135c76c00b10aa',1,'fsl_crc.h']]], ['kcrc_5fpolynomial_5fcrc_5fccitt',['kCRC_Polynomial_CRC_CCITT',['../a00011.html#gga7daa8fa0df9f42a98afcf766a63d931da9ef1c3d85d4f13199e7df63d170bf6f6',1,'fsl_crc.h']]], - ['kcrc_5frst_5fshift_5frstn',['kCRC_RST_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a194baa96cd93ef108f2724fa98352387',1,'fsl_reset.h']]], - ['kctimer0_5frst_5fn_5fshift_5frstn',['kCTIMER0_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a900b1deecf2f2316b30e40ff81330499',1,'fsl_reset.h']]], + ['kcrc_5frst_5fshift_5frstn',['kCRC_RST_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a194baa96cd93ef108f2724fa98352387',1,'fsl_reset.h']]], + ['kctimer0_5frst_5fn_5fshift_5frstn',['kCTIMER0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a900b1deecf2f2316b30e40ff81330499',1,'fsl_reset.h']]], ['kctimer_5fcapture0flag',['kCTIMER_Capture0Flag',['../a00012.html#ggae64285eb2e52bf5cc2b723870392ff60a5504b0ec94b832a46824ec297a106df1',1,'fsl_ctimer.h']]], ['kctimer_5fcapture0interruptenable',['kCTIMER_Capture0InterruptEnable',['../a00012.html#gga0971c614f932bcf55994bf6c92325eb2a5fe0ea705babd6351564e59c8db8e928',1,'fsl_ctimer.h']]], ['kctimer_5fcapture1flag',['kCTIMER_Capture1Flag',['../a00012.html#ggae64285eb2e52bf5cc2b723870392ff60af3a0db73d48116ea419af737c706b30b',1,'fsl_ctimer.h']]], @@ -216,29 +215,29 @@ var searchData= ['kctimer_5foutput_5fset',['kCTIMER_Output_Set',['../a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143cae1b0b02fe1e0af81f62fb40ff8339b8f',1,'fsl_ctimer.h']]], ['kctimer_5foutput_5ftoggle',['kCTIMER_Output_Toggle',['../a00012.html#gga22cef1fc5f8e23a35b6c3a012e3d143ca4ad940f7bea1dbae09b156ec0415f5f2',1,'fsl_ctimer.h']]], ['kctimer_5fsinglecallback',['kCTIMER_SingleCallback',['../a00012.html#gga740677adee6ada817bb45ed62607c3c4af805d881e4dc37e339a8434c1816f930',1,'fsl_ctimer.h']]], - ['kdac0_5frst_5fn_5fshift_5frstn',['kDAC0_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a25d5f742c3cbcf85a4e9c388c5c9e708',1,'fsl_reset.h']]], + ['kdac0_5frst_5fn_5fshift_5frstn',['kDAC0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a25d5f742c3cbcf85a4e9c388c5c9e708',1,'fsl_reset.h']]], ['kdac_5fsettlingtimeis1us',['kDAC_SettlingTimeIs1us',['../a00013.html#gga82eecb700b3afeda8b95a6151d8904fca302776aaf6d8780d9b57e9f910615d6f',1,'fsl_dac.h']]], ['kdac_5fsettlingtimeis25us',['kDAC_SettlingTimeIs25us',['../a00013.html#gga82eecb700b3afeda8b95a6151d8904fcad460e5e0da52ad16bf771892ebfce1f8',1,'fsl_dac.h']]], - ['kflash_5fiap_5fthreesystemclocktime',['kFlash_IAP_ThreeSystemClockTime',['../a00082.html#gga0efb897bafe816a8a87d92045a78ee9ba14466fd6ca33233907a499072ed0ea04',1,'fsl_iap.h']]], - ['kflash_5fiap_5ftwosystemclocktime',['kFlash_IAP_TwoSystemClockTime',['../a00082.html#gga0efb897bafe816a8a87d92045a78ee9ba0576e02942748ad3a4b1bb0904267ad4',1,'fsl_iap.h']]], - ['kflash_5frst_5fn_5fshift_5frstn',['kFLASH_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770ac1601058bee0888d89675b528432a496',1,'fsl_reset.h']]], - ['kfrg0_5fclk_5ffrom_5ffro',['kFRG0_Clk_From_Fro',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58afa871e16cf926d8a08dce1a52f418066',1,'fsl_clock.h']]], - ['kfrg0_5fclk_5ffrom_5fmainclk',['kFRG0_Clk_From_MainClk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a1fe8170ca9a5fcee3e0b3fa1453eb481',1,'fsl_clock.h']]], - ['kfrg0_5frst_5fn_5fshift_5frstn',['kFRG0_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a7a5b1b185218feb35ce91ce8f77b2106',1,'fsl_reset.h']]], - ['kgpio0_5frst_5fn_5fshift_5frstn',['kGPIO0_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a244e5cce727a529563a83a1a78f8b3f1',1,'fsl_reset.h']]], + ['kflash_5fiap_5fthreesystemclocktime',['kFlash_IAP_ThreeSystemClockTime',['../a00083.html#gga0efb897bafe816a8a87d92045a78ee9ba14466fd6ca33233907a499072ed0ea04',1,'fsl_iap.h']]], + ['kflash_5fiap_5ftwosystemclocktime',['kFlash_IAP_TwoSystemClockTime',['../a00083.html#gga0efb897bafe816a8a87d92045a78ee9ba0576e02942748ad3a4b1bb0904267ad4',1,'fsl_iap.h']]], + ['kflash_5frst_5fn_5fshift_5frstn',['kFLASH_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ac1601058bee0888d89675b528432a496',1,'fsl_reset.h']]], + ['kfrg0_5fclk_5ffrom_5ffro',['kFRG0_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58afa871e16cf926d8a08dce1a52f418066',1,'fsl_clock.h']]], + ['kfrg0_5fclk_5ffrom_5fmainclk',['kFRG0_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a1fe8170ca9a5fcee3e0b3fa1453eb481',1,'fsl_clock.h']]], + ['kfrg0_5frst_5fn_5fshift_5frstn',['kFRG0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a7a5b1b185218feb35ce91ce8f77b2106',1,'fsl_reset.h']]], + ['kgpio0_5frst_5fn_5fshift_5frstn',['kGPIO0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a244e5cce727a529563a83a1a78f8b3f1',1,'fsl_reset.h']]], ['kgpio_5fdigitalinput',['kGPIO_DigitalInput',['../a00014.html#ggada41ca0a2ce239fe125ee96833e715c0abacf19933be1940ab40c83535e6a46d4',1,'fsl_gpio.h']]], ['kgpio_5fdigitaloutput',['kGPIO_DigitalOutput',['../a00014.html#ggada41ca0a2ce239fe125ee96833e715c0a509ebcd228fc813cf4afcacd258680f9',1,'fsl_gpio.h']]], - ['kgpioint_5frst_5fn_5fshift_5frstn',['kGPIOINT_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a20fd1ac8739a143dddb40a6349a758a6',1,'fsl_reset.h']]], - ['ki2c0_5fclk_5ffrom_5ffrg0clk',['kI2C0_Clk_From_Frg0Clk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a92f67286aebd8e0fde633cf4b3bd8b57',1,'fsl_clock.h']]], - ['ki2c0_5fclk_5ffrom_5ffro',['kI2C0_Clk_From_Fro',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58ab8065d6f5e9b16e9410feccef7f1c10b',1,'fsl_clock.h']]], - ['ki2c0_5fclk_5ffrom_5ffro_5fdiv',['kI2C0_Clk_From_Fro_Div',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a9fe34f41e6cfa3cd3a0ef15ba9184bb1',1,'fsl_clock.h']]], - ['ki2c0_5fclk_5ffrom_5fmainclk',['kI2C0_Clk_From_MainClk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58ab434281b6471a116a444172bf8a7bf91',1,'fsl_clock.h']]], - ['ki2c0_5frst_5fn_5fshift_5frstn',['kI2C0_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770aee6d4f65797043b10be7d4a7ae95d206',1,'fsl_reset.h']]], - ['ki2c1_5fclk_5ffrom_5ffrg0clk',['kI2C1_Clk_From_Frg0Clk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58ac891ded4c265bfab790d733acbacb753',1,'fsl_clock.h']]], - ['ki2c1_5fclk_5ffrom_5ffro',['kI2C1_Clk_From_Fro',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58ae19e4e49559079c2f95f998c37029642',1,'fsl_clock.h']]], - ['ki2c1_5fclk_5ffrom_5ffro_5fdiv',['kI2C1_Clk_From_Fro_Div',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a8670e7d6a9f2afb045fbf54dc13c4bb2',1,'fsl_clock.h']]], - ['ki2c1_5fclk_5ffrom_5fmainclk',['kI2C1_Clk_From_MainClk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a5cff4ddf26d639e435ce79fe5e83d866',1,'fsl_clock.h']]], - ['ki2c1_5frst_5fn_5fshift_5frstn',['kI2C1_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770ad1ef18ed84027fdc4d41567ae894ea10',1,'fsl_reset.h']]], + ['kgpioint_5frst_5fn_5fshift_5frstn',['kGPIOINT_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a20fd1ac8739a143dddb40a6349a758a6',1,'fsl_reset.h']]], + ['ki2c0_5fclk_5ffrom_5ffrg0clk',['kI2C0_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a92f67286aebd8e0fde633cf4b3bd8b57',1,'fsl_clock.h']]], + ['ki2c0_5fclk_5ffrom_5ffro',['kI2C0_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ab8065d6f5e9b16e9410feccef7f1c10b',1,'fsl_clock.h']]], + ['ki2c0_5fclk_5ffrom_5ffro_5fdiv',['kI2C0_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a9fe34f41e6cfa3cd3a0ef15ba9184bb1',1,'fsl_clock.h']]], + ['ki2c0_5fclk_5ffrom_5fmainclk',['kI2C0_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ab434281b6471a116a444172bf8a7bf91',1,'fsl_clock.h']]], + ['ki2c0_5frst_5fn_5fshift_5frstn',['kI2C0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770aee6d4f65797043b10be7d4a7ae95d206',1,'fsl_reset.h']]], + ['ki2c1_5fclk_5ffrom_5ffrg0clk',['kI2C1_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ac891ded4c265bfab790d733acbacb753',1,'fsl_clock.h']]], + ['ki2c1_5fclk_5ffrom_5ffro',['kI2C1_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ae19e4e49559079c2f95f998c37029642',1,'fsl_clock.h']]], + ['ki2c1_5fclk_5ffrom_5ffro_5fdiv',['kI2C1_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a8670e7d6a9f2afb045fbf54dc13c4bb2',1,'fsl_clock.h']]], + ['ki2c1_5fclk_5ffrom_5fmainclk',['kI2C1_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a5cff4ddf26d639e435ce79fe5e83d866',1,'fsl_clock.h']]], + ['ki2c1_5frst_5fn_5fshift_5frstn',['kI2C1_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ad1ef18ed84027fdc4d41567ae894ea10',1,'fsl_reset.h']]], ['ki2c_5fmasterarbitrationlostflag',['kI2C_MasterArbitrationLostFlag',['../a00015.html#ggac938392418ba1a891983d11ef5c9f2a3a7b487779b58af99a7a8c179545f78ccd',1,'fsl_i2c.h']]], ['ki2c_5fmasterpendingflag',['kI2C_MasterPendingFlag',['../a00015.html#ggac938392418ba1a891983d11ef5c9f2a3a9cc49a1ca21e87058a7fbf733cce0e62',1,'fsl_i2c.h']]], ['ki2c_5fmasterstartstoperrorflag',['kI2C_MasterStartStopErrorFlag',['../a00015.html#ggac938392418ba1a891983d11ef5c9f2a3ab5cec1d9be7dc5fb2c106e2b87889517',1,'fsl_i2c.h']]], @@ -264,22 +263,22 @@ var searchData= ['ki2c_5ftransfernostopflag',['kI2C_TransferNoStopFlag',['../a00015.html#gga87ea07668194cfb46c7c368d2cb42433afb8aeea71b5b7475e3d3df86220db566',1,'fsl_i2c.h']]], ['ki2c_5ftransferrepeatedstartflag',['kI2C_TransferRepeatedStartFlag',['../a00015.html#gga87ea07668194cfb46c7c368d2cb42433aadf112471ef12f194985a093cd9b9721',1,'fsl_i2c.h']]], ['ki2c_5fwrite',['kI2C_Write',['../a00015.html#ggab49c827b45635206f06e5737606e4611a93b476b469c2a4bfed5916b458ae9bb0',1,'fsl_i2c.h']]], - ['kiapcmd_5fiap_5fblankchecksector',['kIapCmd_IAP_BlankCheckSector',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9b439af9906d00c0f5a83e5c878c2e50',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5fcompare',['kIapCmd_IAP_Compare',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caabda85aeb932e76c81db46f45a8c6f656',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5fcopyramtoflash',['kIapCmd_IAP_CopyRamToFlash',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3fa0f400c5389e777ba1f8d6acb27d72',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5ferasepage',['kIapCmd_IAP_ErasePage',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caae674c1ed0ecf4b2851aefc44261004bc',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5ferasesector',['kIapCmd_IAP_EraseSector',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa6c51c9bed9f8973d47f7b73e3592b2aa',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5fextendedreadsignature',['kIapCmd_IAP_ExtendedReadSignature',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa198048f7f05d882a431c4ea4c904174e',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5fpreparesectorforwrite',['kIapCmd_IAP_PrepareSectorforWrite',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa5ccbc970e59fd2f3ea432bf5c00fea7e',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5fread_5fbootromversion',['kIapCmd_IAP_Read_BootromVersion',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caab7decacdc717da7752b3a4637a228278',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5freadeeprompage',['kIapCmd_IAP_ReadEEPROMPage',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa8f9a3e93bf26895690836253d5d9a8bc',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5freadfactorysettings',['kIapCmd_IAP_ReadFactorySettings',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caae8bcbc2ebc5c99fd75de395e3d18b021',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5freadpartid',['kIapCmd_IAP_ReadPartId',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa0f88b3ef422116327baca297944ca597',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5freadsignature',['kIapCmd_IAP_ReadSignature',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3891f9959d7cdcc9b6ad7e00816b2fc8',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5freaduid',['kIapCmd_IAP_ReadUid',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caae3058d7cb02b689b3993fae3c913e0f0',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5freinvokeisp',['kIapCmd_IAP_ReinvokeISP',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caaf09dfcba592f2a1e02727d9eeebee15d',1,'fsl_iap.h']]], - ['kiapcmd_5fiap_5fwriteeeprompage',['kIapCmd_IAP_WriteEEPROMPage',['../a00082.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9d9f078feeaf1c2c85c6e1a57a043dd2',1,'fsl_iap.h']]], - ['kiocon_5frst_5fn_5fshift_5frstn',['kIOCON_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770ab95a1dd8a7fbc479365344d58ac55737',1,'fsl_reset.h']]], + ['kiapcmd_5fiap_5fblankchecksector',['kIapCmd_IAP_BlankCheckSector',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9b439af9906d00c0f5a83e5c878c2e50',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5fcompare',['kIapCmd_IAP_Compare',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caabda85aeb932e76c81db46f45a8c6f656',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5fcopyramtoflash',['kIapCmd_IAP_CopyRamToFlash',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3fa0f400c5389e777ba1f8d6acb27d72',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5ferasepage',['kIapCmd_IAP_ErasePage',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae674c1ed0ecf4b2851aefc44261004bc',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5ferasesector',['kIapCmd_IAP_EraseSector',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa6c51c9bed9f8973d47f7b73e3592b2aa',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5fextendedreadsignature',['kIapCmd_IAP_ExtendedReadSignature',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa198048f7f05d882a431c4ea4c904174e',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5fpreparesectorforwrite',['kIapCmd_IAP_PrepareSectorforWrite',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa5ccbc970e59fd2f3ea432bf5c00fea7e',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5fread_5fbootromversion',['kIapCmd_IAP_Read_BootromVersion',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caab7decacdc717da7752b3a4637a228278',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5freadeeprompage',['kIapCmd_IAP_ReadEEPROMPage',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa8f9a3e93bf26895690836253d5d9a8bc',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5freadfactorysettings',['kIapCmd_IAP_ReadFactorySettings',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae8bcbc2ebc5c99fd75de395e3d18b021',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5freadpartid',['kIapCmd_IAP_ReadPartId',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa0f88b3ef422116327baca297944ca597',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5freadsignature',['kIapCmd_IAP_ReadSignature',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa3891f9959d7cdcc9b6ad7e00816b2fc8',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5freaduid',['kIapCmd_IAP_ReadUid',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caae3058d7cb02b689b3993fae3c913e0f0',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5freinvokeisp',['kIapCmd_IAP_ReinvokeISP',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caaf09dfcba592f2a1e02727d9eeebee15d',1,'fsl_iap.h']]], + ['kiapcmd_5fiap_5fwriteeeprompage',['kIapCmd_IAP_WriteEEPROMPage',['../a00083.html#ggaae3267ca6081e1f5620b0aa674c5e8caa9d9f078feeaf1c2c85c6e1a57a043dd2',1,'fsl_iap.h']]], + ['kiocon_5frst_5fn_5fshift_5frstn',['kIOCON_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ab95a1dd8a7fbc479365344d58ac55737',1,'fsl_reset.h']]], ['kmrt_5fchannel_5f0',['kMRT_Channel_0',['../a00018.html#ggaece5c1972e35dec2efcce98847a09622a27e83d437e2798b19c59c2af4d99e1dc',1,'fsl_mrt.h']]], ['kmrt_5fchannel_5f1',['kMRT_Channel_1',['../a00018.html#ggaece5c1972e35dec2efcce98847a09622a44b7807f36fe0a21240e721d46d8f9d7',1,'fsl_mrt.h']]], ['kmrt_5fchannel_5f2',['kMRT_Channel_2',['../a00018.html#ggaece5c1972e35dec2efcce98847a09622a17597fb66d9b1d3e97b318db79267f52',1,'fsl_mrt.h']]], @@ -287,166 +286,152 @@ var searchData= ['kmrt_5foneshotmode',['kMRT_OneShotMode',['../a00018.html#ggad481f648f1c89a1eab327530d6fef1d0abe4ba0a30bc09f1921a0504eb826b3dc',1,'fsl_mrt.h']]], ['kmrt_5foneshotstallmode',['kMRT_OneShotStallMode',['../a00018.html#ggad481f648f1c89a1eab327530d6fef1d0add9f55173bb54f623c955c29c22dd5b2',1,'fsl_mrt.h']]], ['kmrt_5frepeatmode',['kMRT_RepeatMode',['../a00018.html#ggad481f648f1c89a1eab327530d6fef1d0a6b415c060dc09ed293ec3dda829cb257',1,'fsl_mrt.h']]], - ['kmrt_5frst_5fn_5fshift_5frstn',['kMRT_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a81a00b3196e6197af1ca45b8a5bac450',1,'fsl_reset.h']]], + ['kmrt_5frst_5fn_5fshift_5frstn',['kMRT_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a81a00b3196e6197af1ca45b8a5bac450',1,'fsl_reset.h']]], ['kmrt_5ftimerinterruptenable',['kMRT_TimerInterruptEnable',['../a00018.html#gga9d2f90ae2c6f99410e2908dac8cc6943a2a5e8ee568da2c888093b732f2157ea5',1,'fsl_mrt.h']]], ['kmrt_5ftimerinterruptflag',['kMRT_TimerInterruptFlag',['../a00018.html#gga0bb94508d8cf924c3a6971364377673aa6942598faf3aef148a850f8850cf01c3',1,'fsl_mrt.h']]], ['kmrt_5ftimerrunflag',['kMRT_TimerRunFlag',['../a00018.html#gga0bb94508d8cf924c3a6971364377673aa745c62687191197b48b35dbd1aac40db',1,'fsl_mrt.h']]], - ['kpint_5fpatternmatchalways',['kPINT_PatternMatchAlways',['../a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa128703d2c2175a315aaffad869ed6a32',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbothedges',['kPINT_PatternMatchBothEdges',['../a00087.html#ggae1e5bfc17515fab76a1deab955203c6aaac967156901735a43316f7f1c4720328',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbslice0',['kPINT_PatternMatchBSlice0',['../a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba106986aeb5e12c2b76e070def3b37d95',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbslice1',['kPINT_PatternMatchBSlice1',['../a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba0d3bf0a5022325acaae66e172c984db0',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbslice2',['kPINT_PatternMatchBSlice2',['../a00087.html#gga048bc24e58d7df40af2a45efaabeea9baf00e092237b1b299bdfdd901f207c468',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbslice3',['kPINT_PatternMatchBSlice3',['../a00087.html#gga048bc24e58d7df40af2a45efaabeea9bae5db81838d55c8a8839b1dd47954d865',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbslice4',['kPINT_PatternMatchBSlice4',['../a00087.html#gga048bc24e58d7df40af2a45efaabeea9bac0ce3f2fbc216bc3cec68c47263530c5',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbslice5',['kPINT_PatternMatchBSlice5',['../a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba1ca87ca7db6ec59b675c6e70abc45da0',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbslice6',['kPINT_PatternMatchBSlice6',['../a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba86a79a77b44e91f432bb8ee9070f9602',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchbslice7',['kPINT_PatternMatchBSlice7',['../a00087.html#gga048bc24e58d7df40af2a45efaabeea9ba3a75ed56ef8a3429c01fc686f22b8e8a',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchhigh',['kPINT_PatternMatchHigh',['../a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa7dc0770cf7ffe184788c30bd1ac9c515',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp0src',['kPINT_PatternMatchInp0Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a51f543e6f680df9beb5841231b7b3a96',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp1src',['kPINT_PatternMatchInp1Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6fc5c9dbee30b375feb9ce6c86b224c2',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp2src',['kPINT_PatternMatchInp2Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a41b057b493937cd87bc212cb2e316f8a',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp3src',['kPINT_PatternMatchInp3Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6be4432cb9e687d043a2c25c1af48869',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp4src',['kPINT_PatternMatchInp4Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76aabc93fc28498f5577939f38e0513304c',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp5src',['kPINT_PatternMatchInp5Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a78ff6e40ab17d81181284c56b62b77aa',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp6src',['kPINT_PatternMatchInp6Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a9b2f5abc74e498a599f5c005a6cc911f',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchinp7src',['kPINT_PatternMatchInp7Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a2c93115b816dc676460cfc1be85778f0',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchlow',['kPINT_PatternMatchLow',['../a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa3b4af984526871b66489b0eb294d2df5',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchnever',['kPINT_PatternMatchNever',['../a00087.html#ggae1e5bfc17515fab76a1deab955203c6aab5630ebfbc6e52c9b04f659918c094f2',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchstickybothedges',['kPINT_PatternMatchStickyBothEdges',['../a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa059c3472509304186252b655cf486d8b',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchstickyfall',['kPINT_PatternMatchStickyFall',['../a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa9d2a3e9717c34de3a884b695f35a6c37',1,'fsl_pint.h']]], - ['kpint_5fpatternmatchstickyrise',['kPINT_PatternMatchStickyRise',['../a00087.html#ggae1e5bfc17515fab76a1deab955203c6aa654c70eeed38b0be2271dc51d7cc04f5',1,'fsl_pint.h']]], - ['kpint_5fpinint0',['kPINT_PinInt0',['../a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8a5df52c4fbb437e61b638d35cbc550162',1,'fsl_pint.h']]], - ['kpint_5fpinint1',['kPINT_PinInt1',['../a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8ab62e1c2d3cdccef05e45ae9028cecbb4',1,'fsl_pint.h']]], - ['kpint_5fpinint2',['kPINT_PinInt2',['../a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8a81913bc912b5164bb749b6a2e8823808',1,'fsl_pint.h']]], - ['kpint_5fpinint3',['kPINT_PinInt3',['../a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8a751ce5a16f196ca04d08d2e5d65af47c',1,'fsl_pint.h']]], - ['kpint_5fpinint4',['kPINT_PinInt4',['../a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8acf173e0dc3d44c77bb08146494a0249b',1,'fsl_pint.h']]], - ['kpint_5fpinint5',['kPINT_PinInt5',['../a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8a45fc443b2ec03c89708ac897fc3fc902',1,'fsl_pint.h']]], - ['kpint_5fpinint6',['kPINT_PinInt6',['../a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8adf92ec20d1fa6a12bda6c42e5304cf46',1,'fsl_pint.h']]], - ['kpint_5fpinint7',['kPINT_PinInt7',['../a00087.html#gga15da1f70e8d0a05e9d492d01ceca7da8aae1e0da9add6e3e1dd57705a682d65fa',1,'fsl_pint.h']]], - ['kpint_5fpinintenablebothedges',['kPINT_PinIntEnableBothEdges',['../a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91a58ac8e76b409274b7d02ef6e9805dcef',1,'fsl_pint.h']]], - ['kpint_5fpinintenablefalledge',['kPINT_PinIntEnableFallEdge',['../a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91a6f54d55ac52e8e697f83637109560e89',1,'fsl_pint.h']]], - ['kpint_5fpinintenablehighlevel',['kPINT_PinIntEnableHighLevel',['../a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91affc9b2756b7642ddb60ea78f4bbbd592',1,'fsl_pint.h']]], - ['kpint_5fpinintenablelowlevel',['kPINT_PinIntEnableLowLevel',['../a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91a3bd6a570eee5c1fddd95ef2411b35a7e',1,'fsl_pint.h']]], - ['kpint_5fpinintenablenone',['kPINT_PinIntEnableNone',['../a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91aa48de84b39b48759086f2d7ae0c8091e',1,'fsl_pint.h']]], - ['kpint_5fpinintenableriseedge',['kPINT_PinIntEnableRiseEdge',['../a00087.html#gga6a17e5c52721f6eb754f54cc72b58c91ae873d30aec2ca54de88c69f55ea42a07',1,'fsl_pint.h']]], - ['kpint_5fsecpatternmatchinp0src',['kPINT_SecPatternMatchInp0Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a1f7f561990336a81a46f22395820907d',1,'fsl_pint.h']]], - ['kpint_5fsecpatternmatchinp1src',['kPINT_SecPatternMatchInp1Src',['../a00087.html#gga2e05f827d6a43eade4c22e9d75bc5d76a8cc05c9aec163085b7a2b4481fdc971d',1,'fsl_pint.h']]], - ['kplu_5flut_5f0',['kPLU_LUT_0',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab2e2c007b30459eb00fedba56e37da25',1,'fsl_plu.h']]], - ['kplu_5flut_5f1',['kPLU_LUT_1',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0784ad660fcb92a3859ed2c0efa77819',1,'fsl_plu.h']]], - ['kplu_5flut_5f10',['kPLU_LUT_10',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a9beff09b11808602b2120b42d9ab724e',1,'fsl_plu.h']]], - ['kplu_5flut_5f11',['kPLU_LUT_11',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a63e2b4a4b53cde3b148d52f26d92e1d3',1,'fsl_plu.h']]], - ['kplu_5flut_5f12',['kPLU_LUT_12',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a15bd1d988c6677a6a41edc0846851338',1,'fsl_plu.h']]], - ['kplu_5flut_5f13',['kPLU_LUT_13',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a30bd16853ab1723b58f1747def9e4252',1,'fsl_plu.h']]], - ['kplu_5flut_5f14',['kPLU_LUT_14',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7ccad915ba0e8df2a152409690994f16',1,'fsl_plu.h']]], - ['kplu_5flut_5f15',['kPLU_LUT_15',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1af09d4068b7ef636c55a7ab0feb950a36',1,'fsl_plu.h']]], - ['kplu_5flut_5f16',['kPLU_LUT_16',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1abcda2f4fbf266f73a80298ae2d090e47',1,'fsl_plu.h']]], - ['kplu_5flut_5f17',['kPLU_LUT_17',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a6529478ac43b74b509ff0c2cd437e495',1,'fsl_plu.h']]], - ['kplu_5flut_5f18',['kPLU_LUT_18',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7a4daf25836c5010a6b9ccc29f159091',1,'fsl_plu.h']]], - ['kplu_5flut_5f19',['kPLU_LUT_19',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1ada22615f50454e9ebd246a18a985f016',1,'fsl_plu.h']]], - ['kplu_5flut_5f2',['kPLU_LUT_2',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5e95a4db197106de75dfccf6e5504364',1,'fsl_plu.h']]], - ['kplu_5flut_5f20',['kPLU_LUT_20',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0646ea5aa4c85d9c19a43f8211d0ffeb',1,'fsl_plu.h']]], - ['kplu_5flut_5f21',['kPLU_LUT_21',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a31c3637504bb180309ccf71210ff6fd7',1,'fsl_plu.h']]], - ['kplu_5flut_5f22',['kPLU_LUT_22',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5f6d7c46d68bd39e999c53ffabfa8372',1,'fsl_plu.h']]], - ['kplu_5flut_5f23',['kPLU_LUT_23',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a55b6304360a86b29fb90a42da5aaf493',1,'fsl_plu.h']]], - ['kplu_5flut_5f24',['kPLU_LUT_24',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa6e7a35876133d26d47ce9f76b72d520',1,'fsl_plu.h']]], - ['kplu_5flut_5f25',['kPLU_LUT_25',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1afff7e144292e1eb0a47774207f42a102',1,'fsl_plu.h']]], - ['kplu_5flut_5f3',['kPLU_LUT_3',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7f11a678cf930523e06f52b367f2eca5',1,'fsl_plu.h']]], - ['kplu_5flut_5f4',['kPLU_LUT_4',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab8a42508ab4be0320796b2eba3b57a7d',1,'fsl_plu.h']]], - ['kplu_5flut_5f5',['kPLU_LUT_5',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a60ff9ca06daaf5b4f945b6e2e89f4b36',1,'fsl_plu.h']]], - ['kplu_5flut_5f6',['kPLU_LUT_6',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1ae68d03749187a90877d1742a3d61e4a1',1,'fsl_plu.h']]], - ['kplu_5flut_5f7',['kPLU_LUT_7',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a98359b577ce66e191e23d776d0d0628f',1,'fsl_plu.h']]], - ['kplu_5flut_5f8',['kPLU_LUT_8',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa5bff988a77fc711fd0c7b84c1fb105c',1,'fsl_plu.h']]], - ['kplu_5flut_5f9',['kPLU_LUT_9',['../a00088.html#ggafe6cff20e6fc0c79d076a7b878f875a1a518c8602828f0e104a12623958f587fc',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f0',['kPLU_LUT_IN_0',['../a00088.html#ggab4037d3c440abe260269986fbfd2087fa648d32891b76f5ea3e6e5cf8a296c01b',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f1',['kPLU_LUT_IN_1',['../a00088.html#ggab4037d3c440abe260269986fbfd2087fa62c7580fd67b1ffa1385d370a5d3a4e6',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f2',['kPLU_LUT_IN_2',['../a00088.html#ggab4037d3c440abe260269986fbfd2087fa8587d933d69f3c3bfe42e848db879a20',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f3',['kPLU_LUT_IN_3',['../a00088.html#ggab4037d3c440abe260269986fbfd2087fa06891c0dcbd6029f027b2d6398a447df',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5f4',['kPLU_LUT_IN_4',['../a00088.html#ggab4037d3c440abe260269986fbfd2087fa6b62d55b282bfe85f5de3d7d38c8c5fa',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fflipflop_5f0',['kPLU_LUT_IN_SRC_FLIPFLOP_0',['../a00088.html#ggaec74828294222d85c4341013b842eb05ad7617c8c6cd8f49c832f501129279b8e',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fflipflop_5f1',['kPLU_LUT_IN_SRC_FLIPFLOP_1',['../a00088.html#ggaec74828294222d85c4341013b842eb05ac741b79171d673ec73a93a7c5e77cb10',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fflipflop_5f2',['kPLU_LUT_IN_SRC_FLIPFLOP_2',['../a00088.html#ggaec74828294222d85c4341013b842eb05ab46ce34ffd4906d48a7f1829861ff193',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fflipflop_5f3',['kPLU_LUT_IN_SRC_FLIPFLOP_3',['../a00088.html#ggaec74828294222d85c4341013b842eb05a26b5bf6af4b2b774757fc7fa42cdebdf',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f0',['kPLU_LUT_IN_SRC_LUT_OUT_0',['../a00088.html#ggaec74828294222d85c4341013b842eb05a2e915ea983c34dc1e202a3ea99ece27b',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f1',['kPLU_LUT_IN_SRC_LUT_OUT_1',['../a00088.html#ggaec74828294222d85c4341013b842eb05ad79e240fc201ef98da1a4e217968f087',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f10',['kPLU_LUT_IN_SRC_LUT_OUT_10',['../a00088.html#ggaec74828294222d85c4341013b842eb05a5e28e0b496b69e7a57f43e810d1179b9',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f11',['kPLU_LUT_IN_SRC_LUT_OUT_11',['../a00088.html#ggaec74828294222d85c4341013b842eb05a19ecdb96a7c9b797b938851a9f22611c',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f12',['kPLU_LUT_IN_SRC_LUT_OUT_12',['../a00088.html#ggaec74828294222d85c4341013b842eb05a315a9f58216b1ac361dc5452805f4cb9',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f13',['kPLU_LUT_IN_SRC_LUT_OUT_13',['../a00088.html#ggaec74828294222d85c4341013b842eb05a23c7b1eac9733cda25f050d543111d89',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f14',['kPLU_LUT_IN_SRC_LUT_OUT_14',['../a00088.html#ggaec74828294222d85c4341013b842eb05a0e731c7f1daf5be7aa344b9f793ef02c',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f15',['kPLU_LUT_IN_SRC_LUT_OUT_15',['../a00088.html#ggaec74828294222d85c4341013b842eb05ae8e8fa7853444eb933183fd905b9ce9d',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f16',['kPLU_LUT_IN_SRC_LUT_OUT_16',['../a00088.html#ggaec74828294222d85c4341013b842eb05a8ba7dca9c3475364351932fc1a248ffc',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f17',['kPLU_LUT_IN_SRC_LUT_OUT_17',['../a00088.html#ggaec74828294222d85c4341013b842eb05a357a302e7e9f65b3c24b32b3348a18b1',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f18',['kPLU_LUT_IN_SRC_LUT_OUT_18',['../a00088.html#ggaec74828294222d85c4341013b842eb05a9b1b21d8bd068e9cb385406f5d2ae0f1',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f19',['kPLU_LUT_IN_SRC_LUT_OUT_19',['../a00088.html#ggaec74828294222d85c4341013b842eb05af73267254ab79f85cbbd45b698baf790',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f2',['kPLU_LUT_IN_SRC_LUT_OUT_2',['../a00088.html#ggaec74828294222d85c4341013b842eb05ad0c5942c2fb503a4561cb64b1b8b2ba5',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f20',['kPLU_LUT_IN_SRC_LUT_OUT_20',['../a00088.html#ggaec74828294222d85c4341013b842eb05a2a7360dbf2b1ce3c37fec99ab6ea95c2',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f21',['kPLU_LUT_IN_SRC_LUT_OUT_21',['../a00088.html#ggaec74828294222d85c4341013b842eb05aea4c749172f50d02938115e943b1a62f',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f22',['kPLU_LUT_IN_SRC_LUT_OUT_22',['../a00088.html#ggaec74828294222d85c4341013b842eb05a2206f6b0a4c280e058652b183d193ad7',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f23',['kPLU_LUT_IN_SRC_LUT_OUT_23',['../a00088.html#ggaec74828294222d85c4341013b842eb05a7a4eb0b7daa528b354646ae876fdbc7d',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f24',['kPLU_LUT_IN_SRC_LUT_OUT_24',['../a00088.html#ggaec74828294222d85c4341013b842eb05a42744ca56fd5b26094f7f7ebc8142518',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f25',['kPLU_LUT_IN_SRC_LUT_OUT_25',['../a00088.html#ggaec74828294222d85c4341013b842eb05a0866c5dfcf79f24a034e5487325ad089',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f3',['kPLU_LUT_IN_SRC_LUT_OUT_3',['../a00088.html#ggaec74828294222d85c4341013b842eb05a7d02ada60d9a59c5bba04cd6a2ca146c',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f4',['kPLU_LUT_IN_SRC_LUT_OUT_4',['../a00088.html#ggaec74828294222d85c4341013b842eb05a7701a2d64699c85a464acfe6d6f3ec21',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f5',['kPLU_LUT_IN_SRC_LUT_OUT_5',['../a00088.html#ggaec74828294222d85c4341013b842eb05abb535644479d02d916b2d2606934e28f',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f6',['kPLU_LUT_IN_SRC_LUT_OUT_6',['../a00088.html#ggaec74828294222d85c4341013b842eb05af941e0debe79fb78f1fdcf24068298b3',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f7',['kPLU_LUT_IN_SRC_LUT_OUT_7',['../a00088.html#ggaec74828294222d85c4341013b842eb05aa7ca2e6b97d2947ae2c9781eb66d9a38',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f8',['kPLU_LUT_IN_SRC_LUT_OUT_8',['../a00088.html#ggaec74828294222d85c4341013b842eb05a279388c181b6df93831630b7b3748fcc',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f9',['kPLU_LUT_IN_SRC_LUT_OUT_9',['../a00088.html#ggaec74828294222d85c4341013b842eb05a7cbee20d065a1de8eeb2e842fdca95f2',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f0',['kPLU_LUT_IN_SRC_PLU_IN_0',['../a00088.html#ggaec74828294222d85c4341013b842eb05a8de9649d2a2fb07fe4e675f6401869d2',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f1',['kPLU_LUT_IN_SRC_PLU_IN_1',['../a00088.html#ggaec74828294222d85c4341013b842eb05a70ba87af436721a2783e56f3117594ce',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f2',['kPLU_LUT_IN_SRC_PLU_IN_2',['../a00088.html#ggaec74828294222d85c4341013b842eb05a1b56e9b3c1c5e46478eb5673c5bddaed',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f3',['kPLU_LUT_IN_SRC_PLU_IN_3',['../a00088.html#ggaec74828294222d85c4341013b842eb05a45f568953423b0976250843eade62716',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f4',['kPLU_LUT_IN_SRC_PLU_IN_4',['../a00088.html#ggaec74828294222d85c4341013b842eb05a6b32cf2706b79fff3a7fc2a1d1159e1b',1,'fsl_plu.h']]], - ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f5',['kPLU_LUT_IN_SRC_PLU_IN_5',['../a00088.html#ggaec74828294222d85c4341013b842eb05ac68302205f055d68cd0833e6ec89cacb',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5fflipflop_5f0',['kPLU_OUT_SRC_FLIPFLOP_0',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a22a26e5d5f65d4ac8491ad2c87ebeef3',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5fflipflop_5f1',['kPLU_OUT_SRC_FLIPFLOP_1',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a1afdc6f86b2c95d086b0c7d2d60d26cc',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5fflipflop_5f2',['kPLU_OUT_SRC_FLIPFLOP_2',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a117f999dffa45b676b077d373cb2e086',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5fflipflop_5f3',['kPLU_OUT_SRC_FLIPFLOP_3',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a2a0154f5345c71bae6f44244fcb30d46',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f0',['kPLU_OUT_SRC_LUT_0',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a4ce7c06a3aa62401716670839ee2db9d',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f1',['kPLU_OUT_SRC_LUT_1',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a7ee81d7d75ed79784d00718ee8b52883',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f10',['kPLU_OUT_SRC_LUT_10',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2aa0c5d92b16c617f2c77ab0b2e2e6ebb1',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f11',['kPLU_OUT_SRC_LUT_11',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a6c8dcd271aa50f8847c21031ca1651cc',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f12',['kPLU_OUT_SRC_LUT_12',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a5b731b787441958b61eb1ef6f13c0a8c',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f13',['kPLU_OUT_SRC_LUT_13',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a55478a1fa529d12411191d3b57b6aa6f',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f14',['kPLU_OUT_SRC_LUT_14',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2acf68ff6a086185f803b22698327e3109',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f15',['kPLU_OUT_SRC_LUT_15',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2afa8b125d171fda1128d55ee1ce6b1bb8',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f16',['kPLU_OUT_SRC_LUT_16',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2acb147f2edce9b8da4c454f80f94ac43c',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f17',['kPLU_OUT_SRC_LUT_17',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a9dca2593aa3f9571111177158871a171',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f18',['kPLU_OUT_SRC_LUT_18',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a74581067715a2b1448ded8335f1618a2',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f19',['kPLU_OUT_SRC_LUT_19',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2ad50df8fa854b16d2945d2e98dceb167d',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f2',['kPLU_OUT_SRC_LUT_2',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a71f80a9c0585243f033e80575cc9ef71',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f20',['kPLU_OUT_SRC_LUT_20',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2ae6dd471565ca87404e3e950f0b4896ec',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f21',['kPLU_OUT_SRC_LUT_21',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a3cffbba2da3be0f386061cdc43c5c58a',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f22',['kPLU_OUT_SRC_LUT_22',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2af659716e11aa0911a531d2cdc19bf3ea',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f23',['kPLU_OUT_SRC_LUT_23',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a47395d18c548dff7cf1e82891808ecc6',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f24',['kPLU_OUT_SRC_LUT_24',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2ae648baa1c80d2711d1bb2bb4fc077827',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f25',['kPLU_OUT_SRC_LUT_25',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a0912636699433338f1c5db68c7bbec7f',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f3',['kPLU_OUT_SRC_LUT_3',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a318c6f61334de6ee3dfdfedd85c892aa',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f4',['kPLU_OUT_SRC_LUT_4',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2af58d23d9fe1120ca0bd0c9832b9c81df',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f5',['kPLU_OUT_SRC_LUT_5',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a3e4635d41b82d9da053cda8b53b16237',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f6',['kPLU_OUT_SRC_LUT_6',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2ae8a09b9553f3e191688cfe6844bc26b9',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f7',['kPLU_OUT_SRC_LUT_7',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2ac5055185f27452b220491009e47a8843',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f8',['kPLU_OUT_SRC_LUT_8',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2ab1a914c426c7434b66a2a70e28c91d6d',1,'fsl_plu.h']]], - ['kplu_5fout_5fsrc_5flut_5f9',['kPLU_OUT_SRC_LUT_9',['../a00088.html#gga3ebfc228971e1d13f03847952605c2d2a1bfd5fb60cb03e1ec3ff1cc2b859205d',1,'fsl_plu.h']]], - ['kplu_5foutput_5f0',['kPLU_OUTPUT_0',['../a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a40255673c924ddc3a47215102db19c23',1,'fsl_plu.h']]], - ['kplu_5foutput_5f1',['kPLU_OUTPUT_1',['../a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab977a1ed5f8dda05f237315bdacbfdce',1,'fsl_plu.h']]], - ['kplu_5foutput_5f2',['kPLU_OUTPUT_2',['../a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a7f7830f2f3a70270d451466e7fd81b8e',1,'fsl_plu.h']]], - ['kplu_5foutput_5f3',['kPLU_OUTPUT_3',['../a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a05f4915e3590779c64072c567ea8ef77',1,'fsl_plu.h']]], - ['kplu_5foutput_5f4',['kPLU_OUTPUT_4',['../a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3b4542ce1acdb7bd4c87e184129a1cd2',1,'fsl_plu.h']]], - ['kplu_5foutput_5f5',['kPLU_OUTPUT_5',['../a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3db75ac7e6eb4bd49bc6dfcbdca28bab',1,'fsl_plu.h']]], - ['kplu_5foutput_5f6',['kPLU_OUTPUT_6',['../a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40a42d0169861f7e6874c6cc1cfd38cfdee',1,'fsl_plu.h']]], - ['kplu_5foutput_5f7',['kPLU_OUTPUT_7',['../a00088.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab84844ba156c357257d0be920c79459d',1,'fsl_plu.h']]], - ['kplu_5frst_5fn_5fshift_5frstn',['kPLU_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770ac0e9dc6f492774ceef33623e62882da5',1,'fsl_reset.h']]], - ['kpmu_5fgenreg0',['kPmu_GenReg0',['../a00079.html#ggaacea8a22b7f4706814e61973550d3492a80dcccd621286706e1c8a4ebe9c10f0a',1,'fsl_power.h']]], - ['kpmu_5fgenreg1',['kPmu_GenReg1',['../a00079.html#ggaacea8a22b7f4706814e61973550d3492a740c74b8de11afea3adf94099430d43f',1,'fsl_power.h']]], - ['kpmu_5fgenreg2',['kPmu_GenReg2',['../a00079.html#ggaacea8a22b7f4706814e61973550d3492ad0fed29c216cb44973a5720f27c25b57',1,'fsl_power.h']]], - ['kpmu_5fgenreg3',['kPmu_GenReg3',['../a00079.html#ggaacea8a22b7f4706814e61973550d3492a8464e8acd4980abf527cdc08a1039f03',1,'fsl_power.h']]], - ['kpmu_5fgenreg4',['kPmu_GenReg4',['../a00079.html#ggaacea8a22b7f4706814e61973550d3492ad41dcf0263e8b148f96f850b2be396ac',1,'fsl_power.h']]], - ['kspi0_5fclk_5ffrom_5ffrg0clk',['kSPI0_Clk_From_Frg0Clk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a845c271da53362455548e3a2d785e46f',1,'fsl_clock.h']]], - ['kspi0_5fclk_5ffrom_5ffro',['kSPI0_Clk_From_Fro',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a225c7ee90596ed70ba883f4db61aa8a7',1,'fsl_clock.h']]], - ['kspi0_5fclk_5ffrom_5ffro_5fdiv',['kSPI0_Clk_From_Fro_Div',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58aad0657c34aef515cd39d693c8a7896b2',1,'fsl_clock.h']]], - ['kspi0_5fclk_5ffrom_5fmainclk',['kSPI0_Clk_From_MainClk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58ae27646652709428349bea6c7044c070e',1,'fsl_clock.h']]], - ['kspi0_5frst_5fn_5fshift_5frstn',['kSPI0_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770ac5dc2b0f880a50d33e7d79f7af4c4f33',1,'fsl_reset.h']]], + ['kpint_5fpatternmatchalways',['kPINT_PatternMatchAlways',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa128703d2c2175a315aaffad869ed6a32',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbothedges',['kPINT_PatternMatchBothEdges',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aaac967156901735a43316f7f1c4720328',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchbslice0',['kPINT_PatternMatchBSlice0',['../a00088.html#gga048bc24e58d7df40af2a45efaabeea9ba106986aeb5e12c2b76e070def3b37d95',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchhigh',['kPINT_PatternMatchHigh',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa7dc0770cf7ffe184788c30bd1ac9c515',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp0src',['kPINT_PatternMatchInp0Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a51f543e6f680df9beb5841231b7b3a96',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp1src',['kPINT_PatternMatchInp1Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6fc5c9dbee30b375feb9ce6c86b224c2',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp2src',['kPINT_PatternMatchInp2Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a41b057b493937cd87bc212cb2e316f8a',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp3src',['kPINT_PatternMatchInp3Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a6be4432cb9e687d043a2c25c1af48869',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp4src',['kPINT_PatternMatchInp4Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76aabc93fc28498f5577939f38e0513304c',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp5src',['kPINT_PatternMatchInp5Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a78ff6e40ab17d81181284c56b62b77aa',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp6src',['kPINT_PatternMatchInp6Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a9b2f5abc74e498a599f5c005a6cc911f',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchinp7src',['kPINT_PatternMatchInp7Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a2c93115b816dc676460cfc1be85778f0',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchlow',['kPINT_PatternMatchLow',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa3b4af984526871b66489b0eb294d2df5',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchnever',['kPINT_PatternMatchNever',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aab5630ebfbc6e52c9b04f659918c094f2',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchstickybothedges',['kPINT_PatternMatchStickyBothEdges',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa059c3472509304186252b655cf486d8b',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchstickyfall',['kPINT_PatternMatchStickyFall',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa9d2a3e9717c34de3a884b695f35a6c37',1,'fsl_pint.h']]], + ['kpint_5fpatternmatchstickyrise',['kPINT_PatternMatchStickyRise',['../a00088.html#ggae1e5bfc17515fab76a1deab955203c6aa654c70eeed38b0be2271dc51d7cc04f5',1,'fsl_pint.h']]], + ['kpint_5fpinint0',['kPINT_PinInt0',['../a00088.html#gga15da1f70e8d0a05e9d492d01ceca7da8a5df52c4fbb437e61b638d35cbc550162',1,'fsl_pint.h']]], + ['kpint_5fpinintenablebothedges',['kPINT_PinIntEnableBothEdges',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a58ac8e76b409274b7d02ef6e9805dcef',1,'fsl_pint.h']]], + ['kpint_5fpinintenablefalledge',['kPINT_PinIntEnableFallEdge',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a6f54d55ac52e8e697f83637109560e89',1,'fsl_pint.h']]], + ['kpint_5fpinintenablehighlevel',['kPINT_PinIntEnableHighLevel',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91affc9b2756b7642ddb60ea78f4bbbd592',1,'fsl_pint.h']]], + ['kpint_5fpinintenablelowlevel',['kPINT_PinIntEnableLowLevel',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91a3bd6a570eee5c1fddd95ef2411b35a7e',1,'fsl_pint.h']]], + ['kpint_5fpinintenablenone',['kPINT_PinIntEnableNone',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91aa48de84b39b48759086f2d7ae0c8091e',1,'fsl_pint.h']]], + ['kpint_5fpinintenableriseedge',['kPINT_PinIntEnableRiseEdge',['../a00088.html#gga6a17e5c52721f6eb754f54cc72b58c91ae873d30aec2ca54de88c69f55ea42a07',1,'fsl_pint.h']]], + ['kpint_5fsecpatternmatchinp0src',['kPINT_SecPatternMatchInp0Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a1f7f561990336a81a46f22395820907d',1,'fsl_pint.h']]], + ['kpint_5fsecpatternmatchinp1src',['kPINT_SecPatternMatchInp1Src',['../a00088.html#gga2e05f827d6a43eade4c22e9d75bc5d76a8cc05c9aec163085b7a2b4481fdc971d',1,'fsl_pint.h']]], + ['kplu_5flut_5f0',['kPLU_LUT_0',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab2e2c007b30459eb00fedba56e37da25',1,'fsl_plu.h']]], + ['kplu_5flut_5f1',['kPLU_LUT_1',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0784ad660fcb92a3859ed2c0efa77819',1,'fsl_plu.h']]], + ['kplu_5flut_5f10',['kPLU_LUT_10',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a9beff09b11808602b2120b42d9ab724e',1,'fsl_plu.h']]], + ['kplu_5flut_5f11',['kPLU_LUT_11',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a63e2b4a4b53cde3b148d52f26d92e1d3',1,'fsl_plu.h']]], + ['kplu_5flut_5f12',['kPLU_LUT_12',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a15bd1d988c6677a6a41edc0846851338',1,'fsl_plu.h']]], + ['kplu_5flut_5f13',['kPLU_LUT_13',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a30bd16853ab1723b58f1747def9e4252',1,'fsl_plu.h']]], + ['kplu_5flut_5f14',['kPLU_LUT_14',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7ccad915ba0e8df2a152409690994f16',1,'fsl_plu.h']]], + ['kplu_5flut_5f15',['kPLU_LUT_15',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1af09d4068b7ef636c55a7ab0feb950a36',1,'fsl_plu.h']]], + ['kplu_5flut_5f16',['kPLU_LUT_16',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1abcda2f4fbf266f73a80298ae2d090e47',1,'fsl_plu.h']]], + ['kplu_5flut_5f17',['kPLU_LUT_17',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a6529478ac43b74b509ff0c2cd437e495',1,'fsl_plu.h']]], + ['kplu_5flut_5f18',['kPLU_LUT_18',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7a4daf25836c5010a6b9ccc29f159091',1,'fsl_plu.h']]], + ['kplu_5flut_5f19',['kPLU_LUT_19',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ada22615f50454e9ebd246a18a985f016',1,'fsl_plu.h']]], + ['kplu_5flut_5f2',['kPLU_LUT_2',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5e95a4db197106de75dfccf6e5504364',1,'fsl_plu.h']]], + ['kplu_5flut_5f20',['kPLU_LUT_20',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a0646ea5aa4c85d9c19a43f8211d0ffeb',1,'fsl_plu.h']]], + ['kplu_5flut_5f21',['kPLU_LUT_21',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a31c3637504bb180309ccf71210ff6fd7',1,'fsl_plu.h']]], + ['kplu_5flut_5f22',['kPLU_LUT_22',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a5f6d7c46d68bd39e999c53ffabfa8372',1,'fsl_plu.h']]], + ['kplu_5flut_5f23',['kPLU_LUT_23',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a55b6304360a86b29fb90a42da5aaf493',1,'fsl_plu.h']]], + ['kplu_5flut_5f24',['kPLU_LUT_24',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa6e7a35876133d26d47ce9f76b72d520',1,'fsl_plu.h']]], + ['kplu_5flut_5f25',['kPLU_LUT_25',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1afff7e144292e1eb0a47774207f42a102',1,'fsl_plu.h']]], + ['kplu_5flut_5f3',['kPLU_LUT_3',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a7f11a678cf930523e06f52b367f2eca5',1,'fsl_plu.h']]], + ['kplu_5flut_5f4',['kPLU_LUT_4',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ab8a42508ab4be0320796b2eba3b57a7d',1,'fsl_plu.h']]], + ['kplu_5flut_5f5',['kPLU_LUT_5',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a60ff9ca06daaf5b4f945b6e2e89f4b36',1,'fsl_plu.h']]], + ['kplu_5flut_5f6',['kPLU_LUT_6',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1ae68d03749187a90877d1742a3d61e4a1',1,'fsl_plu.h']]], + ['kplu_5flut_5f7',['kPLU_LUT_7',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a98359b577ce66e191e23d776d0d0628f',1,'fsl_plu.h']]], + ['kplu_5flut_5f8',['kPLU_LUT_8',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1aa5bff988a77fc711fd0c7b84c1fb105c',1,'fsl_plu.h']]], + ['kplu_5flut_5f9',['kPLU_LUT_9',['../a00089.html#ggafe6cff20e6fc0c79d076a7b878f875a1a518c8602828f0e104a12623958f587fc',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f0',['kPLU_LUT_IN_0',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa648d32891b76f5ea3e6e5cf8a296c01b',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f1',['kPLU_LUT_IN_1',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa62c7580fd67b1ffa1385d370a5d3a4e6',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f2',['kPLU_LUT_IN_2',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa8587d933d69f3c3bfe42e848db879a20',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f3',['kPLU_LUT_IN_3',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa06891c0dcbd6029f027b2d6398a447df',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5f4',['kPLU_LUT_IN_4',['../a00089.html#ggab4037d3c440abe260269986fbfd2087fa6b62d55b282bfe85f5de3d7d38c8c5fa',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fflipflop_5f0',['kPLU_LUT_IN_SRC_FLIPFLOP_0',['../a00089.html#ggaec74828294222d85c4341013b842eb05ad7617c8c6cd8f49c832f501129279b8e',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fflipflop_5f1',['kPLU_LUT_IN_SRC_FLIPFLOP_1',['../a00089.html#ggaec74828294222d85c4341013b842eb05ac741b79171d673ec73a93a7c5e77cb10',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fflipflop_5f2',['kPLU_LUT_IN_SRC_FLIPFLOP_2',['../a00089.html#ggaec74828294222d85c4341013b842eb05ab46ce34ffd4906d48a7f1829861ff193',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fflipflop_5f3',['kPLU_LUT_IN_SRC_FLIPFLOP_3',['../a00089.html#ggaec74828294222d85c4341013b842eb05a26b5bf6af4b2b774757fc7fa42cdebdf',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f0',['kPLU_LUT_IN_SRC_LUT_OUT_0',['../a00089.html#ggaec74828294222d85c4341013b842eb05a2e915ea983c34dc1e202a3ea99ece27b',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f1',['kPLU_LUT_IN_SRC_LUT_OUT_1',['../a00089.html#ggaec74828294222d85c4341013b842eb05ad79e240fc201ef98da1a4e217968f087',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f10',['kPLU_LUT_IN_SRC_LUT_OUT_10',['../a00089.html#ggaec74828294222d85c4341013b842eb05a5e28e0b496b69e7a57f43e810d1179b9',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f11',['kPLU_LUT_IN_SRC_LUT_OUT_11',['../a00089.html#ggaec74828294222d85c4341013b842eb05a19ecdb96a7c9b797b938851a9f22611c',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f12',['kPLU_LUT_IN_SRC_LUT_OUT_12',['../a00089.html#ggaec74828294222d85c4341013b842eb05a315a9f58216b1ac361dc5452805f4cb9',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f13',['kPLU_LUT_IN_SRC_LUT_OUT_13',['../a00089.html#ggaec74828294222d85c4341013b842eb05a23c7b1eac9733cda25f050d543111d89',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f14',['kPLU_LUT_IN_SRC_LUT_OUT_14',['../a00089.html#ggaec74828294222d85c4341013b842eb05a0e731c7f1daf5be7aa344b9f793ef02c',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f15',['kPLU_LUT_IN_SRC_LUT_OUT_15',['../a00089.html#ggaec74828294222d85c4341013b842eb05ae8e8fa7853444eb933183fd905b9ce9d',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f16',['kPLU_LUT_IN_SRC_LUT_OUT_16',['../a00089.html#ggaec74828294222d85c4341013b842eb05a8ba7dca9c3475364351932fc1a248ffc',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f17',['kPLU_LUT_IN_SRC_LUT_OUT_17',['../a00089.html#ggaec74828294222d85c4341013b842eb05a357a302e7e9f65b3c24b32b3348a18b1',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f18',['kPLU_LUT_IN_SRC_LUT_OUT_18',['../a00089.html#ggaec74828294222d85c4341013b842eb05a9b1b21d8bd068e9cb385406f5d2ae0f1',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f19',['kPLU_LUT_IN_SRC_LUT_OUT_19',['../a00089.html#ggaec74828294222d85c4341013b842eb05af73267254ab79f85cbbd45b698baf790',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f2',['kPLU_LUT_IN_SRC_LUT_OUT_2',['../a00089.html#ggaec74828294222d85c4341013b842eb05ad0c5942c2fb503a4561cb64b1b8b2ba5',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f20',['kPLU_LUT_IN_SRC_LUT_OUT_20',['../a00089.html#ggaec74828294222d85c4341013b842eb05a2a7360dbf2b1ce3c37fec99ab6ea95c2',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f21',['kPLU_LUT_IN_SRC_LUT_OUT_21',['../a00089.html#ggaec74828294222d85c4341013b842eb05aea4c749172f50d02938115e943b1a62f',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f22',['kPLU_LUT_IN_SRC_LUT_OUT_22',['../a00089.html#ggaec74828294222d85c4341013b842eb05a2206f6b0a4c280e058652b183d193ad7',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f23',['kPLU_LUT_IN_SRC_LUT_OUT_23',['../a00089.html#ggaec74828294222d85c4341013b842eb05a7a4eb0b7daa528b354646ae876fdbc7d',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f24',['kPLU_LUT_IN_SRC_LUT_OUT_24',['../a00089.html#ggaec74828294222d85c4341013b842eb05a42744ca56fd5b26094f7f7ebc8142518',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f25',['kPLU_LUT_IN_SRC_LUT_OUT_25',['../a00089.html#ggaec74828294222d85c4341013b842eb05a0866c5dfcf79f24a034e5487325ad089',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f3',['kPLU_LUT_IN_SRC_LUT_OUT_3',['../a00089.html#ggaec74828294222d85c4341013b842eb05a7d02ada60d9a59c5bba04cd6a2ca146c',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f4',['kPLU_LUT_IN_SRC_LUT_OUT_4',['../a00089.html#ggaec74828294222d85c4341013b842eb05a7701a2d64699c85a464acfe6d6f3ec21',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f5',['kPLU_LUT_IN_SRC_LUT_OUT_5',['../a00089.html#ggaec74828294222d85c4341013b842eb05abb535644479d02d916b2d2606934e28f',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f6',['kPLU_LUT_IN_SRC_LUT_OUT_6',['../a00089.html#ggaec74828294222d85c4341013b842eb05af941e0debe79fb78f1fdcf24068298b3',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f7',['kPLU_LUT_IN_SRC_LUT_OUT_7',['../a00089.html#ggaec74828294222d85c4341013b842eb05aa7ca2e6b97d2947ae2c9781eb66d9a38',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f8',['kPLU_LUT_IN_SRC_LUT_OUT_8',['../a00089.html#ggaec74828294222d85c4341013b842eb05a279388c181b6df93831630b7b3748fcc',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5flut_5fout_5f9',['kPLU_LUT_IN_SRC_LUT_OUT_9',['../a00089.html#ggaec74828294222d85c4341013b842eb05a7cbee20d065a1de8eeb2e842fdca95f2',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f0',['kPLU_LUT_IN_SRC_PLU_IN_0',['../a00089.html#ggaec74828294222d85c4341013b842eb05a8de9649d2a2fb07fe4e675f6401869d2',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f1',['kPLU_LUT_IN_SRC_PLU_IN_1',['../a00089.html#ggaec74828294222d85c4341013b842eb05a70ba87af436721a2783e56f3117594ce',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f2',['kPLU_LUT_IN_SRC_PLU_IN_2',['../a00089.html#ggaec74828294222d85c4341013b842eb05a1b56e9b3c1c5e46478eb5673c5bddaed',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f3',['kPLU_LUT_IN_SRC_PLU_IN_3',['../a00089.html#ggaec74828294222d85c4341013b842eb05a45f568953423b0976250843eade62716',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f4',['kPLU_LUT_IN_SRC_PLU_IN_4',['../a00089.html#ggaec74828294222d85c4341013b842eb05a6b32cf2706b79fff3a7fc2a1d1159e1b',1,'fsl_plu.h']]], + ['kplu_5flut_5fin_5fsrc_5fplu_5fin_5f5',['kPLU_LUT_IN_SRC_PLU_IN_5',['../a00089.html#ggaec74828294222d85c4341013b842eb05ac68302205f055d68cd0833e6ec89cacb',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5fflipflop_5f0',['kPLU_OUT_SRC_FLIPFLOP_0',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a22a26e5d5f65d4ac8491ad2c87ebeef3',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5fflipflop_5f1',['kPLU_OUT_SRC_FLIPFLOP_1',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a1afdc6f86b2c95d086b0c7d2d60d26cc',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5fflipflop_5f2',['kPLU_OUT_SRC_FLIPFLOP_2',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a117f999dffa45b676b077d373cb2e086',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5fflipflop_5f3',['kPLU_OUT_SRC_FLIPFLOP_3',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a2a0154f5345c71bae6f44244fcb30d46',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f0',['kPLU_OUT_SRC_LUT_0',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a4ce7c06a3aa62401716670839ee2db9d',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f1',['kPLU_OUT_SRC_LUT_1',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a7ee81d7d75ed79784d00718ee8b52883',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f10',['kPLU_OUT_SRC_LUT_10',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2aa0c5d92b16c617f2c77ab0b2e2e6ebb1',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f11',['kPLU_OUT_SRC_LUT_11',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a6c8dcd271aa50f8847c21031ca1651cc',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f12',['kPLU_OUT_SRC_LUT_12',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a5b731b787441958b61eb1ef6f13c0a8c',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f13',['kPLU_OUT_SRC_LUT_13',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a55478a1fa529d12411191d3b57b6aa6f',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f14',['kPLU_OUT_SRC_LUT_14',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2acf68ff6a086185f803b22698327e3109',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f15',['kPLU_OUT_SRC_LUT_15',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2afa8b125d171fda1128d55ee1ce6b1bb8',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f16',['kPLU_OUT_SRC_LUT_16',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2acb147f2edce9b8da4c454f80f94ac43c',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f17',['kPLU_OUT_SRC_LUT_17',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a9dca2593aa3f9571111177158871a171',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f18',['kPLU_OUT_SRC_LUT_18',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a74581067715a2b1448ded8335f1618a2',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f19',['kPLU_OUT_SRC_LUT_19',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ad50df8fa854b16d2945d2e98dceb167d',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f2',['kPLU_OUT_SRC_LUT_2',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a71f80a9c0585243f033e80575cc9ef71',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f20',['kPLU_OUT_SRC_LUT_20',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae6dd471565ca87404e3e950f0b4896ec',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f21',['kPLU_OUT_SRC_LUT_21',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a3cffbba2da3be0f386061cdc43c5c58a',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f22',['kPLU_OUT_SRC_LUT_22',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2af659716e11aa0911a531d2cdc19bf3ea',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f23',['kPLU_OUT_SRC_LUT_23',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a47395d18c548dff7cf1e82891808ecc6',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f24',['kPLU_OUT_SRC_LUT_24',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae648baa1c80d2711d1bb2bb4fc077827',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f25',['kPLU_OUT_SRC_LUT_25',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a0912636699433338f1c5db68c7bbec7f',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f3',['kPLU_OUT_SRC_LUT_3',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a318c6f61334de6ee3dfdfedd85c892aa',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f4',['kPLU_OUT_SRC_LUT_4',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2af58d23d9fe1120ca0bd0c9832b9c81df',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f5',['kPLU_OUT_SRC_LUT_5',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a3e4635d41b82d9da053cda8b53b16237',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f6',['kPLU_OUT_SRC_LUT_6',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ae8a09b9553f3e191688cfe6844bc26b9',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f7',['kPLU_OUT_SRC_LUT_7',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ac5055185f27452b220491009e47a8843',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f8',['kPLU_OUT_SRC_LUT_8',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2ab1a914c426c7434b66a2a70e28c91d6d',1,'fsl_plu.h']]], + ['kplu_5fout_5fsrc_5flut_5f9',['kPLU_OUT_SRC_LUT_9',['../a00089.html#gga3ebfc228971e1d13f03847952605c2d2a1bfd5fb60cb03e1ec3ff1cc2b859205d',1,'fsl_plu.h']]], + ['kplu_5foutput_5f0',['kPLU_OUTPUT_0',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a40255673c924ddc3a47215102db19c23',1,'fsl_plu.h']]], + ['kplu_5foutput_5f1',['kPLU_OUTPUT_1',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab977a1ed5f8dda05f237315bdacbfdce',1,'fsl_plu.h']]], + ['kplu_5foutput_5f2',['kPLU_OUTPUT_2',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a7f7830f2f3a70270d451466e7fd81b8e',1,'fsl_plu.h']]], + ['kplu_5foutput_5f3',['kPLU_OUTPUT_3',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a05f4915e3590779c64072c567ea8ef77',1,'fsl_plu.h']]], + ['kplu_5foutput_5f4',['kPLU_OUTPUT_4',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3b4542ce1acdb7bd4c87e184129a1cd2',1,'fsl_plu.h']]], + ['kplu_5foutput_5f5',['kPLU_OUTPUT_5',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a3db75ac7e6eb4bd49bc6dfcbdca28bab',1,'fsl_plu.h']]], + ['kplu_5foutput_5f6',['kPLU_OUTPUT_6',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40a42d0169861f7e6874c6cc1cfd38cfdee',1,'fsl_plu.h']]], + ['kplu_5foutput_5f7',['kPLU_OUTPUT_7',['../a00089.html#ggab2d50bbe43b91c74ff406a8d4c359f40ab84844ba156c357257d0be920c79459d',1,'fsl_plu.h']]], + ['kplu_5frst_5fn_5fshift_5frstn',['kPLU_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ac0e9dc6f492774ceef33623e62882da5',1,'fsl_reset.h']]], + ['kpmu_5fgenreg0',['kPmu_GenReg0',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492a80dcccd621286706e1c8a4ebe9c10f0a',1,'fsl_power.h']]], + ['kpmu_5fgenreg1',['kPmu_GenReg1',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492a740c74b8de11afea3adf94099430d43f',1,'fsl_power.h']]], + ['kpmu_5fgenreg2',['kPmu_GenReg2',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492ad0fed29c216cb44973a5720f27c25b57',1,'fsl_power.h']]], + ['kpmu_5fgenreg3',['kPmu_GenReg3',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492a8464e8acd4980abf527cdc08a1039f03',1,'fsl_power.h']]], + ['kpmu_5fgenreg4',['kPmu_GenReg4',['../a00080.html#ggaacea8a22b7f4706814e61973550d3492ad41dcf0263e8b148f96f850b2be396ac',1,'fsl_power.h']]], + ['kspi0_5fclk_5ffrom_5ffrg0clk',['kSPI0_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a845c271da53362455548e3a2d785e46f',1,'fsl_clock.h']]], + ['kspi0_5fclk_5ffrom_5ffro',['kSPI0_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a225c7ee90596ed70ba883f4db61aa8a7',1,'fsl_clock.h']]], + ['kspi0_5fclk_5ffrom_5ffro_5fdiv',['kSPI0_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58aad0657c34aef515cd39d693c8a7896b2',1,'fsl_clock.h']]], + ['kspi0_5fclk_5ffrom_5fmainclk',['kSPI0_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ae27646652709428349bea6c7044c070e',1,'fsl_clock.h']]], + ['kspi0_5frst_5fn_5fshift_5frstn',['kSPI0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770ac5dc2b0f880a50d33e7d79f7af4c4f33',1,'fsl_reset.h']]], ['kspi_5fclockphasefirstedge',['kSPI_ClockPhaseFirstEdge',['../a00019.html#gga9ad313685ade497f5cbcb71c74a1b4dcad15d61c6fd642f13101edbf401d0c72e',1,'fsl_spi.h']]], ['kspi_5fclockphasesecondedge',['kSPI_ClockPhaseSecondEdge',['../a00019.html#gga9ad313685ade497f5cbcb71c74a1b4dca18a98985c1f7dd56175e4a2724db3675',1,'fsl_spi.h']]], ['kspi_5fclockpolarityactivehigh',['kSPI_ClockPolarityActiveHigh',['../a00019.html#gga3e5a7cd043c9596779bc23b34cb3d1f9a031c0ee2693cf1fd621e8b8cba676629',1,'fsl_spi.h']]], @@ -485,50 +470,50 @@ var searchData= ['kspi_5ftxreadyinterruptenable',['kSPI_TxReadyInterruptEnable',['../a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67a0ec3e79b793d213ed30f74a338437129',1,'fsl_spi.h']]], ['kspi_5ftxunderrunflag',['kSPI_TxUnderrunFlag',['../a00019.html#gga17a846c851b3b17e6a1564a5fab48e09a4be82e1306daa139870673c30de9e238',1,'fsl_spi.h']]], ['kspi_5ftxunderruninterruptenable',['kSPI_TxUnderrunInterruptEnable',['../a00019.html#ggaedd690a0f91a0a9eb0fd573b57e31f67ad1f9b1e8b5209604a0eca8750f2876ad',1,'fsl_spi.h']]], - ['kstatus_5fbusy',['kStatus_Busy',['../a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba31e314ec45f0b673257687c06b6fe764',1,'fsl_common.h']]], - ['kstatus_5ffail',['kStatus_Fail',['../a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba8692e71089c7e81bd5f4503ff55035db',1,'fsl_common.h']]], - ['kstatus_5fi2c_5faddr_5fnak',['kStatus_I2C_Addr_Nak',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5adf7437bfedcc0d57338ed33f1be5c805',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5farbitrationlost',['kStatus_I2C_ArbitrationLost',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a139b52cc3305ec2c06d0ac94313c221f',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5fbiterror',['kStatus_I2C_BitError',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a0db20b358696db03a068816bb72a0310',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5fbusy',['kStatus_I2C_Busy',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a49091894b590d7e479605bf113918952',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5fdmarequestfail',['kStatus_I2C_DmaRequestFail',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5ac0253b1c986e61b7905da4a7f1fbf844',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5fidle',['kStatus_I2C_Idle',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a628d242f7bc0e3d5949c7f73eafaa508',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5finvalidparameter',['kStatus_I2C_InvalidParameter',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a308bcea12eeef85aeac416ead81639b9',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5fnak',['kStatus_I2C_Nak',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a72fd33d0b5263a63766e62f71d16be00',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5fnotransferinprogress',['kStatus_I2C_NoTransferInProgress',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a834a772763c85b5bedf8eb0a0cfa2f9e',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5fstartstoperror',['kStatus_I2C_StartStopError',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a256cb58b123f0814d020cc8449cf48d5',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5ftimeout',['kStatus_I2C_Timeout',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a81ad7cc198436cabbe91ea55c5288747',1,'fsl_i2c.h']]], - ['kstatus_5fi2c_5funexpectedstate',['kStatus_I2C_UnexpectedState',['../a00084.html#gga99fb83031ce9923c84392b4e92f956b5a99cb29358dad3255402d30285f844632',1,'fsl_i2c.h']]], - ['kstatus_5fiap_5faddrerror',['kStatus_IAP_AddrError',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae4a180ae087b0f4a58a7c89cb081f400',1,'fsl_iap.h']]], - ['kstatus_5fiap_5faddrnotmapped',['kStatus_IAP_AddrNotMapped',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a98ad8dfd7c9c06637a8ef77669248d44',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fbusy',['kStatus_IAP_Busy',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab171ff8674c9017605cde0067a84a375',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fcompareerror',['kStatus_IAP_CompareError',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ac08832cd7dd8929746e5583b85050a43',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fcounterror',['kStatus_IAP_CountError',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a947c74ac4418ae34ab4b8be2416e11cb',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fdstaddrerror',['kStatus_IAP_DstAddrError',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a37f09b865f86f3f6f58064be70121c02',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fdstaddrnotmapped',['kStatus_IAP_DstAddrNotMapped',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a0491cac2cd13971f08f754a5365ab356',1,'fsl_iap.h']]], - ['kstatus_5fiap_5finvalidcommand',['kStatus_IAP_InvalidCommand',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a254b836a21eb98b6211cefd76037dde7',1,'fsl_iap.h']]], - ['kstatus_5fiap_5finvalidsector',['kStatus_IAP_InvalidSector',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7affa7c3fd999eb6a4558a4e981aa29ccd',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fnoclock',['kStatus_IAP_NoClock',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7adfb58b377dc75167cff2cc8e003939ff',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fnopower',['kStatus_IAP_NoPower',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a08741d113d7dd7358c0a548ed6bedaa9',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fnotprepared',['kStatus_IAP_NotPrepared',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7aea4e342b4bc05dc9f04b2a50b5ccba4f',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fparamerror',['kStatus_IAP_ParamError',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a61e927398cdd5549301de26831ff5460',1,'fsl_iap.h']]], - ['kstatus_5fiap_5freinvokeispconfig',['kStatus_IAP_ReinvokeISPConfig',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ad9d50e05078873600322a78c0bd94b35',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fsectornotblank',['kStatus_IAP_SectorNotblank',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a59fbec3050069d5bf473211cad8ab817',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fsrcaddrerror',['kStatus_IAP_SrcAddrError',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab36f8ecf47da3052eb485015a1932c26',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fsrcaddrnotmapped',['kStatus_IAP_SrcAddrNotMapped',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae69151d679a249f83fde025b5562196a',1,'fsl_iap.h']]], - ['kstatus_5fiap_5fsuccess',['kStatus_IAP_Success',['../a00082.html#ggadf764cbdea00d65edcd07bb9953ad2b7a35e8de33bf46beecd34105c59ec2bc6e',1,'fsl_iap.h']]], - ['kstatus_5finvalidargument',['kStatus_InvalidArgument',['../a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba9743ab3bec5065667c0b12510317e76c',1,'fsl_common.h']]], - ['kstatus_5fnodata',['kStatus_NoData',['../a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba94770b392eceab60898d03ffcf0cc145',1,'fsl_common.h']]], - ['kstatus_5fnotransferinprogress',['kStatus_NoTransferInProgress',['../a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba82fc7f2a425a9c3cfcf6636b8c05c06c',1,'fsl_common.h']]], - ['kstatus_5foutofrange',['kStatus_OutOfRange',['../a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba96d166071c2c0b2672ddaa3307899a0b',1,'fsl_common.h']]], - ['kstatus_5freadonly',['kStatus_ReadOnly',['../a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba69927473662d55dfe6a4b8b1ea529f67',1,'fsl_common.h']]], + ['kstatus_5fbusy',['kStatus_Busy',['../a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba31e314ec45f0b673257687c06b6fe764',1,'fsl_common.h']]], + ['kstatus_5ffail',['kStatus_Fail',['../a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba8692e71089c7e81bd5f4503ff55035db',1,'fsl_common.h']]], + ['kstatus_5fi2c_5faddr_5fnak',['kStatus_I2C_Addr_Nak',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5adf7437bfedcc0d57338ed33f1be5c805',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5farbitrationlost',['kStatus_I2C_ArbitrationLost',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a139b52cc3305ec2c06d0ac94313c221f',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5fbiterror',['kStatus_I2C_BitError',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a0db20b358696db03a068816bb72a0310',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5fbusy',['kStatus_I2C_Busy',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a49091894b590d7e479605bf113918952',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5fdmarequestfail',['kStatus_I2C_DmaRequestFail',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5ac0253b1c986e61b7905da4a7f1fbf844',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5fidle',['kStatus_I2C_Idle',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a628d242f7bc0e3d5949c7f73eafaa508',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5finvalidparameter',['kStatus_I2C_InvalidParameter',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a308bcea12eeef85aeac416ead81639b9',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5fnak',['kStatus_I2C_Nak',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a72fd33d0b5263a63766e62f71d16be00',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5fnotransferinprogress',['kStatus_I2C_NoTransferInProgress',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a834a772763c85b5bedf8eb0a0cfa2f9e',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5fstartstoperror',['kStatus_I2C_StartStopError',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a256cb58b123f0814d020cc8449cf48d5',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5ftimeout',['kStatus_I2C_Timeout',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a81ad7cc198436cabbe91ea55c5288747',1,'fsl_i2c.h']]], + ['kstatus_5fi2c_5funexpectedstate',['kStatus_I2C_UnexpectedState',['../a00085.html#gga99fb83031ce9923c84392b4e92f956b5a99cb29358dad3255402d30285f844632',1,'fsl_i2c.h']]], + ['kstatus_5fiap_5faddrerror',['kStatus_IAP_AddrError',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae4a180ae087b0f4a58a7c89cb081f400',1,'fsl_iap.h']]], + ['kstatus_5fiap_5faddrnotmapped',['kStatus_IAP_AddrNotMapped',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a98ad8dfd7c9c06637a8ef77669248d44',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fbusy',['kStatus_IAP_Busy',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab171ff8674c9017605cde0067a84a375',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fcompareerror',['kStatus_IAP_CompareError',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ac08832cd7dd8929746e5583b85050a43',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fcounterror',['kStatus_IAP_CountError',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a947c74ac4418ae34ab4b8be2416e11cb',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fdstaddrerror',['kStatus_IAP_DstAddrError',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a37f09b865f86f3f6f58064be70121c02',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fdstaddrnotmapped',['kStatus_IAP_DstAddrNotMapped',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a0491cac2cd13971f08f754a5365ab356',1,'fsl_iap.h']]], + ['kstatus_5fiap_5finvalidcommand',['kStatus_IAP_InvalidCommand',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a254b836a21eb98b6211cefd76037dde7',1,'fsl_iap.h']]], + ['kstatus_5fiap_5finvalidsector',['kStatus_IAP_InvalidSector',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7affa7c3fd999eb6a4558a4e981aa29ccd',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fnoclock',['kStatus_IAP_NoClock',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7adfb58b377dc75167cff2cc8e003939ff',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fnopower',['kStatus_IAP_NoPower',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a08741d113d7dd7358c0a548ed6bedaa9',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fnotprepared',['kStatus_IAP_NotPrepared',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7aea4e342b4bc05dc9f04b2a50b5ccba4f',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fparamerror',['kStatus_IAP_ParamError',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a61e927398cdd5549301de26831ff5460',1,'fsl_iap.h']]], + ['kstatus_5fiap_5freinvokeispconfig',['kStatus_IAP_ReinvokeISPConfig',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ad9d50e05078873600322a78c0bd94b35',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fsectornotblank',['kStatus_IAP_SectorNotblank',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a59fbec3050069d5bf473211cad8ab817',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fsrcaddrerror',['kStatus_IAP_SrcAddrError',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ab36f8ecf47da3052eb485015a1932c26',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fsrcaddrnotmapped',['kStatus_IAP_SrcAddrNotMapped',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7ae69151d679a249f83fde025b5562196a',1,'fsl_iap.h']]], + ['kstatus_5fiap_5fsuccess',['kStatus_IAP_Success',['../a00083.html#ggadf764cbdea00d65edcd07bb9953ad2b7a35e8de33bf46beecd34105c59ec2bc6e',1,'fsl_iap.h']]], + ['kstatus_5finvalidargument',['kStatus_InvalidArgument',['../a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba9743ab3bec5065667c0b12510317e76c',1,'fsl_common.h']]], + ['kstatus_5fnodata',['kStatus_NoData',['../a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba94770b392eceab60898d03ffcf0cc145',1,'fsl_common.h']]], + ['kstatus_5fnotransferinprogress',['kStatus_NoTransferInProgress',['../a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba82fc7f2a425a9c3cfcf6636b8c05c06c',1,'fsl_common.h']]], + ['kstatus_5foutofrange',['kStatus_OutOfRange',['../a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba96d166071c2c0b2672ddaa3307899a0b',1,'fsl_common.h']]], + ['kstatus_5freadonly',['kStatus_ReadOnly',['../a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba69927473662d55dfe6a4b8b1ea529f67',1,'fsl_common.h']]], ['kstatus_5fspi_5fbaudratenotsupport',['kStatus_SPI_BaudrateNotSupport',['../a00019.html#ggabc6126af1d45847bc59afa0aa3216b04ab0f4d4de5f92524c9d33524f22a04e03',1,'fsl_spi.h']]], ['kstatus_5fspi_5fbusy',['kStatus_SPI_Busy',['../a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a703abdf7900047c4d13536480f3463ab',1,'fsl_spi.h']]], ['kstatus_5fspi_5ferror',['kStatus_SPI_Error',['../a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a2ff91d774e93aed936b87ffaa18aaf9e',1,'fsl_spi.h']]], ['kstatus_5fspi_5fidle',['kStatus_SPI_Idle',['../a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a4e32c5b06dccaf4b81e2fd1679e1b560',1,'fsl_spi.h']]], ['kstatus_5fspi_5ftimeout',['kStatus_SPI_Timeout',['../a00019.html#ggabc6126af1d45847bc59afa0aa3216b04a496ced6fffc33d7bbeb01d203dfc4836',1,'fsl_spi.h']]], - ['kstatus_5fsuccess',['kStatus_Success',['../a00081.html#gga06fc87d81c62e9abb8790b6e5713c55ba829bee76c6b02225d9c891ae8ef70881',1,'fsl_common.h']]], - ['kstatus_5ftimeout',['kStatus_Timeout',['../a00081.html#gga06fc87d81c62e9abb8790b6e5713c55badf7f172a5d4f1a44d5cb8a1121dcafcb',1,'fsl_common.h']]], + ['kstatus_5fsuccess',['kStatus_Success',['../a00082.html#gga06fc87d81c62e9abb8790b6e5713c55ba829bee76c6b02225d9c891ae8ef70881',1,'fsl_common.h']]], + ['kstatus_5ftimeout',['kStatus_Timeout',['../a00082.html#gga06fc87d81c62e9abb8790b6e5713c55badf7f172a5d4f1a44d5cb8a1121dcafcb',1,'fsl_common.h']]], ['kstatus_5fusart_5fbaudratenotsupport',['kStatus_USART_BaudrateNotSupport',['../a00020.html#ggadc29c2ff13d900c2f185ee95427fb06cae427c8491ce7294dfeaa3b87e506bd81',1,'fsl_usart.h']]], ['kstatus_5fusart_5fframingerror',['kStatus_USART_FramingError',['../a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca9e2f548075400b4c0a719ee1b0a534a9',1,'fsl_usart.h']]], ['kstatus_5fusart_5fhardwareoverrun',['kStatus_USART_HardwareOverrun',['../a00020.html#ggadc29c2ff13d900c2f185ee95427fb06cade18e0468490127d2e0a8c71a190bdc8',1,'fsl_usart.h']]], @@ -542,296 +527,296 @@ var searchData= ['kstatus_5fusart_5ftxbusy',['kStatus_USART_TxBusy',['../a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca78157ec0658118f9205521c03da99093',1,'fsl_usart.h']]], ['kstatus_5fusart_5ftxerror',['kStatus_USART_TxError',['../a00020.html#ggadc29c2ff13d900c2f185ee95427fb06cabf010640733ea1ae8c97d7a0b83ea11b',1,'fsl_usart.h']]], ['kstatus_5fusart_5ftxidle',['kStatus_USART_TxIdle',['../a00020.html#ggadc29c2ff13d900c2f185ee95427fb06ca539a0ebaa0137fdf6d7cc9b984acb13f',1,'fsl_usart.h']]], - ['kstatusgroup_5fapplicationrangestart',['kStatusGroup_ApplicationRangeStart',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0c3a94577af5271a1042757d3c8fdc1',1,'fsl_common.h']]], - ['kstatusgroup_5fasrc',['kStatusGroup_ASRC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a713723bd8764655328f1e5283a8e6020',1,'fsl_common.h']]], - ['kstatusgroup_5fbma',['kStatusGroup_BMA',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29af5e618b56733c41397941d8dec581030',1,'fsl_common.h']]], - ['kstatusgroup_5fbutton',['kStatusGroup_BUTTON',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7415efb189bfb31491ef0ae45fb24d90',1,'fsl_common.h']]], - ['kstatusgroup_5fcaam',['kStatusGroup_CAAM',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a298049d9d9c8be1b2e7a42f38a734d87',1,'fsl_common.h']]], - ['kstatusgroup_5fclif',['kStatusGroup_CLIF',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a50aada436b514e0056476e275e1fd203',1,'fsl_common.h']]], - ['kstatusgroup_5fcodec',['kStatusGroup_CODEC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7c488d28bc9be2e29bd0d133bce7389a',1,'fsl_common.h']]], - ['kstatusgroup_5fcommon_5ftask',['kStatusGroup_COMMON_TASK',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7771d56430ad7ff2553a1258aba16e02',1,'fsl_common.h']]], - ['kstatusgroup_5fcsi',['kStatusGroup_CSI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d1d1a595e9f00c6c9f80c19ce1b8ec5',1,'fsl_common.h']]], - ['kstatusgroup_5fcss_5fpkc',['kStatusGroup_CSS_PKC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a9ef29ac5ce94ff0617434c39574b4f91',1,'fsl_common.h']]], - ['kstatusgroup_5fdcp',['kStatusGroup_DCP',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e7465155c679388316b2f874a284e9e',1,'fsl_common.h']]], - ['kstatusgroup_5fdebugconsole',['kStatusGroup_DebugConsole',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a499ec238a1614827065533960716e652',1,'fsl_common.h']]], - ['kstatusgroup_5fdma',['kStatusGroup_DMA',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a210ff4fa30e99618f8d3e978df03a7b6',1,'fsl_common.h']]], - ['kstatusgroup_5fdmamgr',['kStatusGroup_DMAMGR',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3091dcef1c0c7cd48658d8b43b52fbaf',1,'fsl_common.h']]], - ['kstatusgroup_5fdmic',['kStatusGroup_DMIC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a679444433f12ae5649cb02c2032ca20e',1,'fsl_common.h']]], - ['kstatusgroup_5fdspi',['kStatusGroup_DSPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aee549dc5fb5e05a0d8daaa61a089b222',1,'fsl_common.h']]], - ['kstatusgroup_5fecspi',['kStatusGroup_ECSPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab99693af818132e3cb4f9198965ad637',1,'fsl_common.h']]], - ['kstatusgroup_5fedma',['kStatusGroup_EDMA',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a0ec7f0302a8f7eb082f449933880a1ad',1,'fsl_common.h']]], - ['kstatusgroup_5fenet',['kStatusGroup_ENET',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7d6b922ab2a42d6ef35cfb2be4f80251',1,'fsl_common.h']]], - ['kstatusgroup_5fenet_5fqos',['kStatusGroup_ENET_QOS',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aae462c0663af9e2d180cd06c5798ac3b',1,'fsl_common.h']]], - ['kstatusgroup_5fesai',['kStatusGroup_ESAI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a01101c0342017a7fbe7225a7aa285bad',1,'fsl_common.h']]], - ['kstatusgroup_5fextern_5feeprom',['kStatusGroup_EXTERN_EEPROM',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e4a33b100580c2c1606d66d5ffdfaa3',1,'fsl_common.h']]], - ['kstatusgroup_5fflash',['kStatusGroup_FLASH',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8db8bea33da992b22cafbae1dcf65bb0',1,'fsl_common.h']]], - ['kstatusgroup_5fflashiap',['kStatusGroup_FLASHIAP',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae5b7283daca81a85091e27b80ece629a',1,'fsl_common.h']]], - ['kstatusgroup_5fflexcan',['kStatusGroup_FLEXCAN',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1461a28ac2297f07aa34581074cf9923',1,'fsl_common.h']]], - ['kstatusgroup_5fflexcomm_5fi2c',['kStatusGroup_FLEXCOMM_I2C',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aedd1abcbca188de6e16a6f088d59e92e',1,'fsl_common.h']]], - ['kstatusgroup_5fflexio_5fcamera',['kStatusGroup_FLEXIO_CAMERA',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a214d1cc4faa574be18fa9d865f2ca36d',1,'fsl_common.h']]], - ['kstatusgroup_5fflexio_5fi2c',['kStatusGroup_FLEXIO_I2C',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a67e5d3d11fb3f47ec11d9ee612b98b94',1,'fsl_common.h']]], - ['kstatusgroup_5fflexio_5fi2s',['kStatusGroup_FLEXIO_I2S',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae834c896c69c50855c5cf07768a6cba3',1,'fsl_common.h']]], - ['kstatusgroup_5fflexio_5fmculcd',['kStatusGroup_FLEXIO_MCULCD',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a055d3788919f48c2d69463819d02d903',1,'fsl_common.h']]], - ['kstatusgroup_5fflexio_5fspi',['kStatusGroup_FLEXIO_SPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bc2ecc10af8973a1ecc4f0163fb9b53',1,'fsl_common.h']]], - ['kstatusgroup_5fflexio_5fuart',['kStatusGroup_FLEXIO_UART',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7514134f14004b1835d27cac48bd991',1,'fsl_common.h']]], - ['kstatusgroup_5fflexspi',['kStatusGroup_FLEXSPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a22f1bdf5e7abbeb0bdfe18cda0bc5aca',1,'fsl_common.h']]], - ['kstatusgroup_5fgeneric',['kStatusGroup_Generic',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7c95e11ceb8067c9c2703f96e51aca7',1,'fsl_common.h']]], - ['kstatusgroup_5fhal_5fflash',['kStatusGroup_HAL_FLASH',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa5afa714de4adf37bc00faf6c3dc589e',1,'fsl_common.h']]], - ['kstatusgroup_5fhal_5fgpio',['kStatusGroup_HAL_GPIO',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a18ce0675c0cc628b2ff29a1bafce8b58',1,'fsl_common.h']]], - ['kstatusgroup_5fhal_5fi2c',['kStatusGroup_HAL_I2C',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aaa30b9e3fdd5e93bae58e66521b3015d',1,'fsl_common.h']]], - ['kstatusgroup_5fhal_5fi2s',['kStatusGroup_HAL_I2S',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ac509445aa04b715b77e6461993b50085',1,'fsl_common.h']]], - ['kstatusgroup_5fhal_5fpwm',['kStatusGroup_HAL_PWM',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa4609e941ad1155a86c40bdfcb20a155',1,'fsl_common.h']]], - ['kstatusgroup_5fhal_5frng',['kStatusGroup_HAL_RNG',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa0d0535965c8d28434b036961f6a8c20',1,'fsl_common.h']]], - ['kstatusgroup_5fhal_5fspi',['kStatusGroup_HAL_SPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aba70aa1970435b3ccd39ac54f9d7a68c',1,'fsl_common.h']]], - ['kstatusgroup_5fhal_5ftimer',['kStatusGroup_HAL_TIMER',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa44796b25ed6ed37f7fb25f6f4076c2d',1,'fsl_common.h']]], - ['kstatusgroup_5fhal_5fuart',['kStatusGroup_HAL_UART',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a6510cce112fc6ed1e0523b5d7b307ca2',1,'fsl_common.h']]], - ['kstatusgroup_5fhashcrypt',['kStatusGroup_HASHCRYPT',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1dcb6a7c2605c9ed77388fcb25b01feb',1,'fsl_common.h']]], - ['kstatusgroup_5fhostif',['kStatusGroup_HOSTIF',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a51bf18cc27f6df31f1602db81a2f77f5',1,'fsl_common.h']]], - ['kstatusgroup_5fi2c',['kStatusGroup_I2C',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a5c67a60e98e49151455f565c2834d228',1,'fsl_common.h']]], - ['kstatusgroup_5fi2s',['kStatusGroup_I2S',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a0b66f34ec90c9b6a702e0f00f5ddae43',1,'fsl_common.h']]], - ['kstatusgroup_5fi3c',['kStatusGroup_I3C',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a6dbe13107a9dbb857242cf05e2319f9d',1,'fsl_common.h']]], - ['kstatusgroup_5fi3cbus',['kStatusGroup_I3CBUS',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae28000753ef8046bc9b08e03fc8a1899',1,'fsl_common.h']]], - ['kstatusgroup_5fiap',['kStatusGroup_IAP',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a097d515214e888371df5c588b839529d',1,'fsl_common.h']]], - ['kstatusgroup_5fics',['kStatusGroup_ICS',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a01bf442d671041dcbd1649ec0428c3b6',1,'fsl_common.h']]], - ['kstatusgroup_5fiped',['kStatusGroup_IPED',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a79d16666510da650e32b1499cf102aa5',1,'fsl_common.h']]], - ['kstatusgroup_5fiuart',['kStatusGroup_IUART',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a33255aee9de4a1d093770b218f944d0f',1,'fsl_common.h']]], - ['kstatusgroup_5fled',['kStatusGroup_LED',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a84ab69a4e7f3f1c39f1c3a64d74af08c',1,'fsl_common.h']]], - ['kstatusgroup_5flist',['kStatusGroup_LIST',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e3bc103af2d3db7b628f87174d2bff8',1,'fsl_common.h']]], - ['kstatusgroup_5flmem',['kStatusGroup_LMEM',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a376f952aee5cd45d903da520a37e4c52',1,'fsl_common.h']]], - ['kstatusgroup_5flog',['kStatusGroup_LOG',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a2af6421e7b473bd882f5372ad1fa6d0e',1,'fsl_common.h']]], - ['kstatusgroup_5flpc_5fi2c',['kStatusGroup_LPC_I2C',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab597c78848ce0e63d2518f14e7bac82b',1,'fsl_common.h']]], - ['kstatusgroup_5flpc_5fi2c_5f1',['kStatusGroup_LPC_I2C_1',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a749eaf601d6fe3124f054bcb796b348c',1,'fsl_common.h']]], - ['kstatusgroup_5flpc_5fminispi',['kStatusGroup_LPC_MINISPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab0104dd99e52fbf948f4ae030de5313d',1,'fsl_common.h']]], - ['kstatusgroup_5flpc_5fspi',['kStatusGroup_LPC_SPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ac4c2c9d32010087f0602dbdf2c389857',1,'fsl_common.h']]], - ['kstatusgroup_5flpc_5fspi_5fssp',['kStatusGroup_LPC_SPI_SSP',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aa2c382624b5763cf24cf9727fbfadde3',1,'fsl_common.h']]], - ['kstatusgroup_5flpc_5fusart',['kStatusGroup_LPC_USART',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a02f52b9532ae0d4af04f5c054f3fab84',1,'fsl_common.h']]], - ['kstatusgroup_5flpi2c',['kStatusGroup_LPI2C',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a0d6c6a34fc0b6dbcb323f010556a3be1',1,'fsl_common.h']]], - ['kstatusgroup_5flpsci',['kStatusGroup_LPSCI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a9f1b2d0eeee16f209d7eed3bdb1cf533',1,'fsl_common.h']]], - ['kstatusgroup_5flpspi',['kStatusGroup_LPSPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a491dbb2373725b607970c032f4e04ee3',1,'fsl_common.h']]], - ['kstatusgroup_5flpuart',['kStatusGroup_LPUART',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bcb0be184f8d5bb76d4be8e99b4e45e',1,'fsl_common.h']]], - ['kstatusgroup_5fltc',['kStatusGroup_LTC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a64b54e0423e0ad8a227a38cad4ad4eda',1,'fsl_common.h']]], - ['kstatusgroup_5fmcan',['kStatusGroup_MCAN',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab7f77dd7e0c3d068baf39117ac08a0b9',1,'fsl_common.h']]], - ['kstatusgroup_5fmcg',['kStatusGroup_MCG',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8210c55fe061ff24e75a0f928dbfcb39',1,'fsl_common.h']]], - ['kstatusgroup_5fmecc',['kStatusGroup_MECC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ad85b4bef880b9407425398fc584e16a0',1,'fsl_common.h']]], - ['kstatusgroup_5fmem_5fmanager',['kStatusGroup_MEM_MANAGER',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29acdfe26eb8bbbaaea438470512401e09a',1,'fsl_common.h']]], - ['kstatusgroup_5fmipi_5fdsi',['kStatusGroup_MIPI_DSI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29abdf3fca48a7a13b67d0207aeb59bc581',1,'fsl_common.h']]], - ['kstatusgroup_5fmmdc',['kStatusGroup_MMDC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a67a884fec4d6adb5b455d50a0a5617a5',1,'fsl_common.h']]], - ['kstatusgroup_5fmscan',['kStatusGroup_MSCAN',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a858563b1d87d6fa57a8f442025655201',1,'fsl_common.h']]], - ['kstatusgroup_5fmsg',['kStatusGroup_MSG',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a6d0dedbec7ee218b0ae03f23edad02fd',1,'fsl_common.h']]], - ['kstatusgroup_5fnetc',['kStatusGroup_NETC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e0ac8d81af0520d13c650cd3a3bcdde',1,'fsl_common.h']]], - ['kstatusgroup_5fnotifier',['kStatusGroup_NOTIFIER',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a56134a73f0cfd393dd7cf7fb2395dd99',1,'fsl_common.h']]], - ['kstatusgroup_5fosa',['kStatusGroup_OSA',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a5b65c75456471a2536a97a30db4b8da3',1,'fsl_common.h']]], - ['kstatusgroup_5fotfad',['kStatusGroup_OTFAD',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a4f53ab1f39031629ac12159920f0cde1',1,'fsl_common.h']]], - ['kstatusgroup_5fotp',['kStatusGroup_OTP',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d9b7b66bfaaf53b42aad8462c88ea62',1,'fsl_common.h']]], - ['kstatusgroup_5fpdm',['kStatusGroup_PDM',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0b4ea9f05497a624e32811c4f36a3be',1,'fsl_common.h']]], - ['kstatusgroup_5fphy',['kStatusGroup_PHY',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a521a4b8ac1776d0f41af044886a71b3b',1,'fsl_common.h']]], - ['kstatusgroup_5fpower',['kStatusGroup_POWER',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a94d2a6fe8021fed5487169a46421d47e',1,'fsl_common.h']]], - ['kstatusgroup_5fpower_5fmanager',['kStatusGroup_POWER_MANAGER',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a6c52b0b8b2d2ca670a5f8eca66212f54',1,'fsl_common.h']]], - ['kstatusgroup_5fpuf',['kStatusGroup_PUF',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a984927be2e0e57c4125e8e8f5d9c0f48',1,'fsl_common.h']]], - ['kstatusgroup_5fqsci',['kStatusGroup_QSCI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a025ed41827a0bd0b7bf60b853a921c7d',1,'fsl_common.h']]], - ['kstatusgroup_5fqspi',['kStatusGroup_QSPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a4a488e0f7cc1d3baa449ab4ca99e7b34',1,'fsl_common.h']]], - ['kstatusgroup_5fqueuedspi',['kStatusGroup_QUEUEDSPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7712fcacc6d5e9698366daafc59ea644',1,'fsl_common.h']]], - ['kstatusgroup_5fsai',['kStatusGroup_SAI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae4461a3a085ea13b5fe7908bf1e109f8',1,'fsl_common.h']]], - ['kstatusgroup_5fscg',['kStatusGroup_SCG',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a9771abafdcf43bf924599a0081e92bf2',1,'fsl_common.h']]], - ['kstatusgroup_5fsdhc',['kStatusGroup_SDHC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aba83e94d1735b695119429a4e55bb3a4',1,'fsl_common.h']]], - ['kstatusgroup_5fsdif',['kStatusGroup_SDIF',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a25fb824a9ad702e631276a8ea5d03603',1,'fsl_common.h']]], - ['kstatusgroup_5fsdioslv',['kStatusGroup_SDIOSLV',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3e59e90d903b97bd45037175997f4ad6',1,'fsl_common.h']]], - ['kstatusgroup_5fsdk_5fflexspinor',['kStatusGroup_SDK_FLEXSPINOR',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a830e5b42a05424dc026bd36d30f143a5',1,'fsl_common.h']]], - ['kstatusgroup_5fsdk_5focotp',['kStatusGroup_SDK_OCOTP',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a539b147c0d1409a0e11c3ae340886fa8',1,'fsl_common.h']]], - ['kstatusgroup_5fsdma',['kStatusGroup_SDMA',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ab55df75d85633505960f611180054524',1,'fsl_common.h']]], - ['kstatusgroup_5fsdmmc',['kStatusGroup_SDMMC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a1c5e35d9d03724b7ccadcae8e0451384',1,'fsl_common.h']]], - ['kstatusgroup_5fsdramc',['kStatusGroup_SDRAMC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a3b3116c6f4aa1a88e6e7abc45df58938',1,'fsl_common.h']]], - ['kstatusgroup_5fsdspi',['kStatusGroup_SDSPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a85685b8cde1285d240569b9518c32fc0',1,'fsl_common.h']]], - ['kstatusgroup_5fsema42',['kStatusGroup_SEMA42',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a073c6e3ccd0dbcf1b812cb21da5e7df9',1,'fsl_common.h']]], - ['kstatusgroup_5fsemc',['kStatusGroup_SEMC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a2fef671dda58af09262787022fe321fe',1,'fsl_common.h']]], - ['kstatusgroup_5fserialmanager',['kStatusGroup_SERIALMANAGER',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a39a54cda2a1cac31e1c00be0eb4a7620',1,'fsl_common.h']]], - ['kstatusgroup_5fsfa',['kStatusGroup_SFA',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a9cf111a176ebe1210ae6faaa950aac54',1,'fsl_common.h']]], - ['kstatusgroup_5fshell',['kStatusGroup_SHELL',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a660addd059be8786f2aa3d9a1a196ae1',1,'fsl_common.h']]], - ['kstatusgroup_5fsmartcard',['kStatusGroup_SMARTCARD',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae16d6bba44ae6f24187946960fb826dd',1,'fsl_common.h']]], - ['kstatusgroup_5fsnt',['kStatusGroup_SNT',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae67462f0d7dbac886d8a1272a29b846c',1,'fsl_common.h']]], - ['kstatusgroup_5fspc',['kStatusGroup_SPC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a7491bc6ede9a2eb6e7f292bb1530b7d7',1,'fsl_common.h']]], - ['kstatusgroup_5fspdif',['kStatusGroup_SPDIF',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a8bea2b5f8b1458aaa0dc29ddbb972d87',1,'fsl_common.h']]], - ['kstatusgroup_5fspi',['kStatusGroup_SPI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a60c31c70600aff4f290ca2d790622977',1,'fsl_common.h']]], - ['kstatusgroup_5fspifi',['kStatusGroup_SPIFI',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ac071c3c3d14ed8afadb2bb6de249c722',1,'fsl_common.h']]], - ['kstatusgroup_5ftimermanager',['kStatusGroup_TIMERMANAGER',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a4603f8fedc8ad994788e17baae895013',1,'fsl_common.h']]], - ['kstatusgroup_5ftouch_5fpanel',['kStatusGroup_TOUCH_PANEL',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29a381f1929c41dcaef62d2d44326719db8',1,'fsl_common.h']]], - ['kstatusgroup_5ftrgmux',['kStatusGroup_TRGMUX',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29adb8c69c2f8cd344f3e2dc0b0db4a1631',1,'fsl_common.h']]], - ['kstatusgroup_5fuart',['kStatusGroup_UART',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29aeeb2ed6ef4ce59c7e1d416466f55bfb7',1,'fsl_common.h']]], - ['kstatusgroup_5fusdhc',['kStatusGroup_USDHC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29ae2f54d029f0e74c8fed8812e24000a74',1,'fsl_common.h']]], - ['kstatusgroup_5fvbat',['kStatusGroup_VBAT',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29af4cabd4468ae9e670d9c188064c56f41',1,'fsl_common.h']]], - ['kstatusgroup_5fxrdc',['kStatusGroup_XRDC',['../a00081.html#gga7ff0b98bb1341c07acefb1473b6eda29af98b407f4e66e7046a3173031b45253d',1,'fsl_common.h']]], - ['kswm_5facmp_5finput1',['kSWM_ACMP_INPUT1',['../a00089.html#gga6db188a71a269613f30825af80c02053a28fab9703b5177948f7409efa9ff848a',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5finput2',['kSWM_ACMP_INPUT2',['../a00089.html#gga6db188a71a269613f30825af80c02053abd4f15c4f7b44b457764ab2aa67ac5ac',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5finput3',['kSWM_ACMP_INPUT3',['../a00089.html#gga6db188a71a269613f30825af80c02053ad8072ce6e9985ae8377377ae49ba57d7',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5finput4',['kSWM_ACMP_INPUT4',['../a00089.html#gga6db188a71a269613f30825af80c02053a2f09e0ca30217841402ba6bf16f8f785',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5finput5',['kSWM_ACMP_INPUT5',['../a00089.html#gga6db188a71a269613f30825af80c02053ad1b1f498a44a52ed74eab7333c03e177',1,'fsl_swm_connections.h']]], - ['kswm_5facmp_5fout',['kSWM_ACMP_OUT',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da76769594d29a6d8659c5c625b4212a24',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn0',['kSWM_ADC_CHN0',['../a00089.html#gga6db188a71a269613f30825af80c02053acc0420d5b902bffdc029c0a179fd9653',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn1',['kSWM_ADC_CHN1',['../a00089.html#gga6db188a71a269613f30825af80c02053af96a078ddf8497b6680dcb8812abccaf',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn10',['kSWM_ADC_CHN10',['../a00089.html#gga6db188a71a269613f30825af80c02053a3872c11496f6cd2755a2cc33edeee25e',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn11',['kSWM_ADC_CHN11',['../a00089.html#gga6db188a71a269613f30825af80c02053a1195026daab11c8e8e8a07ea2588dc59',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn2',['kSWM_ADC_CHN2',['../a00089.html#gga6db188a71a269613f30825af80c02053aab8f0dd610beed22acf2a5a2c3149a38',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn3',['kSWM_ADC_CHN3',['../a00089.html#gga6db188a71a269613f30825af80c02053ab3e46c2499e53d6187acef5b9c92faeb',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn4',['kSWM_ADC_CHN4',['../a00089.html#gga6db188a71a269613f30825af80c02053a131299a8724b490b48ff5ea4ae88ec85',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn5',['kSWM_ADC_CHN5',['../a00089.html#gga6db188a71a269613f30825af80c02053a8f49833db881a5cca72e39060510fe33',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn6',['kSWM_ADC_CHN6',['../a00089.html#gga6db188a71a269613f30825af80c02053a2cf1d219093b981b000fefd1b4b88835',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn7',['kSWM_ADC_CHN7',['../a00089.html#gga6db188a71a269613f30825af80c02053af60644696c7226d16b940a379e974d07',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn8',['kSWM_ADC_CHN8',['../a00089.html#gga6db188a71a269613f30825af80c02053aca55eb81b04844960f74d6b6f735f406',1,'fsl_swm_connections.h']]], - ['kswm_5fadc_5fchn9',['kSWM_ADC_CHN9',['../a00089.html#gga6db188a71a269613f30825af80c02053a332c28d49858ffceda3b7d9a9a317d0c',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx0',['kSWM_CAPT_X0',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da5673e5074470fd8c7773f987a45612ab',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx1',['kSWM_CAPT_X1',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da74e21d63631f6e3eeae57269cc597ff9',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx2',['kSWM_CAPT_X2',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dae11d56486ef46dfad9ea855f223483f2',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx3',['kSWM_CAPT_X3',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da144b0c83acca82fa910848f7587af18e',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fx4',['kSWM_CAPT_X4',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dadad77032cc009f3690361c9f4d552cdd',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fyh',['kSWM_CAPT_YH',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da839653f003a5f245984cbbb3e0ded090',1,'fsl_swm_connections.h']]], - ['kswm_5fcapt_5fyl',['kSWM_CAPT_YL',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dabe002214e7dc409f243527310e689060',1,'fsl_swm_connections.h']]], - ['kswm_5fclkin',['kSWM_CLKIN',['../a00089.html#gga6db188a71a269613f30825af80c02053add9353fb1a660338dc4129f564d43c41',1,'fsl_swm_connections.h']]], - ['kswm_5fclkout',['kSWM_CLKOUT',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da867964bdb44cfca767b2fe212243ad68',1,'fsl_swm_connections.h']]], - ['kswm_5fdac_5fout0',['kSWM_DAC_OUT0',['../a00089.html#gga6db188a71a269613f30825af80c02053aafaffe0fe7c5ed4eaed04bd7acac4f0b',1,'fsl_swm_connections.h']]], - ['kswm_5ffixedpin_5fnum_5ffuncs',['kSWM_FIXEDPIN_NUM_FUNCS',['../a00089.html#gga6db188a71a269613f30825af80c02053a609f40f8714286a7fce78c131a97b69f',1,'fsl_swm_connections.h']]], - ['kswm_5fgpio_5fint_5fbmat',['kSWM_GPIO_INT_BMAT',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da9e23b0bd838b14f99f22d1ae76d63143',1,'fsl_swm_connections.h']]], - ['kswm_5fi2c0_5fscl',['kSWM_I2C0_SCL',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da6f0a53c70373fdbf41edf57122669a47',1,'fsl_swm_connections.h']]], - ['kswm_5fi2c0_5fsda',['kSWM_I2C0_SDA',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da679e93580df161b665365f658345ed65',1,'fsl_swm_connections.h']]], - ['kswm_5fi2c1_5fscl',['kSWM_I2C1_SCL',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da5ba6a30f56eb6e001abb178bb215469a',1,'fsl_swm_connections.h']]], - ['kswm_5fi2c1_5fsda',['kSWM_I2C1_SDA',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dab15fc1b1ba8e4f1a35fc314c60b467be',1,'fsl_swm_connections.h']]], - ['kswm_5flvlshft_5fin0',['kSWM_LVLSHFT_IN0',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dacd8498beecae42d50d55fd9135fa5554',1,'fsl_swm_connections.h']]], - ['kswm_5flvlshft_5fin1',['kSWM_LVLSHFT_IN1',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da6edbcf66e2b9d21b36413d5913952b7b',1,'fsl_swm_connections.h']]], - ['kswm_5flvlshft_5fout0',['kSWM_LVLSHFT_OUT0',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da4bfff10a0df16a23aebb02bc2a19f662',1,'fsl_swm_connections.h']]], - ['kswm_5flvlshft_5fout1',['kSWM_LVLSHFT_OUT1',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da167b30e083150f7ef078b3fc15b9a2fb',1,'fsl_swm_connections.h']]], - ['kswm_5fmovable_5fnum_5ffuncs',['kSWM_MOVABLE_NUM_FUNCS',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dac735c6243e08a73181d0f0f8f6c130bb',1,'fsl_swm_connections.h']]], - ['kswm_5fpinassingnfixed_5fmovable_5fnum_5ffuncs',['kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cdab1344f53d421d647d2f85460ff8630df',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fclkin_5fin',['kSWM_PLU_CLKIN_IN',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13daf4805a2bfb2a47017d373209a10ce52d',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput0',['kSWM_PLU_INPUT0',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cda1dea0e7772dbe79bdf2cfb15e7a547a0',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput0_5fportpin_5fp0_5f0',['kSWM_PLU_INPUT0_PortPin_P0_0',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9238a533be8bd8e0955b7a90f5ae4d44',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput0_5fportpin_5fp0_5f17',['kSWM_PLU_INPUT0_PortPin_P0_17',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a34bca304086fb621de87b04528f8164b',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput0_5fportpin_5fp0_5f8',['kSWM_PLU_INPUT0_PortPin_P0_8',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475ad0716ea2f10ff5fbd04234889b1e53ed',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput1',['kSWM_PLU_INPUT1',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cdac9ea3883dbd984c68e4049f5fa48903c',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput1_5fportpin_5fp0_5f1',['kSWM_PLU_INPUT1_PortPin_P0_1',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af15b31098ffc1b3561d7ffbfd191c2e3',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput1_5fportpin_5fp0_5f18',['kSWM_PLU_INPUT1_PortPin_P0_18',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475afa22c48b958dcc1d146a381ceb411dc6',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput1_5fportpin_5fp0_5f9',['kSWM_PLU_INPUT1_PortPin_P0_9',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa54388872ede616ae8431f22adf9b883',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput2',['kSWM_PLU_INPUT2',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cda669e23d5037d7508cace2b056a6e5299',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput2_5fportpin_5fp0_5f10',['kSWM_PLU_INPUT2_PortPin_P0_10',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2e20c680e47cfed45e9ccd1462b921a1',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput2_5fportpin_5fp0_5f19',['kSWM_PLU_INPUT2_PortPin_P0_19',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a576c74aa596dfebd41123a123b1e086f',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput2_5fportpin_5fp0_5f2',['kSWM_PLU_INPUT2_PortPin_P0_2',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a7ac7b3d83eff1aca3ca60bf8102dac',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput3',['kSWM_PLU_INPUT3',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cdaf70a738eecaddb8268f264bb7baf6b9b',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput3_5fportpin_5fp0_5f11',['kSWM_PLU_INPUT3_PortPin_P0_11',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0ff213062c17f56f0bd74cf6510b1ee',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput3_5fportpin_5fp0_5f20',['kSWM_PLU_INPUT3_PortPin_P0_20',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af6c85c442a0709477e5729c9dde36fdc',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput3_5fportpin_5fp0_5f3',['kSWM_PLU_INPUT3_PortPin_P0_3',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475ae557054f417e495346ddcc3e7f7162ba',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput4',['kSWM_PLU_INPUT4',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cda8b3379b03023f7f9057837109b142230',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput4_5fportpin_5fp0_5f12',['kSWM_PLU_INPUT4_PortPin_P0_12',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a09729e747b6419e05e6b80eecd08b380',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput4_5fportpin_5fp0_5f21',['kSWM_PLU_INPUT4_PortPin_P0_21',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af8ebc1a1ac7242706e0a4d3254de8a1a',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput4_5fportpin_5fp0_5f4',['kSWM_PLU_INPUT4_PortPin_P0_4',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a88cbbe93397f750184a74a2fb1635441',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput5',['kSWM_PLU_INPUT5',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cdae66a048f12b6af45145f2747fe9de530',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput5_5fportpin_5fp0_5f13',['kSWM_PLU_INPUT5_PortPin_P0_13',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a30425caf231771228aa99f2871efa005',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput5_5fportpin_5fp0_5f22',['kSWM_PLU_INPUT5_PortPin_P0_22',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a78528eef89bede351c7f85b2de156747',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5finput5_5fportpin_5fp0_5f5',['kSWM_PLU_INPUT5_PortPin_P0_5',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a4de20ff3218f9af0175dfba0a1aaa38d',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout0',['kSWM_PLU_OUT0',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cdaa2fbf0c2913f92571b79aebda5d5cec6',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout0_5fportpin_5fp0_5f14',['kSWM_PLU_OUT0_PortPin_P0_14',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa99b5d54d06869f8977cf41527daf915',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout0_5fportpin_5fp0_5f23',['kSWM_PLU_OUT0_PortPin_P0_23',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a8b81353af093a8df62363344a1359c42',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout0_5fportpin_5fp0_5f7',['kSWM_PLU_OUT0_PortPin_P0_7',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475acf4d35c0b2416225ace1794d2316d78b',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout1',['kSWM_PLU_OUT1',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cda865830e9fdc6bf03bf1d477ca3c6a6ca',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout1_5fportpin_5fp0_5f15',['kSWM_PLU_OUT1_PortPin_P0_15',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0c66d3751768e52c7b283f7c94b17bce',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout1_5fportpin_5fp0_5f24',['kSWM_PLU_OUT1_PortPin_P0_24',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a1b0e5339f4df77ac5085a16d8d0ff7',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout1_5fportpin_5fp0_5f8',['kSWM_PLU_OUT1_PortPin_P0_8',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475ac53270179d009a402596effdc9ebabdc',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout2',['kSWM_PLU_OUT2',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cda5bb0b796d35f26e384e79aea47cf62b7',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout2_5fportpin_5fp0_5f16',['kSWM_PLU_OUT2_PortPin_P0_16',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475acc1e82634c86fc3ee7c0a50026e901ad',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout2_5fportpin_5fp0_5f25',['kSWM_PLU_OUT2_PortPin_P0_25',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2d217651e60f137293707c19d717af37',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout2_5fportpin_5fp0_5f9',['kSWM_PLU_OUT2_PortPin_P0_9',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af3bbdfacb373ba7dbcbedde68406d03f',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout3',['kSWM_PLU_OUT3',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cdad6866a46cce2335ee7228f696af14ea2',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout3_5fportpin_5fp0_5f10',['kSWM_PLU_OUT3_PortPin_P0_10',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa9aeb1c6417d18b72b3d94aec5ac7431',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout3_5fportpin_5fp0_5f17',['kSWM_PLU_OUT3_PortPin_P0_17',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a77450c6220c5a32fde70c7e91d3edbb0',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout3_5fportpin_5fp0_5f26',['kSWM_PLU_OUT3_PortPin_P0_26',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0c298ece28ee4367783dcf472fe20b6',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout4',['kSWM_PLU_OUT4',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cdaef14d94c177e35d440f3549aeed9c7b8',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout4_5fportpin_5fp0_5f11',['kSWM_PLU_OUT4_PortPin_P0_11',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2da86e45befea6a916816c5e523daad5',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout4_5fportpin_5fp0_5f18',['kSWM_PLU_OUT4_PortPin_P0_18',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a73d53097414e5fc5f4cbe2bcdc5b16d1',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout4_5fportpin_5fp0_5f27',['kSWM_PLU_OUT4_PortPin_P0_27',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a58d7c20b50aaa229094c5dc60a0cb14b',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout5',['kSWM_PLU_OUT5',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cda66b170fb8a6d54c31eacce78c619adbf',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout5_5fportpin_5fp0_5f12',['kSWM_PLU_OUT5_PortPin_P0_12',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9c78a8bb274fb2604cb4e80af4da988c',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout5_5fportpin_5fp0_5f19',['kSWM_PLU_OUT5_PortPin_P0_19',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa4096fcdb3eb88b567870cf2f250208e',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout5_5fportpin_5fp0_5f28',['kSWM_PLU_OUT5_PortPin_P0_28',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a31d722ef719c89924cd70efe94723ad3',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout6',['kSWM_PLU_OUT6',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cdafae5eae69465f413508aac6ac906b7fe',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout6_5fportpin_5fp0_5f13',['kSWM_PLU_OUT6_PortPin_P0_13',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a7ea7748ab2a2bb448eca9daab449a9fa',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout6_5fportpin_5fp0_5f20',['kSWM_PLU_OUT6_PortPin_P0_20',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a89ea9c75bd1ef71e7f1d4c52544dad9f',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout6_5fportpin_5fp0_5f29',['kSWM_PLU_OUT6_PortPin_P0_29',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2b352e3ffe67686dac289a8f8d86fba0',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout7',['kSWM_PLU_OUT7',['../a00089.html#ggabdebb214f81e7c4859bd60752b5958cdaafdc30e41c762800c39cd92cbffb2b31',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout7_5fportpin_5fp0_5f14',['kSWM_PLU_OUT7_PortPin_P0_14',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0038fe47f7308af0fb046b6977b5928e',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout7_5fportpin_5fp0_5f21',['kSWM_PLU_OUT7_PortPin_P0_21',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475af1e2e2ccc38fec30ae5b3e617524cdab',1,'fsl_swm_connections.h']]], - ['kswm_5fplu_5fout7_5fportpin_5fp0_5f30',['kSWM_PLU_OUT7_PortPin_P0_30',['../a00089.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0ead9e203d786e410f1d21f19f02aed2',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f0',['kSWM_PortPin_P0_0',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35abf7ea2c0f06252d5e966ca63f23dba2e',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f1',['kSWM_PortPin_P0_1',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a9010bbdc4d0ba698a18c200f27586c5a',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f10',['kSWM_PortPin_P0_10',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35adb2af26fbb96cad1a3f4d666e3759e39',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f11',['kSWM_PortPin_P0_11',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a6514b3be861759fdc7f645c4a8190176',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f12',['kSWM_PortPin_P0_12',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a473548308d7fac0d5fa4ac959f81e444',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f13',['kSWM_PortPin_P0_13',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a0efea555d71ff08c985b3c002e49f25a',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f14',['kSWM_PortPin_P0_14',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ae2a752505db2acdb06e6047c3c447f63',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f15',['kSWM_PortPin_P0_15',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a21dd2c94b4ab7b133e9818a5a394f967',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f16',['kSWM_PortPin_P0_16',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a0c38ea80f06768e2ad6282fbc11d5187',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f17',['kSWM_PortPin_P0_17',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a74b6edcb7dba9f95182a4faa57dd110d',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f18',['kSWM_PortPin_P0_18',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a1eb0795f2598b9acf64e7abcb63f78d5',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f19',['kSWM_PortPin_P0_19',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a488a17a4e210b5000df9ecc534d24c8b',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f2',['kSWM_PortPin_P0_2',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35aa8e8084f5ea75a2e776f4a8f8a8d5e61',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f20',['kSWM_PortPin_P0_20',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a1127b8f8732d34f2c80daf825bb14853',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f21',['kSWM_PortPin_P0_21',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a8ec22695a3c8fbc028cc86299ca76b9a',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f22',['kSWM_PortPin_P0_22',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35af2a8176b461addf3d18b1128800dd7ef',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f23',['kSWM_PortPin_P0_23',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ae6795c51fac27d7426848568f125a6e8',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f24',['kSWM_PortPin_P0_24',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ac61483b0bbae6a06d6789e41aaf450fc',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f25',['kSWM_PortPin_P0_25',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a7a625624c8520faff1f2e6b0a1230ba5',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f26',['kSWM_PortPin_P0_26',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ac557f3233abd222c5cf7d809e082f94b',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f27',['kSWM_PortPin_P0_27',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35aea9feb0c4582cb00ea087fef692f7623',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f28',['kSWM_PortPin_P0_28',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35af3005bf86c4488117ca1396151d2c2af',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f29',['kSWM_PortPin_P0_29',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35acc5bcdfe59c04f2da33835496b3a2ddf',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f3',['kSWM_PortPin_P0_3',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a3a31a8f0c23e7d75db386e0f1705fcb8',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f30',['kSWM_PortPin_P0_30',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35aeba0cfeb40308a0bd10bd33298bf9e84',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f31',['kSWM_PortPin_P0_31',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a7b0ebc4f977a126eb51422b3df962e87',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f4',['kSWM_PortPin_P0_4',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a933c38c001bf24a62f0c8bf62e9bbbfb',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f5',['kSWM_PortPin_P0_5',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a75b66d0e23730af2446bdec683db11d3',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f6',['kSWM_PortPin_P0_6',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a4e2797855da4686eb7de9e75c5034d66',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f7',['kSWM_PortPin_P0_7',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a8a3af6c1f0f5791666b2c8409321ee0b',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f8',['kSWM_PortPin_P0_8',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ab0f66b94af6c72515e705077a0aea28b',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5fp0_5f9',['kSWM_PortPin_P0_9',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35ace9b73074e1c03234c89b40ecd52a1e6',1,'fsl_swm_connections.h']]], - ['kswm_5fportpin_5freset',['kSWM_PortPin_Reset',['../a00089.html#ggaca9c780388e187444bfa31a6bcc72d35a6873cb2ccda9efd34d7fdd75f3829f1c',1,'fsl_swm_connections.h']]], - ['kswm_5fresetn',['kSWM_RESETN',['../a00089.html#gga6db188a71a269613f30825af80c02053a2c3df2d1d6d1fb817003b824eb699585',1,'fsl_swm_connections.h']]], - ['kswm_5frst_5fn_5fshift_5frstn',['kSWM_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770abbd5b2eb886157d35a4ff88077fa192b',1,'fsl_reset.h']]], - ['kswm_5fspi0_5fmiso',['kSWM_SPI0_MISO',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da84653c7b62da4f773676a39a2abe597a',1,'fsl_swm_connections.h']]], - ['kswm_5fspi0_5fmosi',['kSWM_SPI0_MOSI',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dad6e9059822d87be57e82960aa79d9229',1,'fsl_swm_connections.h']]], - ['kswm_5fspi0_5fsck',['kSWM_SPI0_SCK',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dabd1859e7fd97d6aad4edf95f92eb1df0',1,'fsl_swm_connections.h']]], - ['kswm_5fspi0_5fssel0',['kSWM_SPI0_SSEL0',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dadeb15fd0fbfb9f438e22762cad170359',1,'fsl_swm_connections.h']]], - ['kswm_5fspi0_5fssel1',['kSWM_SPI0_SSEL1',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dacdcd02ea5803089ebcd609e227acab8b',1,'fsl_swm_connections.h']]], - ['kswm_5fswclk',['kSWM_SWCLK',['../a00089.html#gga6db188a71a269613f30825af80c02053a9b86fc933fab954e947140ea4ca2ffe3',1,'fsl_swm_connections.h']]], - ['kswm_5fswdio',['kSWM_SWDIO',['../a00089.html#gga6db188a71a269613f30825af80c02053ac0ebb404f4a50e198c79a35af9721e6c',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fcap_5fchn0',['kSWM_T0_CAP_CHN0',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da14bfd78536056ebdc4d2bfed0438b5cf',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fcap_5fchn1',['kSWM_T0_CAP_CHN1',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da048cac659ef579d1358cc6d2a7db2c3a',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fcap_5fchn2',['kSWM_T0_CAP_CHN2',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13daef5103aadc41068ca6157543520491b2',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fmat_5fchn0',['kSWM_T0_MAT_CHN0',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da0ac9c784851c59d1c334fba730bdc091',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fmat_5fchn1',['kSWM_T0_MAT_CHN1',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da4be08849669f9f086a238335662c6ac1',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fmat_5fchn2',['kSWM_T0_MAT_CHN2',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da11e574aeb2ec56f282a103d78c49ccbe',1,'fsl_swm_connections.h']]], - ['kswm_5ft0_5fmat_5fchn3',['kSWM_T0_MAT_CHN3',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da3ab2e3d866e55d84dc2c342eb660c0d5',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5fcts',['kSWM_USART0_CTS',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dacf5086c4d10114ed1e2adf80ef0c1d8e',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5frts',['kSWM_USART0_RTS',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da83269426ac6b85e729bd940e7c6bc168',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5frxd',['kSWM_USART0_RXD',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13dac38fd128ae8088af0ab82bb9e1ab2b0e',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5fsclk',['kSWM_USART0_SCLK',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da95076efb390207c31347c9d6eaf7a568',1,'fsl_swm_connections.h']]], - ['kswm_5fusart0_5ftxd',['kSWM_USART0_TXD',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da7a0b07e7613ca95cbae02f51e74338ae',1,'fsl_swm_connections.h']]], - ['kswm_5fusart1_5frxd',['kSWM_USART1_RXD',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da40ca641c0138d551c28bb9dd184bf948',1,'fsl_swm_connections.h']]], - ['kswm_5fusart1_5fsclk',['kSWM_USART1_SCLK',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da209dc130cd5427683bedca3187726030',1,'fsl_swm_connections.h']]], - ['kswm_5fusart1_5ftxd',['kSWM_USART1_TXD',['../a00089.html#gga45575871cafcadc50292b9bba6b9d13da3ca0015b97fb5a1b24b1f6fda113488d',1,'fsl_swm_connections.h']]], - ['kswm_5fvddcmp',['kSWM_VDDCMP',['../a00089.html#gga6db188a71a269613f30825af80c02053a1c8051ee75028cdb756ce666cc9b1bb9',1,'fsl_swm_connections.h']]], - ['kswm_5fwkclkin',['kSWM_WKCLKIN',['../a00089.html#gga6db188a71a269613f30825af80c02053ae9a9d439d460166f8795ddd23c7135b5',1,'fsl_swm_connections.h']]], - ['ksyscon_5fgpioport0pin0topintsel',['kSYSCON_GpioPort0Pin0ToPintsel',['../a00090.html#gga4a63ee69b68459770551a0e4d7b6cdadafe2a386c6f3e8884b995d08fd87de542',1,'fsl_syscon_connections.h']]], - ['kuart0_5fclk_5ffrom_5ffrg0clk',['kUART0_Clk_From_Frg0Clk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58ad0784125581fd7bf09f4b3bab3af725d',1,'fsl_clock.h']]], - ['kuart0_5fclk_5ffrom_5ffro',['kUART0_Clk_From_Fro',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a67d2eb5235a0677d1ef161e5e1c2b340',1,'fsl_clock.h']]], - ['kuart0_5fclk_5ffrom_5ffro_5fdiv',['kUART0_Clk_From_Fro_Div',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58af06770587aa45bbb62d1e4fe36d03d81',1,'fsl_clock.h']]], - ['kuart0_5fclk_5ffrom_5fmainclk',['kUART0_Clk_From_MainClk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a841785f980e4c406eeecdcf07559fe66',1,'fsl_clock.h']]], - ['kuart0_5frst_5fn_5fshift_5frstn',['kUART0_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770aa294a3c09c1565947ebcd78694acff24',1,'fsl_reset.h']]], - ['kuart1_5fclk_5ffrom_5ffrg0clk',['kUART1_Clk_From_Frg0Clk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58af45a833dead43235df03a982dc25ea33',1,'fsl_clock.h']]], - ['kuart1_5fclk_5ffrom_5ffro',['kUART1_Clk_From_Fro',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a9fbd759cc60932bb0896625543477290',1,'fsl_clock.h']]], - ['kuart1_5fclk_5ffrom_5ffro_5fdiv',['kUART1_Clk_From_Fro_Div',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a1b183d67629d90ddc1a9b9baf2ebc285',1,'fsl_clock.h']]], - ['kuart1_5fclk_5ffrom_5fmainclk',['kUART1_Clk_From_MainClk',['../a00078.html#gga59a363f0296ea51011dd86b405d5db58a066679b9e0a01ccd0aad6e6caa643f2f',1,'fsl_clock.h']]], - ['kuart1_5frst_5fn_5fshift_5frstn',['kUART1_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a8bc751e4927f0c20a0695b284fc40c38',1,'fsl_reset.h']]], + ['kstatusgroup_5fapplicationrangestart',['kStatusGroup_ApplicationRangeStart',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0c3a94577af5271a1042757d3c8fdc1',1,'fsl_common.h']]], + ['kstatusgroup_5fasrc',['kStatusGroup_ASRC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a713723bd8764655328f1e5283a8e6020',1,'fsl_common.h']]], + ['kstatusgroup_5fbma',['kStatusGroup_BMA',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af5e618b56733c41397941d8dec581030',1,'fsl_common.h']]], + ['kstatusgroup_5fbutton',['kStatusGroup_BUTTON',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7415efb189bfb31491ef0ae45fb24d90',1,'fsl_common.h']]], + ['kstatusgroup_5fcaam',['kStatusGroup_CAAM',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a298049d9d9c8be1b2e7a42f38a734d87',1,'fsl_common.h']]], + ['kstatusgroup_5fclif',['kStatusGroup_CLIF',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a50aada436b514e0056476e275e1fd203',1,'fsl_common.h']]], + ['kstatusgroup_5fcodec',['kStatusGroup_CODEC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7c488d28bc9be2e29bd0d133bce7389a',1,'fsl_common.h']]], + ['kstatusgroup_5fcommon_5ftask',['kStatusGroup_COMMON_TASK',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7771d56430ad7ff2553a1258aba16e02',1,'fsl_common.h']]], + ['kstatusgroup_5fcsi',['kStatusGroup_CSI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d1d1a595e9f00c6c9f80c19ce1b8ec5',1,'fsl_common.h']]], + ['kstatusgroup_5fcss_5fpkc',['kStatusGroup_CSS_PKC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9ef29ac5ce94ff0617434c39574b4f91',1,'fsl_common.h']]], + ['kstatusgroup_5fdcp',['kStatusGroup_DCP',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e7465155c679388316b2f874a284e9e',1,'fsl_common.h']]], + ['kstatusgroup_5fdebugconsole',['kStatusGroup_DebugConsole',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a499ec238a1614827065533960716e652',1,'fsl_common.h']]], + ['kstatusgroup_5fdma',['kStatusGroup_DMA',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a210ff4fa30e99618f8d3e978df03a7b6',1,'fsl_common.h']]], + ['kstatusgroup_5fdmamgr',['kStatusGroup_DMAMGR',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3091dcef1c0c7cd48658d8b43b52fbaf',1,'fsl_common.h']]], + ['kstatusgroup_5fdmic',['kStatusGroup_DMIC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a679444433f12ae5649cb02c2032ca20e',1,'fsl_common.h']]], + ['kstatusgroup_5fdspi',['kStatusGroup_DSPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aee549dc5fb5e05a0d8daaa61a089b222',1,'fsl_common.h']]], + ['kstatusgroup_5fecspi',['kStatusGroup_ECSPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab99693af818132e3cb4f9198965ad637',1,'fsl_common.h']]], + ['kstatusgroup_5fedma',['kStatusGroup_EDMA',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0ec7f0302a8f7eb082f449933880a1ad',1,'fsl_common.h']]], + ['kstatusgroup_5fels_5fpkc',['kStatusGroup_ELS_PKC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a70c9114d88c3a9b48cabb36cd4b2884d',1,'fsl_common.h']]], + ['kstatusgroup_5fenet',['kStatusGroup_ENET',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7d6b922ab2a42d6ef35cfb2be4f80251',1,'fsl_common.h']]], + ['kstatusgroup_5fenet_5fqos',['kStatusGroup_ENET_QOS',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aae462c0663af9e2d180cd06c5798ac3b',1,'fsl_common.h']]], + ['kstatusgroup_5fesai',['kStatusGroup_ESAI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a01101c0342017a7fbe7225a7aa285bad',1,'fsl_common.h']]], + ['kstatusgroup_5fextern_5feeprom',['kStatusGroup_EXTERN_EEPROM',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8e4a33b100580c2c1606d66d5ffdfaa3',1,'fsl_common.h']]], + ['kstatusgroup_5fflash',['kStatusGroup_FLASH',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8db8bea33da992b22cafbae1dcf65bb0',1,'fsl_common.h']]], + ['kstatusgroup_5fflashiap',['kStatusGroup_FLASHIAP',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae5b7283daca81a85091e27b80ece629a',1,'fsl_common.h']]], + ['kstatusgroup_5fflexcan',['kStatusGroup_FLEXCAN',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1461a28ac2297f07aa34581074cf9923',1,'fsl_common.h']]], + ['kstatusgroup_5fflexcomm_5fi2c',['kStatusGroup_FLEXCOMM_I2C',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aedd1abcbca188de6e16a6f088d59e92e',1,'fsl_common.h']]], + ['kstatusgroup_5fflexio_5fcamera',['kStatusGroup_FLEXIO_CAMERA',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a214d1cc4faa574be18fa9d865f2ca36d',1,'fsl_common.h']]], + ['kstatusgroup_5fflexio_5fi2c',['kStatusGroup_FLEXIO_I2C',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a67e5d3d11fb3f47ec11d9ee612b98b94',1,'fsl_common.h']]], + ['kstatusgroup_5fflexio_5fi2s',['kStatusGroup_FLEXIO_I2S',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae834c896c69c50855c5cf07768a6cba3',1,'fsl_common.h']]], + ['kstatusgroup_5fflexio_5fmculcd',['kStatusGroup_FLEXIO_MCULCD',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a055d3788919f48c2d69463819d02d903',1,'fsl_common.h']]], + ['kstatusgroup_5fflexio_5fspi',['kStatusGroup_FLEXIO_SPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bc2ecc10af8973a1ecc4f0163fb9b53',1,'fsl_common.h']]], + ['kstatusgroup_5fflexio_5fuart',['kStatusGroup_FLEXIO_UART',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7514134f14004b1835d27cac48bd991',1,'fsl_common.h']]], + ['kstatusgroup_5fflexspi',['kStatusGroup_FLEXSPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a22f1bdf5e7abbeb0bdfe18cda0bc5aca',1,'fsl_common.h']]], + ['kstatusgroup_5fgeneric',['kStatusGroup_Generic',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae7c95e11ceb8067c9c2703f96e51aca7',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5fadc_5fsensor',['kStatusGroup_HAL_ADC_SENSOR',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a91a5a43e0f7acfb5894ec7c246d05bcc',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5fflash',['kStatusGroup_HAL_FLASH',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa5afa714de4adf37bc00faf6c3dc589e',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5fgpio',['kStatusGroup_HAL_GPIO',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a18ce0675c0cc628b2ff29a1bafce8b58',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5fi2c',['kStatusGroup_HAL_I2C',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aaa30b9e3fdd5e93bae58e66521b3015d',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5fi2s',['kStatusGroup_HAL_I2S',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ac509445aa04b715b77e6461993b50085',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5fpwm',['kStatusGroup_HAL_PWM',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa4609e941ad1155a86c40bdfcb20a155',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5frng',['kStatusGroup_HAL_RNG',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa0d0535965c8d28434b036961f6a8c20',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5fspi',['kStatusGroup_HAL_SPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aba70aa1970435b3ccd39ac54f9d7a68c',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5ftimer',['kStatusGroup_HAL_TIMER',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa44796b25ed6ed37f7fb25f6f4076c2d',1,'fsl_common.h']]], + ['kstatusgroup_5fhal_5fuart',['kStatusGroup_HAL_UART',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6510cce112fc6ed1e0523b5d7b307ca2',1,'fsl_common.h']]], + ['kstatusgroup_5fhashcrypt',['kStatusGroup_HASHCRYPT',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1dcb6a7c2605c9ed77388fcb25b01feb',1,'fsl_common.h']]], + ['kstatusgroup_5fhostif',['kStatusGroup_HOSTIF',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a51bf18cc27f6df31f1602db81a2f77f5',1,'fsl_common.h']]], + ['kstatusgroup_5fi2c',['kStatusGroup_I2C',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a5c67a60e98e49151455f565c2834d228',1,'fsl_common.h']]], + ['kstatusgroup_5fi2s',['kStatusGroup_I2S',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0b66f34ec90c9b6a702e0f00f5ddae43',1,'fsl_common.h']]], + ['kstatusgroup_5fi3c',['kStatusGroup_I3C',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6dbe13107a9dbb857242cf05e2319f9d',1,'fsl_common.h']]], + ['kstatusgroup_5fi3cbus',['kStatusGroup_I3CBUS',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae28000753ef8046bc9b08e03fc8a1899',1,'fsl_common.h']]], + ['kstatusgroup_5fiap',['kStatusGroup_IAP',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a097d515214e888371df5c588b839529d',1,'fsl_common.h']]], + ['kstatusgroup_5fics',['kStatusGroup_ICS',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a01bf442d671041dcbd1649ec0428c3b6',1,'fsl_common.h']]], + ['kstatusgroup_5fiped',['kStatusGroup_IPED',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a79d16666510da650e32b1499cf102aa5',1,'fsl_common.h']]], + ['kstatusgroup_5fiuart',['kStatusGroup_IUART',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a33255aee9de4a1d093770b218f944d0f',1,'fsl_common.h']]], + ['kstatusgroup_5fled',['kStatusGroup_LED',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a84ab69a4e7f3f1c39f1c3a64d74af08c',1,'fsl_common.h']]], + ['kstatusgroup_5flist',['kStatusGroup_LIST',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e3bc103af2d3db7b628f87174d2bff8',1,'fsl_common.h']]], + ['kstatusgroup_5flmem',['kStatusGroup_LMEM',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a376f952aee5cd45d903da520a37e4c52',1,'fsl_common.h']]], + ['kstatusgroup_5flog',['kStatusGroup_LOG',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2af6421e7b473bd882f5372ad1fa6d0e',1,'fsl_common.h']]], + ['kstatusgroup_5flpc_5fi2c',['kStatusGroup_LPC_I2C',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab597c78848ce0e63d2518f14e7bac82b',1,'fsl_common.h']]], + ['kstatusgroup_5flpc_5fi2c_5f1',['kStatusGroup_LPC_I2C_1',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a749eaf601d6fe3124f054bcb796b348c',1,'fsl_common.h']]], + ['kstatusgroup_5flpc_5fminispi',['kStatusGroup_LPC_MINISPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab0104dd99e52fbf948f4ae030de5313d',1,'fsl_common.h']]], + ['kstatusgroup_5flpc_5fspi',['kStatusGroup_LPC_SPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ac4c2c9d32010087f0602dbdf2c389857',1,'fsl_common.h']]], + ['kstatusgroup_5flpc_5fspi_5fssp',['kStatusGroup_LPC_SPI_SSP',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aa2c382624b5763cf24cf9727fbfadde3',1,'fsl_common.h']]], + ['kstatusgroup_5flpc_5fusart',['kStatusGroup_LPC_USART',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a02f52b9532ae0d4af04f5c054f3fab84',1,'fsl_common.h']]], + ['kstatusgroup_5flpi2c',['kStatusGroup_LPI2C',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a0d6c6a34fc0b6dbcb323f010556a3be1',1,'fsl_common.h']]], + ['kstatusgroup_5flpsci',['kStatusGroup_LPSCI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9f1b2d0eeee16f209d7eed3bdb1cf533',1,'fsl_common.h']]], + ['kstatusgroup_5flpspi',['kStatusGroup_LPSPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a491dbb2373725b607970c032f4e04ee3',1,'fsl_common.h']]], + ['kstatusgroup_5flpuart',['kStatusGroup_LPUART',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3bcb0be184f8d5bb76d4be8e99b4e45e',1,'fsl_common.h']]], + ['kstatusgroup_5fltc',['kStatusGroup_LTC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a64b54e0423e0ad8a227a38cad4ad4eda',1,'fsl_common.h']]], + ['kstatusgroup_5fmcan',['kStatusGroup_MCAN',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab7f77dd7e0c3d068baf39117ac08a0b9',1,'fsl_common.h']]], + ['kstatusgroup_5fmcg',['kStatusGroup_MCG',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8210c55fe061ff24e75a0f928dbfcb39',1,'fsl_common.h']]], + ['kstatusgroup_5fmecc',['kStatusGroup_MECC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ad85b4bef880b9407425398fc584e16a0',1,'fsl_common.h']]], + ['kstatusgroup_5fmem_5fmanager',['kStatusGroup_MEM_MANAGER',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29acdfe26eb8bbbaaea438470512401e09a',1,'fsl_common.h']]], + ['kstatusgroup_5fmipi_5fdsi',['kStatusGroup_MIPI_DSI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29abdf3fca48a7a13b67d0207aeb59bc581',1,'fsl_common.h']]], + ['kstatusgroup_5fmmdc',['kStatusGroup_MMDC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a67a884fec4d6adb5b455d50a0a5617a5',1,'fsl_common.h']]], + ['kstatusgroup_5fmscan',['kStatusGroup_MSCAN',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a858563b1d87d6fa57a8f442025655201',1,'fsl_common.h']]], + ['kstatusgroup_5fmsg',['kStatusGroup_MSG',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6d0dedbec7ee218b0ae03f23edad02fd',1,'fsl_common.h']]], + ['kstatusgroup_5fnetc',['kStatusGroup_NETC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2e0ac8d81af0520d13c650cd3a3bcdde',1,'fsl_common.h']]], + ['kstatusgroup_5fnotifier',['kStatusGroup_NOTIFIER',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a56134a73f0cfd393dd7cf7fb2395dd99',1,'fsl_common.h']]], + ['kstatusgroup_5fosa',['kStatusGroup_OSA',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a5b65c75456471a2536a97a30db4b8da3',1,'fsl_common.h']]], + ['kstatusgroup_5fotfad',['kStatusGroup_OTFAD',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a4f53ab1f39031629ac12159920f0cde1',1,'fsl_common.h']]], + ['kstatusgroup_5fotp',['kStatusGroup_OTP',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1d9b7b66bfaaf53b42aad8462c88ea62',1,'fsl_common.h']]], + ['kstatusgroup_5fpdm',['kStatusGroup_PDM',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae0b4ea9f05497a624e32811c4f36a3be',1,'fsl_common.h']]], + ['kstatusgroup_5fphy',['kStatusGroup_PHY',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a521a4b8ac1776d0f41af044886a71b3b',1,'fsl_common.h']]], + ['kstatusgroup_5fpower',['kStatusGroup_POWER',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a94d2a6fe8021fed5487169a46421d47e',1,'fsl_common.h']]], + ['kstatusgroup_5fpower_5fmanager',['kStatusGroup_POWER_MANAGER',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a6c52b0b8b2d2ca670a5f8eca66212f54',1,'fsl_common.h']]], + ['kstatusgroup_5fpuf',['kStatusGroup_PUF',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a984927be2e0e57c4125e8e8f5d9c0f48',1,'fsl_common.h']]], + ['kstatusgroup_5fqsci',['kStatusGroup_QSCI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a025ed41827a0bd0b7bf60b853a921c7d',1,'fsl_common.h']]], + ['kstatusgroup_5fqspi',['kStatusGroup_QSPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a4a488e0f7cc1d3baa449ab4ca99e7b34',1,'fsl_common.h']]], + ['kstatusgroup_5fqueuedspi',['kStatusGroup_QUEUEDSPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7712fcacc6d5e9698366daafc59ea644',1,'fsl_common.h']]], + ['kstatusgroup_5fsai',['kStatusGroup_SAI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae4461a3a085ea13b5fe7908bf1e109f8',1,'fsl_common.h']]], + ['kstatusgroup_5fscg',['kStatusGroup_SCG',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9771abafdcf43bf924599a0081e92bf2',1,'fsl_common.h']]], + ['kstatusgroup_5fsdhc',['kStatusGroup_SDHC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aba83e94d1735b695119429a4e55bb3a4',1,'fsl_common.h']]], + ['kstatusgroup_5fsdif',['kStatusGroup_SDIF',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a25fb824a9ad702e631276a8ea5d03603',1,'fsl_common.h']]], + ['kstatusgroup_5fsdioslv',['kStatusGroup_SDIOSLV',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3e59e90d903b97bd45037175997f4ad6',1,'fsl_common.h']]], + ['kstatusgroup_5fsdk_5fflexspinor',['kStatusGroup_SDK_FLEXSPINOR',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a830e5b42a05424dc026bd36d30f143a5',1,'fsl_common.h']]], + ['kstatusgroup_5fsdk_5focotp',['kStatusGroup_SDK_OCOTP',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a539b147c0d1409a0e11c3ae340886fa8',1,'fsl_common.h']]], + ['kstatusgroup_5fsdma',['kStatusGroup_SDMA',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ab55df75d85633505960f611180054524',1,'fsl_common.h']]], + ['kstatusgroup_5fsdmmc',['kStatusGroup_SDMMC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a1c5e35d9d03724b7ccadcae8e0451384',1,'fsl_common.h']]], + ['kstatusgroup_5fsdramc',['kStatusGroup_SDRAMC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a3b3116c6f4aa1a88e6e7abc45df58938',1,'fsl_common.h']]], + ['kstatusgroup_5fsdspi',['kStatusGroup_SDSPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a85685b8cde1285d240569b9518c32fc0',1,'fsl_common.h']]], + ['kstatusgroup_5fsema42',['kStatusGroup_SEMA42',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a073c6e3ccd0dbcf1b812cb21da5e7df9',1,'fsl_common.h']]], + ['kstatusgroup_5fsemc',['kStatusGroup_SEMC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a2fef671dda58af09262787022fe321fe',1,'fsl_common.h']]], + ['kstatusgroup_5fserialmanager',['kStatusGroup_SERIALMANAGER',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a39a54cda2a1cac31e1c00be0eb4a7620',1,'fsl_common.h']]], + ['kstatusgroup_5fsfa',['kStatusGroup_SFA',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a9cf111a176ebe1210ae6faaa950aac54',1,'fsl_common.h']]], + ['kstatusgroup_5fshell',['kStatusGroup_SHELL',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a660addd059be8786f2aa3d9a1a196ae1',1,'fsl_common.h']]], + ['kstatusgroup_5fsmartcard',['kStatusGroup_SMARTCARD',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae16d6bba44ae6f24187946960fb826dd',1,'fsl_common.h']]], + ['kstatusgroup_5fsnt',['kStatusGroup_SNT',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae67462f0d7dbac886d8a1272a29b846c',1,'fsl_common.h']]], + ['kstatusgroup_5fspc',['kStatusGroup_SPC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a7491bc6ede9a2eb6e7f292bb1530b7d7',1,'fsl_common.h']]], + ['kstatusgroup_5fspdif',['kStatusGroup_SPDIF',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a8bea2b5f8b1458aaa0dc29ddbb972d87',1,'fsl_common.h']]], + ['kstatusgroup_5fspi',['kStatusGroup_SPI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a60c31c70600aff4f290ca2d790622977',1,'fsl_common.h']]], + ['kstatusgroup_5fspifi',['kStatusGroup_SPIFI',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ac071c3c3d14ed8afadb2bb6de249c722',1,'fsl_common.h']]], + ['kstatusgroup_5ftimermanager',['kStatusGroup_TIMERMANAGER',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a4603f8fedc8ad994788e17baae895013',1,'fsl_common.h']]], + ['kstatusgroup_5ftouch_5fpanel',['kStatusGroup_TOUCH_PANEL',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29a381f1929c41dcaef62d2d44326719db8',1,'fsl_common.h']]], + ['kstatusgroup_5ftrgmux',['kStatusGroup_TRGMUX',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29adb8c69c2f8cd344f3e2dc0b0db4a1631',1,'fsl_common.h']]], + ['kstatusgroup_5fuart',['kStatusGroup_UART',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29aeeb2ed6ef4ce59c7e1d416466f55bfb7',1,'fsl_common.h']]], + ['kstatusgroup_5fusdhc',['kStatusGroup_USDHC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29ae2f54d029f0e74c8fed8812e24000a74',1,'fsl_common.h']]], + ['kstatusgroup_5fvbat',['kStatusGroup_VBAT',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af4cabd4468ae9e670d9c188064c56f41',1,'fsl_common.h']]], + ['kstatusgroup_5fxrdc',['kStatusGroup_XRDC',['../a00082.html#gga7ff0b98bb1341c07acefb1473b6eda29af98b407f4e66e7046a3173031b45253d',1,'fsl_common.h']]], + ['kswm_5facmp_5finput1',['kSWM_ACMP_INPUT1',['../a00090.html#gga6db188a71a269613f30825af80c02053a28fab9703b5177948f7409efa9ff848a',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5finput2',['kSWM_ACMP_INPUT2',['../a00090.html#gga6db188a71a269613f30825af80c02053abd4f15c4f7b44b457764ab2aa67ac5ac',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5finput3',['kSWM_ACMP_INPUT3',['../a00090.html#gga6db188a71a269613f30825af80c02053ad8072ce6e9985ae8377377ae49ba57d7',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5finput4',['kSWM_ACMP_INPUT4',['../a00090.html#gga6db188a71a269613f30825af80c02053a2f09e0ca30217841402ba6bf16f8f785',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5finput5',['kSWM_ACMP_INPUT5',['../a00090.html#gga6db188a71a269613f30825af80c02053ad1b1f498a44a52ed74eab7333c03e177',1,'fsl_swm_connections.h']]], + ['kswm_5facmp_5fout',['kSWM_ACMP_OUT',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da76769594d29a6d8659c5c625b4212a24',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn0',['kSWM_ADC_CHN0',['../a00090.html#gga6db188a71a269613f30825af80c02053acc0420d5b902bffdc029c0a179fd9653',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn1',['kSWM_ADC_CHN1',['../a00090.html#gga6db188a71a269613f30825af80c02053af96a078ddf8497b6680dcb8812abccaf',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn10',['kSWM_ADC_CHN10',['../a00090.html#gga6db188a71a269613f30825af80c02053a3872c11496f6cd2755a2cc33edeee25e',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn11',['kSWM_ADC_CHN11',['../a00090.html#gga6db188a71a269613f30825af80c02053a1195026daab11c8e8e8a07ea2588dc59',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn2',['kSWM_ADC_CHN2',['../a00090.html#gga6db188a71a269613f30825af80c02053aab8f0dd610beed22acf2a5a2c3149a38',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn3',['kSWM_ADC_CHN3',['../a00090.html#gga6db188a71a269613f30825af80c02053ab3e46c2499e53d6187acef5b9c92faeb',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn4',['kSWM_ADC_CHN4',['../a00090.html#gga6db188a71a269613f30825af80c02053a131299a8724b490b48ff5ea4ae88ec85',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn5',['kSWM_ADC_CHN5',['../a00090.html#gga6db188a71a269613f30825af80c02053a8f49833db881a5cca72e39060510fe33',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn6',['kSWM_ADC_CHN6',['../a00090.html#gga6db188a71a269613f30825af80c02053a2cf1d219093b981b000fefd1b4b88835',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn7',['kSWM_ADC_CHN7',['../a00090.html#gga6db188a71a269613f30825af80c02053af60644696c7226d16b940a379e974d07',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn8',['kSWM_ADC_CHN8',['../a00090.html#gga6db188a71a269613f30825af80c02053aca55eb81b04844960f74d6b6f735f406',1,'fsl_swm_connections.h']]], + ['kswm_5fadc_5fchn9',['kSWM_ADC_CHN9',['../a00090.html#gga6db188a71a269613f30825af80c02053a332c28d49858ffceda3b7d9a9a317d0c',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx0',['kSWM_CAPT_X0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da5673e5074470fd8c7773f987a45612ab',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx1',['kSWM_CAPT_X1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da74e21d63631f6e3eeae57269cc597ff9',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx2',['kSWM_CAPT_X2',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dae11d56486ef46dfad9ea855f223483f2',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx3',['kSWM_CAPT_X3',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da144b0c83acca82fa910848f7587af18e',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fx4',['kSWM_CAPT_X4',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dadad77032cc009f3690361c9f4d552cdd',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fyh',['kSWM_CAPT_YH',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da839653f003a5f245984cbbb3e0ded090',1,'fsl_swm_connections.h']]], + ['kswm_5fcapt_5fyl',['kSWM_CAPT_YL',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dabe002214e7dc409f243527310e689060',1,'fsl_swm_connections.h']]], + ['kswm_5fclkin',['kSWM_CLKIN',['../a00090.html#gga6db188a71a269613f30825af80c02053add9353fb1a660338dc4129f564d43c41',1,'fsl_swm_connections.h']]], + ['kswm_5fclkout',['kSWM_CLKOUT',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da867964bdb44cfca767b2fe212243ad68',1,'fsl_swm_connections.h']]], + ['kswm_5fdac_5fout0',['kSWM_DAC_OUT0',['../a00090.html#gga6db188a71a269613f30825af80c02053aafaffe0fe7c5ed4eaed04bd7acac4f0b',1,'fsl_swm_connections.h']]], + ['kswm_5ffixedpin_5fnum_5ffuncs',['kSWM_FIXEDPIN_NUM_FUNCS',['../a00090.html#gga6db188a71a269613f30825af80c02053a609f40f8714286a7fce78c131a97b69f',1,'fsl_swm_connections.h']]], + ['kswm_5fgpio_5fint_5fbmat',['kSWM_GPIO_INT_BMAT',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da9e23b0bd838b14f99f22d1ae76d63143',1,'fsl_swm_connections.h']]], + ['kswm_5fi2c0_5fscl',['kSWM_I2C0_SCL',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da6f0a53c70373fdbf41edf57122669a47',1,'fsl_swm_connections.h']]], + ['kswm_5fi2c0_5fsda',['kSWM_I2C0_SDA',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da679e93580df161b665365f658345ed65',1,'fsl_swm_connections.h']]], + ['kswm_5fi2c1_5fscl',['kSWM_I2C1_SCL',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da5ba6a30f56eb6e001abb178bb215469a',1,'fsl_swm_connections.h']]], + ['kswm_5fi2c1_5fsda',['kSWM_I2C1_SDA',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dab15fc1b1ba8e4f1a35fc314c60b467be',1,'fsl_swm_connections.h']]], + ['kswm_5flvlshft_5fin0',['kSWM_LVLSHFT_IN0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dacd8498beecae42d50d55fd9135fa5554',1,'fsl_swm_connections.h']]], + ['kswm_5flvlshft_5fin1',['kSWM_LVLSHFT_IN1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da6edbcf66e2b9d21b36413d5913952b7b',1,'fsl_swm_connections.h']]], + ['kswm_5flvlshft_5fout0',['kSWM_LVLSHFT_OUT0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da4bfff10a0df16a23aebb02bc2a19f662',1,'fsl_swm_connections.h']]], + ['kswm_5flvlshft_5fout1',['kSWM_LVLSHFT_OUT1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da167b30e083150f7ef078b3fc15b9a2fb',1,'fsl_swm_connections.h']]], + ['kswm_5fmovable_5fnum_5ffuncs',['kSWM_MOVABLE_NUM_FUNCS',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dac735c6243e08a73181d0f0f8f6c130bb',1,'fsl_swm_connections.h']]], + ['kswm_5fpinassingnfixed_5fmovable_5fnum_5ffuncs',['kSWM_PINASSINGNFIXED_MOVABLE_NUM_FUNCS',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdab1344f53d421d647d2f85460ff8630df',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fclkin_5fin',['kSWM_PLU_CLKIN_IN',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13daf4805a2bfb2a47017d373209a10ce52d',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput0',['kSWM_PLU_INPUT0',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda1dea0e7772dbe79bdf2cfb15e7a547a0',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput0_5fportpin_5fp0_5f0',['kSWM_PLU_INPUT0_PortPin_P0_0',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9238a533be8bd8e0955b7a90f5ae4d44',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput0_5fportpin_5fp0_5f17',['kSWM_PLU_INPUT0_PortPin_P0_17',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a34bca304086fb621de87b04528f8164b',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput0_5fportpin_5fp0_5f8',['kSWM_PLU_INPUT0_PortPin_P0_8',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ad0716ea2f10ff5fbd04234889b1e53ed',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput1',['kSWM_PLU_INPUT1',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdac9ea3883dbd984c68e4049f5fa48903c',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput1_5fportpin_5fp0_5f1',['kSWM_PLU_INPUT1_PortPin_P0_1',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af15b31098ffc1b3561d7ffbfd191c2e3',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput1_5fportpin_5fp0_5f18',['kSWM_PLU_INPUT1_PortPin_P0_18',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475afa22c48b958dcc1d146a381ceb411dc6',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput1_5fportpin_5fp0_5f9',['kSWM_PLU_INPUT1_PortPin_P0_9',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa54388872ede616ae8431f22adf9b883',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput2',['kSWM_PLU_INPUT2',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda669e23d5037d7508cace2b056a6e5299',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput2_5fportpin_5fp0_5f10',['kSWM_PLU_INPUT2_PortPin_P0_10',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2e20c680e47cfed45e9ccd1462b921a1',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput2_5fportpin_5fp0_5f19',['kSWM_PLU_INPUT2_PortPin_P0_19',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a576c74aa596dfebd41123a123b1e086f',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput2_5fportpin_5fp0_5f2',['kSWM_PLU_INPUT2_PortPin_P0_2',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a7ac7b3d83eff1aca3ca60bf8102dac',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput3',['kSWM_PLU_INPUT3',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaf70a738eecaddb8268f264bb7baf6b9b',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput3_5fportpin_5fp0_5f11',['kSWM_PLU_INPUT3_PortPin_P0_11',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0ff213062c17f56f0bd74cf6510b1ee',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput3_5fportpin_5fp0_5f20',['kSWM_PLU_INPUT3_PortPin_P0_20',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af6c85c442a0709477e5729c9dde36fdc',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput3_5fportpin_5fp0_5f3',['kSWM_PLU_INPUT3_PortPin_P0_3',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ae557054f417e495346ddcc3e7f7162ba',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput4',['kSWM_PLU_INPUT4',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda8b3379b03023f7f9057837109b142230',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput4_5fportpin_5fp0_5f12',['kSWM_PLU_INPUT4_PortPin_P0_12',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a09729e747b6419e05e6b80eecd08b380',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput4_5fportpin_5fp0_5f21',['kSWM_PLU_INPUT4_PortPin_P0_21',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af8ebc1a1ac7242706e0a4d3254de8a1a',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput4_5fportpin_5fp0_5f4',['kSWM_PLU_INPUT4_PortPin_P0_4',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a88cbbe93397f750184a74a2fb1635441',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput5',['kSWM_PLU_INPUT5',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdae66a048f12b6af45145f2747fe9de530',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput5_5fportpin_5fp0_5f13',['kSWM_PLU_INPUT5_PortPin_P0_13',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a30425caf231771228aa99f2871efa005',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput5_5fportpin_5fp0_5f22',['kSWM_PLU_INPUT5_PortPin_P0_22',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a78528eef89bede351c7f85b2de156747',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5finput5_5fportpin_5fp0_5f5',['kSWM_PLU_INPUT5_PortPin_P0_5',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a4de20ff3218f9af0175dfba0a1aaa38d',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout0',['kSWM_PLU_OUT0',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaa2fbf0c2913f92571b79aebda5d5cec6',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout0_5fportpin_5fp0_5f14',['kSWM_PLU_OUT0_PortPin_P0_14',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa99b5d54d06869f8977cf41527daf915',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout0_5fportpin_5fp0_5f23',['kSWM_PLU_OUT0_PortPin_P0_23',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a8b81353af093a8df62363344a1359c42',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout0_5fportpin_5fp0_5f7',['kSWM_PLU_OUT0_PortPin_P0_7',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475acf4d35c0b2416225ace1794d2316d78b',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout1',['kSWM_PLU_OUT1',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda865830e9fdc6bf03bf1d477ca3c6a6ca',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout1_5fportpin_5fp0_5f15',['kSWM_PLU_OUT1_PortPin_P0_15',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0c66d3751768e52c7b283f7c94b17bce',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout1_5fportpin_5fp0_5f24',['kSWM_PLU_OUT1_PortPin_P0_24',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a5a1b0e5339f4df77ac5085a16d8d0ff7',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout1_5fportpin_5fp0_5f8',['kSWM_PLU_OUT1_PortPin_P0_8',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475ac53270179d009a402596effdc9ebabdc',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout2',['kSWM_PLU_OUT2',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda5bb0b796d35f26e384e79aea47cf62b7',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout2_5fportpin_5fp0_5f16',['kSWM_PLU_OUT2_PortPin_P0_16',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475acc1e82634c86fc3ee7c0a50026e901ad',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout2_5fportpin_5fp0_5f25',['kSWM_PLU_OUT2_PortPin_P0_25',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2d217651e60f137293707c19d717af37',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout2_5fportpin_5fp0_5f9',['kSWM_PLU_OUT2_PortPin_P0_9',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af3bbdfacb373ba7dbcbedde68406d03f',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout3',['kSWM_PLU_OUT3',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdad6866a46cce2335ee7228f696af14ea2',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout3_5fportpin_5fp0_5f10',['kSWM_PLU_OUT3_PortPin_P0_10',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa9aeb1c6417d18b72b3d94aec5ac7431',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout3_5fportpin_5fp0_5f17',['kSWM_PLU_OUT3_PortPin_P0_17',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a77450c6220c5a32fde70c7e91d3edbb0',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout3_5fportpin_5fp0_5f26',['kSWM_PLU_OUT3_PortPin_P0_26',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa0c298ece28ee4367783dcf472fe20b6',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout4',['kSWM_PLU_OUT4',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaef14d94c177e35d440f3549aeed9c7b8',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout4_5fportpin_5fp0_5f11',['kSWM_PLU_OUT4_PortPin_P0_11',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2da86e45befea6a916816c5e523daad5',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout4_5fportpin_5fp0_5f18',['kSWM_PLU_OUT4_PortPin_P0_18',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a73d53097414e5fc5f4cbe2bcdc5b16d1',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout4_5fportpin_5fp0_5f27',['kSWM_PLU_OUT4_PortPin_P0_27',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a58d7c20b50aaa229094c5dc60a0cb14b',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout5',['kSWM_PLU_OUT5',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cda66b170fb8a6d54c31eacce78c619adbf',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout5_5fportpin_5fp0_5f12',['kSWM_PLU_OUT5_PortPin_P0_12',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a9c78a8bb274fb2604cb4e80af4da988c',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout5_5fportpin_5fp0_5f19',['kSWM_PLU_OUT5_PortPin_P0_19',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475aa4096fcdb3eb88b567870cf2f250208e',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout5_5fportpin_5fp0_5f28',['kSWM_PLU_OUT5_PortPin_P0_28',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a31d722ef719c89924cd70efe94723ad3',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout6',['kSWM_PLU_OUT6',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdafae5eae69465f413508aac6ac906b7fe',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout6_5fportpin_5fp0_5f13',['kSWM_PLU_OUT6_PortPin_P0_13',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a7ea7748ab2a2bb448eca9daab449a9fa',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout6_5fportpin_5fp0_5f20',['kSWM_PLU_OUT6_PortPin_P0_20',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a89ea9c75bd1ef71e7f1d4c52544dad9f',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout6_5fportpin_5fp0_5f29',['kSWM_PLU_OUT6_PortPin_P0_29',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a2b352e3ffe67686dac289a8f8d86fba0',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout7',['kSWM_PLU_OUT7',['../a00090.html#ggabdebb214f81e7c4859bd60752b5958cdaafdc30e41c762800c39cd92cbffb2b31',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout7_5fportpin_5fp0_5f14',['kSWM_PLU_OUT7_PortPin_P0_14',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0038fe47f7308af0fb046b6977b5928e',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout7_5fportpin_5fp0_5f21',['kSWM_PLU_OUT7_PortPin_P0_21',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475af1e2e2ccc38fec30ae5b3e617524cdab',1,'fsl_swm_connections.h']]], + ['kswm_5fplu_5fout7_5fportpin_5fp0_5f30',['kSWM_PLU_OUT7_PortPin_P0_30',['../a00090.html#ggabf427dd8dd6c86d0fac4f05b30e54475a0ead9e203d786e410f1d21f19f02aed2',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f0',['kSWM_PortPin_P0_0',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35abf7ea2c0f06252d5e966ca63f23dba2e',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f1',['kSWM_PortPin_P0_1',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a9010bbdc4d0ba698a18c200f27586c5a',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f10',['kSWM_PortPin_P0_10',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35adb2af26fbb96cad1a3f4d666e3759e39',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f11',['kSWM_PortPin_P0_11',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a6514b3be861759fdc7f645c4a8190176',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f12',['kSWM_PortPin_P0_12',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a473548308d7fac0d5fa4ac959f81e444',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f13',['kSWM_PortPin_P0_13',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a0efea555d71ff08c985b3c002e49f25a',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f14',['kSWM_PortPin_P0_14',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ae2a752505db2acdb06e6047c3c447f63',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f15',['kSWM_PortPin_P0_15',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a21dd2c94b4ab7b133e9818a5a394f967',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f16',['kSWM_PortPin_P0_16',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a0c38ea80f06768e2ad6282fbc11d5187',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f17',['kSWM_PortPin_P0_17',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a74b6edcb7dba9f95182a4faa57dd110d',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f18',['kSWM_PortPin_P0_18',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a1eb0795f2598b9acf64e7abcb63f78d5',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f19',['kSWM_PortPin_P0_19',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a488a17a4e210b5000df9ecc534d24c8b',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f2',['kSWM_PortPin_P0_2',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aa8e8084f5ea75a2e776f4a8f8a8d5e61',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f20',['kSWM_PortPin_P0_20',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a1127b8f8732d34f2c80daf825bb14853',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f21',['kSWM_PortPin_P0_21',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a8ec22695a3c8fbc028cc86299ca76b9a',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f22',['kSWM_PortPin_P0_22',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35af2a8176b461addf3d18b1128800dd7ef',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f23',['kSWM_PortPin_P0_23',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ae6795c51fac27d7426848568f125a6e8',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f24',['kSWM_PortPin_P0_24',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ac61483b0bbae6a06d6789e41aaf450fc',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f25',['kSWM_PortPin_P0_25',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a7a625624c8520faff1f2e6b0a1230ba5',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f26',['kSWM_PortPin_P0_26',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ac557f3233abd222c5cf7d809e082f94b',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f27',['kSWM_PortPin_P0_27',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aea9feb0c4582cb00ea087fef692f7623',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f28',['kSWM_PortPin_P0_28',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35af3005bf86c4488117ca1396151d2c2af',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f29',['kSWM_PortPin_P0_29',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35acc5bcdfe59c04f2da33835496b3a2ddf',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f3',['kSWM_PortPin_P0_3',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a3a31a8f0c23e7d75db386e0f1705fcb8',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f30',['kSWM_PortPin_P0_30',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35aeba0cfeb40308a0bd10bd33298bf9e84',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f31',['kSWM_PortPin_P0_31',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a7b0ebc4f977a126eb51422b3df962e87',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f4',['kSWM_PortPin_P0_4',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a933c38c001bf24a62f0c8bf62e9bbbfb',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f5',['kSWM_PortPin_P0_5',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a75b66d0e23730af2446bdec683db11d3',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f6',['kSWM_PortPin_P0_6',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a4e2797855da4686eb7de9e75c5034d66',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f7',['kSWM_PortPin_P0_7',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a8a3af6c1f0f5791666b2c8409321ee0b',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f8',['kSWM_PortPin_P0_8',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ab0f66b94af6c72515e705077a0aea28b',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5fp0_5f9',['kSWM_PortPin_P0_9',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35ace9b73074e1c03234c89b40ecd52a1e6',1,'fsl_swm_connections.h']]], + ['kswm_5fportpin_5freset',['kSWM_PortPin_Reset',['../a00090.html#ggaca9c780388e187444bfa31a6bcc72d35a6873cb2ccda9efd34d7fdd75f3829f1c',1,'fsl_swm_connections.h']]], + ['kswm_5fresetn',['kSWM_RESETN',['../a00090.html#gga6db188a71a269613f30825af80c02053a2c3df2d1d6d1fb817003b824eb699585',1,'fsl_swm_connections.h']]], + ['kswm_5frst_5fn_5fshift_5frstn',['kSWM_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770abbd5b2eb886157d35a4ff88077fa192b',1,'fsl_reset.h']]], + ['kswm_5fspi0_5fmiso',['kSWM_SPI0_MISO',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da84653c7b62da4f773676a39a2abe597a',1,'fsl_swm_connections.h']]], + ['kswm_5fspi0_5fmosi',['kSWM_SPI0_MOSI',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dad6e9059822d87be57e82960aa79d9229',1,'fsl_swm_connections.h']]], + ['kswm_5fspi0_5fsck',['kSWM_SPI0_SCK',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dabd1859e7fd97d6aad4edf95f92eb1df0',1,'fsl_swm_connections.h']]], + ['kswm_5fspi0_5fssel0',['kSWM_SPI0_SSEL0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dadeb15fd0fbfb9f438e22762cad170359',1,'fsl_swm_connections.h']]], + ['kswm_5fspi0_5fssel1',['kSWM_SPI0_SSEL1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dacdcd02ea5803089ebcd609e227acab8b',1,'fsl_swm_connections.h']]], + ['kswm_5fswclk',['kSWM_SWCLK',['../a00090.html#gga6db188a71a269613f30825af80c02053a9b86fc933fab954e947140ea4ca2ffe3',1,'fsl_swm_connections.h']]], + ['kswm_5fswdio',['kSWM_SWDIO',['../a00090.html#gga6db188a71a269613f30825af80c02053ac0ebb404f4a50e198c79a35af9721e6c',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fcap_5fchn0',['kSWM_T0_CAP_CHN0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da14bfd78536056ebdc4d2bfed0438b5cf',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fcap_5fchn1',['kSWM_T0_CAP_CHN1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da048cac659ef579d1358cc6d2a7db2c3a',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fcap_5fchn2',['kSWM_T0_CAP_CHN2',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13daef5103aadc41068ca6157543520491b2',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fmat_5fchn0',['kSWM_T0_MAT_CHN0',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da0ac9c784851c59d1c334fba730bdc091',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fmat_5fchn1',['kSWM_T0_MAT_CHN1',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da4be08849669f9f086a238335662c6ac1',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fmat_5fchn2',['kSWM_T0_MAT_CHN2',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da11e574aeb2ec56f282a103d78c49ccbe',1,'fsl_swm_connections.h']]], + ['kswm_5ft0_5fmat_5fchn3',['kSWM_T0_MAT_CHN3',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da3ab2e3d866e55d84dc2c342eb660c0d5',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5fcts',['kSWM_USART0_CTS',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dacf5086c4d10114ed1e2adf80ef0c1d8e',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5frts',['kSWM_USART0_RTS',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da83269426ac6b85e729bd940e7c6bc168',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5frxd',['kSWM_USART0_RXD',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13dac38fd128ae8088af0ab82bb9e1ab2b0e',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5fsclk',['kSWM_USART0_SCLK',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da95076efb390207c31347c9d6eaf7a568',1,'fsl_swm_connections.h']]], + ['kswm_5fusart0_5ftxd',['kSWM_USART0_TXD',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da7a0b07e7613ca95cbae02f51e74338ae',1,'fsl_swm_connections.h']]], + ['kswm_5fusart1_5frxd',['kSWM_USART1_RXD',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da40ca641c0138d551c28bb9dd184bf948',1,'fsl_swm_connections.h']]], + ['kswm_5fusart1_5fsclk',['kSWM_USART1_SCLK',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da209dc130cd5427683bedca3187726030',1,'fsl_swm_connections.h']]], + ['kswm_5fusart1_5ftxd',['kSWM_USART1_TXD',['../a00090.html#gga45575871cafcadc50292b9bba6b9d13da3ca0015b97fb5a1b24b1f6fda113488d',1,'fsl_swm_connections.h']]], + ['kswm_5fvddcmp',['kSWM_VDDCMP',['../a00090.html#gga6db188a71a269613f30825af80c02053a1c8051ee75028cdb756ce666cc9b1bb9',1,'fsl_swm_connections.h']]], + ['kswm_5fwkclkin',['kSWM_WKCLKIN',['../a00090.html#gga6db188a71a269613f30825af80c02053ae9a9d439d460166f8795ddd23c7135b5',1,'fsl_swm_connections.h']]], + ['ksyscon_5fgpioport0pin0topintsel',['kSYSCON_GpioPort0Pin0ToPintsel',['../a00091.html#gga4a63ee69b68459770551a0e4d7b6cdadafe2a386c6f3e8884b995d08fd87de542',1,'fsl_syscon_connections.h']]], + ['kuart0_5fclk_5ffrom_5ffrg0clk',['kUART0_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58ad0784125581fd7bf09f4b3bab3af725d',1,'fsl_clock.h']]], + ['kuart0_5fclk_5ffrom_5ffro',['kUART0_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a67d2eb5235a0677d1ef161e5e1c2b340',1,'fsl_clock.h']]], + ['kuart0_5fclk_5ffrom_5ffro_5fdiv',['kUART0_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58af06770587aa45bbb62d1e4fe36d03d81',1,'fsl_clock.h']]], + ['kuart0_5fclk_5ffrom_5fmainclk',['kUART0_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a841785f980e4c406eeecdcf07559fe66',1,'fsl_clock.h']]], + ['kuart0_5frst_5fn_5fshift_5frstn',['kUART0_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770aa294a3c09c1565947ebcd78694acff24',1,'fsl_reset.h']]], + ['kuart1_5fclk_5ffrom_5ffrg0clk',['kUART1_Clk_From_Frg0Clk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58af45a833dead43235df03a982dc25ea33',1,'fsl_clock.h']]], + ['kuart1_5fclk_5ffrom_5ffro',['kUART1_Clk_From_Fro',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a9fbd759cc60932bb0896625543477290',1,'fsl_clock.h']]], + ['kuart1_5fclk_5ffrom_5ffro_5fdiv',['kUART1_Clk_From_Fro_Div',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a1b183d67629d90ddc1a9b9baf2ebc285',1,'fsl_clock.h']]], + ['kuart1_5fclk_5ffrom_5fmainclk',['kUART1_Clk_From_MainClk',['../a00079.html#gga59a363f0296ea51011dd86b405d5db58a066679b9e0a01ccd0aad6e6caa643f2f',1,'fsl_clock.h']]], + ['kuart1_5frst_5fn_5fshift_5frstn',['kUART1_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a8bc751e4927f0c20a0695b284fc40c38',1,'fsl_reset.h']]], ['kusart_5f7bitsperchar',['kUSART_7BitsPerChar',['../a00020.html#gga28e46a3538cf5f5140523132a963283ca9562d6cdd240e9808a9a1d1946f400b7',1,'fsl_usart.h']]], ['kusart_5f8bitsperchar',['kUSART_8BitsPerChar',['../a00020.html#gga28e46a3538cf5f5140523132a963283cad71aee19329a4127614132d30e50ad8a',1,'fsl_usart.h']]], ['kusart_5fallinterruptenable',['kUSART_AllInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ad97d89d6e3a853cc07c854838a4f31f9',1,'fsl_usart.h']]], - ['kusart_5fautobauderrorflag',['kUSART_AutoBaudErrorFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610aa655bdfd278003a00cf5195986a38892',1,'fsl_usart.h']]], - ['kusart_5fautobauderrorinterruptenable',['kUSART_AutoBaudErrorInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a7154c6ede60243bf5f9e727a5cb18ce5',1,'fsl_usart.h']]], ['kusart_5fctsstate',['kUSART_CtsState',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a2515d20982ec4edf617f6872e666a1d3',1,'fsl_usart.h']]], ['kusart_5fdeltactsflag',['kUSART_DeltaCtsFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a722bb0119b5dc19d471b62c622aca9c7',1,'fsl_usart.h']]], ['kusart_5fdeltactsinterruptenable',['kUSART_DeltaCtsInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ac01c5ca3a2671c568e35d196ea223ada',1,'fsl_usart.h']]], @@ -863,14 +848,13 @@ var searchData= ['kusart_5ftxdisableflag',['kUSART_TxDisableFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a615387365fba3c394b1a595e9baa3c95',1,'fsl_usart.h']]], ['kusart_5ftxdisableinterruptenable',['kUSART_TxDisableInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a2dae162d4f0509695fce62b0ed1b9e4b',1,'fsl_usart.h']]], ['kusart_5ftxidleflag',['kUSART_TxIdleFlag',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610a8106fa188ea138f48c7740dcf5c2b294',1,'fsl_usart.h']]], - ['kusart_5ftxidleinterruptenable',['kUSART_TxIdleInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853ac5611ab6b973e6777a7d7db8321f62f2',1,'fsl_usart.h']]], ['kusart_5ftxready',['kUSART_TxReady',['../a00020.html#ggaa6a81e7e82e236bb1c3c5ba306466610ab35037d2ed958a740984aa0a125d72aa',1,'fsl_usart.h']]], ['kusart_5ftxreadyinterruptenable',['kUSART_TxReadyInterruptEnable',['../a00020.html#gga78b340bf3b25bfae957d0c5532b9b853a42c8946331d417404af48aed9fe53f88',1,'fsl_usart.h']]], ['kwkt_5falarmflag',['kWKT_AlarmFlag',['../a00021.html#gga0c4c3a2aa689d7cdd0608eb1076d83aaaf60149e2b9f22db27ef273f412e86150',1,'fsl_wkt.h']]], ['kwkt_5fdividedfroclocksource',['kWKT_DividedFROClockSource',['../a00021.html#ggaf985ebf5e165e2fbda510cac71cad222a22e7e8fe1fd3cc9d4d3278e0fb7bae32',1,'fsl_wkt.h']]], ['kwkt_5fexternalclocksource',['kWKT_ExternalClockSource',['../a00021.html#ggaf985ebf5e165e2fbda510cac71cad222afe5c2695869b965f7833386743cc1147',1,'fsl_wkt.h']]], ['kwkt_5flowpowerclocksource',['kWKT_LowPowerClockSource',['../a00021.html#ggaf985ebf5e165e2fbda510cac71cad222a3c617873be9cb696f53cca9529ca6dfc',1,'fsl_wkt.h']]], - ['kwkt_5frst_5fn_5fshift_5frstn',['kWKT_RST_N_SHIFT_RSTn',['../a00080.html#ggac287530f011b42355162470f09975770a80aae2b47fdc2701cb923d27ca9e5a7a',1,'fsl_reset.h']]], + ['kwkt_5frst_5fn_5fshift_5frstn',['kWKT_RST_N_SHIFT_RSTn',['../a00081.html#ggac287530f011b42355162470f09975770a80aae2b47fdc2701cb923d27ca9e5a7a',1,'fsl_reset.h']]], ['kwwdt_5ftimeoutflag',['kWWDT_TimeoutFlag',['../a00022.html#ggaca0e9724bd1f25336527ea66c77e476faea9db9afc1f9c70872a755eaa24a4184',1,'fsl_wwdt.h']]], ['kwwdt_5fwarningflag',['kWWDT_WarningFlag',['../a00022.html#ggaca0e9724bd1f25336527ea66c77e476fa825be03d771f06e1d6cf947ca78f857e',1,'fsl_wwdt.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_61.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_61.js index e1b104d..03eb877 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_61.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_61.js @@ -12,6 +12,7 @@ var searchData= ['adc_5fclearstatusflags',['ADC_ClearStatusFlags',['../a00009.html#gaa94fca220976d31ee991f1eff9cbe0db',1,'fsl_adc.h']]], ['adc_5fdeinit',['ADC_Deinit',['../a00009.html#ga9e87d0842217dd30d2d9699df580e237',1,'fsl_adc.h']]], ['adc_5fdisableinterrupts',['ADC_DisableInterrupts',['../a00009.html#gaa134681cb86361faad65aa8c501be403',1,'fsl_adc.h']]], + ['adc_5fdoselfcalibration',['ADC_DoSelfCalibration',['../a00009.html#ga6c5be196874795f6647b18fd1f3443b4',1,'fsl_adc.h']]], ['adc_5fdosoftwaretriggerconvseqa',['ADC_DoSoftwareTriggerConvSeqA',['../a00009.html#ga13edd8c6ef6665907b00a6a819187292',1,'fsl_adc.h']]], ['adc_5fdosoftwaretriggerconvseqb',['ADC_DoSoftwareTriggerConvSeqB',['../a00009.html#ga6bee3a133b58f1badcfded306544d58f',1,'fsl_adc.h']]], ['adc_5fenableconvseqa',['ADC_EnableConvSeqA',['../a00009.html#ga0576bc613006f8a4d3bb6db93167be65',1,'fsl_adc.h']]], @@ -19,6 +20,7 @@ var searchData= ['adc_5fenableconvseqb',['ADC_EnableConvSeqB',['../a00009.html#ga93453ff5ee1ccf1429d5035920cdcd10',1,'fsl_adc.h']]], ['adc_5fenableconvseqbburstmode',['ADC_EnableConvSeqBBurstMode',['../a00009.html#ga4d72a0696ea69cf01a7d121b8e3d1efd',1,'fsl_adc.h']]], ['adc_5fenableinterrupts',['ADC_EnableInterrupts',['../a00009.html#gad4368ec191782815efeea62a95ad3744',1,'fsl_adc.h']]], + ['adc_5fenabletemperaturesensor',['ADC_EnableTemperatureSensor',['../a00009.html#ga038d00e89652d2b860ef23b79cdbe23d',1,'fsl_adc.h']]], ['adc_5fenablethresholdcompareinterrupt',['ADC_EnableThresholdCompareInterrupt',['../a00009.html#gaa1deefb49e6221ba772d755bf4aa1a57',1,'fsl_adc.h']]], ['adc_5fgetchannelconversionresult',['ADC_GetChannelConversionResult',['../a00009.html#gacbd1fa05c3e1095dd2a8a741db533780',1,'fsl_adc.h']]], ['adc_5fgetconvseqaglobalconversionresult',['ADC_GetConvSeqAGlobalConversionResult',['../a00009.html#ga06879c096d9702b2f378dc2db178e961',1,'fsl_adc.h']]], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_63.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_63.js index 1359aa4..689c2d2 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_63.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_63.js @@ -12,21 +12,21 @@ var searchData= ['capt_5fpollnow',['CAPT_PollNow',['../a00010.html#gae001e6c47608b339f65c8d2dab02c994',1,'fsl_capt.h']]], ['capt_5fsetpollmode',['CAPT_SetPollMode',['../a00010.html#gaa5b1edec56bda3e46d42405ca8748b89',1,'fsl_capt.h']]], ['capt_5fsetthreshold',['CAPT_SetThreshold',['../a00010.html#gae9b35ae6b1978ce06851ce86a32af06e',1,'fsl_capt.h']]], - ['clock_5fdeinitlposc',['CLOCK_DeinitLpOsc',['../a00078.html#gabfcd2e2eae3bb847bb6268557ad9e50f',1,'fsl_clock.h']]], - ['clock_5fgetclockoutclkfreq',['CLOCK_GetClockOutClkFreq',['../a00078.html#gaf85cf02f515cd756873bf2a74ee143e2',1,'fsl_clock.h']]], - ['clock_5fgetcoresysclkfreq',['CLOCK_GetCoreSysClkFreq',['../a00078.html#gaca0f0dcb61434d727340ddde5f131d91',1,'fsl_clock.h']]], - ['clock_5fgetextclkfreq',['CLOCK_GetExtClkFreq',['../a00078.html#ga2d33480c8967a6bac98512e0c47c6b07',1,'fsl_clock.h']]], - ['clock_5fgetfreq',['CLOCK_GetFreq',['../a00078.html#ga53acae220d651789bb505c53c73ecf2b',1,'fsl_clock.h']]], - ['clock_5fgetfrg0clkfreq',['CLOCK_GetFRG0ClkFreq',['../a00078.html#ga7dd0bd48c4a5a68fef7f9524d8999b4b',1,'fsl_clock.h']]], - ['clock_5fgetfrofreq',['CLOCK_GetFroFreq',['../a00078.html#gafe0b410109a29c465df0b4dcbb338ff3',1,'fsl_clock.h']]], - ['clock_5fgetlposcfreq',['CLOCK_GetLPOscFreq',['../a00078.html#ga5a9205e0888bdc8579d3851313662671',1,'fsl_clock.h']]], - ['clock_5fgetmainclkfreq',['CLOCK_GetMainClkFreq',['../a00078.html#gaaa964f2c87ddd18a09c5201e22925fe6',1,'fsl_clock.h']]], - ['clock_5fgetuart0clkfreq',['CLOCK_GetUart0ClkFreq',['../a00078.html#ga47b7ce0a4c83a359f4bc1f2714a13761',1,'fsl_clock.h']]], - ['clock_5fgetuart1clkfreq',['CLOCK_GetUart1ClkFreq',['../a00078.html#ga10c8c8ccc077404a00e1121b5d18e4a8',1,'fsl_clock.h']]], - ['clock_5finitextclkin',['CLOCK_InitExtClkin',['../a00078.html#ga6506e7cdad8dcf18347cdcb1acd8c17d',1,'fsl_clock.h']]], - ['clock_5fsetfrg0clkfreq',['CLOCK_SetFRG0ClkFreq',['../a00078.html#ga4eb9737ada73b9a575250de526433dff',1,'fsl_clock.h']]], - ['clock_5fsetfrooscfreq',['CLOCK_SetFroOscFreq',['../a00078.html#ga4f99eead2ff26c245d72917e9308ac1d',1,'fsl_clock.h']]], - ['clock_5fsetmainclksrc',['CLOCK_SetMainClkSrc',['../a00078.html#gaa6be3b84fd2a57ec1165954d6c4564e8',1,'fsl_clock.h']]], + ['clock_5fdeinitlposc',['CLOCK_DeinitLpOsc',['../a00079.html#gabfcd2e2eae3bb847bb6268557ad9e50f',1,'fsl_clock.h']]], + ['clock_5fgetclockoutclkfreq',['CLOCK_GetClockOutClkFreq',['../a00079.html#gaf85cf02f515cd756873bf2a74ee143e2',1,'fsl_clock.h']]], + ['clock_5fgetcoresysclkfreq',['CLOCK_GetCoreSysClkFreq',['../a00079.html#gaca0f0dcb61434d727340ddde5f131d91',1,'fsl_clock.h']]], + ['clock_5fgetextclkfreq',['CLOCK_GetExtClkFreq',['../a00079.html#ga2d33480c8967a6bac98512e0c47c6b07',1,'fsl_clock.h']]], + ['clock_5fgetfreq',['CLOCK_GetFreq',['../a00079.html#ga53acae220d651789bb505c53c73ecf2b',1,'fsl_clock.h']]], + ['clock_5fgetfrg0clkfreq',['CLOCK_GetFRG0ClkFreq',['../a00079.html#ga7dd0bd48c4a5a68fef7f9524d8999b4b',1,'fsl_clock.h']]], + ['clock_5fgetfrofreq',['CLOCK_GetFroFreq',['../a00079.html#gafe0b410109a29c465df0b4dcbb338ff3',1,'fsl_clock.h']]], + ['clock_5fgetlposcfreq',['CLOCK_GetLPOscFreq',['../a00079.html#ga5a9205e0888bdc8579d3851313662671',1,'fsl_clock.h']]], + ['clock_5fgetmainclkfreq',['CLOCK_GetMainClkFreq',['../a00079.html#gaaa964f2c87ddd18a09c5201e22925fe6',1,'fsl_clock.h']]], + ['clock_5fgetuart0clkfreq',['CLOCK_GetUart0ClkFreq',['../a00079.html#ga47b7ce0a4c83a359f4bc1f2714a13761',1,'fsl_clock.h']]], + ['clock_5fgetuart1clkfreq',['CLOCK_GetUart1ClkFreq',['../a00079.html#ga10c8c8ccc077404a00e1121b5d18e4a8',1,'fsl_clock.h']]], + ['clock_5finitextclkin',['CLOCK_InitExtClkin',['../a00079.html#ga6506e7cdad8dcf18347cdcb1acd8c17d',1,'fsl_clock.h']]], + ['clock_5fsetfrg0clkfreq',['CLOCK_SetFRG0ClkFreq',['../a00079.html#ga4eb9737ada73b9a575250de526433dff',1,'fsl_clock.h']]], + ['clock_5fsetfrooscfreq',['CLOCK_SetFroOscFreq',['../a00079.html#ga4f99eead2ff26c245d72917e9308ac1d',1,'fsl_clock.h']]], + ['clock_5fsetmainclksrc',['CLOCK_SetMainClkSrc',['../a00079.html#gaa6be3b84fd2a57ec1165954d6c4564e8',1,'fsl_clock.h']]], ['crc_5fdeinit',['CRC_Deinit',['../a00011.html#ga7a4d725b011a98efb29a26bcca04c35b',1,'fsl_crc.h']]], ['crc_5fget16bitresult',['CRC_Get16bitResult',['../a00011.html#ga5ce766fd31166d3b0d2d7ba594dac0ce',1,'fsl_crc.h']]], ['crc_5fget32bitresult',['CRC_Get32bitResult',['../a00011.html#ga0c8ce306124e645b27798fad68f3ac4f',1,'fsl_crc.h']]], @@ -41,7 +41,6 @@ var searchData= ['ctimer_5fdisableinterrupts',['CTIMER_DisableInterrupts',['../a00012.html#gaf1b8088779288346a7508dc7ef6e99d1',1,'fsl_ctimer.h']]], ['ctimer_5fenablefallingedgecapture',['CTIMER_EnableFallingEdgeCapture',['../a00012.html#ga37f6a866661fa105f4e66e76e02d4236',1,'fsl_ctimer.h']]], ['ctimer_5fenableinterrupts',['CTIMER_EnableInterrupts',['../a00012.html#ga24464606cafc0f316116b164966a906e',1,'fsl_ctimer.h']]], - ['ctimer_5fenablematchchannelreload',['CTIMER_EnableMatchChannelReload',['../a00012.html#ga47db49d83551ef1e38375570f29e5f0e',1,'fsl_ctimer.h']]], ['ctimer_5fenableresetmatchchannel',['CTIMER_EnableResetMatchChannel',['../a00012.html#gaeb3527b175c6158c02d76e063e2794b5',1,'fsl_ctimer.h']]], ['ctimer_5fenablerisingedgecapture',['CTIMER_EnableRisingEdgeCapture',['../a00012.html#ga0b4954f928c8e278c29325ea10aa7fa5',1,'fsl_ctimer.h']]], ['ctimer_5fenablestopmatchchannel',['CTIMER_EnableStopMatchChannel',['../a00012.html#gaf575852d70d9db218b0def620a0b7302',1,'fsl_ctimer.h']]], @@ -55,7 +54,6 @@ var searchData= ['ctimer_5fregistercallback',['CTIMER_RegisterCallBack',['../a00012.html#gaa7de0b40e3127d3d8901398df50feb20',1,'fsl_ctimer.h']]], ['ctimer_5freset',['CTIMER_Reset',['../a00012.html#ga7ea373165af081e0e1700f7a3ba56642',1,'fsl_ctimer.h']]], ['ctimer_5fsetprescale',['CTIMER_SetPrescale',['../a00012.html#gacd6bd05573ab1128b8f3feaf30058e32',1,'fsl_ctimer.h']]], - ['ctimer_5fsetshadowvalue',['CTIMER_SetShadowValue',['../a00012.html#gabe141933756553c5fcbb23160f065b00',1,'fsl_ctimer.h']]], ['ctimer_5fsetupcapture',['CTIMER_SetupCapture',['../a00012.html#gae279db71f27ceb5a6d15ad8d17cbd670',1,'fsl_ctimer.h']]], ['ctimer_5fsetupmatch',['CTIMER_SetupMatch',['../a00012.html#ga64b1d0ba86d952b5ec30e201880c7bb5',1,'fsl_ctimer.h']]], ['ctimer_5fsetuppwm',['CTIMER_SetupPwm',['../a00012.html#ga2a70f03b835a36e5b321861d0b0ebb41',1,'fsl_ctimer.h']]], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_64.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_64.js index 35cecac..1b9401e 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_64.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_64.js @@ -8,11 +8,15 @@ var searchData= ['dac_5finit',['DAC_Init',['../a00013.html#ga0331d8c8f699fac12c93a7796d961923',1,'fsl_dac.h']]], ['dac_5fsetbuffervalue',['DAC_SetBufferValue',['../a00013.html#gabaeabddab20ad3c45aed786a7d12d350',1,'fsl_dac.h']]], ['dac_5fsetcountervalue',['DAC_SetCounterValue',['../a00013.html#gabd7c9e5a5c0b6b35ed2854581f1c6fe8',1,'fsl_dac.h']]], - ['dbgconsole_5fdeinit',['DbgConsole_Deinit',['../a00091.html#gad80e7aa70bbb3fce1a9168621372833e',1,'fsl_debug_console.h']]], - ['dbgconsole_5fgetchar',['DbgConsole_Getchar',['../a00091.html#ga11898c5015274863741c4f3f4d9edc08',1,'fsl_debug_console.h']]], - ['dbgconsole_5finit',['DbgConsole_Init',['../a00091.html#ga12e50ee0450679fd8ca950a89338d366',1,'fsl_debug_console.h']]], - ['dbgconsole_5fprintf',['DbgConsole_Printf',['../a00091.html#ga7f9e0678f4c708ed5640b0823c07dc35',1,'fsl_debug_console.h']]], - ['dbgconsole_5fputchar',['DbgConsole_Putchar',['../a00091.html#gada572d86a06f028b5b1a5d0440f683e3',1,'fsl_debug_console.h']]], - ['dbgconsole_5fscanf',['DbgConsole_Scanf',['../a00091.html#ga53b115907016172dcf58fcffab144a6d',1,'fsl_debug_console.h']]], - ['dbgconsole_5fvprintf',['DbgConsole_Vprintf',['../a00091.html#ga48560c409b88fbe195e140aa20c5307b',1,'fsl_debug_console.h']]] + ['dbgconsole_5fdeinit',['DbgConsole_Deinit',['../a00092.html#gad80e7aa70bbb3fce1a9168621372833e',1,'fsl_debug_console.h']]], + ['dbgconsole_5fenterlowpower',['DbgConsole_EnterLowpower',['../a00092.html#ga9ce272e795c2b235265d3dfb50669bee',1,'fsl_debug_console.h']]], + ['dbgconsole_5fexitlowpower',['DbgConsole_ExitLowpower',['../a00092.html#ga21831f5ee970f3a1f13ff375405f3592',1,'fsl_debug_console.h']]], + ['dbgconsole_5fgetchar',['DbgConsole_Getchar',['../a00092.html#ga11898c5015274863741c4f3f4d9edc08',1,'fsl_debug_console.h']]], + ['dbgconsole_5finit',['DbgConsole_Init',['../a00092.html#ga12e50ee0450679fd8ca950a89338d366',1,'fsl_debug_console.h']]], + ['dbgconsole_5fprintf',['DbgConsole_Printf',['../a00092.html#ga7f9e0678f4c708ed5640b0823c07dc35',1,'fsl_debug_console.h']]], + ['dbgconsole_5fputchar',['DbgConsole_Putchar',['../a00092.html#gada572d86a06f028b5b1a5d0440f683e3',1,'fsl_debug_console.h']]], + ['dbgconsole_5fscanf',['DbgConsole_Scanf',['../a00092.html#ga53b115907016172dcf58fcffab144a6d',1,'fsl_debug_console.h']]], + ['dbgconsole_5fvprintf',['DbgConsole_Vprintf',['../a00092.html#ga48560c409b88fbe195e140aa20c5307b',1,'fsl_debug_console.h']]], + ['disableglobalirq',['DisableGlobalIRQ',['../a00082.html#ga4f63764780e8eae7726d7a7e10f4b2a1',1,'fsl_common_arm.h']]], + ['disableirq',['DisableIRQ',['../a00082.html#ga7f9bbd23e70eaf6670d3425683753961',1,'fsl_common_arm.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_65.html b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_65.html new file mode 100644 index 0000000..f81fa7b --- /dev/null +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_65.html @@ -0,0 +1,26 @@ + + + + + + + + + +
    +
    Loading...
    +
    + +
    Searching...
    +
    No Matches
    + +
    + + diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_65.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_65.js new file mode 100644 index 0000000..0a9c658 --- /dev/null +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_65.js @@ -0,0 +1,6 @@ +var searchData= +[ + ['enableglobalirq',['EnableGlobalIRQ',['../a00082.html#gacca38e4e11db8e795201c82f6ce4c9d5',1,'fsl_common_arm.h']]], + ['enableirq',['EnableIRQ',['../a00082.html#ga2b92855a9ebf6eadeed1527b8339d50a',1,'fsl_common_arm.h']]], + ['enableirqwithpriority',['EnableIRQWithPriority',['../a00082.html#gaa9463731425e110e2d841820f4559a3f',1,'fsl_common_arm.h']]] +]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_69.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_69.js index be65be0..4790665 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_69.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_69.js @@ -40,16 +40,12 @@ var searchData= ['i2c_5fslavetransferhandleirq',['I2C_SlaveTransferHandleIRQ',['../a00016.html#ga097c0b20503381de44cccba311dcf236',1,'fsl_i2c.h']]], ['i2c_5fslavetransfernonblocking',['I2C_SlaveTransferNonBlocking',['../a00016.html#gaa387d81ea08a9d4b39cfeb3dfec505f7',1,'fsl_i2c.h']]], ['i2c_5fslavewriteblocking',['I2C_SlaveWriteBlocking',['../a00016.html#ga280404c6b1ede542f2c1c20bd382869c',1,'fsl_i2c.h']]], - ['iap_5fblankchecksector',['IAP_BlankCheckSector',['../a00082.html#ga93a68a9ca640a51b56350d59cac4dd7c',1,'fsl_iap.h']]], - ['iap_5fcompare',['IAP_Compare',['../a00082.html#ga48b79c8dc56de9714927e70ad230fa0b',1,'fsl_iap.h']]], - ['iap_5fcopyramtoflash',['IAP_CopyRamToFlash',['../a00082.html#ga6b58df04b8aae97c7e31884f3fe3413f',1,'fsl_iap.h']]], - ['iap_5ferasepage',['IAP_ErasePage',['../a00082.html#ga93474c7fb9be2c15eabc9dbf73c99bdb',1,'fsl_iap.h']]], - ['iap_5ferasesector',['IAP_EraseSector',['../a00082.html#ga0093db979d7b9afca6dca250f42b2eef',1,'fsl_iap.h']]], - ['iap_5fpreparesectorforwrite',['IAP_PrepareSectorForWrite',['../a00082.html#ga361041aa626a50391c40c9d6936ba2c0',1,'fsl_iap.h']]], - ['iap_5freadbootcodeversion',['IAP_ReadBootCodeVersion',['../a00082.html#ga747cfb2ed95cefa6f39ae2ad089f8ade',1,'fsl_iap.h']]], - ['iap_5freadpartid',['IAP_ReadPartID',['../a00082.html#ga916f8b461de93f08044df1724290dcbd',1,'fsl_iap.h']]], - ['iap_5freaduniqueid',['IAP_ReadUniqueID',['../a00082.html#ga258d8c49d494cbd0d18321af56e11440',1,'fsl_iap.h']]], - ['iap_5freinvokeisp',['IAP_ReinvokeISP',['../a00082.html#ga98407c578584b1d88d7be1b3e6d9656a',1,'fsl_iap.h']]], + ['iap_5freadbootcodeversion',['IAP_ReadBootCodeVersion',['../a00083.html#ga747cfb2ed95cefa6f39ae2ad089f8ade',1,'fsl_iap.h']]], + ['iap_5freadpartid',['IAP_ReadPartID',['../a00083.html#ga916f8b461de93f08044df1724290dcbd',1,'fsl_iap.h']]], + ['iap_5freaduniqueid',['IAP_ReadUniqueID',['../a00083.html#ga258d8c49d494cbd0d18321af56e11440',1,'fsl_iap.h']]], + ['iap_5freinvokeisp',['IAP_ReinvokeISP',['../a00083.html#ga98407c578584b1d88d7be1b3e6d9656a',1,'fsl_iap.h']]], ['iocon_5fpinmuxset',['IOCON_PinMuxSet',['../a00017.html#gaf9258f56192a94928f67940eee5dd879',1,'fsl_iocon.h']]], - ['iocon_5fsetpinmuxing',['IOCON_SetPinMuxing',['../a00017.html#gaf2cc5d2d92b4e2898a197479e77a326e',1,'fsl_iocon.h']]] + ['iocon_5fsetpinmuxing',['IOCON_SetPinMuxing',['../a00017.html#gaf2cc5d2d92b4e2898a197479e77a326e',1,'fsl_iocon.h']]], + ['irq_5fclearpendingirq',['IRQ_ClearPendingIRQ',['../a00082.html#gac9b0f720fcb617b3aa9cb554d87dbc55',1,'fsl_common_arm.h']]], + ['irq_5fsetpriority',['IRQ_SetPriority',['../a00082.html#ga9d1df086c696c7864d98ea6ec28846f3',1,'fsl_common_arm.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_6d.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_6d.js index df9778f..e9e45a2 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_6d.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_6d.js @@ -10,6 +10,7 @@ var searchData= ['mrt_5fgetidlechannel',['MRT_GetIdleChannel',['../a00018.html#ga320ba098c93a30174254eb763dd37dde',1,'fsl_mrt.h']]], ['mrt_5fgetstatusflags',['MRT_GetStatusFlags',['../a00018.html#gafa8493a0faee1cc356da26ca99a54da8',1,'fsl_mrt.h']]], ['mrt_5finit',['MRT_Init',['../a00018.html#ga44091408531a61dfac7c4ee4982be317',1,'fsl_mrt.h']]], + ['mrt_5freleasechannel',['MRT_ReleaseChannel',['../a00018.html#ga8e55bb859e4f9b98ea8ec580ed7b106a',1,'fsl_mrt.h']]], ['mrt_5fsetupchannelmode',['MRT_SetupChannelMode',['../a00018.html#ga8f4d38afa34e99ba521d9ca08be06355',1,'fsl_mrt.h']]], ['mrt_5fstarttimer',['MRT_StartTimer',['../a00018.html#ga388dd5041e6c179d637e17cd6e5a607e',1,'fsl_mrt.h']]], ['mrt_5fstoptimer',['MRT_StopTimer',['../a00018.html#ga7620866a54acdcc17abab2b46a94dc6c',1,'fsl_mrt.h']]], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_70.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_70.js index 81cc7d0..f69a48d 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_70.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_70.js @@ -1,58 +1,58 @@ var searchData= [ - ['pint_5fdeinit',['PINT_Deinit',['../a00087.html#ga78ffa3e09e17db8dc6a9038123ad1c46',1,'fsl_pint.h']]], - ['pint_5fdisablecallback',['PINT_DisableCallback',['../a00087.html#gada262638d555d9a503ddcdbed24ec475',1,'fsl_pint.h']]], - ['pint_5fdisablecallbackbyindex',['PINT_DisableCallbackByIndex',['../a00087.html#gad7300bf374c0cdc65fadff76f3ee7e5c',1,'fsl_pint.h']]], - ['pint_5fenablecallback',['PINT_EnableCallback',['../a00087.html#gac6b1406205adace6696a1647250ad76d',1,'fsl_pint.h']]], - ['pint_5fenablecallbackbyindex',['PINT_EnableCallbackByIndex',['../a00087.html#ga2cb0ee73a24657dd6994cb00b78c3137',1,'fsl_pint.h']]], - ['pint_5finit',['PINT_Init',['../a00087.html#gaf043d142dac622bedd50b736b52ac6e9',1,'fsl_pint.h']]], - ['pint_5fpatternmatchconfig',['PINT_PatternMatchConfig',['../a00087.html#ga1dc66cd865640c0b60eb128a868eab37',1,'fsl_pint.h']]], - ['pint_5fpatternmatchdisable',['PINT_PatternMatchDisable',['../a00087.html#ga4dd886dc387a64a5cb146ccd7297e1e1',1,'fsl_pint.h']]], - ['pint_5fpatternmatchdisablerxev',['PINT_PatternMatchDisableRXEV',['../a00087.html#ga73f0e7280f898bf99fe92b3519878a77',1,'fsl_pint.h']]], - ['pint_5fpatternmatchenable',['PINT_PatternMatchEnable',['../a00087.html#ga8911d499fb65ffe496c01f65bad9314f',1,'fsl_pint.h']]], - ['pint_5fpatternmatchenablerxev',['PINT_PatternMatchEnableRXEV',['../a00087.html#gaf5754b4b1d1436c359dde18101657d4e',1,'fsl_pint.h']]], - ['pint_5fpatternmatchgetconfig',['PINT_PatternMatchGetConfig',['../a00087.html#ga6d4f8e83cec4bc716231c97c2ceb5421',1,'fsl_pint.h']]], - ['pint_5fpatternmatchgetstatus',['PINT_PatternMatchGetStatus',['../a00087.html#ga74b3eece6c6eabc38f3194b125ff80d5',1,'fsl_pint.h']]], - ['pint_5fpatternmatchgetstatusall',['PINT_PatternMatchGetStatusAll',['../a00087.html#ga567b159bbfa265ed02c4900769ed8fe4',1,'fsl_pint.h']]], - ['pint_5fpatternmatchresetdetectlogic',['PINT_PatternMatchResetDetectLogic',['../a00087.html#ga00d40f5f01c445206f1f800dcc7b6e89',1,'fsl_pint.h']]], - ['pint_5fpininterruptclrfallflag',['PINT_PinInterruptClrFallFlag',['../a00087.html#ga055c655c611b5645ce6903557ef809bb',1,'fsl_pint.h']]], - ['pint_5fpininterruptclrfallflagall',['PINT_PinInterruptClrFallFlagAll',['../a00087.html#ga11c44cbe5b42c79b22a3053c85b1e5d0',1,'fsl_pint.h']]], - ['pint_5fpininterruptclrriseflag',['PINT_PinInterruptClrRiseFlag',['../a00087.html#ga269af5856a4cfd5d2a171b4777d6884a',1,'fsl_pint.h']]], - ['pint_5fpininterruptclrriseflagall',['PINT_PinInterruptClrRiseFlagAll',['../a00087.html#ga53dd5706866fa0313689db6e4d9c1a9b',1,'fsl_pint.h']]], - ['pint_5fpininterruptclrstatus',['PINT_PinInterruptClrStatus',['../a00087.html#gab784e6aea0129b0c90164462bd0dbac5',1,'fsl_pint.h']]], - ['pint_5fpininterruptclrstatusall',['PINT_PinInterruptClrStatusAll',['../a00087.html#gace94acb3f70964230b2606fdbeb5f58b',1,'fsl_pint.h']]], - ['pint_5fpininterruptconfig',['PINT_PinInterruptConfig',['../a00087.html#ga363edbba9536380728e44bd7d1a0e7df',1,'fsl_pint.h']]], - ['pint_5fpininterruptgetconfig',['PINT_PinInterruptGetConfig',['../a00087.html#ga12c568c9b7f6d46257dc63505a381701',1,'fsl_pint.h']]], - ['pint_5fpininterruptgetfallflag',['PINT_PinInterruptGetFallFlag',['../a00087.html#gaace443444dec2e5b7e3e20cf69c53def',1,'fsl_pint.h']]], - ['pint_5fpininterruptgetfallflagall',['PINT_PinInterruptGetFallFlagAll',['../a00087.html#ga58eced039e8c793b7ff148d6cfacde5b',1,'fsl_pint.h']]], - ['pint_5fpininterruptgetriseflag',['PINT_PinInterruptGetRiseFlag',['../a00087.html#gaec7a3986f92f8c11a70a80af1a93a3b6',1,'fsl_pint.h']]], - ['pint_5fpininterruptgetriseflagall',['PINT_PinInterruptGetRiseFlagAll',['../a00087.html#ga08b623f67c277521182f8c9e630037ae',1,'fsl_pint.h']]], - ['pint_5fpininterruptgetstatus',['PINT_PinInterruptGetStatus',['../a00087.html#ga99a96e386a822de59ac2d60149ec47f3',1,'fsl_pint.h']]], - ['pint_5fpininterruptgetstatusall',['PINT_PinInterruptGetStatusAll',['../a00087.html#gab5dbaf58c90b1ef54bcd2c5dba9e178f',1,'fsl_pint.h']]], - ['plu_5fdeinit',['PLU_Deinit',['../a00088.html#ga4d562c154da2a9152f224e0dbeddb82c',1,'fsl_plu.h']]], - ['plu_5finit',['PLU_Init',['../a00088.html#ga9223774c024634ea6aef20ccd4768810',1,'fsl_plu.h']]], - ['plu_5freadoutputstate',['PLU_ReadOutputState',['../a00088.html#gad119096a745ccaf2fe302d9f25e799d3',1,'fsl_plu.h']]], - ['plu_5fsetlutinputsource',['PLU_SetLutInputSource',['../a00088.html#ga4b8afdbebd21774bd0c8898077753901',1,'fsl_plu.h']]], - ['plu_5fsetluttruthtable',['PLU_SetLutTruthTable',['../a00088.html#gaa0db99613861d08587e9bf1dd0defe84',1,'fsl_plu.h']]], - ['plu_5fsetoutputsource',['PLU_SetOutputSource',['../a00088.html#ga17f61bbdb9778ca2d86609be38e4bc09',1,'fsl_plu.h']]], - ['power_5fclrdeeppowerdownmodeflag',['POWER_ClrDeepPowerDownModeFlag',['../a00079.html#ga4b9f6c3aa18e60b7a85edfa8857906ed',1,'fsl_power.h']]], - ['power_5fclrsleepmodeflag',['POWER_ClrSleepModeFlag',['../a00079.html#ga7069e59acd0a3e196bb54b7a5bcead3d',1,'fsl_power.h']]], - ['power_5fclrwakeuppinflag',['POWER_ClrWakeupPinFlag',['../a00079.html#ga6f4c4db233f8d1cb2aafa7b7a3c34f84',1,'fsl_power.h']]], - ['power_5fdeepsleepconfig',['POWER_DeepSleepConfig',['../a00079.html#ga64687ae9aee146f932c9d822633ed751',1,'fsl_power.h']]], - ['power_5fdisabledeepsleep',['POWER_DisableDeepSleep',['../a00079.html#gabea720a67b6b06b187a5781b9955dc9c',1,'fsl_power.h']]], - ['power_5fdisablepd',['POWER_DisablePD',['../a00079.html#ga40a1d0c80f5189e9c56133a2bc15a4c2',1,'fsl_power.h']]], - ['power_5fenabledeepsleep',['POWER_EnableDeepSleep',['../a00079.html#gae9733cfb042126b04d237cc8db40dba0',1,'fsl_power.h']]], - ['power_5fenablelpo',['POWER_EnableLPO',['../a00079.html#ga86ad2183a772150f3c72d5aaf158afaa',1,'fsl_power.h']]], - ['power_5fenablenondpd',['POWER_EnableNonDpd',['../a00079.html#ga7bfb95e1397b0fc1b02b740458667d4c',1,'fsl_power.h']]], - ['power_5fenablepd',['POWER_EnablePD',['../a00079.html#gacf3a138e73725bb8c50290295259bfa4',1,'fsl_power.h']]], - ['power_5fenterdeeppowerdownmode',['POWER_EnterDeepPowerDownMode',['../a00079.html#gafd390219700f64b63df3ded05212bda5',1,'fsl_power.h']]], - ['power_5fenterdeepsleep',['POWER_EnterDeepSleep',['../a00079.html#ga4b0c77a348378a9c77539fc160c239df',1,'fsl_power.h']]], - ['power_5fenterpowerdown',['POWER_EnterPowerDown',['../a00079.html#ga85cddf883d8412b35f3648fa5a62b136',1,'fsl_power.h']]], - ['power_5fentersleep',['POWER_EnterSleep',['../a00079.html#ga6ad77a0ddbf43076a49a207534c6b7f8',1,'fsl_power.h']]], - ['power_5fgetdeeppowerdownmodeflag',['POWER_GetDeepPowerDownModeFlag',['../a00079.html#ga7b82522f086af61786aa316142d3540c',1,'fsl_power.h']]], - ['power_5fgetretaindata',['POWER_GetRetainData',['../a00079.html#ga2cef71a7429671e6aaf71b3c40f1bc23',1,'fsl_power.h']]], - ['power_5fgetsleepmodeflag',['POWER_GetSleepModeFlag',['../a00079.html#gaa25d9a439cb1779e76c107cfc9c1a86e',1,'fsl_power.h']]], - ['power_5fsetbodlevel',['POWER_SetBodLevel',['../a00079.html#ga85b6d46e43b818ba54dba92256db4499',1,'fsl_power.h']]], - ['power_5fsetretaindata',['POWER_SetRetainData',['../a00079.html#gada7daa74280566725bfa2854613c91cc',1,'fsl_power.h']]], - ['power_5fwakeupconfig',['POWER_WakeUpConfig',['../a00079.html#ga83d78eac8ce2faa5f92738c681846d5b',1,'fsl_power.h']]] + ['pint_5fdeinit',['PINT_Deinit',['../a00088.html#ga78ffa3e09e17db8dc6a9038123ad1c46',1,'fsl_pint.h']]], + ['pint_5fdisablecallback',['PINT_DisableCallback',['../a00088.html#gada262638d555d9a503ddcdbed24ec475',1,'fsl_pint.h']]], + ['pint_5fdisablecallbackbyindex',['PINT_DisableCallbackByIndex',['../a00088.html#gad7300bf374c0cdc65fadff76f3ee7e5c',1,'fsl_pint.h']]], + ['pint_5fenablecallback',['PINT_EnableCallback',['../a00088.html#gac6b1406205adace6696a1647250ad76d',1,'fsl_pint.h']]], + ['pint_5fenablecallbackbyindex',['PINT_EnableCallbackByIndex',['../a00088.html#ga2cb0ee73a24657dd6994cb00b78c3137',1,'fsl_pint.h']]], + ['pint_5finit',['PINT_Init',['../a00088.html#gaf043d142dac622bedd50b736b52ac6e9',1,'fsl_pint.h']]], + ['pint_5fpatternmatchconfig',['PINT_PatternMatchConfig',['../a00088.html#ga1dc66cd865640c0b60eb128a868eab37',1,'fsl_pint.h']]], + ['pint_5fpatternmatchdisable',['PINT_PatternMatchDisable',['../a00088.html#ga4dd886dc387a64a5cb146ccd7297e1e1',1,'fsl_pint.h']]], + ['pint_5fpatternmatchdisablerxev',['PINT_PatternMatchDisableRXEV',['../a00088.html#ga73f0e7280f898bf99fe92b3519878a77',1,'fsl_pint.h']]], + ['pint_5fpatternmatchenable',['PINT_PatternMatchEnable',['../a00088.html#ga8911d499fb65ffe496c01f65bad9314f',1,'fsl_pint.h']]], + ['pint_5fpatternmatchenablerxev',['PINT_PatternMatchEnableRXEV',['../a00088.html#gaf5754b4b1d1436c359dde18101657d4e',1,'fsl_pint.h']]], + ['pint_5fpatternmatchgetconfig',['PINT_PatternMatchGetConfig',['../a00088.html#ga6d4f8e83cec4bc716231c97c2ceb5421',1,'fsl_pint.h']]], + ['pint_5fpatternmatchgetstatus',['PINT_PatternMatchGetStatus',['../a00088.html#ga74b3eece6c6eabc38f3194b125ff80d5',1,'fsl_pint.h']]], + ['pint_5fpatternmatchgetstatusall',['PINT_PatternMatchGetStatusAll',['../a00088.html#ga567b159bbfa265ed02c4900769ed8fe4',1,'fsl_pint.h']]], + ['pint_5fpatternmatchresetdetectlogic',['PINT_PatternMatchResetDetectLogic',['../a00088.html#ga00d40f5f01c445206f1f800dcc7b6e89',1,'fsl_pint.h']]], + ['pint_5fpininterruptclrfallflag',['PINT_PinInterruptClrFallFlag',['../a00088.html#ga055c655c611b5645ce6903557ef809bb',1,'fsl_pint.h']]], + ['pint_5fpininterruptclrfallflagall',['PINT_PinInterruptClrFallFlagAll',['../a00088.html#ga11c44cbe5b42c79b22a3053c85b1e5d0',1,'fsl_pint.h']]], + ['pint_5fpininterruptclrriseflag',['PINT_PinInterruptClrRiseFlag',['../a00088.html#ga269af5856a4cfd5d2a171b4777d6884a',1,'fsl_pint.h']]], + ['pint_5fpininterruptclrriseflagall',['PINT_PinInterruptClrRiseFlagAll',['../a00088.html#ga53dd5706866fa0313689db6e4d9c1a9b',1,'fsl_pint.h']]], + ['pint_5fpininterruptclrstatus',['PINT_PinInterruptClrStatus',['../a00088.html#gab784e6aea0129b0c90164462bd0dbac5',1,'fsl_pint.h']]], + ['pint_5fpininterruptclrstatusall',['PINT_PinInterruptClrStatusAll',['../a00088.html#gace94acb3f70964230b2606fdbeb5f58b',1,'fsl_pint.h']]], + ['pint_5fpininterruptconfig',['PINT_PinInterruptConfig',['../a00088.html#ga363edbba9536380728e44bd7d1a0e7df',1,'fsl_pint.h']]], + ['pint_5fpininterruptgetconfig',['PINT_PinInterruptGetConfig',['../a00088.html#ga12c568c9b7f6d46257dc63505a381701',1,'fsl_pint.h']]], + ['pint_5fpininterruptgetfallflag',['PINT_PinInterruptGetFallFlag',['../a00088.html#gaace443444dec2e5b7e3e20cf69c53def',1,'fsl_pint.h']]], + ['pint_5fpininterruptgetfallflagall',['PINT_PinInterruptGetFallFlagAll',['../a00088.html#ga58eced039e8c793b7ff148d6cfacde5b',1,'fsl_pint.h']]], + ['pint_5fpininterruptgetriseflag',['PINT_PinInterruptGetRiseFlag',['../a00088.html#gaec7a3986f92f8c11a70a80af1a93a3b6',1,'fsl_pint.h']]], + ['pint_5fpininterruptgetriseflagall',['PINT_PinInterruptGetRiseFlagAll',['../a00088.html#ga08b623f67c277521182f8c9e630037ae',1,'fsl_pint.h']]], + ['pint_5fpininterruptgetstatus',['PINT_PinInterruptGetStatus',['../a00088.html#ga99a96e386a822de59ac2d60149ec47f3',1,'fsl_pint.h']]], + ['pint_5fpininterruptgetstatusall',['PINT_PinInterruptGetStatusAll',['../a00088.html#gab5dbaf58c90b1ef54bcd2c5dba9e178f',1,'fsl_pint.h']]], + ['plu_5fdeinit',['PLU_Deinit',['../a00089.html#ga4d562c154da2a9152f224e0dbeddb82c',1,'fsl_plu.h']]], + ['plu_5finit',['PLU_Init',['../a00089.html#ga9223774c024634ea6aef20ccd4768810',1,'fsl_plu.h']]], + ['plu_5freadoutputstate',['PLU_ReadOutputState',['../a00089.html#gad119096a745ccaf2fe302d9f25e799d3',1,'fsl_plu.h']]], + ['plu_5fsetlutinputsource',['PLU_SetLutInputSource',['../a00089.html#ga4b8afdbebd21774bd0c8898077753901',1,'fsl_plu.h']]], + ['plu_5fsetluttruthtable',['PLU_SetLutTruthTable',['../a00089.html#gaa0db99613861d08587e9bf1dd0defe84',1,'fsl_plu.h']]], + ['plu_5fsetoutputsource',['PLU_SetOutputSource',['../a00089.html#ga17f61bbdb9778ca2d86609be38e4bc09',1,'fsl_plu.h']]], + ['power_5fclrdeeppowerdownmodeflag',['POWER_ClrDeepPowerDownModeFlag',['../a00080.html#ga4b9f6c3aa18e60b7a85edfa8857906ed',1,'fsl_power.h']]], + ['power_5fclrsleepmodeflag',['POWER_ClrSleepModeFlag',['../a00080.html#ga7069e59acd0a3e196bb54b7a5bcead3d',1,'fsl_power.h']]], + ['power_5fclrwakeuppinflag',['POWER_ClrWakeupPinFlag',['../a00080.html#ga6f4c4db233f8d1cb2aafa7b7a3c34f84',1,'fsl_power.h']]], + ['power_5fdeepsleepconfig',['POWER_DeepSleepConfig',['../a00080.html#ga64687ae9aee146f932c9d822633ed751',1,'fsl_power.h']]], + ['power_5fdisabledeepsleep',['POWER_DisableDeepSleep',['../a00080.html#gabea720a67b6b06b187a5781b9955dc9c',1,'fsl_power.h']]], + ['power_5fdisablepd',['POWER_DisablePD',['../a00080.html#ga40a1d0c80f5189e9c56133a2bc15a4c2',1,'fsl_power.h']]], + ['power_5fenabledeepsleep',['POWER_EnableDeepSleep',['../a00080.html#gae9733cfb042126b04d237cc8db40dba0',1,'fsl_power.h']]], + ['power_5fenablelpo',['POWER_EnableLPO',['../a00080.html#ga86ad2183a772150f3c72d5aaf158afaa',1,'fsl_power.h']]], + ['power_5fenablenondpd',['POWER_EnableNonDpd',['../a00080.html#ga7bfb95e1397b0fc1b02b740458667d4c',1,'fsl_power.h']]], + ['power_5fenablepd',['POWER_EnablePD',['../a00080.html#gacf3a138e73725bb8c50290295259bfa4',1,'fsl_power.h']]], + ['power_5fenterdeeppowerdownmode',['POWER_EnterDeepPowerDownMode',['../a00080.html#gafd390219700f64b63df3ded05212bda5',1,'fsl_power.h']]], + ['power_5fenterdeepsleep',['POWER_EnterDeepSleep',['../a00080.html#ga4b0c77a348378a9c77539fc160c239df',1,'fsl_power.h']]], + ['power_5fenterpowerdown',['POWER_EnterPowerDown',['../a00080.html#ga85cddf883d8412b35f3648fa5a62b136',1,'fsl_power.h']]], + ['power_5fentersleep',['POWER_EnterSleep',['../a00080.html#ga6ad77a0ddbf43076a49a207534c6b7f8',1,'fsl_power.h']]], + ['power_5fgetdeeppowerdownmodeflag',['POWER_GetDeepPowerDownModeFlag',['../a00080.html#ga7b82522f086af61786aa316142d3540c',1,'fsl_power.h']]], + ['power_5fgetretaindata',['POWER_GetRetainData',['../a00080.html#ga2cef71a7429671e6aaf71b3c40f1bc23',1,'fsl_power.h']]], + ['power_5fgetsleepmodeflag',['POWER_GetSleepModeFlag',['../a00080.html#gaa25d9a439cb1779e76c107cfc9c1a86e',1,'fsl_power.h']]], + ['power_5fsetbodlevel',['POWER_SetBodLevel',['../a00080.html#ga85b6d46e43b818ba54dba92256db4499',1,'fsl_power.h']]], + ['power_5fsetretaindata',['POWER_SetRetainData',['../a00080.html#gada7daa74280566725bfa2854613c91cc',1,'fsl_power.h']]], + ['power_5fwakeupconfig',['POWER_WakeUpConfig',['../a00080.html#ga83d78eac8ce2faa5f92738c681846d5b',1,'fsl_power.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_72.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_72.js index e87629e..fa14bd1 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_72.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_72.js @@ -1,4 +1,4 @@ var searchData= [ - ['reset_5fperipheralreset',['RESET_PeripheralReset',['../a00080.html#gac33f3428cb55ce07ae67802e5f534ffc',1,'fsl_reset.h']]] + ['reset_5fperipheralreset',['RESET_PeripheralReset',['../a00081.html#gac33f3428cb55ce07ae67802e5f534ffc',1,'fsl_reset.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_73.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_73.js index a4093d7..0755978 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_73.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/functions_73.js @@ -1,8 +1,8 @@ var searchData= [ - ['sdk_5fdelayatleastus',['SDK_DelayAtLeastUs',['../a00081.html#ga4f9121590e5b8fe025d706ff2fe5da36',1,'fsl_common.h']]], - ['sdk_5ffree',['SDK_Free',['../a00081.html#ga0be5caec9b8493d87cc849714bd47865',1,'fsl_common.h']]], - ['sdk_5fmalloc',['SDK_Malloc',['../a00081.html#ga4e1420d9e817ebe0e8973420411de015',1,'fsl_common.h']]], + ['sdk_5fdelayatleastus',['SDK_DelayAtLeastUs',['../a00082.html#ga4f9121590e5b8fe025d706ff2fe5da36',1,'fsl_common.h']]], + ['sdk_5ffree',['SDK_Free',['../a00082.html#ga0be5caec9b8493d87cc849714bd47865',1,'fsl_common.h']]], + ['sdk_5fmalloc',['SDK_Malloc',['../a00082.html#ga4e1420d9e817ebe0e8973420411de015',1,'fsl_common.h']]], ['spi_5fclearstatusflags',['SPI_ClearStatusFlags',['../a00019.html#ga794c7435fa108012df54bb6294f20505',1,'fsl_spi.h']]], ['spi_5fdeinit',['SPI_Deinit',['../a00019.html#gaac0bc2b87ea2eb7eeba78d9449d4dbbf',1,'fsl_spi.h']]], ['spi_5fdisableinterrupts',['SPI_DisableInterrupts',['../a00019.html#ga6289b192b135592b7b0996c05422be4d',1,'fsl_spi.h']]], @@ -33,8 +33,7 @@ var searchData= ['spi_5fwriteconfigflags',['SPI_WriteConfigFlags',['../a00019.html#ga709b0d176b737a8009221565f3ae19e6',1,'fsl_spi.h']]], ['spi_5fwritedata',['SPI_WriteData',['../a00019.html#ga92c1bd47d2ad7b45fc138fccb4bc1ace',1,'fsl_spi.h']]], ['spi_5fwritedatawithconfigflags',['SPI_WriteDataWithConfigFlags',['../a00019.html#gad3810513c85cb28f1dbf18b15614a09d',1,'fsl_spi.h']]], - ['swm_5fsetfixedmovablepinselect',['SWM_SetFixedMovablePinSelect',['../a00089.html#gae7a3cbb33db3c5d3f6663bdd21fb32e4',1,'fsl_swm.h']]], - ['swm_5fsetfixedpinselect',['SWM_SetFixedPinSelect',['../a00089.html#ga55452f734d7cc5e02195ed926e4484c8',1,'fsl_swm.h']]], - ['swm_5fsetmovablepinselect',['SWM_SetMovablePinSelect',['../a00089.html#gaae2dc5c29ae2358c616fa8a2b61a7e4b',1,'fsl_swm.h']]], - ['syscon_5fattachsignal',['SYSCON_AttachSignal',['../a00090.html#gaa42f53e7578284bdc9816da8800e735b',1,'fsl_syscon.h']]] + ['swm_5fsetfixedpinselect',['SWM_SetFixedPinSelect',['../a00090.html#ga55452f734d7cc5e02195ed926e4484c8',1,'fsl_swm.h']]], + ['swm_5fsetmovablepinselect',['SWM_SetMovablePinSelect',['../a00090.html#gaae2dc5c29ae2358c616fa8a2b61a7e4b',1,'fsl_swm.h']]], + ['syscon_5fattachsignal',['SYSCON_AttachSignal',['../a00091.html#gaa42f53e7578284bdc9816da8800e735b',1,'fsl_syscon.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_63.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_63.js index c5c78c1..a9f9891 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_63.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_63.js @@ -1,8 +1,8 @@ var searchData= [ ['capt_3a_20capacitive_20touch',['CAPT: Capacitive Touch',['../a00010.html',1,'']]], - ['clock_20driver',['Clock Driver',['../a00078.html',1,'']]], + ['clock_20driver',['Clock Driver',['../a00079.html',1,'']]], ['crc_3a_20cyclic_20redundancy_20check_20driver',['CRC: Cyclic Redundancy Check Driver',['../a00011.html',1,'']]], ['ctimer_3a_20standard_20counter_2ftimers',['CTIMER: Standard counter/timers',['../a00012.html',1,'']]], - ['common_20driver',['Common Driver',['../a00081.html',1,'']]] + ['common_20driver',['Common Driver',['../a00082.html',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_64.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_64.js index c09f748..23d0ec9 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_64.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_64.js @@ -1,5 +1,5 @@ var searchData= [ - ['debug_20console_20lite',['Debug Console Lite',['../a00091.html',1,'']]], + ['debug_20console_20lite',['Debug Console Lite',['../a00092.html',1,'']]], ['dac_3a_2010_2dbit_20digital_20to_20analog_20converter_20driver',['DAC: 10-bit Digital To Analog Converter Driver',['../a00013.html',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_69.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_69.js index 4d7ddf9..8f7a87b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_69.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_69.js @@ -1,9 +1,9 @@ var searchData= [ - ['i2c_3a_20inter_2dintegrated_20circuit_20driver',['I2C: Inter-Integrated Circuit Driver',['../a00083.html',1,'']]], - ['i2c_20driver',['I2C Driver',['../a00084.html',1,'']]], + ['i2c_3a_20inter_2dintegrated_20circuit_20driver',['I2C: Inter-Integrated Circuit Driver',['../a00084.html',1,'']]], + ['i2c_20driver',['I2C Driver',['../a00085.html',1,'']]], ['i2c_20master_20driver',['I2C Master Driver',['../a00015.html',1,'']]], ['i2c_20slave_20driver',['I2C Slave Driver',['../a00016.html',1,'']]], - ['iap_3a_20in_20application_20programming_20driver',['IAP: In Application Programming Driver',['../a00082.html',1,'']]], + ['iap_3a_20in_20application_20programming_20driver',['IAP: In Application Programming Driver',['../a00083.html',1,'']]], ['iocon_3a_20i_2fo_20pin_20configuration',['IOCON: I/O pin configuration',['../a00017.html',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_70.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_70.js index a8c0fe9..b322dfc 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_70.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_70.js @@ -1,6 +1,6 @@ var searchData= [ - ['pint_3a_20pin_20interrupt_20and_20pattern_20match_20driver',['PINT: Pin Interrupt and Pattern Match Driver',['../a00087.html',1,'']]], - ['plu_3a_20programmable_20logic_20unit',['PLU: Programmable Logic Unit',['../a00088.html',1,'']]], - ['power_20driver',['Power Driver',['../a00079.html',1,'']]] + ['pint_3a_20pin_20interrupt_20and_20pattern_20match_20driver',['PINT: Pin Interrupt and Pattern Match Driver',['../a00088.html',1,'']]], + ['plu_3a_20programmable_20logic_20unit',['PLU: Programmable Logic Unit',['../a00089.html',1,'']]], + ['power_20driver',['Power Driver',['../a00080.html',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_72.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_72.js index 77238a3..f7ef351 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_72.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_72.js @@ -1,4 +1,4 @@ var searchData= [ - ['reset_20driver',['Reset Driver',['../a00080.html',1,'']]] + ['reset_20driver',['Reset Driver',['../a00081.html',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_73.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_73.js index eb8db29..849203c 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_73.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_73.js @@ -1,8 +1,8 @@ var searchData= [ - ['semihosting',['Semihosting',['../a00092.html',1,'']]], - ['spi_3a_20serial_20peripheral_20interface_20driver',['SPI: Serial Peripheral Interface Driver',['../a00085.html',1,'']]], + ['semihosting',['Semihosting',['../a00093.html',1,'']]], + ['spi_3a_20serial_20peripheral_20interface_20driver',['SPI: Serial Peripheral Interface Driver',['../a00086.html',1,'']]], ['spi_20driver',['SPI Driver',['../a00019.html',1,'']]], - ['swm_3a_20switch_20matrix_20module',['SWM: Switch Matrix Module',['../a00089.html',1,'']]], - ['syscon_3a_20system_20configuration',['SYSCON: System Configuration',['../a00090.html',1,'']]] + ['swm_3a_20switch_20matrix_20module',['SWM: Switch Matrix Module',['../a00090.html',1,'']]], + ['syscon_3a_20system_20configuration',['SYSCON: System Configuration',['../a00091.html',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_75.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_75.js index 32a80e8..c05676b 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_75.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/groups_75.js @@ -1,5 +1,5 @@ var searchData= [ - ['usart_3a_20universal_20asynchronous_20receiver_2ftransmitter_20driver',['USART: Universal Asynchronous Receiver/Transmitter Driver',['../a00086.html',1,'']]], + ['usart_3a_20universal_20asynchronous_20receiver_2ftransmitter_20driver',['USART: Universal Asynchronous Receiver/Transmitter Driver',['../a00087.html',1,'']]], ['usart_20driver',['USART Driver',['../a00020.html',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/pages_64.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/pages_64.js index c64a842..fe722bc 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/pages_64.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/pages_64.js @@ -1,5 +1,5 @@ var searchData= [ - ['deprecated_20list',['Deprecated List',['../a00094.html',1,'']]], + ['deprecated_20list',['Deprecated List',['../a00095.html',1,'']]], ['driver_20errors_20status',['Driver errors status',['../a00006.html',1,'']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/search.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/search.js index 6248e8d..d686ac3 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/search.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/search.js @@ -9,7 +9,7 @@ var indexSectionsWithContent = { 0: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111111011101111111011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", 1: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101100101000100000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", - 2: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100101000100101101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + 2: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101110101000100101101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", 3: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111001101111111011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", 4: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", 5: "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101100101000100100101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_70.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_70.js index c9cb7c9..455a3cf 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_70.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_70.js @@ -1,4 +1,4 @@ var searchData= [ - ['pint_5fcb_5ft',['pint_cb_t',['../a00087.html#ga262ac9596c0926fbe5f346e0f6aaf9f5',1,'fsl_pint.h']]] + ['pint_5fcb_5ft',['pint_cb_t',['../a00088.html#ga262ac9596c0926fbe5f346e0f6aaf9f5',1,'fsl_pint.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_73.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_73.js index bd94650..39263c5 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_73.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/typedefs_73.js @@ -3,5 +3,5 @@ var searchData= ['spi_5fmaster_5fcallback_5ft',['spi_master_callback_t',['../a00019.html#gae9bd140aeb645efab6c7552b3994e01a',1,'fsl_spi.h']]], ['spi_5fslave_5fcallback_5ft',['spi_slave_callback_t',['../a00019.html#ga86b45b85e036adc762eed5bcd2a0491d',1,'fsl_spi.h']]], ['spi_5fslave_5fhandle_5ft',['spi_slave_handle_t',['../a00019.html#gad267cfee3a876b2860217ff94f03f574',1,'fsl_spi.h']]], - ['status_5ft',['status_t',['../a00081.html#gaaabdaf7ee58ca7269bd4bf24efcde092',1,'fsl_common.h']]] + ['status_5ft',['status_t',['../a00082.html#gaaabdaf7ee58ca7269bd4bf24efcde092',1,'fsl_common.h']]] ]; diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_65.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_65.js index 65dc865..8942e61 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_65.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_65.js @@ -7,7 +7,6 @@ var searchData= ['enableinterrupt',['enableInterrupt',['../a00012.html#ae09fd69820c9285d7f146260d2c51584',1,'ctimer_match_config_t']]], ['enablelockoscillator',['enableLockOscillator',['../a00022.html#ac0e6a4a8be4bd468fdb082a156219a44',1,'wwdt_config_t']]], ['enableloopback',['enableLoopback',['../a00019.html#a384bc00ef4cd5b4e9cb6a4d48ec336bd',1,'spi_master_config_t']]], - ['enablelowpowermode',['enableLowPowerMode',['../a00009.html#a92583334531874821348b01b24f03bed',1,'adc_config_t']]], ['enablemaster',['enableMaster',['../a00015.html#a2419131a10906475fd31950f17ac8895',1,'i2c_master_config_t::enableMaster()'],['../a00019.html#aa033bd20cfbb1a14f0fd43f4b31bb27e',1,'spi_master_config_t::enableMaster()']]], ['enablemultitask',['enableMultiTask',['../a00018.html#aa8d8b810ee17770fd48674454f59bb08',1,'mrt_config_t']]], ['enablerx',['enableRx',['../a00020.html#a8b9813693fdfd2116e0d6019ea39b41d',1,'usart_config_t']]], diff --git a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_67.js b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_67.js index c71fcfe..d4249f4 100644 --- a/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_67.js +++ b/docs/MCUXpresso SDK API Reference Manual_LPC804/search/variables_67.js @@ -1,6 +1,6 @@ var searchData= [ - ['g_5fext_5fclk_5ffreq',['g_Ext_Clk_Freq',['../a00078.html#ga386d59c21453d1914f3c8194010ff277',1,'fsl_clock.h']]], - ['g_5ffro_5fosc_5ffreq',['g_Fro_Osc_Freq',['../a00078.html#ga62ebb12d760ba0fe5ca71ac1f7a77709',1,'fsl_clock.h']]], - ['g_5flp_5fosc_5ffreq',['g_LP_Osc_Freq',['../a00078.html#gaee8dd95ea14cf8363042356e9fb2e5cb',1,'fsl_clock.h']]] + ['g_5fext_5fclk_5ffreq',['g_Ext_Clk_Freq',['../a00079.html#ga386d59c21453d1914f3c8194010ff277',1,'fsl_clock.h']]], + ['g_5ffro_5fosc_5ffreq',['g_Fro_Osc_Freq',['../a00079.html#ga62ebb12d760ba0fe5ca71ac1f7a77709',1,'fsl_clock.h']]], + ['g_5flp_5fosc_5ffreq',['g_LP_Osc_Freq',['../a00079.html#gaee8dd95ea14cf8363042356e9fb2e5cb',1,'fsl_clock.h']]] ]; diff --git a/docs/MCUXpresso SDK ChangeLog_LPC804.pdf b/docs/MCUXpresso SDK ChangeLog_LPC804.pdf index 325000857dd16feb83517a6891fa0ad4b19506d4..9c2dd5f3e07d6294c6a65b8118acc109c77ca891 100644 GIT binary patch delta 108433 zcmb6BbwE_@+Q16~(p}OqfD)23Fhi$wNQ-or64D^ZfRwZ_bcb|zND0#29nvY?0%z16 z&wlp%eP^G4=3dOYuT`_|-_=z2qix({d`f*JA%PT?K@8m|3nJtTBbO-e|z!$SYGi!$%mwQ-o&p&ktfBH$92$ z*peD=EG_c9(?~WGX^b2kuwATlI_4_CE8T0}bTfKl5t4COVdiW$5UHeI=N-)yG*}wO zI-lzvY19EVe|5LUHOHV~Hg3eZ;oqh3evBf({>9Pvc@Q9&$&wS#WmbPP>hftZwvcEz zJ(j`a%*XU6jG;T%Ii+*N=XNe^xJyC>>%8Xz517v#e>|ulI0UH8eCkQd#GlI}V)U-g zD;jRD;;Jt}m#!J!f0z(Ka6WlAgK2JO=H%>XZfuKLRf*`2jKl+k0VOD4$Y$)M-24D8 zfW66UOd%mmPDOJ&OJ^$pKNlGGNrDA>kfLut$3+}?c*^R9F~&C&aWE5){Yt7|h9Y05 zpeQ#46sRGB>O4Q3{#-(bOn!bc2arlhC~?x|;X*^}xLUsuDj^++6&X#Jx&G~T2l(Z) zKLLyE${Q8eSz6DHt_0ZJgy5yfWz$K#7=BlqY_Hs*Ac3@xY8bK}LSE9@j~*9j=FOTb zh>BQ2Z%o2TfNkmQMOD=+L^(c`ocb^nd5Mu&iC|UC7Id}X9W(v}Hqzb-v2Xyff6Rrt zy7=bH{q%6v!Xf`j)uK%xJ0K$^#tT;>U-Aot_Axp8&k#}6)6a<>O5O)Mw2Lg}TU(f~ znrvNc2Vb1q%o8_%FAQwky2zV^-W#%*cM_^Ub5m-0+j^;feMOQ%xh;)71qqTMTd^1< z@-)vgxn<7wJz)>)7%~@@2m`(y4L9xLD|Fjy_6mECugB0uR=?dvso{#qj?$Abfz19R zaQpSs0kpZLc`gooD{N!uj^Mr*)i_q!`k8}j)q*82^HQL_FBd9`1^B4afY?#A|_3c(+9N(I@@y?CPV+L{lc zmpIqh41IBCPuR&w9iUli1vQMXqyJ33M!CrMcCIFQ!Jr6mK(@Ty) z;kRPTH}=Y=EY)-cmOgpgN6o#oqREzIs*z0@q|2j)T776~D!T*q8TQ3&K=IDI87L>F zk_`D8Vb|0TP|FmrC_WmRp^SR*#$mDCw}I+8*&<((-q6DONvPe^b||R?*UV^mrWC>?rx1U>v?=MR=Tkm%(YntDx4?&PD_GrVd(1gp30IvWnsB z`PY)==8FgPAwGr;BVrN#UdL*foNDGy_AZX5=1u@^7(ONsA`eUo(@YTrfv<8k0EqX` z!1rhPwe-~h++2SK;GY5XXW;%b@cbV1F-1gvo&NVpVO&Tuusci<_@RZcIN+aeuukBg z2G~A`JXOosXGo}gyc}R|KG+;y6dDl7&B4RX4RgSUZ*%c*0C~7!=mb%yykHJ6A2%$W zAmlMGKL-TD2LN(&ad2_-0l4{ra0wV9F%3+QP#^YygGRy)=HcMy;s@|?aq#g20o)KU z2Z#>@!zZ9cu)0$L;>7c7*>n1q`P!~x*~|7!ve#KF(Y z_iF|!5Xb@$5rGwA(!lUc&|pUdd?X-V2nP?C8^8y@MEC&WgK+SHAivKBgwYXG!4wFj zNkCvO4)|dKygVErFa!XCaB)C*!M`RE0l9x2@-I&e#0L{6PwcmN_G_-_*2T)!kpfV@Bs zJ|4(FPXgovae#pQzb=aq2>H7ROpHMFF^~t$0paHXz-RLD@dAKg2nQDghDQKE1@it9 zh>z<={P(i~0{J<>JRkrc{EFaD90=m);0OM`ZB!uN@9FyA{ym+TpPK{za{_qz;6EP( z0OaE4;D!A9Wzm5gK>pv;Z+iYR9m2~2g1^UnJn&250r2v2K!Cr0Wg-yQugQOTr0~Zu zBreCy%LCx~^V)Js^MV2J3;Xp#!?*bWJbzwbPH8?a01u3vg#wWq)=JDu3K#h=eFxzN z{?T^{y1(>Y_wp&rPgxqS7DF0cyVvAl(TcW{nnai^p_1qdMvL9)L}-oW=p~ee5O&Vs zwHfHV%$A$ieuQYUN%;U3avXwhrqhmKs_#02X+A$w8x$!8QI4261PO{rqtg9oV)1psljbt^O{Uf$S z5jrqrlIQzdfq*e=Ko1|UasZj^U}X9;%jam-k~1M(07ETU%lEi=qO{vuShXOggS5} z?JY$7gdM3NJ@ycErL?y#K2Cp?9pPZ-`m%j^=*sqxXFW_pTI0FL+H^ShUMwfV%A(SX z4NtOPi_rF*?6V^AJ6m~0NQsMqPZ$QPU<661=9Q50si|b*j+^N4^lkBje&x@>;s0zrm{yF~%7ZRgY`bEz}qa2eXT>xL3dtgjQ~?TBIjwBU}+qj}UiJq}%7BH8cl z^GR*Z*UTBn&*gEy!!ll9aV~dXDq6fT?lSkA@o<+kGA=B`A=6+z(&Gt1Q6 z8!n#TJR$=99g~n>(37J9aY5j)<^h2D`8jwXAOJTUvHU*Bq=0Rm`PS2M6xuz;jybtnGHBx6yaRazj6oj1 z0>{Lonl4{GL^nj>6noqWMG9IOhuSzLJUxjUvMtEzle&gEWtwUf9vU8hCCV9Zu7b(z zqer&Gh`u?a)(Jbki+qEj9HG|~qH>yLJyZJ4!j;JvV>fHX`YFi=JwcpMD;vR#>Hmjbsj)QpX2FWn4)F-f`Q`r~VkFyt%zMd8ID9y_cSNZEa8+O|S6}1wqad zh?rlpE$|F>_psHcUpEy9d#9{RO<4gZWX1Lz;mWfdM~Ldtm$6kS&I=x5f)!b%V=rE8 z4`#8CRch^IX2BUtrJITVWdnp50?K>-q~0iEagS!4H+^D1XHm9U+((t`$0C8X=6g58 z(+3p{%ulH+;;#_PZStV8RHRMOlpzU_Ko;7Du9q&NB;dib5Yk(>OZ}QSU=2KwvGrYN_A9?XJuG zerXOVkJW*Nu0iPP>fERMzQfL?{jRc6O)me1Ut#}z-yNN1r}ojqIC*Pd9Jx}n5MOsf z?c&6`9&||N8<>oEi@#4tETG0J%`6xh7NwgHZoM~2V`v~m#eQ7 z=L*F<9)FhEdBvLmHNGNp$Ui40%YH%{92Z){iHZVI+;aIOujM~^r%${9R@XgU<0JYx zZ8q2F-~K#MxQs@dD|$#fFUpG<#5)y@&H;-?)26W7$h!hR?wkKPfoD#9>oF188lcv2 z5xT3oK7@5&vS~dcx!y5DgSxJwrti4e^*EiRrfR6^Xg=cvYHIyapfpP;B5v}@%v|K2 zjN4XGJ8ml!rMh@Nnpv}R0jDdsNvtcSiRqplrr~PI%AKwQpn1cwx`y?{um0x{P8sOCvUF zIkjvC2!TTC&^*^m==rDqm>Q>qr5oV#b%xO+E&qvFdE<%j)%Zn+2a*W;$p)MPCKzv0&_v@T^@?wNSV%QXLabgJU4KhVm?195@DD*Dgzb!D1l4+kJR7}DCCRuW zq8XK&f%!|>!n~Mq5qYAeWniAF1h7bHT-bXGGMJ1s0Jcv-io^$j`AF0J+Jqfw;=>}O z@&A0y3;&uLrcFr(%csPKg-8?q-rkc|_z&|5Zbt$CXo^23(;vhCk68r+s{O-!f+fg2 zegxzK!8ykQeWj|r#)t2HSXO>fAgr$^7@Taj{0g&Z31h-G)E}AEj8HvGVKpVS{OHi0 zuzNAr{E|earfI@eDDBwm)I;cpdrg9R_0*#eQy(*i{h(;XIv#6C zk}7Si^skJ+<`Tgn%OaKuYp`+&B6D%zF=oy{yfAtl3(Y9{?j_m#sjRcdft)-|LFlIO z=8b80ZO<`Fhd4fcP~c2BQq|R*3zP8mv9)Zik_7KrQc3M<`fd%-DM=)PnG$nRH;nnK z84?MSV*5}#tsr5z$ogvVVUkA?3owM}`l^~FfZXeizPgl7@j2L7GFT7Nj&~69Lb^9= zUc+nnF*K4jbAaoh`Y7wu<1#gEAKbYhG=8==7%DOC?Y-F}%smBtes9S@4#t^9@giEl zw4Ysd-oaD+^w6mOHm65bE1D(VfsR*im}#E|OVu|XeiCH4vSsWV*Wf+S_~@AUb=c-O z=WFBFLS29oYnYeG`d}UCAYeCrvo5)?pI1T&4+nbA#yLrn?x?C^zjZ2=c+_X2-v>#E ziwc%cK8Cz!uW#f~D0s%5kgnfEAn0hdKSp1omX>%&5fl^1aN%_^YulYCA~o@7@6)+h zT?ZK>@z2w*O1tDlp|FkKU2T^yx(q!IbjZeLB&BQ}YdS3Gh2&%|w+Wel z?k_qF^D;(6ZgOTDTygK#%o%hDVZLn%@HjgPUdgzO-5NDE#^LeHzAoM&leOOj^$#h9 zP%F(GDJPgzo4Ye4Z#KxP@sZ5Ju0I_&emsOKr6;2f1#^FRYRy5V?X%(r78u+sUJdx< zvZqY6Ynvj^W!Dtvrk`mnx~=wY2TWJmjK;xRm*Z_}a*6xC-au_NpzPVPoeYNt+8f>Q zqmt*2r`j4ts_37lj_+c$7DxiJ1PN7o6NlzDIbur>S(C4Jv)&z^FMdB>BzDIu7?^8> z)+vuy%{n?DWB4~xe{f+u>lUW;Kllp4Nb|E*oqzoa@{>n6P#D+He zjbf#Y>q{%yn2POIO3AA0?pI=jwVXVq#L(SJvAqXRPAAKhiGj_05;Z$)JnTK_)`%qqD zwXU9QdXge>XtjHT$jIl>be-p}rJ>KUXkZFz7p&#QZ_1(mF>zu2D#ox>Ix-}<&4{N; z{c95@sY(mOq9=px663%qR0)4=Bk}Y6+Kuxo*Z_e$fp#u7Hu^9s3Q8EYD&Fr91pHfA zrm7yrU(LB+j^RHk_d6g;^~XhoxvBj&=D@#q&v1dtkmr6w`+1Rvw zf|6$K{iv3yE^ReyZh2AjgTK3Zcqzyt?fr1JIt*Z9H16*~=e+!RNWe9n@0FV^xu-iY zQ7=W5;%Iwf6yh|lVmMu~!FQrypF@Z#PxnfvhxXah9In*Fxb@|m$Po^rlhm6i8KGFt zEIkny8xvbk^N;nfrl}KNcK9=MiR=h0UO*dvB$wWJzcC`!T|^iU*huyXo|LR|6`3>O zNr+IjU7x#4(Zp(mk*9-NnNj-P9H+`HzCM9Wz5eVyf1EEtFE%!@aRtEEW;TsbdtkI! zo82%JCMsunsZQWP@c2?V)OA^omP~&@`?%+0dLSN0N5Zv9-x!yC{E;s1f@2My-z?M& zZNFt;uO?A>lgSb*@t%t{=n_%LBax*1xIEg8^2MQc#m2Fh#RTfy`#J|tDkJxT4w6pQJ3tFz3f}{7^da=|GEYne=NP zC>C=3T&Uksk6ko;Tz2TUoA{Wy9)0B zz!RgXv`@Juim5)BLR0TQxfT^Ph6dAi3(dTe9;7wYH0s+^E2hdEA&!65-q~Dzm~mXF zaI8QcEZ|LZ*Fj^Bb6xuGVyp}55eJ!qX1o_2NOqw3W^|iIW5M^)K|H zQ!40Xq5vGqQokQlFEFx_pcunsgM#r&G3PET5QK^L(lvT!6Sc#(>+XWru4E|!LOA^y zD<(Ld9P6b4ID;kMld;Q0EV5*%5IDA!I-Z1+hbE@;@`jj8Qu81z5ej+{j#26k_|Nz_<~2!w)?qQ zMIw?(l$10-4 zBhKF4H@nkgYn?4<4&QCbZD~M|$#i%WfeWeIckZXO69Ny?^r+AZIf*A2s$3m;V@{gz zu3BP|##!-wNcfMfYr_&Ma9Y@Vr)16HTBMFDp%OH&N2qBl=NJ-}mR~L^EYWgtajaem za$ZEDvP+|eCEL!_jypPCLJnv?M74A}Sj8!;k_z0UUPS;ytn}$BJt>SRa{K+=19xss zrfEG{`4n&{N^zbFJ^q0t18r3zigEUg+u5D|sHTA-5VF=7V$!l&l<<|;1Uu+3?s<%q z;jQxrdI?g=f#n=$_WbWb9@ACl)2juHviKeoDDMV7+s%YA-qDCM3T7enJhr^`Y(SS$ z7|Of02xp*SRyy?NK{dg={1DZq@xZVr->woMrAycI#~oq z6#8>1W}03DWDIox5m`wVr!9pYwREq?LDz3SSEzs(~UOGCw^IET(8cxy#S2n$79`Z(To zm`EJC+i&}x_@n)6Yk{@1G~HWnKt7)wvAz1hfT%5Ys_;odd-rM8+o|}O^9+m(|KgWR zcH}EH88hUyvTvTxg&+rhq4Q%hvAa(T^yDzPg`5Sgx|-Iw5ZFU`9M!)!;o$c*Rt<%0^ai@`GD85E+!BcZjEBN@muumK6xt56yFlOX zlpN`VY6|IFiLX%c))2YJJ&dGF-O$sU5uT_C3~O=m1aMr+yfjl+#9TS&)Kry zY!b32svjox78-e`ktuiw@6Q%?iK>CwOc)Boc%MD-Rd@-5<~$T$W5%*M7vxE^qkTo{ z&OTpj+PbH;J{)zo4Opt0^$Z{>tPP2%Rs2GMt-;Zu-4rplx*?PfvpK&3uRBu`Ywd(ZJFVt$LS zd$uDvL$AL4TFtA|*Hka!)>NS_2^CFzI%yXj8)qNxl+fq9LO%o3n^tc z7)f-5%~eJ#FWEr9Pl2g#oQzbCT3s*dc@nx_2S`^^Dg}>3%X|_=L;-+YN2)c8YgD5m zIp@tG1@xMa7f6e;w^TR{LjKo~O(;t|eGLH5xZvp?XrjLxtQ6&MoKrSv=lqL{1 zBQA>ncZ2xf3M5{(3lSIglOO#Nh@bZ#rm(*KJcRiDWf|bY4CnE4wXCNHgDwX{y0H4% zs>fELVl`wkK5W*6HMI}k@$}}pA!GFlNY&^_V^3T}afh3m)@R=}WLW9qqR-KPFu=Pf zSFys#`uL^C$!i~l%GTAd>N+A*Yj)*eW#ipj$SGeIFaUP;c>5sIx#I}P1G=V7nZ@2l9l?#UIg@XZswZ=1=lxwqMbnZ}NsQ=7kT z_Dbsl;S!bX8it-^GWYQ*+`72VS0ySCxRmdGCk2>7roUTLlQ_>`+kG<)Jn%VMuksV5ALS>|EfJUuurXRVM8^P0)2p;gWr2J>#C554F-?g>09 zcXQgA>vTS2=I`#kT67|CyjPgbaoEhwx$ec#$LF$^+2#>3Niqyfyl#`Gfi zFILi9%J-uVAy@`j{1{H!k5@TE)da9%yJ@lGJU?U5b!W4(vQWROII+mn4L@&aNL>iD zeiqGuk-}$-!J4>%S6s6VecS3q9`Dd-TLhj_&Mx8VqQx+7S$@fo-%mpQ&pdHFeCpb>KT7M6~x-x5{J zM;8R;`InJWMX?3$uEm$1zuGh*Dq3f~8F@W}O~*<1dBDhtgX3PLHPkhww$4R$cyV^& z2Z@y*?b_Hn^EAn`#@*3=`MKHfJC>rce4!{Lrf-XQuI}!6bgr>7nHui={$C*^68RjH zUvs+zFiH&Kc4~`HgrKaS?^g=_cXNgDs2mk7Za>q%47aV+Pf z@cL!ykM}#Znc6RVo1(9hN9H5^gr(Dkf9@W<_bEQxkq6Lzi8NumQziS-Jt#=PtA12X zIGmZ*P|TtlPsU2#SJ<>gY)$Zm_j2TLVYrJUpUF;=`AtX>tzbU%S-VnHVnOjp>g?{| zxs8Biq#<=&D)`^=Af1hy? zI$yuMXAmdA?&$SRZIemglM?(EjAi@brC*&V%XzlZytPQXFD)QH+v?Fo=NBpUi9?f* z==F1b#rdg+?Nnjq&p)76p7u_DX)4dhV`)u1B`4MWEZBdWeva^n8Dp_47Xn4-dO#p;#oqqKWkjxc+CD^*U$qz{KzOqI zZ&-%cKK$Y`{@=MAzukpM{RhhMc!TCsSc3^J#cxLcN$GLE($)chdEi+&cn%%R5A&y> zV+F!X8Gh%v|8w7;u*Y9gV!zG_&td&fL61j3;BR-~5eWDP_8YI3;jsS|_xM`Z*HQc_ zCZE>R3HI*oG;-;I5lS?@%9AdVXTda5;NJV2D8>S*kkD^O3neptZA4Pkk<0Jhgig%( z)9K0!(eR5AkXv!@$pEtwJ_yI++fsI9M4#gPVAjE3$tk_4n)GpBFw!BSHvs9#*bWiI zXTl#LNf0+e(|%SDw8e5$(r-Uu6`(RkO2yj573Ey6JDs^OBmA0IC~bhg$QRLuF2xb? zykw68&3Mc0E%?NAJEau%TB_=Mk4z8waISpY3j>V4!`ksY4Yx&+T}W?blaBSZGrusD zw^dtZ)W+g+BkUx_{062Pkn*`N4VQ+6L2O-GBpUSwT6&n=xuj=TDXEf^%kp||7gIQA z&+@79mUk-LJ@@GMj~e?tCZQBSGiBo(^h%xm$g74#C*{uD&UxeIR~_%gB$RMDUr6wH z(&O+R?MW$`u=bIss%}T%E;G`$nE;M`ukBkJPqnagYpi#oF3oJ6J?fJ4xalt&dSDVn z8+-T!(4do>#Kq;;%8X;=J+LZ;+-Il+s}fJ9w}R|^gt$B1FP`1VVFO+Ln5SCVrvqE8 zJNQfdy;b{aCAv=O7^l`PFZLpurb<45_8X8oIl9I)u=V|W`b*Ix4?^k&<#h#nX=||x zJW&+{kR1a@0-}Nk)88?~hyZ|R758>vb9Q%Ls8f>Gb3@~R%#N0P*_`nJ#PgRfI^5p` zfEoRK9^8^qrUrW!RmKZVdJ$U+*^95`D87cZdbVI=x1P7gYP2+|+? zMPUTV{!BUkL-=}|EObuWzGTs+rtadJR!fvr*7OuF@HS8Q`q@5EBjpx12I5n;ecpb0 z4%KOmsW$sL`U#|DZ9C@Mqwo$8cpo|Z+?Z&8I^}V2h|(jxFXIQ-&Oq8^WK4o7LVL{D zU7Z{P*pi3K0F(DTj7vbGTw04fosV887P22r5t765woq4bHhN2)^O@%ROFR=E(WWJ3 zx94`Czc|cac&+~Bn1tsx0WI9l6(cr3!SF68mfxFNjXJHqHi;wBqLJ z)tY(0>~qK}TUoP+&~#shVW;O|xUA)UIF^`Z`YAD$(X(IM*oO@fFomTG^J;0w$Q6<+ z*^_ke)#4HnYgUvB+rM9-H!;F$_V5fF?x7sry|W3M_j$h{&J8+et|C7n$|P7V<5_joMEBXAZUmuMK+IEl3sM!%Pn zk5C@l7K`50>|0M{1b_GFCv0Ke)BaKT;ds$6Bi7$ht!MvBd42w8Hyn%@ygBWWjA?G{ zJ5Dl-QbtK#(xm9dAGSPT)&q_N;_DLVQgI1rV?xuQzq0B67=rKgY&xFty~WgZdbNVc z&gb0nTlB5o?3}lrVg&5l=b2RgGMg*jb<~G(i>&;S^(~}@lnq70_-2*2!k=bEBHHd; zRWQ)c%yoS_S4@k{JuRofA;@-Aonq0CW&`xRKtZi2>`vNR=HaW`Q={+6R@=^Hjcnqb zz29A1cTDc+z2pu25n8ouqpC6T*{%#Rpk#qmU~v5+LEC05s5MqnCEf09>>AU``2L`X zGT3*$!QOVaQL_DtH2KY*?{AwK_-~t;1P;3YhVyTunfvc>{yp#Cm2W_J+19^nhkijC zUS9@hHZwf#{9ikM$pZdGZytCx0=)F;3k97rT@&A8O2)w`IM~}Gwp%5lt={wEw5x?Kky0SI4B#5@X&bDWxe$s5o2Oi5(?Ao%LK7?# zToypq*~bsMd9f|U99F>HFX*TGLm)ouH1pJPj9f2Zf)2Serg6yZhstUeU&aeriFN4L zBDviK7yEa}ZZMR+bN!Gnhse9{(|%6=IMooWKM8&=w0>JIYBPFit#LTYMBunKvVVMG zakXJ`b$_#&aqv~spEoi%wPi005HhgZJC2Ofc)JVX16~huNsW=^ z=tXlTrN>;!`C0sAd&)(~Lq2DgD{dG8u2B&FchrJqHw!CIT)@tk&G)7(;6N#3-{$zN z^5lxFKFTwM;U`7=DGlZMap8h-!gKmX(Q>)_1 zaC=TgH*`CO(w+Wirn{X>2JXw$;_f{Sb>&O1ko@6Lr_6eL8G?wMI!*RI8c5dg;MDak z>RgV>RZ8=svq|)^X4JFO2sv$aPsv%sWm!R5p1MD-`giof|j^=RPYkUS*FeZOe3+) zAf2o8hyemR1A7mUDR>aEm7GiVnb|g?M(dZ3;GfwHH;Cq7^7Cpg0mHvG=U^!yq;$^^ z(saj#^4MnaRz$OhsD%s&t@kQohNf7pGbUQtd~(d0r`8CvU&dSJaaDr~_Jls_HIlhw zIY`^~sVch7UwNur*(~Xzcd^*t7(=hP;w_>FbDyG&@3737zp8L93cpL1REY9a%gWh` zA5?9Lrj&^7NL3~sBIt>Q)P0hh{U+JBMbXIb>7!X;9~)P*%wx%J^{iLRmhEWa0>G(^ zxXOs0gz(y_o&enILIrpF==MBmF!d|r>%B`>KM&r>Fuhmc4>K7TltbFS246Liz2gCi z?~T6de>=P!tS+3HnVwklVT4iN7*s>!Q;f|jYP`?!%sMqQUc9n&pTaKa^=Pf9H+hw# zmD@gTU!Up4!K!l0t0h^3!`f6U7`-jna-6vM-Sw#^DKCSI_Yy5M?=cvd_Lg$?Y`$Kn z#NQ^s1n-O;MPz+IiG5ZjDo7s1dHtJvTWcV$D5Wm5yv_CKRKiiYyjgTrWv`KWGNp`ObfoVx@ z;%;4b%*h_-0{+qXPr|}izW70UytX04Z))5p zT+Xbgzx$o<7WH0Cb41cFW1V-Gei@#TGbVcx*u>}fV^6N(c?F*BW|$zY4(s_=xozth zLZ`~PL`L+c{GrI)p`2-)6aHLvQmn)j&62qq6#%arhqW{a3f1eS@qPFzKRajRW&O~+ zP#N~L@UHzOJ4m-nd)8ixMR(9!h%C6=aLu@sgEV4i;l3#v4O`6V=ceL(IxjqckMP)H z;0c)Wpe$mS%vt7!G8pWxZy>0zl%VW?C1ILn{T!7 zg|)E~7+F+lJrz_L)`>Vc2q86w}!1hzsgoX&DYYngX~6pV4mnC{>)Aw$cQ0No9X@01e0<+@@QRb!TA}MF>tAMS|K&0y!bOSq(vsiXtkZ5hOtF^J#q*;hY+|g zp!fjgNe^uRzin7lPDYvNAH5nt@6JwM+jq>o*Co!P3Xt<+?SO)Y#@@7J{LD{tZw<+g zB?)~t%&kpw!_MQ^@NxBx#H-KCReDz7K8bQWG3tH3Qpg*+&N}(eQBoccUmZPY-9F7> z54F`+bZ_TIP#-t5X-T|xmMP-WzsJ^la9K$UIukw-b@a4+OO(*Q?T1KIC`WnJf#{=Td);JX%BpnK z$XNiYXTT4e)P6;}_gWpzB-RbkBkaAIZvjGz?P%#@~)Z5-8WYjb-4L0y#0Kp*)DAjmSw z57aPbeWlgP3{8JS7u4tzU&DgkF)gnbK*GS-miu~aJu`}izu#(l!X_}AjomyB`)j~6 zpn`m+2K$;sC(Nyv5~n_Bk=ikZMxYx{Oj#yw3n2^P4N09tL%f5wXfMO6csP>v>#zzT#+{OnM!I(#Bh$ zP?TDBK7o@&*j_zKHIz-s+`(2ryzOVJ=%(HRl+x>aruNOWR+(tkRal?S3{9sY@Oz zHC{cLyfOZvD3=~IPRedsy+9~TVoY?Y5_c=1;LT~}R@T8tkPe(Qy&+c|w`hD;DyvFv z+)rJ&-Qm72=q1{=gX{C~@*A%&#I6J(4?C$W_YBHD7qpvR>Qneq8$@fqCls^F7O)?y zS(;Ij$I2zXLK!paRUNyKW<7`J>Ubp-WDCG0a(e6Ww`^>Vg;y=K*#+S6P<(cpPKnu<1+@n+*GSWnu9yHl!2h|T=|tB zQ_9ds0|{tv+B1Vs;;~jn%t{3^e*l3TA@a`ZJ)1LF+f^pFD6zN8*g*$b;e&%r+jB;= zw#;^_IjYwk&H{QRZ&d=(FdywLZ892{sAn;MPsms>DmIdv#kAj++nBqN7=Ze>TNJ6WsJzB_3z-#feF zWLK1=Z_jG>Vhxb1FA%w}PIZlzS*DG>`c?psCG|fYYhUlKK_qKSENgZ?=3PN1dqCmT zn8f<+u7H4lQ5>M(O%4B{IN-I`aK-We>aKwP?v;RmzuXl|An5O&1?V456#ob)fw*`% z_~5C9-*q&92`IrEUH;Sm`Tr{i6SE+OO^Qn}{yoR=&!U^Zc4_>z0Ot`9^vCu16~Ovc zgR}9<7VdiB-w&0IoWUAcBsk*?Z^9*_zmGj3-md1B%Ud%-5wf%yf+%^m-cQ9zw&5f7 zo-^`Z`i}0}*1U7Q)c$_q&X-}8#EYIp|6zy%3Sq8BR()c#?uhrLMGWSVy_n8Dp+HGX zdDtC(QNW6yGei0z^O%}2#(0^aCx>H`3kt>^tpQu9nV_i8+5p9i`-yp5BcO(cu zJ;j|o8Q%_S?2v-;>@x%VPIDHT2wpoNTJ2<~5mvQQw%7RG;1}si3VP*{k6aqEYfw=;zd4nBY4pje(en z##MP)QYoIu`{CcE{N*i;Fkaof1mm#Dg3I6U5mS8vS(tZG3G+E!+lYm3 zuo}u|-!spcE^$OIYp<~{?DX46Trn*Cx?Lb>oeK$p!CiaA7pxY#eXXQ6_c~}AA?{n? zr2#>+ULUCPM-8``3R~6tmbZAPQcT$4v`Ex5)@o)2L(Lvsy=n)Hi+xY(!_5W5PAW?p z%5T3HdfJ`&zvGg3{jA8Y?q9x3ga4^y=^->`2=%Tg`&I99w|s2v)(GLEe9)Q|#>Io9 zhgx`OYG6f$g2%CyrkX}9MhT151vm#F&z*PNelSxxxAA2SE@CY z+}o`mS(!=75EphoGL7VT?rxfHI7)Th?&cEm=i0kqJel#pU#yEH?P6Gi&UjNRzU7&D z6F{(Pn@TWN%m?dyCM|;!v+4x{fV9n`yfPNR-pqB|Hm~OE-=!`Ot97tp@#W*T)SlKC zIjb{c!c5MWZW?4y2m6Fz;#Rv7iEk?wc3j@M2Ocvm{`i?};D+bFJ5G;Htb$vyRIKI0 zyFsS8pgwqUI3e3T^vqiaX)^y!~bk8%lz~1^&5BikZ-{~O?{?Ou`8`|m>5?c%S8TkGRYL(KC)ufzzi$7Y1O}2>s;^lBaCFmRo5EM zmBr}jHnj9XbWOCiIr)uR)Q|v{Z+}qxR~!9Ld*pwj_P34x-(maV0Yqw)U$!~umu(J( zH^TiLjsIzU!#mmFJ&Qd5jnrRm!9Uxte;@CElY@6l{zVY*e@ODc`)IiT32~SZ9VIpJ zA6=mT@)!O(Bc5vzkqlPMCHg<53t;G0*svRZ%tv5exK8<9Cb{P{55a!;(JC>md{Js4 zDa>ZQoT9bGUe@;`PJjLsNN9RWubxJu)Y$5sA=VeS_H?kOVP0g$pBW$78v#)Tt?DX7 zC$!R17puQ^>HL0ImCQC&r^OP*PWD4jU9{$*QKe(?TGM5V8{Zyv3TlfKOR(2+g+*PQ zGBshi7i$I-(c$|fTaCy?8S2E3Dim65>g6AX>t;tD7jM2ql9y!4yeCA?1b?P;$U-26 zI;N-wJ*@Cl*VQ3|oMQ`dZp~^qP7u#;4JT*~9kgRpH%MxNg+B-*nFPIyOn2prk?f~q z82)+*G_>ti9F2%6!%8df6N)TjG@k~&*+w(_ynp-=x)rYD`IBS1JCk(weUOTT8}@<8 zUcH!f1St%N&ek$e##qn9 z+dN&D7*!Q*?Np_Uv_FieU*q%0J6rK8Y3LB?2Q?StW6^2XYX2mW?LI7fogF7o%wvH7aFo`zHMSxQTRg4{5a(aB(ml0lmW#L7-jEp2|znbomMuJIX7NLpUsD zjNb%&lrLBOIXFLYq@VcJ`@J(`K4>?TKs$y${?_UIhx^-I)zHRr!3p$F!e{SI)XRq- zaTgTTy^XlQoY%x|A4l{LN8_5)HWFYRW{g^$V#v@gTR4G zWfEF}k#i3PsNn4d;Hn*uke%GAzJ9VJE1a&l28^a7w;qs86R+$szfR5Ey?Z!r;B+jw zG%{x)ah&X0v@JEo2C=fv6LFYP#yPpfSUj3OBvp*7Yf5;j!ZoB_NgPd^9_jX7%G_Wg z(xzZ<&R2E1M&{E}#_mRASb^K8;YB?rk#lGZjhl+j7fQ278++Y0MM4|OzE_UvU*Nx=8Jc9XCstN% z_vDf{lBC(|;@9YGk3*V5J=*I|rhv%fZ)qcflqeB!q!>u|@q{Ibx*Fa_d*ocE#)m*N zzw!22zvg+NUhR;S6+2F0(yyfZA;-XO0og26bYwdhm$u$I@}z8!hY54uj{@br6?823 z(r-u259LX%jQCpNDe4DXd+~&avEt-!hZr1W%k+xnsDfSjJ19{qK}$Aub@7eg^|~7! z!g^U4?PXo3OXN_3ULfDh*Imub`EGrNg4RK0-0Zu#<9d6&^6BJ=MNothrbHiUN_*bY zc6%xYhnyoz*XdBB*@+0dliuCEq zgQ++A+J&{vX4-0&$+uo1*V>S zesJ0C4oV(o++vESwGe2n{M_>;2$~TmvA7wAP|t5K_fgs6I6eI1&XRYew`a$e$ox^b zLG!Yw1KU1Te0IrOR>^ahJr!5ZjvB={p|6oxJFZAji1h00hI30QGFrzwF2}({=t9KRUpFTd^{Wy@u#b|2V zmi!X0-*!xEr(pnFL4F*c)s099Ya_=a0doJNRO#RUxc~_7$A&vNAnxC~@IQ-~{^E`R z;k}#xsXV!1bkdB>z~Ak#e^sj7|7Z;VhkpYG!F%4}xe!}AcryL}-uGXM`qwr6@5yv- z{@=M15d0sh{L+q#uXLS?ekIe(Rz{t>DxZAvmFa#VV7K>#iE-T7amWn42SOC4hwL(D zxQv29$Tw7CCt#h9&ss6|lmGypVbhbINv=0DLze!Cv#o(r))?v^}SFojTwpqA}8frb78mrb>YjM2k;3TU!fy zykkq>{sqdX&+@!To!ujM$)q4HV4VPjMpAFq7awaG@&>rnXvCgXZJh zkRJp7y3WyCd{=#!Xxcf23Cs{_i00`U^J#VQ z;Zb6+sz}f#?imOjZsK3ES6p}?WO6GEon{O5IF4b00BH3x1IqaorifaEt`j1t zs?Mn7To|=h7$Gkr-@DbLqLqL-UU_W<*oKk&1t)hS%s`DwKI3uLc|ZsY!J`wl3P@3Si*YNDX6IkwT$xa6q zbMlO?%S5u!UZXPUQx`#Pok%#$eN4GxS8axPI{5)0vtgCuE_ok0bQPg6&9tguQuU}< z)eo#lYVQU1?wnzQU3u*J!ZY?+Bi>BH?fnNaUkzb}``+r#T!qoYVU#>*r_OCBRm&}# ziL8&ZNs>{gwuQ;3*LLH;Dk0lTxhvu|=*F)U@dTGjv9o9=r%vr0nIJ zR3@_E+)UVVU4#ixT69m8Mqme8)MkFXDIGFinms$R9sh}puBzQ4YIs5@mGnhQdBC#T zmGVO+RL*dB!4C`ucY58_9>+4Z;L)fh*wu{G58uQ~SUC1sBOnlhfB|xLJNhMNSnDF6 z8{%nV4M|nQ14`)fg>(DzRfUf3gnxV-i38%FEpX*tuK%2>JyHd1kgXSL*fw#TH4h7{ zYZ6ZoH4g@+yER?8YJBmP91r!*BD%d{;Gi%u5V*kba-gz0*)#~h&Q~{#we1v{aD3hHNziEdMO`q&T&#iF7OSf4h^=sTI9ek zCi?yYNE1Oe@A!3DX?nR5!ymW2r0+!MtvcfzF7o`5T%^RIY+k{Q@+53*R4klNoC(=$ zw(YZB9ljttqhfJoj7{zsU-#wZmTNZG}kL`g996ldvHF z2AaVJ{3p`PBiafgHR|u5>tvk)NRp?>CNLDt(=PcFRL5_hu=!+1Bv3eyR_uSgr z`#m>`nDC8K*=lw%66B1S^<(!ep%Z7GR9oRqHmzOe65J4>J?0iKC`GY^~nXf*C4{((zqCB#Bc75WY27`3_)sP(>J3?8qZ z8&r3U z+mB(3mu&kD8ESnK%Ymwg}WR3nb2H`x{8C zEjwe1Io8s4!n9l(WOFl&Uh%!~`5vBOjl~Rt!v2g4A0;xMlw(_X?=K7GG?Yt@>A>() zj=7vF0N>v`iC(YnZFowH=J)3gWKE2vSRnC{6q1cX%C!R&p-GCo;+sQC&9?H%wi4&-|ydC-|c!H$GEe zUuGkI;*>a@$!t8}tEcUdcG!qR?M=M)%Nv9fSDCO#f0zqBRigM6w zrgu!QqwPcv{vfsH=@LDFsnf#z4U2ya8%`4=4@lWb=)~9Gu4VFB(n$?B-dr~2LHOEH zcfhT$=Hx_T4~OVAd{{99%+C9HL5|LF+MmqH5g#VMe?PZmCCJj1S0m?R-W(UAIu!({ z4_v#~<7P1z*_p0=z&2j{p3Ib{MmkHtt3=W1@>O9iD57Eo0~^gqDU~Bq{29s{4p^w` zus-06(o=1@g(~Nf$)Nadm;7lf5oL@(+pAD&{kYdTFV!|A)af=PzVwifZ{I2cv zLYth{#)&*8P~>?{RAeJ>H%t4+rKwW-J3l!-b1uUgN!1r6!QPe>dF-Pwbp=3tuFV3qgG zfVH{{6jJek?TisbvqK>KmNnXrg0q}4I>*Q%{Nbm1LbKb-1RLSNnU^}vEdr%Pr}M6j z#U2`J^tux2lLK!3IKmZ@Zt1WS+zF(`7}k^8FcsEwp{Y$6$D#5;wW)mw)hwtUaYVB> zzMlQ$uCYG>(YYDtN%?PO=M(zkttg6*faa2y5u(BGC`6N7%v|7Gw`@>MCCVWiyOx<; zv16Qf5Ow%L!dnuI18M1W=vA5I%B6EHnAs#2XW^=nG8uX6fuio}FQDv%UdAnEm7@!? z+E+wyV|+>e&3f3OE@f59xp4DD6zGGo z@e+6MXqAcK?Xw{lJXjA~2m7Bda>F`Wd>RcIyHuB{39^rPZC1j6oc2#EEVyjn!_8-( z)+9JI_CqgGFSm!!jEpM5B_9NKm~gqB_TM)NTdzV;BnBS$vEDH&Dl6{3R=l`eWn4HuPTG$|wOx&;KgFCm zAx9=m+(1&x2zKF5-q)*~j#U4^t(+lV2EkB?$@Ge+@jLPGvHTZIF82joiOH6+l5IK! z<+%McOZ#LMzKk0Sl_Epv^{MPEzn6;!KGoJj8BG%>I{Gc?UmnZ}0F{RN11P{(JsIf< zcoP>ZY4{OK^RL3awQanjQOh7$cnvUfu`?H!R-6zAp3|t?LzjiWzB%Gn3&`1+^S`(~ zziyuQq1EHU-EtQROydZkPO6UO8mW_yCd;&`W?hubIt-4qR-6+f`n-T2o&tPWT7`|? z@cJ?p#?9yzK=c6VQyTaaoHqzshe3y8XaC0r68lrM@NZsCFuvO32GZ{W`!7_Xf5f|i zfy927nC#&F7*$Fjfc;Oe<-ZcC|1z-H!AM?U%(VZI)?hfFKRf(Q%KzWm0iMvj{*v9K zpji>Y|57w_usqpeTwpYxM?0(=Y=`|T4YJz3i0WO0za+Io(-M_MxO5R4I1jSYnytGp zBHQ+M?@~&#y4|74Vti0=CwpM5(R(XEA^s`^S9Yl;s=$z-!t>z_KC)f}d6^B0t2UsI ztJ>wzxY{++Y07J!Pu`On$KMX!NaD*OZhyy7*jov)Wq2urMqp*aU=-S>eAbLZbuB|&TeU26P)TooakIwpDi<}j2 z=)9Mz3(Nur=%7YBpp~~Z_4e|Ec$V3Jtg+8tdPAF(&l*pn+{gDqM@GLFS}We(p(Iw2OyTw@9d1&d*!-wICIwRbdUd`H)G9en|YR zQ}lkbi1R%-m}dQ=)!hr&MB>JB=atCl7p?Jz!Z$PcCfcjjeI^N ze5AVxY)KM9ldJ#GqBOtB&ggnq-^gHZ!>Lp=(ftv!VtlKDX#}5AVI^&k{R3|NfFKsy z`@z+3P640*fqskvb1$1*`_?f`{7$n2zwGz1b7$uyxh0(#CbPV>c7V5HAv45J zg&?wLFB!+112PAlm|oS@e+%;SPMzeT9~YGi2C`a{Jv8Z2EF_fKuZ6!Lh^AN{r{XhC z=%;y(HPT9N*$mZg5k^AEM`hFhwb{qRqBq-;#y&_6vfpJz6pkZlw^&@T2x(T8BUonb z_AU-F!&e0-FzYm}n53C+H@xI|w$IL6n`D!|WgdIR6!-`{ z<3JVcGcK9s(l^2}>m~ZdcUof13l`86p&D4jIA_EKM^$1wsF{atLlBC=bK_(C9{e&r zHDmkAepGg*6+P2$t0YJ#PccfCjs#zKr^4Vegnxl6s=T!z(fJmCU^ZT8YGp4}Yu(-O zQ)$M0MN;fk=LB1dk6iWhbB$B@*=h6`?o!}XgarnKl*L_y3vFhKcyAbOS8EF9_b@}{;p>!9a~ABEqBi*nJgxxBH#O$!`3NSRP(7ZA zjtfkVA>~lq=(FLbS#b%M9mNOFWH`!&cMu_r^)_8lC03eu0osF=+i;ooIaiqssGLB; zw;5rZPRnHj^jF90c^#I}WfsFb1A!VF*2*7RIXOU%=9>9j#M>}?boxv={^<{2J~`*W z7jplYr@!*!j|z%}sw$b(Z7(_~*WyEGwzMsHS7UthoHpaYL^VVUrL{I;j}j5CbP+)$ z2rWgG!m74Mv|%Jy)~u|w^z}Ao+D9|sVKVhQxdcs0UEhk2{Op-J?p>rz3&hveT*p2G zRM}Z@md~+8)%^~8TV(yBh}uGW6mkJ`v}ggdRlE)~z=ltVZ#?13N#i!SbG%{5)-lSD zGMkrulJtK%sr?+I&e7ak=Dx}htfYWwT_|{#0b9G_3O4-%mt3Qq$8JCjsGsV9wi@gO z*3DO#fo(nqr0$zSDE@=#*wsD9wx2COGh{$M56g?3*)9)%M^a|XEOGGmnybZOU#8>5 zPr_v0P!8S$EjwY!(x8L&@~%Lo47ED%l!0kp7P7bpc<7(Nw{6#1fb3p}Z$7OqJ_AnB z$KJQAAe%u${P5t7S2hi#Yb=rAr4oF)Mxd>`hVv_=ySmm;keb>!2ALR4>) zN@tAqFXcoDPDx7j7*Qy`hmJQA@Tjdk)1vwoWmLJ=+L6}C`!6^F&<+d|7^!tkfeZ`4 z@f3yrn^pi?#iRLObpnn*t!OYb3s@&$0C4<=Z2yHn_%G|86^z~r4t0EtDFNM*<1s#N zS+f6MN&bmb2w>;_|8Tu>JX+(J;G|Ws*Ws7z_01Y5mhVW#=-Y1=SOfLmI|MM>`HZs+ z{jMp7ZoJX^6m-%1H`Q&ehjEneX8>ev-wbbzbQy@Gvjly%r1H8aBePwW#z*ljwx^eb z1fWVn;B%>}QQ+p8B!1R&rCPf7{ZxGXa(|-US7N%I<*SI=LL4_I7t#LC*6*9Hfb9oxK^L9E z$QK!uaq5*6!xB82VP}r4t-KhsfEnthJ~I;vGii2f&aJSJiJqjukgl#m+T;t>X^Wc4 zp;70$FVP%w_(F3)sU28jU5la8GR-mtdAZV!6PuFMEEs>SqO2~7B=OwJ^E(ENSE4rTR)qqjhCqWU$KeGrMZa?{)HSN;92{YD({5fLl{Usbf`{2(M2 z=}tOO>>sFW_t!r-&F~OG#+=5jIQX*g2k|YVhAt^@{g~vr_3?l>dDXJ-=4LsMl`g2< z>x?wKwjZ>h9H@#XffhRK%ZPJ&>abC1UGq?bC}pe30NiOa7Fq@^S<*HqHsbFdvL;87 zyAHhVweLj$5m?1wy=HfM(8HE6I6X>fS`qsxcmg7mXCHbuUi1deaQ zRm1B7F2jXM02&#wVYissG?y*hz0$m4t1X{KX0qWtUj14Ba*&sSzhTsYzsanwL0yQq z+CgOCb09bAy%?SOffw$(H$+y7f9IqHMkv@ce z<_F>4i(E#y`4F!kd#I2uKKdfX$LmgC9yZ{_(e=Nz(Q|95xWNdSGGx*OMCDmCAq+k^ z`DZuLbKlNLth;LTM|dobBw~o0_><6NYO(@nqc<`X$+sdlwev)(EN1RXjyKanpo&A@ z`lC#XxT)qb=B6T81Z{pEsY~1iR3p&TRU)Adu&^knv3$<#C*@dRRVvOti=gEJihxITU-_a#=>pU%_c{)=YN38X0#)CO;4`0IzCWAE}1ipZ1j zGt1)obOi1-j6d<#%WDsXv1dGAxnhRPpb2`6e*ww3q9oIQg+%<7x61LKrXm;#7Hle_ z|7j|M%;SHht#Uk>gkWInKTSe#(&|4QxSYRIpnjQzpja9LdcgmS;-A}m;353CJ8vMf zHLPc(0CuoT_E%Jjw$pd;#~+-tR5r@k6MyI<3;$!3iUN`??Y(iRgEeF(N4+9!=tQKLxJjg#X1elyi!)Tvfp-$-dM%F zsXLv#wW?9H+cuvkZ)yru9jZ-aa#Z;-rxTL374(8)o%K%KIRG2s%kjZtI2OHVw^*IY zFbN_)V{*{f?gq3L%GG#hr}%YcFm}Q0&!WJ=dL_ql4oN%M0rAH*zw z)q%FVdmJD>HmP#&D}v)fDG$C>&lb%5gm`{g5Q|Kb_ckY;`xaC9TL3+k;Km{iEqs9q z)#iGzege;7k@OuY^|9+R-YlQ%t3sjeC5aGA+$2A(fPn_H&v#680g1v+lq@HKMW4FY z9mGHC=BqG828pm167TiZ*Z+{T`Q9=})w%uUIUOp{gELhj8s>eIhFHp_1zv)7A`eFF7n^Idv&ROkLL|etTWiPJhbRi0 zTWp6$oAMu2vHM+TI3iD=xYb@YR<4^n(nbsCL#~V{A{y$;_^i^AHIA{T`ze2;uuD-b zo_q@gdQ&8-4MB|$GOB;l-5o!=-@p81Bh^6jG66}@=XtYRHLpLAy%2zFIK9XZ|ksApV z8xb|xE~bzUd2&XoeX4@jlP4)-YjXL-AssG60OYnRHT^vN93^Mfb=zkKg32D|;L735 zE_g}=w*QmTu}^|H)Inavn3J$}sQ;@6*=)ElAc3s6)ANJ`YbXmcjCtc={E~b7-s+xp z?7`@M0@{y0?A7E9M#fHB_DVOtgv&SW4Qrus&XeJiry!c;)64+~rj3@~*Q{33l$AIq z!N6v6bJC#6y?64F=H$g`N^GAK(&l>MAj3!_A}n5ysu*wAk&LRWn~!l;7E}N1H{d+3!kVsFccU<)63Gk&vcz>XBVY`A@g)|v( zmYTjoegd{UJpH<04I+P8BjeR8X#m->Y&_B{=C2goDx+Gh!W=B+Obl*NdQff#O-PHD z$1}ZeHMQ2v+9sHX`zR%>?DVJ>h4FO z=C9^(JhAzX{)=bg5CF23p6kt98zvEPGPaE>=6G6~;ecC7vS?Ek_oKY=f(|~=JxB6^ z(IlsJ31%rKj{O}P>4Yc7lR&yy#rzc(!ug*D3J3Rp<+l8fjXp4L0@y?WZQi3k?MH%t zda(fDo^qs~&M86g4gdXyU-FbLBr4)xrE<7=IG;)fY^ZC#nP)+NG&AzX+eJe+^6YdB zza_Cpjr*gr5KUu*6@_KoK=}GjClN}?`5Vy;o{_zjNV>REyR~rh;>Ul@KEw+nk0BD8 zp-+VPQUnVDiD#iZnp)}8w)G_r@;-G2bH<{BS@RaWIPFD{fw{LojLA&RGsogU9&dcb z(Gmdg$7>^)H?th?Nqb1!7f+8v6Ei&*4jR|%X~w@3yM79k+cnzck(t7KYqZbJ%fT3f zi;O8ZC1{=6!!0KeYic;~oZjN1C&%Tb!S2cPP)jeN=o6Y0dLgD}6f}L^a7x zP;vcGM^Y|)6+&ye$3(Vk*wd!+ilyLtj*^lb2?^q@MUDo;c>bAiV--YJ4GL&gbSAsA zJ^$6tVo&sIawsgu!Y?uF`S2XEc|=i*67lN;?BS;8C*Q* z3Vzs-e`!bmb`h9ZrOoxeX>w5S17#s|=4W7xR~{F3nwC`BuJGsfdYd1}x0XpXp)fn^-agR<7ONcZ!TKEYezq&O=-(qF#h`(3eSB;G6Ko#!DdkKvo0E z(=42&64v0C?G&>4mHpgo#MsR1TKsbOZpbhhi94e=COF5=4IrXhpF!Gq z6^=Z9K%hAPx%a{Op9(GKFNK!tug}ZB0J4%b^#1~4;rwfp-#=WZ4NR|>^FB_V_bz8Ic z7%01aiPxGTaS|mv0uFlifto35?K~up~%@h zmt50t6eMl)&)nO-R=%kVwMeGann`BYm*5{_TO8VI^6?VL(6MwRop~!cE>Xvt)&(EG z$tjX`Gm0ck(md)i)Gx@3YEynQ>LxbfLK^T1Fs7Cu286-Gx78aOvbvv83F9rR4cGGR z^hDhk+(n7e==u6@kyczIeFVF=OFZIJE6H$l0P6D;3@Q|4 zQ`0Fb6l{9i(1b-nf54j(Z8$_8#L-HJA?2|X8QJ{#P) z(i-VAe+ie{b$43)P5SG(^B2QlR+t~>7Q)S!1MGUc!43)U^}V9c%#ZuWyX+?eG7f&& z2^>j?l4_~S+3J&Vl4);6iVnzzLfV7#|q0H{PZj_j;yCD zEXoOJOQvom?6#%)!j9;p0eOqrhBOa?*uw&`lkdtTyzD@ADM+D9yJk+>y+!UMc+$WI zt{%mW6aSSH52qPm8B;|tF}*BGIebBBts$@;a5e=zw^n^pU$}t@s2yc=u7S5P{xO@AWoh7VzEo5iPm61WtDJov8%_Z(wQzmsl$d#NkgnL?KAONOxazFk?a^*Q#;%n*hJqq zWM6jb8^qGOu!S(@f%f!Wy?Zk}TD@Bzvw>OzE-3QS*>&P!c9z>2T?LL4NS|ebjT>d! z)uOjIDsZ_XB$oJU^(L0#N(1W{1Z(A&7K3QSm5P+xPh2Nj0H%!+NoB3O^gtD0y@8L= z0__y?fcpIM=CwcT$7pCBl^r4HcQ5ITy-h>8PsTQj1Udxg;WsrIMOABMf(|I;revO* z(uusoxGjCDz8S1raN>mjA%RqLhdz-ad6SMZV^)f-wH0sS%hbfhY@dTmB9-Kum&&5o zqXa#ZAFsbl(Kv@OlMo7di;`k=0z2Ty5TK8WUz9;gl$)y?%z3CgDJ#ay-@ic%5rK#I zpPBoyd9OiW@O7$W#`bkLKXU@!+zT`TDJAo=OIQ0#-#2IWqyZ)t-VVI%idvXdvFcM5 z=?kV47Im}h&noifEHQzLtO&78{AXhk&qlk}Z!7pHoU;#aTopgP4+Tta76Z+T@c&og0=NKhM(W>!^e+vcgNN-&!!J-7a7<&tT0F(p z3Rhs*^N-c2mj_An4SnLwFz)FO2ncw867I))Fs)8%AAXx6sCFsC+r)dhz@`36JiHk8-j%Yh(9S5 zzzK`W07;#xokb?G8JJDjxrf+zxjMhbH-Hq@%3Ng-Pd@le13k=~)EcEsr^7InqwE{g zmc3r50YdyBjDICzMN5hpLjd`5-o;&Mv6E_XwQ872QZYX-L!IS$yRC6S6c^XlD*V`c zS)RLZplcosrdVba3^b2SJCdfngc0TWU>pM}43R7Gh{p7U_dxcmoYV(x$k11}v+}yT zrA1aem-n319R@-7%DmTR-#*L5i?GufmUxO>+>5sHepAl5!9Xz!^rh-i^#}#X^AXi) zs%ri4E&7U(RHvC>reDKa$CtMPtEwWMOux>AYs#`9hdFu!{!SvZ z35>cHETrq?Vx{i(!Rmux3F)I4IN$$TJy%T579!L1sqE~H!NwaRC=vjn9OGx;{c-&i zQ=AgV5ck)iYreFPs^z(xS8R2bwe5u;_GB8SVofuqkH?)b!aj?j%{M9d%TFb@s=R-O zg`g;x{w{sT!ubw1D@EzzaqT73j6?qIg2npv3jT)Z3IMGNQ?mp9*Twf|1|k<2$Pc_R z_uD)Dv?w2!)!*1u9N>Ci+~8>2$JLh%{052lzu>|`1Gw3L1=Tz%%HVQWGm8!+_ejH>1HsYO1OuuiE5%AQSo8@6P9u~yuP33PhJv8y?OkTNrB9N9-CAEWVQ ze=YiANuZXG?a1ezH4Itij3x?YcXzK6OA^fotCPi08bgqJV4Rir#ajc6*f{e-8*Qdz zrBA1<(aefe<26;h$!6~jF?|xLYbq7oqu0k-uW^&Tp5dn78qb%y`)$$h10_FHN1kd~ zcb>(F_J8^~@kLIN4#3;=7Gt0WdHmSszHAjkL(0;H(DOpi%Cw>@u5NcfAkX&uVAaJpxy&u{J`o^L%_h%7Z zPcyMF3crXEATSFzQ^>Uk_>Uu%w@qCW;_w1c&x+-c=WVXy;1-bb>)L?i%!lBLw0#21 z9wGc>sH#Mp$|)!OuR_Sv7eiT1+Kh(c+BZx3FPoVqd-fO;$VsZbhpm4vVAgaYav2U|V^_6bR$Rc}42)OB*34a#$ChFB{- zluuGe+&$e1Apt{ZhIA5-lszG|V=_MIFycS#$* z8F^`8F@s45;{J4GEZ}<+!vz&3$r;x0IGxfLsD-SU?N@aDYrG}m4BV)s-a*FBLUPad zPNral2ST9+sY|`jIpS7)K|d=nIH_Jhs%X24I0I4Vru;z3cb_#9^3WI(!5P>LJ+_RW@NG$U;x*B$+)^bxB(_6Z zQT+^<*>)?S(@CXni@5H09$zf4{GsFiMo-B;LHp^^fq+RWNxM1S^}`S47CIw{cfwAjVVvJq zIrIW3jbyX+Bh}c<^Yis@4h+O1#eeBg zdQ;4-%C$`&7$*?=dx?p!_6C>)hot5?U}PuHNRo&4F5Ei)qWcGt!06fbg$HN|@;THe zJ(-*BA9^x4oBvV&{YS-RaJk(_J(=Zy6xDxM>jZ!+4?Rj?0QWE072RZp2}lxfSxQ<^ zwxSCZfE%P_$OlqZ3dRC(|LNHQ*GvWrb?}8holM{hB+8E${#2TOT>w#wpo1m%6A}0? z>*J0p2UuVKclq2uD~bH&>w5KA6PWw2T%4yGyMGsh!v%nA`~DC5GW(OhT&J?AI4_J0 z9MNCxT_>Bq?b~pS$&*(WaYhd4>Ov1swZfs*m;KhBHB#ValJ6|VvfuAITm`%sxu9rl zqNv;YCOj`dGZ8{-20 zuZxmzyY3Yy)k?Xhx~-2V%{9}|^jZZvbWI#8b}b81iG3g(uW0+Gf%AnW^S;P@dOcxd z-{@+@d-~5b@JupnkS6h7Rzyw^Cxuq;&4=QcaU@(NB*_~tj~CLOsLpM1tQ10Dtup$6IK zeQ*C|s02SsmBE$x77#tI`J#C914qww?2Sf8XFSVQLM}@@)tU2reQEXj%=I2SPLlip zoe0dT!*ZB-f@M0^OVO*_A1(LyLX|beCxXj0r={_0)6@z*aEc>ZZC&<<2L}M-n^Qc4 zE@PM&zM|*csI?3$TKXM635ybhf#1pEn;Ii`5GsQi?3nl6i&a>stuk!XeHLAz%R2@8 z&;bmWl)7?uwrNu@<32Q%3*uJMs}|RK@l@aYLhti#P4>)4)Og-tQgoOy$?xpLMmnBf z`WwcRW4sff;-z|hd5q_A=`#AX2zmYq=KAyo{;>#ufw}&Vi|`-8?L2?RtMNR6xnco$ z{)9~eUxQ_%bBz6vuVmWa7EY_;Rtz14dF zmfG)Yr~wOQz6idi?3?~T5s9_PW`OjCP^I-_p$zdig!oVhUbUe z_**RZmoCf4&wQ#9OM<@7%}tCnH6>25cdvn~gc7{n@NoS+8PTY~*p$T)tgEI~VCyF@V;9)(kv zOv&ofgdQ2~iLu5@dSOZkNL*q7yh->HwzS+2)-~-cE1iQLFEq2=YwHSzq{#7Z5ccOl zYMNYN^ulOO05XzfMN=2e<|Od~T?A-AlN)Gag*eyqUI^BaR(pap439-Z6rrnc+7eH_ zyL=`EL*&F|+Xu08+Ym1nQ|Pcig(K>1T$Q@|0v>G9dzS5nXN&Tp(UtVLoW@7y`2xXX z6z{kPSvrpc6;#iH&laX|U56p2%FAbKgoQK+sMVt58ThwMSX|;whROOx**WCPsnn%=Nj$h_3ybHwK8jSSzySI!Sh z6z0lM@@!`FloSz;tXSb0l$l4osmk+nc9k!!&m!i-y0Rbpyin!Xe!boVXbntgAMzwz zrXkWwsIZ~jM*0RRWiCuPsOCv2o%@|X|F)-6bfxRs`|C}NiKw)S^$fvtaS;@oEv#<~ zrdXwg37?3^DZMiQwB(Amb9%g3v@;skWpsYN5TOhpkbpKmkVa7f#}C5qdy+=eF{yIq zR}q#qIbHfw$Sx0dXIq+V#8)~}0iUTu3lefv@=(88eY2y(L@}wBGvR0>Z^8tDSy=scI!*&mH0tyP-}I@_Ca6u~K_&Z%KccSfDmq72H7kIi0D z4`vhI4RtE@9i1Yr}uVqm5riK>T3!RS(vCe*zWdrNCQC zbz$*a)`=)6SZYE(5umulZWL@LrYRHY7#YWs#aO5=ARUvB_9Z8rj4l#`H6(n(z%=2Rl6Z@~MW~7-0*|`@4 z_T+P67+YGBD#Qr|vun=Txqe+=^Ge}6`xkKK41%9+!I6%7 zXZDhGy=4BzE93|fqf$+1M*PM>0-dP&*%eVDnM=V&*Y*ReM9B8sXHUN5qwG?gmW0eT z7grFpF1^lx2ZF${>DcwetXhd7ZQ6CJEb`>n0i(08vJ(p>TA#ay$O zmzTU-nz1+2E)SO$m-t&e9uD-V^jlj&!}KOUuP2FqoZ5SFMQFiKUb+e0SLzIa!gcwv zs#WyM@HS{2-hKUXhHx~C0B4rV0GiXKSNACYvUzIezf(KH3b(;hAO-t;6hzu<8P`7U zc(56}JRM|Q!7i=)bt^DUO+wZtZ=&H73OEws=bd@!0FMp8U;XD?bB3U-N^+_pLB>sR zrYZ#I*}0R*1xQCP1USv6uNQ6h?2Y~~C&nb^_-BK6iX0|pgcpjYv#`rZQ)pRc ztmt)*^~96vzNTN?U{Es^YBiyk-l*9BV0BW7 z##*F67#-QZY=^_jk~Q#?KvHljbX>D2tIgB~7=NTd_B_E!&4-lsVg2q7`zBaSY7<%A zfjE=yhIW0Wur7zhQju72f=9wr<*)$_=Y#?Ng#%XE35^B?7$lVW>tZ(v&IgvGnuM*G zCVr0c*B$3+x|L{GIiIh%?uT1fAJClBHMxJu%zq|u^E^de|E9QtOR^(ULxF3k8yXNZ z@%+;L06b5dr=$R$M_uzZDJ#n_ukCN4+2G2X%7!AK0|P@S9uDy72PkkrgfdutDRAXz~p#xFp(CdA+~ z1(TN`0aFxkfeUa1yfGHY&=gDyhTsL$qO(61t^ar5V7Ilu2_BA!4hsv5(&Ise_U~(8 zS^?;C(g$q;XD!ly6r#cxSr_rp2Plo5Uf0pP-~F+%JWZMBw<)u+J`LchsrX|nv41ppeEQ_*EWbD4c%1w5*MHJJvV$BI@Ea{C z+x!L2Q?I{<_K&W49?8*IebS3 zS^pRnI2!bE2ETKeo$GPRWWRcUYVde?dSnWJ-wd33@Ys~&w|jxJ3LoDfCG%$>E;BSJ z@P@g+DLDp!^LHY)C!%OG@M{JRl6~xj>vw`*On>6f({udCYsT@&baHg=-y1x!o$&96 zqVPE4f3)I!WGy-R@2utg#oDJ~CG%MF5!$_X9GW|YU?q4kPv?zpP04@Cd5|w@r1jk->w0Qik16c{&sCvF0cok zl0G-ev0H!nBd>I(D zlH~F#Z?PaasO9tLXCk&VK#}}V5I>qw8$pOGEUuWc5*jlN%+UuEKfykfn<5SoQB=PY zHso_0g8*l7uR#86h)76OXfX_$$OvjEsB;K|YG}DyXGqusr7nHr#a8$`KcO^e2t=p{ z?1Pxg3SpKmJ2Q0LNM|QFGH*k8YQLArsLybV_YiY^M(-fdp-v*;fdP53xWHH(cvv_d z1Nc^1ILL{_NC;B7P~eT2zktDkc>*aS?89WVb7O$4|+Tu=;*!rHp2Xi!Gq|Pp_xjIlR|`%VMoiH)HL{{ObnD{WT-I0 zBv9Fc24*?>2y#A)z?|0hWm7pc|DOj^ZhkKdQOrpPF~YCO&m{d@vLR|iso6&#g#3Z! z@czOOFtD$n39}VgU{+i6-VQ4Dwoav-Uiw`_d<+Jhfc*wQpPw#ffy%frVScwi(|+E* z3ucd!J7fHK^|SVlSxFOb2l@yHJq`+9N*W&8PdFP!`1NZ-bl}wpcL?cB7Vpnab)?mI zFjDubOr}{snP&H5U_U(|!=tTaRh`A*ZiqrIJiNGpHgqs#*@U_i8n|y5cu?GE&AqD- zeK-uC5Li80=DySqdVqs#Y+v~T#Kqn4%muv34(%EQBME~2u&uAu(GdMTn(nzugJBH| zMG#hllxrcB?-U?$MR0`5IK3|fMMACNkc z@n*13u|y2>uL%N-Ot-T#`pGl*-dWuSh|zq zeTK_%4M!m{-Mm=lGTtytdd0i+bQFV?dvC$b(-nE!KF20gNPO8cJKbxgZ2P0zV*+X# zHW=wcb|&C^j2eZXl>P6*CYJDfQ|Y^nE?7mYwVn66i@twQn3(b@5%43(K1+z?$bh^T zr)_mYyRE{ezoql++lAER4-R!HEGkKwy~4hDt8w?<(Ti}=ZP{h->SGQX$c5WpO*+ zFNP8^0$(tjj6)=x5hjFaah+@GstJT1o*?;pO~HMrHkKAix8`cKA=!=Q6|V-G=4K*F z*Z$~R+FO+OETHtgiC#!omxt0VVhjCIy(ZnKn1ag6)Yl8J-kXSRtf1i#YELp?lF>4k z5i~1p4o)lq4#@C|(z>Tc27NnsdNv->Z5b9<1a!<9a*^uM)DW?(?I) zEgOr64631eEqKvZVk>3Gkvke7x1YCEAT`tc34ydeQ*=~= zyIfuEo^%0iNaFL;3k!V~CgW_i)T7datd@jn?2{jysq2|=7WHeI2SK{z*v8Jl7tHGU z4qr=upN|LfMZt+x&TRmtB}?7h1mTQcjx)$GKF9Gpxq5pCEcJnBa0Ny%?{YNT=jXOT z#?_x}D%~PC$lk%q!gVSQ2B+d#wQk3~oPPOZ>&`c0@}G9-X$zUGu92^q1D=Z9cPr7n zBW0A+x6PjB`Uk9k`(_jf65UiUhu=)=Jih0DgHz3bUp$3Q*0fM6?|!}}Q_s3+zC7`h zSfzD{D$E>NpRwfCn!lf{&|RO(B9oe1CpY|FoQy{xM90bAAgrnGF@N#g@tFJqOo z`4XI5BUNWYI+AHcJO2Cun&Dj14p$1ACk~GAEhVjULtE_1`Yi8-Y|2uI)}W@JD>wLm zOf<~rM%x{F^UTe<90rAo zds&)cktr0i%Z6O>+RuiUg1nMu`1{!#*qqJE^b}6&_Y_uB+<9liCA~=IXyuj+fvCWB z4bl|FV*O-s+e6W`7}L%AdycJ<0D514qtd9b76YE}2I6XA$>~p193&C9!ZnDBFOrS@ zo>3kjJMQ>LP1usFI=?@W-6-n)OL>j6=&S$@sSPrJ$PEe#w%0{XL$G}GN*f`(QGuX9|LDp7LB)c9>2$uwjM*@f8&?%z(3m@7aB00^`s0j$C9!@*DApHe z4%GL`ox^+pR?FO~elbnx#%8to;>OrOSQn@w+va3jVTPXw`{2j{T?kLfbS9HXx;BXR z>b5JxGu|1gmr67N*xoceU^Q|-yY(iK;jG0&;;j9ROiBIy^zE+x4X=5BW+_)9U-EdG zM$KejcNu!s!MSxniVNKVFn2=w&^X+t`a_O`pN5J88%JmW^h1d^>vmoGsKk@u5@W+{ z_D`=`q^~>P@eFpvtKFU7E`e}W_iT1C2(&a2y%oU{V(0^Ke2ou`TIgbkn}1JBl(DPF! znmzP<#LY`hXm;d+p-RJ!m;UE1HVuhDqSAfh&-exTHjThSCECQ-ajAR(TeaL9E#@;{ zS7J5FtKpKNI*tr~7F2%A9tCy>az8ZK{JAQ{h9fQY0HxercY4z5BEQi23B9jxTJW3F z?YSQw&=RA1gSlT!fb{#Su-bupQ!M>`lZ)nKrGeF`YJ%wcx2tsX%}N`oEpIx|@Xoq1 z&8tFdEYlDl)RQO1y~maMU3C^*1i6|fUXjG$hC{FY4($lo)Qf)9n$(vWiCczb;yuWaitG0npV4|;h;*qjJJ30$^l>R{ z6}-idH+s&0=K!poefgEBM=J-es{6(0{!P)4vnE3U1oEW)sDn#(!m48h4=S(^6gnq~ zE07F{<5d&Cn*oXXT8xZO_qS20lxP2WQ~j2o6YE4Y?=xg8)-^lMdA0Y>$C8N)g zNB0u?bi9q6K0I;H6*uXJUV%MeR=LiOa%vx5!-N3?F+J% z+9$SE*u5}%1!D}N-*A6@+856}gpZF(#uoP#v z$hE3!B-Z6aP*o8D147u3wo>B-(<;lum{$pVpb8749S*5IBW(OSO3 zy`9g01aYSC#`IaI+%0AbQ@yM9E;QNQy+)n4s{C8sa;Dc84})pQ7(xpkx;rJ|=(aHsPpSJQIsb$xc1BI=s6f zmO9vMxQ8 zM#<*AZx-oh{YtfL7IhJjgOz?4@4L8p_@3jWS?umZ!;rwU%c%gl>$q&p|Cw%ag8;)G zylqsr)YmfR_`r?L6kb9mJ|}mjVE$VEH*x_kRU+c#DubbtIp1c8lE0+aG-k{*k_8EW z?g*)uGcXLc3=0>fqm6S{TVt^W*%-Z{M9%bdT_lFVPoW#LZth2)wWE*?inVoX=^@v4 zhr3EsL84`AM$|i9%FN~`kJt~T)QVbZ5?$m7AKNGZsV;oNX13ZO4L6)O?TCc ztvp!Kd7a+-d(8VO9hiW4{nkzeB{gJwvUp{GTRA} za%Z*c#4a;xU;MVgRjQw((ePyzH&dPjyRUrowTn#y1>#uLQeL~zPhmmzAXrZSJmN-& z1A>_8iT^`d2PCw5Tey;4UuIx5qdo|QJhhWF%!iyTR zHWeI;bj73L;~%1Vry1@naHE>1DMp{NVsIQ*%c+Ijk8d;{KV>vcdDy8wc@M|#=Zq40 zau;Hc(&!!jb&;D+yg#RZpN#BGS3X{d+tIo;OmbwLreQDl*;g)JnyZJF!KOD5M`&4w znK;)YAp(U85jQ%X{NZuOla`u;wAHnubKkq%>oxWqi~f}iWM!=FM$EZF!knlTpOzEH zE#)e{>KTv6U({BQ?4i%vDan^nfjnEy#2xf-@1Uy%Qn_3esFTx^bwz_CP)z8w0}ZaTHI)bH zt?oQH&v{Pqe&*V-WRn;1)Xi|WT;!BA-qzD=C2Xt@Cw|!P#o1Idbf&VhDXNdvq-6>7PV{YHuBXuRS-+f6OP4_q?Le>~Th&`Zu$4L$)@ZvB*fis`e?XPN*cMf^Zdg z+9?4H!oZNN+aTlQiZAtsg4;?z3?vdTQKd$PIfj%&)QTnv~lM z`HI?EJ_z$cYTK;NRkDtFUrHE!5Da{rAB^4`4iYCnEHYmkuBhj$CNFta{rvSMlVohY z87ee?`^9WUzwg%OP;UypX+fNd_@#!2)0!DxFPZoVdqPx39A`jnLSt7f!K1Vf8kHOK z_wqA#i3@A+^t?7#xLs(`Wnt?p0OGIXMToBlIW}W9eMZJjrW+nLrlO!-xlZL3!X=Zs~bwLY=~CMC3Z< z{VXwNooJ^hoQl5f;_iwqQcA_RT+`}Qzzi4Yl#QcbC&1oFC-7s@+w~())yUkG7?Qwa z&fu%wds2c|vt?~t4)k8iV7?%=EkYTeLpR6VY~){nd(AKgsTOft>*!^r!th zLY7SS*DLm+fdW$0Mnb(Mn|k%o;CzMoHv@yaQ?-pZn925?H*VU*mO31E-MZR;Y1^%` z+ZBBR;)>@C})+7z*nl6OoGtat?=n>g=nIuS)jHC0`=7P?eMmi7d&l{I`i z`J6aQSTHbXO+Bp}>s){xZ$&|WUC%R*6qj_RUiq1Fmm>&YGx-4)f3?q8zzM1b<#SM? znJ=rZL%zNdHIF-b;9PdQ<-Wwkod_&9{^dz$69J3+6bU3Z$F%Qoy{bJMk}KbM@2$U? zR}X?$O2@FD8;GwMZiIXH*iFQ!3CFVPEQ`iW471s8PJVZK_)|)_&QYy@pIgSAP44HA zBX~|Q$5jJ$FrzZO7qT9E%i#OhJ$s5Ff-?8yO#kMUaxDp3m2{mem77A|wRvt-_*L(R zOy04-F#5qfsiJ#Qbez;otkmrQ-pf+atHHKx`F;8{GIFQHI>>{vF93^RI{Co5HW;l8nlXfK}`X-|kz5{>75UpuOorVzH2 z%4ZIITW<^^ZRX)MJ|DRxypPr;EMKaSb*btw8{pHuiE_;0c2e}VaV#~@8t%5<4SKW} z2UP#Q!)!~cB`8~e8-b# z*m0o1>Lu41@eGKu(!M=+UxcE6q8zTTg?!gx^oIOJQq7jH;-|jr7PHk0g5R$cdNgGQ z!2aonvcAd=k`-`PPM?I8}$*Kcq=N2%_XCA1{;l3H(jdAxVchw_$rHH544ds0OR5UFU z-ZK<~HaYv$%fS?Kz_TsnZai?lyzsh`?T$#JCt;=MdpOBMw1I9lreyK;My6scBsvKmg$-yZJrD~Th9v{uw((}Z#r zE=OXsR*7vuD8UAO-mRCWt@VIOsl@BiCIvZD<|+D*Y};-t0Oo3^8&5qdkGvos^nK91 z8{E$Ps>^vfRNZ#v-P>M-qrP7mDOWS?`F0j86p%Q75Sier7mQ7u`B-nOl=8HC>|M$0 z&QB1&-aa{WpTqjv#k+c+luir0n}k!|to6yZ{=Wi{8F0V937Tg-DN+`xp4A-V#`SPEdK|TL;G2B1+^x;YP{7-{Xvc3 zqU}=jCQjKt7SGTN9IL^KS}(1b;qA&Hp7F#-{t4#piwSeX@ZvPqC|A|%gDzt+r@3G( zypJz8UZ19M=mYutwx|L{9X_gEX`;(}0^uCbG~SWN%_> z3Nkq~m!QP~6_@T^0Tu>GQBzJ=S(Ct#iwt3NbY*gBb8Bs3bZC=Gk{cB=IWr(IAW{lG zJ_==SWN%_>3Nkr0mqB;|6|;|$Vj}}GIX0J|#Q_zU?p*;ElOML%6*4(BATS_O3O+sx zWo~3|VrmL9IX9Op+}#Nd!QCZjaCdjNpg}&)xpU{vy5IbonIFB@eyi$zN}j6Pt2Z5$ ziaOhS3kNfxtb;v-jfP0aD5;x(Z5i07f|_O@JKG9_VZe2B^52 zfkEbgk05iPy$g^DVCmot0RQ6vFn6%G0R5Gk3;P>?)_WI#DZs@MXbyV22J|ooI{u|% z1vmno?LaOrZ_fab3&6_R)E@Fy1Be3vWN!|3wfHN5H@T(5-vK!~JG@!gz3JY-R2*C& zF6Pc4M+o4}tBSPjKjMT~n?nBb?E-pJ0~{>hEG-<&UH?kyZ`~Wrn-*dUvUdSMfF6*) ze3=1%0Tv(^N3f~qo9{O;M`zIAVYs@0?5+M40V}{6Xl3eb0S3CbyurL-|5crT?mB^Z$8Z-Z@#TS_9z^GHHw10r2~MA^B-~xSI2+q z+=lS2EWnIBwB~!b%2KdK<0laM(7r;lrUpwaivo*B?fj$2l>wh=Y2L2<$ z{|-a}V)|Bw_x4tARB*C${zC|Ikp+1GEmS}db8CR5Dfq2Mf6F!PEr8BokUj8?%fHKi z2w>ylrd*pBQ{2e!kviv6nNkx`_-==?Csl2fc(eQM9 z3+zAg_~c;mpNGFNBqbd@0A6fd?|1-gJe=>|hK-X4Ajm8D^}q4=HxSpq&Yw&n&L9tf z{#(+VTz{wjAHRQ{4F3&A#@^h);;%k`QHPk?TfDW_e+vFmo4YzYzcKpvw7;eN&-33+ z4g`7t%~2NT9L$9SZBo)xAytIg{Ug%)JzZR|{XvdJwHo=~eJdO~eNsX;1j|gGDudYT z#)Mv)J!g*`pLZ0QcL%!&zzm&9z_VPkuQW{E-?3NeTi>zl`g0f+p{E{eM|hoo&wN~m z)8psV+T9#cRWmAlLLeV&<#9$jzhm;Har^FzM|qTHasFLv{_M_HA(R;(G)iUOGl;YR|`phszlxIE6ykW_Idq!%X=H5RuauziTNZV0e#(BQ5vNnAFT z&-OuV7SUnqx^N@FmnRny}{ zF~gpVvaUS_Uka^=V-s0x~z*fi1kwAWla+XP;=ea7PJP5yikUj)K`iR z0axH#f9SW{vEO}E#)G5Dt`=Jg)GnwolmBIqKYgE6RU!;3fzwBSS)r>Fy@NVL^~Yr7 z{L+8>%8{RA6J~cD(eklsl79(NSG=ryumxLTkxY_9mP9gZOrmiJj*uJLYBBpUA?Bg% zCl)1*ZpUr^6@H(N!8e{?2qnXwqNe_Gu8!uf@B5xMzat;%;eK;pT1XIfA+qiEhW&%k z0*un)2~O$7Y~mMes$6LGGGnCt1G ziA=Qve(J}LSy@QxR$Ml^KgDWwRLZwu?-jwRla3CS>lUdaT)v9{gw565@6N~gcR zfhORlq}WcWB^tTqN$iSbBBt!+ID0EbyAh+d|5SpfTQI2THf9OyBr# z?Be>bweFJVbB(@96HlN&qep_n@Dse(WHePuhnU0Cfn@;ogH_P?qf0_&(X^j^hajfcCdt+7OBR}h=Saj^FL)^VQ;?MdI&uxCb1_7 zJPBjbWDjJANx>$2{0FWA+lx3=Cm9;~4EGZdtf|^Mh^+N#^Wwt$+ z#iI*|4piUj7$VAr=g@;vFS_mA1#5&_MzGN*k8hisqM%5sU>nxe*oVM)+rT?#D7URQA~O&rE7V z{6qdmoZF{-XouyV=?vA#B&Zz1TYwOOY0}Z!(n%ql)b{w=%M#}u3+^JyI&w=mM|Liq&T=1muW9%K5n z3CD7y?`uNz>SS=&j)8LvWat5YbZvOPGYL(jCpGHdIsYW0-#n8&UpH0t+2jy}LzfoD z=!#SK7}=LhtvNQe#*d61yq{{OsVhSJGVDl$RB)DMTdd5Xc>{saqWhS#w%!% z`d9lCE$6*s(ObY>FE4OJ?55|}Cc~Ixq7Xtv>E&2((YAV<(sFih?hF8bw}rt_?8%KT zi!K<2Phk=+1X_DJP-MV(R1eP=r3oUwH1g5-!eaQk#oon(CkVqdY|-iyW)(5fLCd#e zs?wU?gtryVV2BSrAC{Ip<*xL5_RkRWYGpsP=VV-mUnzjKr{hj=0TG%G$1PiX{X8!a zi5I`Yn$fWK_F;EW1z_0n#j<7z#`n&NNSKr3hN&=C7nZ89%I-BN{(DqFQNIaNg-lIK zW)7St9Vgc*TS>s-Ww}F3We}{s9R3JC-AJSli3mn7W=7Vp7(JDLoZrE$dA2!yDy68}-g!liVUIk^i8jhcy}G9zD$1r@5yDqNArY=*XKlUx8!od7g{L()xg2Rt}RC<|!&7?bVY z*?7&7TamiLM2ZQ;j2Ys>N0Ag*-ti(ahiHzkI}oiEQiMc+GVLqcD8)#l-UdL9FvD3d zfA5237pLZm@Cz+1#G?DyH1+Gta_i-U+M?f)t~fJTF~-W~BwnLXH;{jF%^QCwIbQlW z*(Mg^G(Kp5q;_&G<=y(hAu64TQ=TCaKaT$J_n zq1{_aT_pU>20X1d9|FykXd}kOUeMw6gC;s7xJyz#EBSGf>mkh*)Q{f60L-$Ex@w zgV&T0a2lE1Q{<6QV1X{v7F>O6xA{z4<;%N|A}w4>%k2ivRkXa9R!vYkMgyCjEgfNakw1zUBJ$NO+Cw-#diQm9oDUH0W!Jxxs7s?ICSIHUM!do9=X6{^>#rPX+HL|!QkcK3F~ z_B9l2Ra40;tX4_=Bdty6DeZvAx4(K3Bv*>3Cgoem$>%Y7SnfU)+mp!B$u!yv4gep>k^B%xc8%) z`>#mZ{U@{XfmY`^sZ4i@<;Q{~7@09iPDy%$a4b=VL7vZi?0Al7$ARTqugREonriBQ z8947W?p)~**Gk>bokp^MSdv!1r?O%*t7|G0Q#{_vGHH+slYZ1~MpC;`t%bj^%QwJI=arjtpPX{?3>^u5uHWm+;Sw`mFGrk5WvT z>>Qj{yr4;&;J?qISSH-wD?jp!!!vFiPW^SR;d2g`$P;vL9!d0-K#SlixKT-e{XI7( zX+QoBGuCs9s$e8Px~(in|B&Hk(Y&@iV{CX?F^Mt%NCZio`M@S8nMsP11tI@J^8nss zh@-oGPBWHd4VbGlOX3Ctaybj;^x$4K#D z*e<%(?HCAh(Jb=m80qw^AZQvhp_drmT^Cpd&xVP+IRMp$AwBiPc6_aW82m3UdM#A{ zgAH`Uk-6oxNRQdVRFZAtX(1_39XpBSr;3G>_j;hj54`;u$hS2G&pNPQY2dYC78qg< za`|{xM@hT*6&d3Up$W>db6D;;LCn2oElkqvqkU*G=^WP%1D~CQ&|sMDNJDty={v?x zrKky|9h~Nhy?#oY($Fh^Gmbl%wNT3w6W{5FII}|;jXQ-w@j%2z>#5Q6T-=tTJXl>5ifV%rJH&&{K&5-Uh@i^{QZzUVEUSKQmNQgWz^a%>7B?~AwrWoIV?cmjAeQXA#}ky@LsI6Z zFvG`(;os5ODENTUvm1J`i&iGO_`Za>&y5c&K|+10Osg7E4^4oZ8c}T$?vY|)DMvS~ z*pNhGT|Ib{0$&b)pd)vv6YfEJ{7h=+!i_RaiA!{sI^L5>MXJX+=5MCeN8_FATljT^mv&v`St)FG^J) zz+{^KdEY|S;-o*5R=;f4UW|t%*+H3v%P+I=J$Vjsf8Iuan%*}sljq|VVP&6bHUJ!NagGI^Ne6@V3O8_vLg+hr=z*%0iU?O za}h=*aO+2NREZoSV)4%P2od!xlx5}qZ2PcSy^fq4I%ghPHT!MsN_-k;q6RPaz zS_p%swJzzR=bYrT+`QG7a((|gjqve}$m=PvQLZt3P_ekYc0LSy;kIWgSMY-n+vpj^ zYE{Flo#l)GzH1Cx{{@I&z%ZHZW!+S*LfU#M?xhT$kepfmwBgA{!TyUop%9v$p7(Pi zhTnyML0$>cA{jrUV$c5B-lS4z6Fxw?fn`gYYV`K9tYY@E^&ZBzoVBb#>`sna4H|o( zS)4D9czMIftX|6Ny`o^%Sv~^tJmu9mKl17#zm>AVE!1n+*AHu?PN zFq+x{e{jxRp*|U_Tb{j`@%4v8r|IR1XyYA!2l-vzz!EIk4Y8Ewr z28lYw3kZcneq3um85|(-qtIB`J4TnIh#D0QU8oWolD6_B3&ttIZtI96weTDGrqS?a zI!(KQioMzf-egrKPc_?#zu5nBj~rYjxpyfHsy|;16C(7@b6rT?y?yVRRRMbf9%%ATAjL}6cr8W=aGQMykZ%qiJaR}E!s z-Tb6)d~CH72FE$nVZ)4b7n^N?X|aDr>qbitKX|@a_0O!0O@> zLjzfqeh26HXbkUji-5Rn$akie68-G??&RyRB94~o9;GX0)`{nzCG{G@^{`aWd1M*{ z1*4x#y^MaPP$(l~47bE_Qc9J7C>e8)r!--WDeh9sdpG{pfJXr`O|{iDI+6hnu)CMw zG@1{CG*x^OsMlM1j=p`;49Aph+s_hE!Ku)Tm1Vw5wmS6LS*8y@M42eo22G1m=#tdX zOL&9#jQ65Q{jm3ylYQ)e9}p)x_9G6$m*L{pKTiCVeQFq%E*U@!^cnzvF?M{0#>fOr zFJQ@vjvrBT&du!fQ;cJb!f@(u{2@#k9gB#;8LXnUoqV^}$oziS;4JHtKF8bUfb9{H zFZ*1L$omL~atDLNOt~vlz^*>~&^`LG)~MO+hDr_)nXY7buF3NO#ODd<*vaXdHc1W3 z3KdY5-q2@0YY&`Y#tWQ(5S4XGkp6H)#oeRY?EGO8w5Wdhbp}3@wba6zVCXfYD4R>o9<$pGohQRF)%h=?v&kU2glG*gvY_(4%W& zl^FVuc1$YKgm;nEYr?}LTls*cwoljDV|$MnLj`G9@_mJM$w(!C?H3Y9y}&cOX0k6R z&`_7j!Pkq*?l8YSY9supXll=QzYG`mN!08MU)>u=^uGU!4%12Nt_i?$G@4;Xiid*x zZ@$wMVsGOcIkw#l=pYgaRI2n!5WJWjY)Lv55In`p!E3Mv;!CzsF4He>hmK9g=xQfu zu8{beX5$-Ju9$m&(bCveZd4{mbSc4cdW4@BzZc2OI}3T3Ih~ywpdpwaP~>4 zT^?g)TFTLVTsn~Br(RpZbV$r{;?)Xxpgc)k^(Vc|0Ihf&5Vtb+Leve56_wbeCV0r3 zmu47-kS~80jUCUgg?AHUn1jaa?CJs!%v(T3SrKn=NzBoQtCJ(gx&@zQH4A;;OoLWW zLz$u3=icmpSN?|~i$NmerQqh&*-lcurr`G)^E*YOtR*35PL;AvZ`}MOv zNbCiFKi@JDO}c`~DO6ZUPwZu49M|a{Uw20r( zu!?!3^Vdk>>qaoB3^$zK8V$emgCfqesa~@6bik6I_SD|}p&pb}?xszi&r55W+0ScB z=!%?Oe&yD*8Erswu)7^i-5U_J`i!!cet-XeLnG|@6(^a@jT?8=gCyfv1pZW<#_E%; z=9Qm%MVbDNQvU#i8tKs>Q2e^$)rTzl;^fTA2D0jRaAbA6=Zx#4xmmx_kfy#b`&-s@ zYW84mi&hIVP+XQ_QU|iduiqgsf@(<9VO*tP&6cV!cMe$c^!rLBrIaSYlW3^Qp*1{z z)3rwUo_eSJrfwrQFA}iwv~aV>kk}lP@7=how$)aJc(RD>-f!P-p6)4Q@$D>lNuGXq zs(ZL0_bk19)kRS=C_&cF(j{Fx;YsJ~MDAMn=IED{BbZpc&8q|_{=I6cCb8%rjW0rJ ziagxL=Mu>c-Xj9{?fE`2{2Hwx1F30$#0pG~K@}#xV&q!F8??yd-#CV}b9OPlr3MPBf28L@_=iFBTMp0txR{q`md#@aE0Yl&K1!USRJRvzsoqkZ zxTY|yLtn(=pJ{o-bZvR0^I#NTwAQ$UR12L1`C+r<^P~js4OyJ_HNb!s@U{mzaam@2 zo`Yo=&>0mwB^h*dt05Cn3ut+Ngc&p=a5>0Up9EcgXHDOIboJ98<51=W{Cv=`*${x> zSnI+_^63v5j8IwV+hL)%FBi}hHK$2F4VS&2okogy?*0_yxa>Q`js-3&9+pvxRv(E= zL}>n=;$jhWHdz9#lNK+cpJf-YqBZ%5z!g?enL!_kYl4QvvJ{{(<0?0Ql&F&-?Y`)P zJxY!jxQH}Zh?S|*i4Ff=Ma(zLe2ra1bU6Kj$&DYPFu55wl$A0=}7E!{+%7I)8eNm6))j)C63?fi$xLC*^I-!)u5;?wcNoPNPC}30M}qd zc}R1o6nAMC*rDv+NgP6d8>_GCCa8W~WJ$a0Qe_xUZ)lDxr*}QC8)`;RqTP42ReG%_ zwJ2@o=(a)mzW&1lU)yfn5Ce9v5)lmLkaR#ip`U!+wJLQ%Y+0trRIHxrgCf^_P9&T2 zLw4NYhPhohV}q>M#acV@%)nFN?AIK^NH$wgXeF6{o3M~iFf2B`NushRjAnFgz7O4gCjwriHom6O#^5ps+aMpErp49(tinpi`s+#%LI zBUw}5u~ir{T8fi@^b3tPE5bg2AewY%5!25#X&^Zj$-#!TpNUdJ$c^F{+{5&b_jQsf zzXwZWmcOij&ql!y2x875iK3+~Y~On(|XN2}AdEV=D@&fy{IUqH~r z#R4!X(4DVps-RQY(IpCUrD}uF?nbq+kO#pPEc|Wi_uG4ayEs3_Yjn{KBD}d_{Nd5x zDSjB9`G@gr~$ntZ+d7ZwT_5~zZJu9VTG-W-}wQv{r z8(q!BpRikhT(|OT@+h0Z;mgCF=L=J$zj<};{|x>l zb^YdQ(>6)XC>ruJY|TG5P4(9=%<9TmmEm^yw7H^RChEV7HD8BwDqh^2V5g4<9XWz* zRUcxQ7flf2R3>Uh`(nZac-xf^Bt4()TwNUXu`Douu(z&%FvbI|hVtT2fQk`Z*^bRj(E*K92w(uxaZZ5Q=&i?Ubs?L#_3YPZY`Lb8lk?zL;sWjl+{)+7tJgpu!`bb zd=n6V6zQHU^$vSjGioDK?Z@eL16rwBgmWwy`F|k7>X#myaY+v)u^3$>NhUJqzJ%t= zj2EJXxgAnqe0tbmeY)pAbjIenUI-vaHl!AJY$G+|^Fp~894J{q%l)w$D(c+aEYg|D zWKI$Is~nCVbn)cwC;lntBaP0o5>!wd#~@38IwujPoWW57wO-uI2Rh)g~xev z!CcR<4fowH^o0qd(`MIBlH|MSMQ9xB(5&nRU$f+CbKvzn=BD1gIUgjp4Nn!MWI)1y zrikuKp%v3WoWCf;DZ-G^8F}^0>ocP-JlD{~nw$$rZrG@w@v#w7oURqNOE{u)4Do}N zRW=X};l_8&#!gn+bo_CK?r#4Y@#%x@=Mcn-gz{I12fi1teaW`mgUNQcFFc07eu)FD zlp>vgoimtTYK@FvR3KX}6b*}mlEu+~q`X>y6$@lTX{r=5kHhZ@kLZDUlD8ke>~K*> z-}l@elWR%Rni3XtlAj6FUiw-Fq4u)H;>J2JH=i2ylZx1V=Y-4~K{g(kw`Dy}ZidI! zQ+4{ZQ}Wk6`ZK&^hs0bDJ|99u_)JQ>zn|di7t@~^{#=104l6w6B5CZkg+J|o8oaDk zCj6O9MT&XAzTl;9C2abm!RvXnFDOnH9Xo6bRNOH5TgoYd(jl5ps)-3%J7UY8kJzG} z;R@dk4^QnN$QNm+u%LLQ>Q1s{kh;m5qd6o+r16Rd;h|#La515rdo1^N@b$TF1Zg}} z3mK6vN=8KPQR<^Sl#y6Irbvo^S+oG0|GSHj18FyTAHOr6BPD)gu(pY>r`fv?ZIW(r zx5(?@n#pk$(or){nXz5vT>^C8A(CuD7>wQYTcI&HaTQ3q=bzf!tnFT<_uXKD zSk^+Jc76ed_3^M&Ww*YZObMH2LOf5pmiI#CZJAeYFoC*P!n^-xTIOTeY|!tnA# zQuob-I98c`UcOfu>$)6NgJNkfeQd2|V(`h&HVEn3B}rscB|TrHyqn*m!6y|%~k+0lOL_C?vdyeM1V z%vROwQcCVS+gVmld6{m1eYte*9FL{@VWZF03_E~`65y|+gbG_^b(hcbP&A_NI(_F> ze>G_YvA+9IWSF;{5h~33-jB)Ra<;pqWJAU=lL9Q9p@rY-LNO(=)trDHIJIxjSivcl zJEN#7*igJzUTWCbK`Y{K17gX+7=3u@ko*{VF?!9&zSE9No>3Kl3JldG-(W%$qYn!8 z7Hl4P=U9lPBz5;bXSJ|3oYs1~?I6b7_e=#&%cSRUJ=*f{Vg!x(17y8d&zhE0)SqK5y}Yw!Sj-5h(;NW`Q>VVXQ1z zuzY}nbj(r{ri>r@OP<|Ni#10gMM^{6QFeKTmEW7JNLMB}G=O5qPyySFobwf2N}*3! z%SJr)dexV~`d-L)##DNw*76;9B8e|Wqzx8Fqr9^t+vJQyHmItXNP@ zhJ!R(@OY;;eSFtG99n-*&AQhynNbx4^U64qeMt!Bpr`PX&yAZxPl!;0+X962EK3I6oR&dm|-bBkb0VG8BGcnjCH7ZVCJy{Hsp7vM93)VY&T zSN9%v&tN}beUv;b8kHXfH0jiFczr{aMR)kFrHzP7uzM$}o^S4dM@^R3}ghJ7R4-)BKJScc(O8Ppu5WHjmnzab?+~ zq9SmA4`8lAny-kmFc(aAXl3W<=h}uUpPaSAJE%P6<$Z+q()qYB&hOoL)f9d{^5UC! zm3$AJaSXE&&alb1vk@D8s=h+J=QO5rbwDf%^scBN zuAJ<6-27b-28SzOf^2OVcgBFdgqn11-LN!~Bq<9~lkg{IRzT>s#i%K;Ldtc7n}&Wu zFmGyh>~)yPqKOTbtsgV$t`!#VSP_S+Cvj7FgcH^l5%mLH>jvwSx|LG=ysq+%)avhl z6`CXmI`b}oZgi>1564>b=VSo1+s}W6pmlUFM6&r(CMP6Rz!Ou6cePoIG%mR zOm$s{PN7qc*eFP`x3_|bf3DjSFP>olG>b zCbluLt2&3)@w%WBS&X(jSpkSbhVM2gTq~RX& zRBAxYPz-IZvT?RVoSt^qe2i&wGzIgt3mz%f?Dpej^>HOSf!Tz#p+}x8nd(7s|0Bom zW)?wH_x=a8hcUhxa~?rY-+}YJMHi(nmrLD}dxi7nhFVWtuNjqoR|$_sPis2)nOTbP zfNaRPY9uW9L_e~DS#het&ns*2)d|REYccIxrG{|!;1mhh#~V&UJZ^~YUv$SAm-=e~ zzP5Zzn0Fy?!q9fwC}vW&xq(|-s{Wz?LDqiC#6_y?W~mk2t8&G{CvjSJH~0UG@#Xq& zGWUzTgsh~nR6O-=PI7fG_?P6IZ{Qg5e|Me!;ky56>i@q0U+(_`e1ScETnJXC)F~egO;kP!oIIEHMSFB%xSv3f;RAz? zsSGWz59_S-<8LPDzTgtc9j#8))u*4}$6DJv*s8c8Ua^7i@4FnKLJ{)1Q83>cBP( zbS9`mg0f=>2bJP)}1Te}aK0yFZhhn&H<=R`O*`0DgL{1e6wjAzv^BNOBUDL4fN zPi4fL+|6oN3*&n(W34^;34X0+YXf2wM3Q?1_^m)|pOi{1y3Ic|bs~iRRfuBe1dj_e$^gD#tTFZek+nzL zYd}0V*Pl=5{zY8l-#2=&$6#`T@J3Xu_&N1=+iZX%h&2;*ihkYgYmGw~1~*+fVP= zr4-uMRu~c1Acmf9TZEmfSmq|k_fA$Y?jC{Qp~XiKrlA#l-OW4b>#Mx2>`wP=*vfj! zN3rbM;PaJ4zy;msT;D*?y)DIv2E;x%n-WB8iYCNFr1d8-b*AUx%*&b+k2r$#oV*M~ zVhB!84BQiQuuI33Hf{<))qvKa8d%@tpMla>Y&S7yc6(=Nl{HYm{YP^C+%|=s!kyD| z_yy4L&DQp@I{=sq30d(3>o`gM_cZP+tR{f%Ej_^v0+}vQa2!Z#;9ak-qc$9U1TyEX z*_QGU0GvSrJ|Kz{kT{+_uaajyuq3uPxH5HqRrJ9)C}66jMpfJm1v#>qxd@Z9ZURk+CVDj2WnkOM2_88BigkEic>Lq{kl7pr>OEoBp*If=8yKlz zf5|;FTTP9@oO{F|{ig6mxXV{$XRuN&12D?fe8{?Nb4?>YRZa_591ri=7iiJjlWg&^ zzoE6Wv0Ylne?<7!Hl7*xJBIW=6sJPF+uiWEKWtb&e0}WqoWPW_?VM*~HPB5Y*ep+g zi3HB-)x4W&LxQ}@S;Lh#1+_OfTiWbA)B<8M!#8!BqH|5xwiS{S*hLP$cYm-7YSQ=? zh*wemT#&p~IK1-nzzx|u9~C;U5q|@MrL#A$N`a_=*gh@}KdN$T1&mf-0JZ?U=a{y= zzJDljdtbV*sv&Cyd2E?2Y!&JzWY;8L_ao!(=Qfu8b!Rw?zPMJrGJ=CDd-*_Jio z^Y2M3pTo<>nXWSD`W~gxNzBVJlW?~?dVC30!>)yktXo%9GG81V|}6^r;gi`gucK` zY!N6I{fW1Bkcv0gW;jC$ja1*FIZUC#?Pm@1**V8r9Oc4we}`?xENLa+F~?DV?>g`A zFn+Ow3ExR`nLR4^^-Yaq9*?-A5M|ey3@4sa*;UikX7ata`c6HQ=zD0ZK(KHmdPyX# zBICHmW_-o=4ZuT99-j5Xh{`o7WWZP!pjqyK!}4UUfmDB(mv>OA4$mO=Jhe8 zxui0LMHBC3Z}R|cNgoivhx5Ml+qYB6>M&ix)=6NzxH@(S>Lu0m*(3No>*;Dx@tM67U|p1M*p@UQ1kcy@sqX9P9V zEG>gn)yH<`JcIhL*7W*+DNpax1=1SpE2QS*UH+|c&xWT}km5=JPJx2+@4me(sb8O~M}!!^{?-ab0=

    ;?8CKq3O@_UEPE99#Dd=acL1el@ zx7M@R*fibe&H7;fE?sj?p4Hepm)# z&VS>&WsDdMo*`~d+s3zY*N5vu##T+VK&ZO24>)|RFJax~C)N7G=W($|-yse?=V6ZO z&HLwJSQ6~3_44R9=OeRZGtNgRq+ykBTPq|x)L+_&V&~GsF%^64w|H9D`WIsU*$rhX zvOHP?4e?1Cfllur2yy9&sJiV>>_@n}VIue~Hgu|m?(wIVW_v)@czD3(33?_Oi6 z3m;!*#V|p-K|xYXv?XuOqVIM1f8w83e{V|TPK|F^Ovi(pvaB~(nZ#Xc$(k=E-{!B^ z3E%z*`&fJTOpJmnG_58iVTpRLQm;~}EJn+dxO*_D1L)Ckq|iB4bIRiWy&Ho%t{YXl zn}cj|(OwWJ=o;sY9GID5C_6p>z>}(sVQlW@?=N~rvc#cB4H1JmSbN=?NL5NiO+Py& zlBDh28%qtWl*gwEs&rT{y!26O{e+eKvoMlMF$c?1^hyi#NBj|i@0+GwRP7_BG2w_f zsXqROnMvPINSZ5BSza056@_2%bb_!Y-QpHR*v`2Y?#r)FVTyB&M_SX5 zLeA$JDl0I~H!iz{A=OnaDYKN}s_tbn- zP3_%Tt%_F^Z*v)>k$X8eNVADK82>fB3f$>b@!g|!KzI(?h;1f&#iz_{ApBs|TE1MD z-)SaPzr`z6aI0(rm;FdCyo*^>nb@3Scc=;%Tiz|dK#8#8S&u<2676rYBGsr=zFuQu zM$c2)$Q^YL=Sm$a*X%D?h3QE*UtLB>L1k-;%Ax0;y@b zxMW`T4KX%B0TW{6GgXV{!9}#9fC!sc-!N(O8wNe^2(C|}jKf%3B+JOBdHww`lD4n* zChic#lfjJQ)W6+L(oErgMT5TH&j*!&pJODE%~+dl-_JXZKaa6LNLfX|Oc`TDIf)IBm?IZfE7KwBnT_(2qFwm8*%$2? z^RU_|y2DGbm!6`r7h(P61*j$X-hMYH3;NY@R9CD@r9A! zW|0tui@WBh8;TAcQ=M)LaN^S_Nc65OEfvI{4{_CaEYDGy9-FMkSc^;1JZXq!z!C{F zY2F^4_pa&Junz`T0I=CIxX-Kc$Llt&U#fP$vWBMM8gQUo!IEab15)f{iU*yld3IL& zN;&rIa;po5$YN2kx5ItB1e)7SA(KhHQgWDoPn)BIe%6QOx@>T^dOsd4QBDZyHra8p zp}}(Ib+t4y&X!r?c$XcLluB#OTUIqKbma6cIvgP5)7})3NOO32SS;`N!M!w3klp)n zU3t4{|HZGK+MF3R1A?+7Jvszdm(IwBe`e6}bLq)!_g3>5GbL?@-<^S3{+>wb_}GKu znQABBhiv$CCKPy+>>q$pk!O0O@x-DWfDE14$m&ME!Ji!;(KHo0XA}wW6G>R=Lw=Ue z_fvlF?+K$p^X*3>_`c+(pDBPa;TbeqlvH7uj-7PoBQJ<(478dhNUN!$GKc2O&^heS zZ%5yil;wG^aNM;!Lt|1giChUP!XiYi;L$kYJFW$W>P>Nq#L{G*JZQopOgu6_wa*6s z(qL=zK~9j>E{`9)u{fdlVdZ$rGUQQAii>FO#fAlPlEnx|8)f!#(0T?Q^D zSM;YqGIx`%3Gi|@@XjhhGC+N{M6b}?cl(`&sBLZ8j@v2urSKZ^#j_p?a;)dYem+`y zB0J(NF6@EXu9O~&64*L=gfCOkxWM8~MvP*8iurGJG)E>(8~s(okomP3pVSPZ8whcuZ-wxNZ&|CG${CyavaS-i z%3jZw*}%byRzY@3G2AJTTg7Fa>$Qu@Zk0+b1VuW-mbLQNa;5yR9qzUw-d+Dx2x z8#^SMM06e8w(*zngeDJ$MREGIRA&t`L*}GgTUi`(?zU$UoE5hPItxqLlz?V>3O?!b zp52gdsn$ki$qaCQJ%Il*Km8j)^Cr)#$TU@0}nP1@-i6l2|XMgU>MTLS#BoMJsJl#?setCddShyg-{&~&>F~h z2BS5GJRkQeJKFa_fh9T%B11Es)~x=;7~c3Ba))EoOjT4$Wfd$9qeGN8M1nymj?qNf zMkujm+WnTFbHpm}D&)pv3aP^mJ@#bX67%@T3!{TWcsi2-=7{@4+jsbTBY{RG?cW$I z*`Ofl`@n$G3wsNr-^vcB;|*?`0Krcav}&D@{pO3|8fjPp?qzGEWzG#h62Nbg>b+J3 z^u&;BPBKWG8u)5AuCIm#e}b-&Pb|Zt0jK1=m zm}0WN!y8`Rz_~SF!?PX_@_IP8m`@}}=iNKxJ&r5cL({@9HAj^LF=*lO4Ck)v$I0JVQ(d@#QXuK8t&Adk`?=yd zC0-GgGrv0&e&QVA#NU#H@7-YQA+}__!_9-q5aDhoARFR34o4Ci^K2o>Y;Q zS#)BHHD6k(EmyFpJAlHx{!O3ac{7-1gnIXUna(gyR<_m;vU*+ODivNm1k7t5HO(wq zfdG3&73E5pnMf(237b*kI6KA~T(KL;chmC@=>zb=Ds`PNs6ju!*>420T8H}esT+zv z&g>t&R>b+_5rwVkDpRIOF2^490yZXrsIRZVUulv%7sIPS8+VLLqRwXLs517!V7GpbDd&+airmn ze_w99=zeQ;HV?lDwHPEP8n+ueNojfQhuh^j(HYxKQiCF}{~QWu5cGQLEw}1iTh_n*3;2aN0Y<=^Xk&mBJ=X7LMmPpwqu%G4;I# zthBdUgD^R9&rkPIC;G*U?H1)8sXF)Y3I~a>-Un6dOqhLjM0zRM;?zVR;%8P|e`wMh z-{BSXbTTkpXbUOdBZK>&%ibg#62Cck)Csa+jux-_j+Jc;h%dCECTzU$?BM-58f>!HEf$y>6P{F zY;fc)IoTy2u;MX80mJMwI2u?!rxCurPBU4fr+09^9|A$y7`J$R7}_(hpB(U%7-@`GwMvUEm)K{2MN**++SGn!wI*zVP5jal zW$$(~TZ-m@bq^5*>$*{a9+nb>(sn7Ct325cT7U_I28&Bo>W+Jy~FfJ-#NX3m)2pa{46}m z)FK+Nnk~%4rmz^dU1lg0u(Z?;jlUnKgAZFMn6*V>;p6YL^mH7s~nMPn-B&b1JjmP2_1H0_}q#@l|;BZC9lrmtTw4%N;*q$Y%ntX zhHlD7Q1)WXeI?pAqVMNsh!x)p!tmkgW+J*r?@ZTwjKpI^?Gj;SgXYJ?zhv-wKDwxo z)uf0yqV)AtvWHg^A_WDG#ss0qeMt8h+(WJhz6J;L7VRx8W8ELT6 zs(Fvw>4W{{I!byeD1>lkVS7l@P!IJ4;=!Sn02>R|kPySaEsouLgGUlZd8K&R461^o z-+eLbl@UVa#@~D9&gC0>r-tz+9fsgXvwstKb%U`-OmjdJiS4_}&5*s9b@(zy<6!%#ARiub`hg>AW1&YQdd=UC zr<^~tD4fm1KMTCz3tDNpkVC*Ba^EjzJ*?|Vm828n=Lpc+81yS^qL*+M69ra(o9O{B{Y6HJ(2Al#Z={uma=HdKeFJhF{ z5wE1cAbM)NnW;Ovue@|@!-`uC1{K8#zr#Es8Q-KQw{|=PgY+0|p-jb<&Gl-hWc;ny zFmC6JoV!DRETsk2@U0b4svegdz4d(4Wv5xsM-gM}R^E|Kled8$FhXp3dsfGqZ~dV{ z{cYK>ShSmWFxM7v=vCe9^ozjq&d)}y^1y&|O5ztEd!9Y=KPu%w!5bhDHO@?`ch?)# z*JD3I@>wc`mo7M~=sLgL>D1E_xd4T|iFX>Wq;txfJA!uOWMKiND0&juQgug58lFNm(KOxS(U)p{l;H z6ZXs#4VODVV%x8XMQsF+=(S24*;|7OOygjvl*Gxt$Q<)dOCK9Bg&I(|D#we*xb5i! z!Bc87v!~+ZUQAdn#QMzwh(r3n_H+YZv{^z?3mGhG)tNeASFoE{s2NCi*Yd!tumfEt z(|Z+WmTrL@3qNOrhoYKd{d!3T$G8rNUTHNC_4ZDjFKJdrN)e9Vs@X?`Z>7!HM`J0&^j=gg9M>wcx8$+)<5{!UiEPG7ENwA!xwqkB^fX^rh<@7^fcl3FtU1qr|* zxT0ST-J5nG3?hu;Wi3$(`#7g$?oc5pX6_=&(?AB|7=vutO*)76rQ18W~vK=67K5r>RXxhk%ba!xF78x#{^QcL(PoDUntQ`&$2WoBO(h9&?nQ za&UV}F^UCGcyX3OJb-5HK!&{-uBmBV#dmIfkbFs zn{@}^4;FE2%J{3lT%Aq!;if*1f_M&CxI5MUr+u1`9Xc{R+ijfjK38IwI^IW(Qnk zI!}BOEU$#x$)_2&bRU!FyeO_yW1KXS*U&v61dBM}Ovt3TVg}|X(UQlo)W#iJ-)(@S ztQ6dt?a$w&gF!8+h=-!hr+K&%Rt(W;s|3OnCUS462TDFRH)n%gE7cItvmws2Xr3bG zNNTRsvQq4?uF%tsg^&6rv+|b0yBH8$D2z&lLn<`n%du<`-|Kb`1Y0oN4%4k#p zy-<3#GM(ZbHQ4I9K2`IT8+M$?(HN5pIxrSYAc3+CZ$ax)X3j*8OS9g#KC9Wb5XWZW zEwW1!Y~znNloAAueIV7InO{{=mHD}IvJy#)L<*OUoE`zodA?pXXU!ntGPOyYy}Myw zx5@W0c9N$ymV+~rh9HC~G@{7>Z{aM!n20!XnnRz|R}4SM-yiA2)jaUip~ZJkjoo2A z#C-K&GA5}U+3;%96p3Ef599IccN-jR4-gia!Ectn&DvQ9aR1#XVW+b*_N1Z8V_H2cQ?it8b7nXv#Ui==BfV~Tv@;YT;cru z54WI5DLP`Qdgir}D~<1xw@F*9)#=z!9g^001^Um}@D)}G2Z-Jg7FMFAuC^!W8d)r} zLeCO}+nWPDvzYgfZ8hoP2G5D)S=EkJvcq^`{8$_$>~T?{w3Sk%Y7pUcXDpprDan3s zy2M@LZLQ6WwHA6Y#TYhZN45V2UMfRqBNw1n3D!n~jcqhwh8i;L!wbsHu$huzh=YJk zM<^b{BRbDo`$JYfvx?36J`|6L5$+(yg!tga{{6i?)1eq{O!b(#V>n;AVf{-2YN)L0 z)^moR5Ig8BGWp@9wgLAZMCawq#rCjlWF-s1arIhyEXyWVZPqIGp<|r@<_=}FgTFu7 zT$F{JX0axMlr9|gXn(n{bA%T5L7?zL1(~mO3-=;6k z_=0(SmPk^tB5m7mcSjUlneJGNx#?Zp&frGV??a=7GFLmRC#YO-cpaU-Gjm{1{l!pQ zul!76N=ZB&zg&!nFkvDaS*1cvINO4#k9VeHZ!R8G=K^M`Z zBzre3G`6i{ov&TWF&&>_+skQ$G4IEn(@nWJRw-^j> zI|LUvwKiDi##gg>HFsicRib{$jR{yKBc`X|>ZY$j7jn~cI`UKF;Yk$m&XYvU(A{SX!n|2DU*qFw^R2la zqhlesX6t)9f1lX!6%TiBF?3JMWQOeb=~*@{7Qhi|jt@(lATeYQygj4lhX2YLa^J|i zYHu)j;)h*^ep@+yB+ftdfgIPr()Zn6Gp_hez*ubZ)Cs_AYqZB{3so-p9U~va&Qd-~ z3Yyr&%ONQNnimc_Ppod{Pm?ZE*|oD}zv12Ocoks(DZ50o_=^w4|2U4P=kK7mEwrSu zt~96~HS|kR%4#8G4{xj3W!ms|l|r@I#vP zkG!BkV}4ss06rFy;ap=^te?GpE2nnydyz~<^TJYuiuU(7KQ!Ag;dkVQ_G zY0Ul=`b)UCL*@uEdDw@@udQLP>+`})SPnwhQqg~ja`SB9w!-ukbr41{w@E-qH{ZqK9{Apal?Pj!*4K(FKS%I-{Gp*6Z%6^(YqL95g>Zt%M2Q9 zS7(;zlCm)T)J1DgmKX--NE&7tKAcOsqAvyA5BGf)I!gKkxq zyImY}_?f?{Na;wh1|ZZ?{eKGG#?xi*)rYV-0ccE@>a2@g4guE_h7%peWSe=I!SQ;u z>%%bc-}LrzwD9XM@Yf5yMkWfaee6mWA0Hc#r;YEdsLkhj2H4TR`U(_?Vp^B_zQHRU z9%f7Wzf1*|WRc(}?x>Hjmc}#OY~dhAt=kO^>SxRIGM7fU4ZdP{677!gBU*>*vX^yy z0OV@&AsQm>(cq<(klQcAp*$0EqhpjT#_V70bnn%*f6zRQP;Fq|I*fwo{6y%Jv#R9DXcMVV``1mGp&%tsJ}W8xU;?-uT6NK;Ltz zZ6Q+3JDK}BSdUD$>lCq1!h2rVtV^=01u&e?e+7teD*S{b7PI%q3SiEz@;leXP3$!OlUy$bVu{f&c2vd$lfH^kn? zE)ggZ4n|8voEWeqG+QI-4%)Po%H!AA%q0NAu& zx$Z9btCz?n_V}!b)*_=Y|L`I6?MKoobikyUcT6zclQ#cAb1(D#l)1;Ir*x1s3PH7gHei2BFmW-d!piihE^hrk2jbJ={_X!*4G9y!bPBje;RN~>{F5)2lGd#$riZQs8f3s> z-AG^^=uV(4h`sY@=Z~|)J9E`>oLAwwu&9M6oQ3^iq{}BJ-fragjXusAHZ(a=pJqEs z$Y-S}JZDLUJ(DvVRMQj_J;tyg2Ho zd6D8o@XCvgu*0-=%#Lz9!>`e9|A>4sl~*W7#tG%wmYn)0wYn}^Q$^EEDJ1(wJsT@| zrBi*n8@2W7${8kz*jTEt$l1`VmpQ%~^Zjp-k;gq})#NOrN2dIDgsZK$gIjuZWTU|2 z_3#KTE$iY%-6R(hxES{t*qep1WOsfmLT@ z2i52rZM39frLAK!-7z=qJ+!edzGsge55EkOM_%uc_my9>RqIB3uaIJ4e(e z>d^C@`|}w6dgkHz=y}^ZbhorUeppMu;5&_{keBIVU^#{?!&(!~ZN&^P%Xj7$gU{cn zP6c?kb%Q9atG`Sd3;`066=nY>DJW#0t%7fXZnLqM5$071JEdj5*$JQNd%8BcHmLX? zb&CssoevH^VPu#5tD)BG2@}=MY~2RU`R;wd45R8IemEO5(Ff4*#kwUvnBqhTISKv z-Qh?luTtJoVWD~<^aY-Qf7|JQTr>1zXGw&;guNC0EHMi z?UyjQ42MK1AyV4>&G-c-ko2!c6!+!<47R&BG_05-pkS*MVwv= zh0c$oslXHStj+W8uLb%_Ru;5e1UYYcvbj_0xS6BvU< z8;b55-4Ltn=I|84jNGe#ulJIl&AY|~ONIn2*et^SMDFQd?Rxh-J2Hq|30KRQ!dFXs zsNQrPRZ|01GQMA6puG5S6qKWXaPS$nBsBPLAFLL%Z}ahJ{+j+~iD>_Oefd|xk~61a zZ?`x9;D?;yC!1-?xf161c!iB8*ha_q=Sa12;(M5mi?_Y6Z|dR@A6*T!^jQ%qhNv%2 z$0C|d$-1M+Ll7)NZP~A1Y}pS9;lt9AJ7Sa5+43U*)@?AGVuhv+s)$7i#LKvd?EP7k zwmX_sQ_@wTth5=hS1GTZu3DvT(SfNO{xq!_J*5Mz(*WAHC?#$FqE}vPSN(4!r%{FrTopaT-Ie&owM1f{LOZ~4BH1kObaX7x|cY`%_Jt;vLpIFK6vMJAHsyOz0)8KjI*qIq{ zDLCOZ{Z1L-%Dmj|Ow)SDF*2#~6)6xc99@)aeEb+&h8J^luUd(sn&vp9MmnKdo+m|2RHOTP9mS z78GuZAxG@mT%5zJwKuD2ZyCkFPlYrC%#kw&l_?9DDXwhZv~)ld)ULYHrhFjrra{Xx z=vtQJ2HvK)VTZ3+r$enpH08++e1>RQsqWz7BZGD}Yv<6;$0JQ+-CfHTi+J=yMxp1; z#(s3TMm|A<*2T`n@sfVlXk*rLlk3bY`D8qXXQE<#JC;aa68vHY$KC^H>d6-n(>z)% zTu+w2UyrEQD;k^d(kzhF zX!`VF#+7l%HljPyPVK5S7{OHN>R&&+H>fs(h1u3v%I*XEcgq0_YZqP}1y9tgs+hb% zC$ALK-8=hNIiEYTY+=8jeOze3WkAWuxEY${HQsLd2%Ega$M#wmjDw!T(f~{hj3}>b zqzmwiiOFfq*>~c4yvtDlP;+Lk)N4PNxu^NyM z?T{axG!6qPnXw5_0{wA@yaY5zlfThjZyLZOsN_RTSl0L;t8C3{v6{yL2+~+03!Lh8 z+}lox+Rk*(vZvp_Hf^3%Ew|t|(-&ol4?E)W{RDm%yhN?O9{Dl$J`^eay0oCJK|HBv zIFt1`w~`+`dFdvOlBz^s-thy)86i!aoCFw1DtL5!^zwsjf+NV>XtflYvybL~Z9$o& z_|&9e-Y|V+y2#I5Km3UT@@9gHxJPuKJ{XjebW~iLy$i#vvy~N-X`4;{IXDyemB}lH zm;a*P&YB5DdnBuD3!5a`=j`#-%Hnz{ur{kSGLYb25(F}*VU;`umoAalAN-g5smjkY{aEq+@~hNz9f_ib6kMRy-<11#72 z{dE@QZcR3Tx$IX;UBb1T0@>*>QSO$%vOi3F@?DtpW#uzB;6NbJ5*}srIAkfXtKW^9 zRIDi3`~96Uw6yhkUHQ*(LU>gf zm^io>eejqMo-qbFxn^4W0&)5 zHjmk!hVV1U1H+WFKYp2G27%8LcNO6W#j(p^J}-Q!x}>W`T4 z6@8*b9Y+Wy7r~9bVuAbIEi6Z#KdQZ!wrooDwXJ@^=K2<*X1_#j-^n#2SKQ(#{|lZr z@_NaP-u%VA+xo>S&Lra(9AoJ$*YOXlSDrh~$CinnQlRmuC@m;h5nS71*xi<$da+Gd zSk`yn^*1a66g1@c!{RxI*Iq%BPcvb`RdyfR%ud)A73lVbE>kE2(E!Zpf2eAN2TaDx$bi+!$J{xc;Q z%6gIZ4dAw)qqq3k7^4N2j;fNIdBcGw?xbBKg3&{@YRsXT^;pV$-0PR8<<80WV0rhx zhN9QDoZe;Ha_q2+{w`R1?d_oN2FkcD(jnO0Th<B04QT0U*axwk_Pd0TbWy8n(T-sm{;s$ z;e|fUt0GmKBlkv4I}+3OYcWjVwP2@z*UWUm`yPO{u?D@2q!|yz`6iw!JjRRV|ifcZL`3@Y-IQT12WP2 z8&HMveRL)|aBqc`8HeAdH>2ew{I=&K!eUv7u&>8< zz=8L#KCyRGr|W<%7en5GLm(bj4<$r!Y~(X;IK3pZM9{4AwwRP%7Sz!BN7K|&+E=NC z_d*yqa`#7c{U$cbS4pknAPBOes)3U=)&##qUq0y4FA=+izf3JT)*NWlMJp19 zR&>ABo097=6$p(C&0mK1NQXt%8UZgC;`OG#)N0>{A4T zh*K-I>y&vZxAg-IJ7JEE=$ytBFrBgb!GmL4O9gBEi#6}mC45v9g(y_c#`v$9*WYCm znN~=DRiXXvMG_K;VcHQT?PQ757h?6Q=1^YVto!0zVP|GPK`!#WRQLW9=>Rw@uEUjA z$Kg|}e#m7rRl%h&{cD@Hk+Lt^MlV$){~c6{CimF<4fjR|*U+$PkxOZdK=}a9z0Idy zS5+_9nh9&GvR<>07rLC|LxS}8PweB_f&gceFjHbKjMzPaI~$iL=r3t4w_0`6A=r=K8Jy0l4qWD)df}B;uLeYKlxYi0PGT zuPg4q`o?e?J81K07gC|KNtKws9$U0=V!045MBUh6rdN#ml-hy{4qcCk(EGz!%o7T@ z1p9Y+1zBi+21@nTmv$zWv4!_HJNZQz81`fO%?@KZ&zPQvszagH{p){y{JgY}os#l1 z%9R`DPps}knIk2UMg#3qpOQISkeL%_9SNx6I!8FmOU>PsOnGZcE|_-lQmPfnH! z{Obq4ktOCXvQTjKCNOTFny=Y)KdQLYKT-@8kok>opFHFLcw}PU$wgHHIZgI8zLGs; zovZ@I)=Dr*Z)(&%OBoyR109~Tw|cSA&R+i-F8#Ioi32h7gj85}z#aGGZ)f`~IWJ^7 z)bt!h2rmv-n~)M3gH1GCqe#f8DQn%;wg49K4xw3fri*gj5>HOAFb8_eJCws=0hfMsPzd7fN6Oj`@x6NNkjT5xPr`0wH zGuPQ;!XOq&ytMZv21ZRGaSRc;;-f&^z9RI?F-5hKP)$UK>@QEoMgAaUE&QQ@!CPX- z?PWTC%%*ZV!qKu@4)$?OTa^MyYv4T~`R@Sg;zc9T%=Qd0Gvuzm0q43mW>gj%Vl&ee zvxKW7AX3#82%rJfDlX5XP`9J_C@&^Dxz}zW_8#}=a069-<`!XtsdjqV&cU!`S1#K$ zk$Udc^BtYzLZ($gJN{J12G`s7(Q~#K`b(Un-SLRGC*AysTu##rAu$rNAG#!!?)IdY zR9KoZm8`jW0)u^O>=m9^)yGrD5wYas6kBkjks-9p1S>$a{fY5$jg`OXdG8}p3Yu!_ zks=ynI&V%raW5k06;(e{@tj(fqH*b4d@U9x{G$=33ugCG>s@oeghwE+KQjeb&537 z48vs;MrXv~xcyS1N}_8l={j7v<|9M|sTLksZsrruL65qVA8aCDH*%xiGiF7LONoGsHH%3ltZXVZIH|}FNkqNq;HhNl z53(8+rinBB#!y*X6?W1QZNyIm_*4qv*jV)76em|7l$7=j;>%R3J|V0O zmIF>{`JXXwtL{jlKZ2fl&t9BQ6oP&-F>N?@k?n5$3>0TIED^QnB@&I!gDWcAt4JMZ z_D}`m_ny&9EN4E$;HVhBd;cpvC8~KcmA1?hFdt&S>=-@0YLQo7{4{$l0tD`KF3ryrq5F`$$W$*us@gn}WtA~~8 z|8(`JD2uAdDJ6f^1%j zPqMiyc>ljS|I^jO%Jd&s4><(ae+6>6*jbtX6UeP-Qc5u0Y@)z34Z2{oT6ZyCX|i7b zcW|&;nWo@2*?xL1mnvOslqHwl)o5xt<{;lQi>DU^+)6e04Y>qS8oD%1HAFZeXoG3M znv*fT$o51S21*#~QTpl?no2CHL z&uYR%85yvtLrO3ucuREOnv9O1f(kBQVQp1t5*tIyT{C^e7+2cx4q4CY~`>q6$(2A) zADsT7nf}>(Gt86=7`zslCH5YG_Dsv!_@*ZO807-cTYa8>`i-u0bMxYIYp(D@eu^bx zqrSOjO&xxj0u8v-J(qu3N&ReOYhrbHDJ}p`KKtGmdGOU&c)&AcH!?w?CT0)-QxIQJ=}u z?;wwC5N$(Bcw=M5pUG|T=cg#}cO8~5O}^cpUq~RIf6uDpbA5um5Ul7b_&?=!L5rG` z``ag<#69Ghh@VZOGfU@;>AS_L;P>q&!oN+BK{8UI8#0t>kIiTl&sbz#NZV9gy z-@Wl!UJ0ft_9Y0Er^M0BR9E*A47CK5%UDH%ETMsuSGCXku~Wm{w6O(HI`kW($V<2X zPQ|$ah_e}_Fe%Tw2XcRg5tA61BHR%j9~lDAG1=9B_XK@X4vY@$-gU#hFp>;`_&{uS zE)8}tW*?R5e{S@EMNssWfIJTfT5MryWa>G4R>6UzhW(S*8l-d`y*4s9d&4*i(gXv_ zKcnxu54Q#eHV0r$y$7d4cvo2XY5QA=$ZYSELzeUf$d1iIyfxw->~n!iooqdiXNKR2 zpW-^OI(G=mYQe*|tsh6Z7>oY`xo!UoSwN=0HF;mE$yEWa4>9FHe<9K~Sr`v9o z*==gINJ%Pgo;dF-e=YZdYNUu(3?ViF`B!lftvg zQo0S@E0Py$Y#Vis7wllKHlVsyKleej`pdx2_{f76E=axUa)M|mwkX=50V z-69_xco+l(G%Q1@E~1|x-xMoYV)^%Zut8F{o6&_Tr!A`&M%Te1`O{lsdywkfq^}N0 zncuL&kR-NknhCg~CG$w{z3)?W+xoP6decQ&1K}3=^=D58o25(lpgfvJH6(g3fy$+! z`C?`BeOXd8e=k1amkjGhnwx2~qE5AUt8lVAg;KNnBH8wnx$&|9PuDEy`(&>CDg~*N zaG~yzR`83lBlXBq6Tpvp^kxj!XHvE5(2W4@F3l)REz`!Af=E#tkT}D&JwKU`=`Bd% zcMw%SkmM@2t^5xAC^Ay}188qo*R19E{Ja`8;)5Rif920$m;M&76krUE`4VmWrVRV7 zSyzbc&D41FVneHOqgp(*R4`x^aPj z8gXOdD)kXRiN+F{9FZDU8$_Fc&~m*H_Xa0b#3xtxAbV8C$3l5#K_GcRE9Zkcq6osh z;AOGee@hJ!3V0;51+cLqre1LFzJdS*h;hE`hA&uq-Q`oF9BqEhtH|LMi!HO0o~^qD zI?GiAtUgv!SXPeAvd*?0{M7g9hW# z;vzQK2i!cQ>jKk;S%~F{F%E#Z$7$UOO4}iV+w;=}rwl3ozpjB5<&6=YZ=!25Q>!~qOMYT5C3m)_{_+)*ikm6jIEo)pN zztqH%fG{ZC1q)#!vEHFbM&h>&*U&Uap4YcZ=ymtI1rO1t{)mc2_tpKvXPEolVHBG) zajfSOhcNu<@nf4smj+3@t~7>1e<}JW73AFuS1eh6eBj#so+0x=PyS9fu-&+@$(I{=>6>%f9k~nyfKt)9zIvNXfv<#pKqmFq7C|xS>lUM&eg-KIHb#9|&MCsHi1L2U{coe0G5e=p``(V;MHMu>|V zwR;dR6VJZ*z=W+27PfU2^Ws|%iP(=*lNjN!0qDOKh4ou}CzH==17^mtKmi@0-x_;~ zZzU`x_ZUs&WYE+7G5O3AybuJ3>kCg8xMnAN*5_h3__cI~yv5k>{uWtt<#WJ79xy3L zsV`$kr0rIa_nmdBfAfYmJ!{hsDS;9|Tf_$SX5^^%SMaR_4Vp4%+Mwids`=h5q{aLi z#wmLC3ODF&jm~v6?7^)qO{Fw`*8BtgK}f9Wom-N&9TiMlL^T!1J%;{7Pm_qmQ5s@qbRz)b_tIC z?A#$J2Skz;!Zbj#3#A|tDLGwVi013`Tf$HqN$J%!)?d(Lj>>bE+5CV9?^2n;=Y|JL z*q<_U(csx+;joaEPHzhF;O|SDyu?x-#ZUaxbx{jYuD_A@g?yLk!}szFL8jyT_0H; zEN&EmzKiFA>39WY{DF;bj?e->6CrdCXvnatAw-?#-Z%cy$e zMX_vA+1bTlKHlA5MjuvrC1MLLemeP+^5%+4wED1y`yK~|?Q>)7E$CA5&g)CStTT@? z5x`mW?9y%)>wOy}AEj-D{sWgq1ZT4s9*u z`YY`8?7Vb+@)Srisr*S<){?G?;SrpMq?unSfBG?{qxCzxS61hE4eiPX2PxChiAbgx z-|z5p9aTJm#ogmXZc?~E{|9IkvllP93R`J_SS) zf1pgo+l9}vb_Kh8N;PAhA7)4F{XGVqR>f#%V1yZDoBkfpzqYWZ_AH#bMe{BQyT3K# zRmN-|E-M$F+kRg;_B;HM6Ivt6xr6#DRcc(t{vL5wkNJa-8N|7N+aqh>?_;{I?2+^+IN=T~EC;+=Z&B;w4);@Bnv`S}(r=2=z*?{ZHwFk_^@x-;;XPvMG zS3z|k_DTJr0f-BeYV4-0Qncq4MTYjK)drXkH$c#)NvZe`Usy0__U^dVl}Hp1f3~M1 zUqYgUb8_R6>>8IrjeDmEsuOvul$qw9Imvc#t6-FGy6*_rBem1_v4ycLZuLRC>-kJA z=$lT?X;MGR4}bM{2NrAkj-9q5sU2G(XEQHE7Plfu!iq|=7@#K2@l=t;iF`(>hzCQu zbKvT=*~!)FnHaWPp=}jK4&9>De{=&JP2RKqp{Yqo3iq1?a4;v*npHf4KfG)|L<;#V z7239U|70+yun;{j(E2kCY`Y@YMvdROT?@|B+pklWdch-)$)8UcwgojgpcJUG@h)o` z(&z2e@i1lf=h+(*&G%#OzH?O^b3#NvOb!@seC&J`yVMTz>MG1w6XLTOk3-WF)TR#_ZLBKTSdR&YRLN=mf#S7b$Z zZ3P0wxD*RfwaHGPnr`;|bMWeJE6y37Ff5^)8HUD)${37yiusmK$j+VB3Wu1WF6=m` zOq*$AZgZ(nGyrjnUDsfhbL$F@-Sq*uJ^&@*_Y`o=28VQ|g%(gFf9a(h9MET9Z?q1l zO*7-N?+z~cdo6tpu#C_+6Fx093%W#Fd#3meQ(-py+ibwHFr2K{qdU?2=~B$u7~?U0 zqS{JJhSE1lkh*i^dAbuBC%Jp2mY(I`wC=rFzHm)2cpm464i6gG4`e0R9#3^wUq_yQ z@jSUHiG-EDkr{F9e|6Y5Q5nr?j0l%yrVhAqMR2;2fVX&Aqv%)JE~LRq+2j$|8G@7n zRNyNL>}(FfpQ9osHa}75wx64chFsA?dD!s+L24O^aBB=;q@vroH;hCH`Fro#A62pc z$n&Uy68tj8Gqav2L+Og@UmP~BL@i2s($6)a^OF3bw3urte~vv?1UW3AY7>mIjV#rO zVnObkw}L$8TI=L-PO7If_a2mYqRmO%2vg|Zc#l!=g)NyIPVtj|=noG{v}YW(XNo(I zpE{*Q$~0*Dkgh_wo-8+H17`*2+dO($GykYm_n3r#$Q^`IQ0E>hSGOGxQ}`wrjE(qn zx19LkjS3d_e}dc001Ds*W+xJEVPjm;NL#+c)S5^s4njK?e*>(Nsd3aIPAc#rtjR$wFDR!_+VuycIrZ=+Q!6mMXytrR?`E!me-tfMKDyzx_%zZ4wdNN@HmyM z)4J)7k zzCEFEGU!Lps#sM%(ii|GtX1437%Z1EL@Deerkp&(*jvRDg(snluwHJYOnZc??O_~S z2(5c=QXVERCMg=zYMSc76%hqK%P|r9@Va*LYu$a?Ty|dk{F!|*#HhQKVQ-6Oe=QEC zk0_Jo?Tf@LN`#(bs!MX8#|LK1+4>RbSk@+7b8wCdlkg=Y)9Ocuk@3rOEnphiO_ZEb zAot|SZZvRQ5GXLw1%}-QG<_rGcVvNiA$B4i`ZRs1JtB$*yQNCll#%fnLfjpzgrBK} zTo2V6&JzL?x;ySbxoumoG?%eTf1|{EsNK5%AEcx7DR=ZnCT}a^QmTllfSb8sRNgS& zZZR72ki`1k+%bwxsHoS&eukiq1hpj3*e)LSbXi#eJqM?pi|W`T^dpSJrhf8vQ-vKH zrtLw08Ta|x?4KcQqq7niS92-3X#o|4b__fZlEkOGG;xa9-}cQ<+Ttque_vvB%LexJ zN8#BMk1Ir#wJFS}i=EqMz=V+a1Pk88i!HGkwpp!aBp0W!S_`$+U_?b=H)ZCZGtcpx z43C2|CO!mY-|JK?gz2&QW%Fi-KfK=PQNY{>^SeJY--0@CIT9*{{8n4O=327*Q^7SD zTEt8)L9z*XJW7dtzujL(e@(4*tD&S>84StT>YSr4qKYG-yv}LAe^_nS{G(0A`j`~^u9$MTJ9-E+nyI#U- z2t{n$#xUVo0fy_vIM(QKkjm5lVzwyOqjLvbwwFG%W36 zFA4fZTZ7D{irrBb&t4Dj)!rD^DC4d5h0-TK#5!LGo`VozATwOUx zLvOSG2DO*d7Q-7_%=hiij@=2u*?39}!7&Qn=7M0h%WPOi{q&F$MTD zIX#Natmnj`+fY;?;I^Uti3W6Dl7=B6UIVq!OYUgCigt!Qf8EtuiXntIzE>IGO(6uP zE7M>%ad*E?fzwu7b?Zk$Dw4WEltrmqm1%B~KZkB(0AY4=!y}s-1^`OEFJB}KKzp5j zp;8{Y3REjA()2Ji1v^=C+!Sa)hsGL5G+R{`f}~?fC)XH^7{3##(S9FRDpIEiAq!xl z7ss79B`5K)f2B6*i@5hJ8h{bFij_>z!^TNjz;tD}e=_3y8H4dDj2v$=fiAk)S-uzXWetnTK?O+ zk!E$#VFArn1`N*cTOT#3LXMfTP*joNwKD?T5^IN7e~x}GupaFxNBOtbYvSs$j(L3= zOEjeL+#Sn8b~fq6iPk#KV~P2QPa>ux7(U`OHpd{h*^aI)I#@e z1P9Q?3hfl9t?|r>6S#8UoAuU!>G9<%JG^mR+~Y2+aJ`9ttsZ!yPzD2EE_)v&@VrV+ zPWLlee{F5B;EcW5TYwzjKpu~McE?WHznc3O{6S1U=$olnce*MP9yj~s?f8X9{UfYm6S8V>{Iw3s`a74tmxV!@k z=^h?C_LwrnG^XUZoGJ2kC&RXb_oU)Y3=}jYr`z}JIWm3uJU-pXo@xw#w&YxjTeVnS zrz-GF>zqe#04P9e{YT|dWj(s1 z-!QU9b#^L)YKzOH? zSt_L-!g6}{gri$J<{d+QbVqN@%bA+vf3oD$EqEk&B-s|xq(ffaX&u&x)({N!0VX!O zu?1n&0~W7T5fJY7Qs=Ae@cc6%bH=5@#3uUpUiT;9Oft0Jn@+B9hc^1(4N!Zg?ch&Ibhd`s`5`kD_@EZM&DKUOxyNU!<}J#P24Z57Qj=RT$B?XWZ6FkY0`F?e{ihe zaSh{eZmEdfm2wwEk8hTVp_S@egb)}mAL@40Usk7XxPdfFBl(*4I>#ON;modZb;EKa zr7@zq5se_|G_-*FS}jC%q!|4v5sLH$Q>b1~b?G<$#2{iUc-WH4795#vOsNhjFk^zx zD^4#NtNa;y$s@KXn2Ub)BJBZVf2=YzYz%1VAuC2nyZ+EroeVhZk;ze8qw|{~x{}Ec z%wDBxCHl0$$+{I6ux;wm-hJ`wSfcfhiR%lkvs*jR)!KKLP4aC4M=$D6$d}gTXn};D zBrJqnTY+Xa5w1Z-_vrJ$Hit$RWm=tKB(u-E32L`m{+$@?dE^~prhOy*e~F6NVryV$ zK9m_p>AxdKt&|I2jX+eScSGk4{raL9s(8T*x4C@VZwq70qOaGurI8AB z+7_eaMF}R!^Sen^gR|5Te>Fg?@oUn8m!h#-SwO-b${^Z?w64cja!(Z$%>vL?LxSB_ zd4^W0rvLMk;TOY1gE>+CqgSley8$2auIH_k*DseNGC~ebkOp0_IHA>0pE05S6Gv7D zWoeP%Cz>@Vk&y0{8GnxHMs*nAM_`!YJ>qOkMC_e{3I2IgGe%Jmf61v8V9^|Q9{wJw zVeNpO?_PW^yc8dN4Bb2zys;r#R5fm181CLEAo$l48)YWs_$Ne~aJh7t%bozuuu^5?yl;2YCiooS_bv6t%e^iWyVme?K zlx?Y8>ZO^=g!0v9e~S6z$Du=Tq^sba1qv0RKPwnYa+J@Z`}aRi<^2q@H>9iIq|z*} zsu;FHh3yJRWR1w0p+Xyefhaj)2>fJpbR}plxdjb!m`}vyut@*%;=x2!e;OVvaNUPl z<=<N>cXXFe}9D9FvgElh0-1Nmx?Cm z%63E@$8b(mHtO&J(POmIBu0`DB7>bFaycmZQg3gtQlI4TNHe~yH7tp-3sYyOa%`*b ze9S~%1JMs|f6U*=xD&*+FT&q%LrNLvj-WEl9T zzU268drLi+UBDS(o@+MB~dniJ4vx z6qjl0l7_PuHI>t2vuEF2pht;rLwZ}ROa>}Ei`=+w-=*q?53kEe4c1AIrksaP=cUrk z9oD`Vf4%x?&aEyM4DT54hO?JYJiRQUe$yO49D${lGB2wQ`~Ez$0}~%~`wvW=?F4aC zLiwR~&4vkZTTnCS22(*$s#*kL=I^RacN3AKqVI@d0s05wpMs1|)%cB&vXbX=b zq+2z7cAM!)_SjI~$~&Fu8BNlYl{&dHq_$^We}kDmtRV^X@E5OppApKP;d*Mh4od{) z^B34APMm!QBZ6oK!v7dUa2oBhl#t&7$H*Z_!7YNL@H4S#AORcK9POEfI=*;djEAnVqe?_Q9 zEWTh-^|V}deitJ5?g}VNc_4YR|2|Z(>iqQ2CC$DgCrEMf?^8kEQr^oM-|2Q&YB^d^(mCeVXjGlXhI*n>Jzd?3WlC@4`FjC= z9&e(&X%zSqjZg6VYxlX?!~kSfIvO;ATZd<@hwPb(2eIH7Jn$?HXKW^L1bE0)%nnS zd@@)szPPSCACX+~L7>|}GGL}cyr%|J=NdLxg&W|;#%^a(9(mo@$QX}7c8H>IAlZuk z1nQAHa^%VbwZ}cL9Zl$He~)jCGRH^Co1&%}$b5>adkB+wN;#yk0glWwXFlETaH3}A8o7iRtq5^)8U`tu#Q63_LUNnsR zG-VW=>wRQSwRZGc!n@QONm{Nz!X{51%%aPx_=q_bpKd>jVF#3pfBxwRYGV2;%e4tN zZtNie)wAN&hb^>2navXRdyUaLk0IXj+Tu!Y85X%mbZ*7vYH;?>0-b@hQIO78(9 zv1f^4DCJg*HiIHqR4yZBu)W3%g5`jlinXo4+M!8V1g*}ew8?bJO>fi;8zg&xrO_Y3 ztQou@hU41AiUUc_fA+olEk=UXE3hc)(kA3F1#g!3rUX^h$ut9uOvM}Bpu|@UD9lkJ zrV`d--0`ytPt>o~M{8ksLzqwx&5cVNKoP<(29n5c9Eju$W?+{E{V>9r5rr z8wY`Owu$z@3m$5JlKy?f6-=oDiHxAMdvIQ_|7IMfGVFu43b4A}WeJiyXEGY(sbrOl-I4*MPc z!v+nFe+ekL^YyOmf?ChzqOI}XLH6Nw1yr#Zuh*RX;zKMVTEycZS?vS}ToYX4?5D)Q zABKWvdNq(I>OUSJ#R12V>thhPZi{4i8+`(eQ@?Y-8EV?i*o*w=^bBEr9n#`d-9Vf*C7O zqNEH6Dryr8CT{lZq-z;_bGp)^@UpENfAxi$jc@ScAxVUi3oPgdyinp5Q z#3~f!hGZSTr|%|7{M3m>0V9iEa?tkTz}}X0?H^ZWH47#aI^;B*h6V8449UpC*k}tv za9U!0$!@k4i*LwenBt6cce|PBjIFK?6Qes_IV`cb`d>$G2d4j|u0WT`mEI_5e|zL) zkXSl43VA;{nQb|-i)vK4<0?LHUiNVfN~kbwi8>CFxPe}|TlW%~@&x;qv_dpj>r9Xh z_g<+=g|y|Ge`4c1rOKv^Ypw_l&$@!KtxsBx#t63A51)<@#n#YJe`?>S^(P$8zNH{! z4*-)76X2{8T+c-*>EHlarFHq7f4Vqr$tlIe{WZD{4;QYdVk{K&b1$Q&Xr$sfWBxlM zPEe0t>I=#>S|sd{2U|{qx<|U&_&mY0q@$uzlxQ@jPJ(YPC*3s&QfhwabydHD0 z=*w3~D?5lNTP1y8O|JQe9K@6~l*ERO&|z19=IS-*Y$V;c;$)GGmpz{+f0g>10C^ov z7?;oh*S8bZudH_NyHGlx{2*+Ih$9;%%HI;ol@Yl!VyQSMo8BJQCE5VaGb8m`z|y2`4L6_2+1g zhpK(ybGzAtJt^edNrk~pe{8nd#ehnyUz*|WU+xkog0|1y-uaEOFOUFwxYjoNgiiKX~!Z|*;(!HIMgZ2jwAiG;&4gTj3*x%o0)`u zKr@fH`+fmbu>dTV_{P1~A8;P0m2lG>gRRt45mip$G&7=P4>#1ke`DU4g=(pZV=0`3 z2)*EF_b}y~<3_4CNGh%-^iLCq>Zw9HO?>^C0w zm;A~MrB_0HOL>5c^;Y)o#{FD3*tv8UkP*}A>C zE&B2uXDV^_kKRhc(!*BC1Co>M02zn7>AX=0>ih%OxY(&`ViL6;(P9UXttb_3l7 z+1F_7)q9A=L7^brxIJ0^0+Tf6q10`smr;)80Y+9O1r4HVfGqHsVz~c2X$>FFF$fzc zeq@Gn56TZCmWm|5<8#z}$ECOwE7rujz)gC`zETFGXP2M9fAz+MZ3)aLN|ptgvia1} z(R7Yxh1pyx{2kmc9@dJy%|%}>|A(2(0Z8D)H);^BGds+e3}z(C9>fdI_=)+qmQ@{A zIps64W}NX|QZ=U|u&tvv)W#-Ar@?i#g**XXPqTpl__0pq-fvke%HKGR>au(2-udE; zJE-)Tx~+Lhe;G)mA$VpNj#g-~YB<-~g$cSg9Enbp)U{BqXR>^!z{f#05giODrMTJL z>&%v(e%iFztwp~?qzZ`40`DK@h?aMFw>QS>(o*SqXQbwEf-cj4GVV4B(}nKXxspjW zuCy(C642buji3M8B-$z{wt!!+uh_G>%u+->h#pE}f9=Z25}bNI`SjINvU6zcfOx)#LA|}wZ_3SajQX>|3JdaeVmLYVlTt(D-S`I94y3|HA=(4O@{}h9khWy*8F;H^0 ze>z2Co9Gwfs?#0>P{7C_)|k_Hi_!V^>%oqj4T4LeqHR*~?iL|o9w+VQ`6HeEihy`C z(v~=uYX+}sDAvz1_?$jb6-Cijkmm>FieVKQet*f(MZ-@LizR2h-w>^9*Uf|TP_;Dm zBV*dM-w*C_B{6yNvT>Ocd%UOc zM#p3vtI_qO#o?D}$q)?r8#aemS3-^z;(HJUHU?jvOxCRneRx(D$(370VE$B(e?f^3 z)ll!<0u-QrIjiv{kiTlkiAl^+Gf}%(QDW~ajhz+s2y;eS@8oS0P87DA+^VTMuC9^}ow0UJfB81L^ z%P9Iu2wf6~Fl-c}Z3@6+AJf91+3$D>!! z79+~}MWj|Amvo}ar0!dQfQw5KJN(lv2fl(c`rGSn>X#nhiG*{}gzbyLTE1aCDg)H4 zU(Yl(RBJPvuYwu9+=O$?N(UqIqgn9gvLx z&1BMHrM2LnZWX;ue3G>c-!SF=am4Ts4s#Tznxb; zmDxKiV1DWZq>Cye3CB zS(P1#ve}fd;*k!9UF6u zO;z|?>FHEy4D?znv2<6v%0On;#xxoc!%M67C@Gq+PAeRnugz1ERGS296$=PRo=hIH zV4Z=^1#B=>hTYbr25q|M;ds;=Po_`9y$W83;uoC}6exanEM=EhlswrplgjvktU?>2qLtE^s93ksF ze%?}+0cJ@D2z^t%30%Ivt85dIS9^2a!_2MT0McD&K8O0~lI0HN$Ie*@As$2CA7J*T zZw%R#$tUPy^vD+P5sd;~9~YRV$0M-Er6>qBvCLr1fBs9H<;a2pl!G4M^M8bc;fRoDUkvb%jpwuX}?A06_jNECNQvx*J&QtzyjqUVST zA|Zu6Ep~cO>6kbuCoM}~r&)sshepgu*r*zr(f~IMHpFwmN7@RQTge^>4ZVZibv`)O zxC#nYfAsbR4N zu6R>8Cp#Qan@nRE28^-^PJvaf3=S>Wf3ISo_Bg|C{y0#*BI=+x6ohBP*YHFz`c?^2 zbAK#VQnj0fUh^cP%KGpIZK1}pOH~rV)5#bV3Ho4T!Tn)`zST4uVYYW5aa$Lr?@Vx+ zzHR4O&R_=zKVOr~qwk67b=(h&wH!F$&T_gy#6K*puTHipYjL!zr5Tn21Od*Yf5H9m zTQ}|85gS=ymQeV51c7)Q-Ules8m1U|s&qCe98t`vzmhEq9XOZdv*)0J{0GhjuE15! z=v*KDE!5-hO-_2g*X0tDXp$wou4pK0$L~Mv`hV-? zy$nOC2!gKiN>kP|Z;w6N;q3EfE{5xNEBH%sI6dZjS!$2Ue~Izpu|S|=fAxh2ZMf&t z{)i%w6gT#3=F`;W_}(@PRHJB#{3LP*3^amXBr3e~p3;!RJZx6X^F<5Q*i;iKXWzMs z#%mlgPy2VNta)Avk0pWh(NaG*eH51b>KCrQ=Dq!MiIxa?#1fD8jX0XQ3@SGfLeI%J zE=69sx`PVT!|FDJS{eMve-xJG$XV`k835eTFT)VyQ>U1w?8U!If*Fg*7IXn5VpB|{ z>7R6K`b#LPk@aeS??$jfoGIaaxtPeF*1IrAG!u$bVeabdvvHsbCmcH~9AQh;P~c`? z8%F+T9;C8|f8)w-W81{d6+5=tXu!zwGVftuHi{lMqnB!Ye+9w!e@tyIcA9C>6@CN8 zyaf#GwF3(^$ST7;6>kancV(@G!O4!TvSTpX89dl#tER$o(y#2X^ydj=ij33K2anX9 z7s;q!80s)VaTMReXFvL=^Vm{3ITx`B=4x!tA9WtB<28+V)e0I!{i8OfR|>Y6c1GZ| zc=aB)eoJa^Z_a#Cf3B3KfB?1o@>;r@{K^lSDHdGe6j9teLS=LY^@!2=Vc-WJU4R|t zh9+8wbbub3`^jb;M-?35&KI%TKIsx76!@h@*?hQ-^+mW2g_d(wUv>u>cp5y#ZG8u8 z&Uz+4v;nf4U#E{2t^XbDb;HuLJ;J$xwpu1jgh2LYJUd7ce+}enkfb+_7Vi!YxVQ08 zv~*B3GPtB+&m5F``slzY)S)$b%2W!#I#~hXQlumzJ}Ok_iT3;nQbCK|O0xWdM&?y9 zHiJu_ZwsA1X@YimG1{)Cv(a9cq<$u1R6wN+8DueEzjeP1T9=-lEK+xZA&D~adAVMS zzJ4~#@r&3Kf2$!T#FV&f@uivXH=SMG(-QDqJP}18uIq1DAQ$fi(6WRR;|gLX0jt6` zTMHx_?mX`jDMW!8R;7i>u!e0`)` z9Y(inYL*eFoMrbS;s-n};V|?dk%QqEvpFUGGO<+3;QnG8WGnDvY-?lxgyPK!N_G$h zqVWN4(4dHo6^H>XETihTsf2)%LC+Z#!e_zyTq{mW@coE*QPQl|zACk7wJrG17Mu8v zJHqPkf2jO}H}$UV#2^+qVAp`oqUIy6`Lls@nC^@OvwLm|bJkb^hgtsYuYU7Xf{dE7 zT0@M9DS;YnhJ;@2ap60;;5Fi`EChQWV>6_lWf*3us_uJ!NSbL#E=AP*9MaL>#Y*zR zWyki{Y>m_IGVh%yXhRuUrn*#fAXH{yzhgxlILr=jAdX7eK<1bMYHky z5w74#W^5wTZ|QxBt4Tm|+Z89QS@J&u)+=-nc_*LE4J&YINdKr_@Kaw@=b>=~J1B+( zlnL|H6KF$zTtX{(1k2c-my$35cJySsH7m&wxuD;q>CJ8^5PxHOYU4xiP-Xjpz+uow ze=>3y)En~_w4WR&)lFo=n46!r8K3dj%oRb|vc^H}vX{dI+J6N~qP<0`!K0NZ=NAXc9ZD#@OGrJ1OKRkZ;!dP=OfFBGU9`Te=z0# zk{Zvi=&P}2CS(#T18I4?X#xoBGE_a*?4QcAyx}5)B)M+wWbKX9lGQqjQ^`A^75>7T zM?hkF=^)u^&7QZ>&leHSp`+*4u9}h3e^!(0+l2(px1U&Y)2?@W@8?pKg{d14No?s- zjqnT&Hf1_b9cDaJ9%+axw52#xf8lP>d^;C7z#_}@*nSVoAEZv>p$%Ki2FnZa}++(i%lMSLu^%&H|J$@{7uz_bxQ zMN4F88+h$vg>4eZ`c|E*3fr1d5#8KA=-En}KtmG5jiFXGE@out3Ei;vf6f6W67OwR zVcIRyC4pDOKA-p0s18*hIJj6=-ZNl8TWEgf2`zci_bUugQd5QypLCKorLsE#y)np@uGDYwC(&HEe0MR z6-H>`2F)zn7N=$q*+yx(sEJ_-TO)B@k#=wBnpczdaZVN_5tRK19|pNG^USg&IV2C@ z?iuk0lr%By`@1tF6kfP60nr{S((B@u#0<+N5Ib54ZYgp}C1tnDfA~5x)xaY-FUd#% z*O<1tcAzl2Fsbo;&1YcuduOZLBU7Gra$afu>^E;>llKqETLRN>?g@%{w{~))di-jPPPcl)oXOLQxR_^jMa>w$&`rt2)SYk zAz~}BHFTO(CnfMUf4_l0N6w6jQV$6|9PbnPpB`43c(8KO8ff8@ediFVyD);h4tfJC zteN9fUb+t>RhG{q6?c{lU^@7;SxMXhfQdt!&i_eeSQJl@f@YnZ2#d}PI%2Vf2svI>Ry!`Nt-mD#yGg>6g0|i@wol0vR5CEQ7$iy>Gr zNR$$A*F0`*BA-_wK_%kjrk;1Q9O?R-VNf-5IIz}&_$M)B35xyL1D!7HL)Ke~$XJ;W zbt!5DdB2|R0hy?|NVBY#5?~}yqJKH=$61(PVI#kif5@Q|kU$oabO&qo&)}!qm85e< zjBGJxyTib?fVqxz0)L=44e2c)(mgBSB9XPMXB+{hcdyNaA+ry&opHzC z5{x5iej(pgH#lNz!fB7v4e}&Nt{9FK&2ur5L)TzVhl0M&X|Z6odmC2V@G^2zL8>=R%tZGe5PoXe{x%_z*GSyH3CH4cZ-|1phul1+!sC{ zhaxqZnu<{bJ7c8U`>r^sg!BqKcIzuEsl$I?ajpz{M@wOJK`eE_9=c9?Ckp7g0n;5? z;vDlLQexN2m?Q4zLUN;)92L32Zpf!zW`zfo%nsk ze@N5SsI_1iX9r)6!LARq@Iw?#nP=@?o1h(A`zK#edBQ`efb-p*&3!bEaAYh5!--&i33FGWmmE3K{Ze4e>!7A zM5lqn%{7WTGiY>b0?|9nBytw;w2R~>R&)9I$0Ie`LXmDrbW81Ztz(e7E33a4>B1d3 zlzO*C3hEHJKM_YzY<@O@YA^fKmH@9(6jD8Nrv-hhX52yszzM0o;!_9P{bI;z~ae`WxKo((7i2&>;AJ4SJ|r{E@}d~#~5Idf2zqZ_|G zwW)xQ@L-4nvgAU3O)8!rT0>P`zlcC?DD*+9krZF!y=gx(j^p-jo*s^3<=m`{DZ*D@ zxl9G1h{;l&1<;Ac^d%?3f~4q#?THejju$+nX*9wRkbj_im{F~QabmR@e+eT2`oaxq zP`2GOvU>&P;kt)qDgo|{teX^4WLuy`sMwmti><3Q$dC~#eUA*c7MUJ91c;|b%Mo7_ZC26x|Nrh5LiwGeJC6y#0`oHfvXP7ysdw>7ApZn1~=Y5~|d7k%KzR!Ek z%=DP9Z#~lZyjs4+Z1DKjC3PxlIz!LZI6WG6-bf7lBvAw@=8)l2lRI^zP$HPTKJ`Rwn|vfh^9N24jWu~ z6d#y0IX&^*(X!j^Ww-r|EY3Wr4|W5e{SJOKIIDWv`>4@h9lw}$20m}ixYEE$*F65h z%y99+_@qnK&u_eZdMtU;{6}ZJ{!S}C^`q?Bl=GgsE@(mQ?vWlf8Wnz7pIlPVJrmv` zXHRK5|6{7v>v6)%l5Tpy_(T3HZ@MlzXhm>K@!gurJn87Y|LjMjPAE5ge?6VM_@8Fi z%GJqdR07+2ii{K8Uh(7>&r_VgP43?F$XjLmTUYbmO^TdrU>Eh_kWc3arH0IFdQ19} z*Bvr+ryZ>tk%xZXac}av^(Ug57k+C@m?j@v|D-9*^4#v4x8v81%wIqKbG!M2K^}KK zx9>0iCobqi>CeIACfOMT-&(IzviD}ykR&5%@S(rQU0=qp8GcdV>r~*iZ*ks^kP?U0 zpEitYtc-55yB!p>TCQNN@<#A$Suw90dWM_~wtahSS-MRd|6%h7FV!(+KC5=sjBgFl3aZkSe+aFuOnQW?>* zc!7?~uuWGh?y6U~Pe@|DO&V5xDdJc6oimras%9Qn)_$_-^M;763tgs%+(~cTI7B5t zX41^oVR4td9<0zBxxF_`OJKBDVN7u3!z{hy)~WezXVNceuRm6|)}Te8`qeD6y*32R zP+yyK_pspkxaT!fre$n05!^g!bpPFhedm*{c3Nr-j?z@*(Hkzb>iImn&=#3gwsXgc z71Hy5eN5S`TxpiKx>Wt+_hn^@?UPi(H9M7ubw6Ba{AHc)Xshwpbfiwrv)FjoRCdb8 zHIgKB&&B z@~Lazv)f}dEN)nSO~`4eN$gJfd+m!Qs(~&mELic$A4jM%J}Ak(7@pG}kyO@u)Bb|m z#2qf0e6RPYUFmOqy6qViRY@D}ew`Fx`wiapIAM~)ek$q>*U+9CBgL28y^x*T}PZxRa z_Fgoj{jc+;v)l*I*LpPa*W(NMH}uuBq#LZ0R=(rP#1;tFD<-B^U)LTo#s1KShP}OK zXJ3xCOlrHu-RGXob#Z<;GJWVU-mNCP1n;X$+D7mJQ;qgZ4X9C&x{!z`0kKVJ&>bp;AB*s{7&9Q#P zT4ej((|g>@sN>*QF=pxHzxgXLljZsL$lmh{7KF8inSA^B(?@B=bLY4xKbZ;Vj3d@7 zY?4*1X>pPMw%Z}e=w;YrtEXC{RPQAEbyoU3Kf2(s=)0J(uVNYjzW!&66qC*qo+$v| zxb)eUCOh16t;r&5OQHTlnrs9wZBjZnpQcS4>h10?5IWwa*@16Y;;PbBEu{4IAuBka z!D9(u-KA|FrVB@<&%a00pvlqsU=+NnrzfRr=IpuI&qb9_r$-!JsDXN{HutKUGcLQu zDm7%#hkUkynuX5jA^$iH;eQ`u{`G50`2B{F2b~Sm#&&fcx#1S;am+BR%sX@LT*U(c zr-SrMcIBbr%y#2Y`?d^&<+gF`+O&}R&na&Lnrs!9*E)W3L~Z8<$rxM+?37<#pS#^f zrSR={{tSb#H&vNO%9D>oEJSxH6~^t=ukX|7o7=LrC}76sr%i8LSz*k-8!o*49(7#b zMsDOJbnjkH@5Br5QdRP#I*gZ|+}%;Z?NKV^$+nM@LGq68oLm@`^>zHy4OX+XyA$Tx z<~FBS&6tfEt`uwtajG6_;9cWu!{dd4(zTRJd0*Iv|_^UVReJH<g-|nXCJunvTE_y)H55jhHn{EdQWFi27Az7%Sx`zZ>~>mE?r})J+Y3q?evWA z(bdecF*D9ANL}}0_l|^;nBgx+=({Pbn4Wy&Jgr0FB-?{-b=E89{Jq@xEmu-5RQINz z(m@ZDS|@~Cs;wQQdr8Anqj9>`Bw?`Eti}khI~LY8qb94FCVI1uhg+v+buf}F2T zUD`0RamBPnZO7KWT$#Mrb(}8muPnERcDwId`-IK07KG)*@J~3MiMg_{YgD*Kt-!2H z`^zwHPUE&c(NCA|iA~6TzTv7v{KFiLP3In}9k_)ary(aZq@13GI$k!ko^05qcXlZE z9&>5&=Jp+rJ%9EsmVc1iD)aX7x;^N_82JXvxT_BPL%&=toGoL2TOm&Sv~bAs7T$2~ zqd=vPRi;WWZ&nM<+%vsQ+hV?H6>q+>^l`mT?%=-`S#KZGo^@!=*CUQsSiFqmUxsEk zR9N|S**=+rt_a$2u?_(&nJj&k#ters^5*1buW#nT@l&)#s5bh_x11G z$9 zY?q}eDPOtbF)t-v<^GM9%cqp?dtB2__h449{F-*9M)#J|**=w<*S*YpCZmIueQu}c z%?x}Ww{>FolKZW(Z%@6-(;a!epiEY=`%#wQ#jUXm-AU2HuTy7KA~G$cai#TRp1VW&!t3{q8`bSbMczQ@q{O+w&} zXA6tZt##)-dXUzU&X%#TJL2eWa?LmV$kMXoif(n%a~o&yPpBu44y@;yJ{*5`*W>NS z>HKj>)wU4zMdwF6%{=;eh2?bXeKUS&s84mb*m`mB7$bR=gbBV47mvx=OkZ?(SL^MK zMQBXyl-rA+RDj2#>Ms7(P@KVPp812p=)Q4kSEXu{ol$5>!{ep|k4y9HXj6^|>LQDp zSIO$h$T*rE?=4V_?pi(gHTdX6aIbv^v*Lr?+~!p`(7@7*41++kWl!ccDeyMWK8e2X z1wZ=yOlN}5N?i>e*L~!>RQpnykj#As5z1!`&{m%@($+ptKIdAyU^ozc~n9s7^7AT^@dE>y0H z+mwVK5=#e+{HkI9NHT|VveEd_m--W^MhYU`xcmD;DZB%Kc$_t9?Yl5l_9 zO;1K<^=$ZR_WNd9=eeeivn?_inG>zc#C{a4P-g+S&KN@0aaK z=y7rm$p3yx%fqV7tNuq~X!dPERnXd5;}~moj9rgdhV4KDt4}9K+j0HZ1@*dL8S#3} zGV^CA(!4tNxBlpem6o;8DcE>p-S9M>tFKNh=PMRf1aEw=s3Mazga7Kzl&y~izO&5N zPVNqNm=H1DsOxCEnuD+E#x$QX=HW);;{{Vsp1EjpbGT`(`Ud}gM;^GYkfu<3XAnUym< zyQAY`=9aL%i`O!K7k8a}@gdPxE$*z{37?eO3E-*hGg{vTf1%TqOi;A)?IeYp^)XW$ z6Ab0{ZFl*+WU@eR1rn{7CG+kHD@eOh*&2HUN$WAzI@;KA2 ze0sa5d{L>Zo?QLmTCToj;rr{g0tfv$oD)8!l7NJ#_-&a zqlLp4e$oszQa$Edk+$V>ncYNh>wkuiI~v&y3WIyh*4q|)<5gf`@JI>L`>gKzTJe)w zR%HFdA5Sv1KB@I&dhJU}%GM~ExqfWttEe=^+8+V+*W5xuVen6TlJ3v0Uhy?er>5i_ zUKn&&2aZJdgl7b$<;`quIc<3M_Q+nf!Kahn9v+;VGtubasHwc4!q)D~m%apNMOsX_ zmhvc>pT9%JEGIiU{6P3>+pRVGcz0HwS-5gdL7mmF*~?f%)WFXH<;SOWTpKAn3VdCM zu(velMtbaq?$XG#q>pkwf!B<`f38mRl9de}9c8+*a{B7CXwa9=nOf&-ySumj{#9c= z{+l)5z<5<C`XHnnNVU2v|O<`b+wZDnwi&0_Ugm&ad8n2NC!3-J*QL^2U@d6>!t5PtVu) z$#D6pxT_iqhg)5-NPfC(=dH`FMVrrgSUa_y&Rg_u(b%LA^RII2E;{;C0TY9c#fNZL zAx&!tlTH_2F2w2t=l>HJc=QZ(QcMJX+btz9{#+-HPn4(=FD2_l)Igo+Zp%3Rk8^vy zdfSyr%Tv5cY~QNCerhrrggl#aEAzmaFRz?9 zt{9v3IA)?>=QEb~p$``u*OocU4LvCL;O`T%&Z|e~*L(RS(p0zqem3^=&7>pdH*G>c zOw|5i*qLAKnfSrbDl6r(eL;t@%=XTvw7`HBs!lhp|9-A?NxlQ!f%5oBZ_P{RuCBBG z3vXP>j!D$8tyPvPSNdorr+v=m@Aq48huYW%tzR|u{d-=a`Xnv6pBGPBA9Ea%?OZf% zW(XrV^4yVE55p7{-m^hmG|a!ht3~O6;@{J<>lQK=tlZ}^M7DL6yIDm^MFN92&LJ>3 z^x?5YlNg`Fli1G>e+fmErg(yHligI55}IxZ1LI?LqDreXtP_1V7tGE%IC)Nl;B-jZ zxwdW6?(>%IDOWzb{A8Qui9%Q>hJiXE59&lqG=NMKD{km+Expi))rlZbC#<)XNMm)P zvghQB7CbQGd^{^A6guRcF@=!nnDSZF;GK_@w26=T;qCIRj`Xqj=4p(QR(GFu^JHeN z$9LCXvzdjvjysK(R)25v%JEgrSm^`lwofwEzrQ%h4|uoJsYd(VWWUNg`?pQ`ad>QG zN9L!oHql3ON(p!DU`J`LCk6Ff;x}^exbiFt%Ft&}>DNpAGD7|qHLg+tV z)#t9cbz4u<)irCM%yxvSGt+LQoqumwT{k*LwHZ9S}pU%C*-zycHc+g+>Z?Stdp`tR3Q2 zf#^O+813Nv;jDkmQNy#@je1L>6%R&xWZyeFeKo8Z=Vxsh=W!=7F!b=qs$eC}kRjt= zExH)3IC+Z`zx=_9>Faw^D$r|)1@4Kh&F3YW0a5|>9 zWuHl8_XkJcEuZ=2cP2YN{H66}^RlalwjT4|v%{w()4^0eq<625QsbCD%N=2TXjsu? zmV5!5-RN)=bQ7aH|C!B-2HnJ_O|YA2yYYB3=q3==}y}YM1 z%q#X=t3@XA@%I9)PHS#CITcPi(N z@r$Bd-@rH7p;bxRi2=ftoq|+QI&4CVl`biu9X*by@5b(PSC4e+emONUro6aEqpJAS z?Z6M+f$bj?_n$DmR^c9X%*=&H~T|Hr>(woYnk zcroYasvCLyZI+i#>#JXAv=d0jAyLVB-}Kye*xx)9JnH2kL5cMGySz_wyFSnZnlC(e z{ElwcE2+z$m2wFgdp2-%qeI3_=ePO6()+p0_S=W`51)1}iC%s@>}|eN+@qk!#qD1= zY^y2@bGvJBI9gDiyXe&$`m(wg!?o{MrF!kUnEcdP-^D?nQHaI!4Q1)K_8jcz9?(-8m?YzU0t5#qjEYjrS)h5+NXS2WnQu}_nFG6 z7mmRL;Su3Lmx*ZoC56|FJr8Is5EUF@1|Ai75Ai>ug7Urp2!vsjsQ8~XqyGfzKWav9 z7L1BRL{u~-^hbr>9IMPNHuDS)Hsxvuc#cc&N~_>>crVJYI=?H=62nu)Ib}~UJk?qQ zYsMvRZ*b5ApPn7tE_8e`-RtgiYgkC1Z*Qh&>|Y6=&z)#k%UxX)f2K##d`Nn9_?B@0 zRUP;dlwMa@(gbUZ+%DgRX3lWT`zpOH||UW#HzP%o`guEuhGcCI$Ad2_C% zMrqQB$=bq1-$j}xIjFw!vSns@Tz}gd)Nh$fTBOygw8*&uAYhX=`I{3Cv>eq;3)UE(rwM(iaS2i zGA-m}dtG#=pEIaxyCA*sgRvUuGj@SKqwt+fY6owK-FDDtl&o5*;CTGg)22I*uWo#> z=J8d>AL!d<4m0gPomu{*uVca4rM1IjIet_ z3xs1p+pRedwB3d8Mk|EX$0)SZD~mQLAJ}Ojt6)~K!iCAqt7?Anb6sOtm)b*l1+&7; zZdM%Q?Cx+*4MPHnks@*7tFuQU0djIX&@-zzo7rJu%F97#O}_(n!yt~Kcvl?#o+P& zKQ)6ROngY=D>D(|49nEjNuatOiy2iW#zB?K6mBS{O&)72c6$e*GliKD_bC~|R#L0p0v7t>c2;bD+c6~QYk_>1W*E*B67%B9R@u%vWx^B@Eh z{C7xzWQ1~DOnJ%4JmJe?+EkQ5$E&lhnS;PjmBGbkNa-4S`Fn!5VwPp_AahZAvUK%x zcLwK)IPle70RBlNy%i3=&Ty)T(+Xebt>7Jg1V4ZQaEcd7+;kK1Bk%;^$0gi^%x{_V zHozSdM*NrtUymdnZcHgC9+$xJ1Un{zvqyDt`9xMQarMQ_K-@9FjY2F&7)4vcDqQg< zn9zbtm=rFH?TD1FNDI+AgjMJ$77OBLffgXEL6{cs;}C-VnIDG;7TiXdXc9KUu1hdS z0ZYQrkUO#a|H7S6i{OrLm6+(5D@$+(90{{S=7g_EFh>D+#BISLl*G9cnuv3U*U8)o z>q72?=SXnxXU-;cB$(rhK;|fMR~Q>W;?5>)4Y;!j!~P3*LP>%dPiYF^6U4lEVi5Pe6I$8FCC}okNRMNj$ zgg+6?aYe+LbD;l|xU&db1H-Tg!~R)z7NI20olr!a`yWCR&(T;$U_o&(|06OMNp?a> zf;+B>ICprREIMIpAUg9u1C=QXR20i*geGF#u>mCRc%8vy#}oU%c??q`P+7Pl;>_W7 zoH+{aisGr9N!S|7{-;Rok0mCdByJc&6LIeFI$3nWhaq#quK1pU{3B_0hD4+inuu}7 zu9LVk1_G7wpMlCCbR<{S0gXnLFXcKz0UU*gyLtgHRIZPADQSI=oI|jxq)! z6^9`Iwy6lAB*C2$sMvK9cLrf=AUaX+$c0E$|8N*2N&|!@VzNv0CWOa`pc&-;pMi=U z6a)XkMJE)&nWIo*hQvv-h&y3x$el3kpUqC(z!Y((1ggZ1eGzj}phExq&qyU6AQExM zcT3DL*maWG=>rv&PB;%)bix$W`j15encqNY62CAf+C~wKA;c45E&|1fWGA2<;b}Ou z(`GOsxD!EkCc+m6Jf&%`!_PZaXF1Tpi(&8R;O(cO%EzV-5Z%4N=cZJ7m~I19FAond zPcRtUxB;@SqXvN0EaC5`wCSiLcqf5FF&7o-yUfAE8KC_B#r<48oPEJ;+@Sp|H+#E! z0V4i+KQqF(}$7T(x(Ne#ra6G;PKXMr^FG`{Gg-ZPfUo$*$W&tIr|Bdp3$Ur5K#;`x`2>y_SHdj5Dit4++sw!hANwb z3yv>7OX8xWW+bx7#W-;RCn9HvQF(w$BnL4nA5gIbC$=C%2PlbS zOEIbr0~i68>}oQHv=Kb4O7;?%gq3*;yF};2{0|umW#0lM556bBkBTk zv$3Kp&Hz{&N8#c`U~D#FY`Cl#6SA#gEd9I8Kw%v1i?aZhCQcv3_yR*?oe0P%BJ(q# z6VJq(b8#vVh)1a5?XcMyjsnlkLeEfu1}12dzRVMbKc~r~2-qV8$8Dm4U^arIP!R?2 zNATuAL;>bRL`K5d!!TtNB?hMeJ0iH-+JeV)T|Hd=V6nijfmN}8P1Hfyq&?>of&q&o zBJaT`0bLNhSr>@`42Ou!fsX-JLqtUpqX60YMC{=2p~84-q>2UB#06xGr%Q^(q~l@% z#qqNpVjxtTPUKXKKhzqpLF>wZMTTMIx{qK8c5m(+>cc2rduQ z7ssw}psyd6=K8k*ya)Q@XEPMuU@AU^K~hz`wBRRI0B-=Vwoql_5EcBzP0E~rV^2W9 z^9K`04vK3xRDL}AxMHC39s6d>%YEhc8vn0UA7> zA=5Z)p;kF9WEhLZ1LyPU<+SNTS$t5PYszWrC>vHxi5YYzhYv!OMB_nRMWXTfl({VI zs9ka#3q00Dp37oEC{3rMV&cXV`AX8*bO^vmG^~*%(U`DY_0z!m z;KgRcb_BlqZAoWyAOs@Oc(Cav(fANROVT(9%%LP2cJNH1u{Oc;3lfnHp|K>5%jHuv zX7FLglpM$5!YnIEV{lkJp~`F843q^=&BZSwEFK4Dc}W_V1MeY7(!lSiQ)qlPRdbLM zN#p3CbmHU0_Zp$I$PB?iHav9`UziSV0#P&vb(FIIpqqtfAChyqTnXi{y+-&f_}K%= zfqV{~WCc0{fFUwNa0&+x`z7Ww*dSggG-?!p-AB2UMfh}B1tl5sF<>S^1JCuro=%d+ z1RsSU(|{vW#&OxOR|hY2z`L0|J~gbkd}=P>Ar#Bdc?`-P(|N2vi~|WvTtLkJJT631 z5}MGt6epz9Ied6XBr%T3r&An`$w%N_E{Sn0aJWFW42!{F%p@~p!6Zta%t3_Ls%R5Y zHiUo@e8CM%h%zN;bRLfqt-#?a=>*&ah3H9QE}%iwMxwFc%~;9A2%H<#M)S&&97&!kQ z7;+GbfGj@M3IOQ*A!EbVP*P(q){cnLCWE6^E*-WNVnje=Glgw$X_HWR2qbw06dRHu zfh+RK?n!6xfuE4)^0<^B1-Hj3DHVKdj>s%x?g@zSVFF2E_;gAp07Zn7(wN|(3yLj( zE0Xzvt&;R6=$?Q`(GD~~u#J=u1~gI>&tW2LN=gIRff5&>;u6(Mta!269F*+aOg0r? z0n`nT2qlGK|G^3%q{yxf!jW2Dxdf5{>7m~}nQVaO$%}BA@W@?qVJ?fR9iKtTrA!_d z-nt>p<-$9SVl-fXCXWXX%f*Nojk?EtIwe*>*(3u`1h^Z;7eFbcpd}U^fCq4sSaM-L z7)qkCU?HT^C^Zk%W_ZFU!H>nDRv8v}ct*6HXn)TG@{NetPh+ttW@WKB6tlAafZbRu z>vSIqh?BAaQ z*mMRwOqJL>KqH$UPA1^Rz}5i@C4T@KpOgc@To6zFYoPck02{a=Ine+bxxRtP*nt#D zKsF_RfG(Qc(}TI#O?Jt-C>?Z_{nChp;gUTVOhza*luf7h^ngZATwpH9ag;Uig9vDU zG4oSHj19mO$%J4(`IMr=1`Q5r960pmNnl~9Iv6L8xAFL6gYrp|5KQL6n?CS0ARq#O zDuu=%*LN@%e2S4ej#4++2+E-D6DX;qL<6K|QbG*SsQv*?0tTFm*iP{DBssL%0McOA z0{V-z15$&UMUk2cQ`r!$OO69`!M6iQ(tyw)flz4@p$P2{CzDMGCUdD?jd0lOI-gPjK>&~w4LDZekQD*>l1n~91Lm}2poa{Ka|uCKr`&dp+v4=u@Lex9SRT)oeVYrq5%+`%#gz;n-F1vc8|30AOZ6z ziU15ju?%o(a7qs2Pdo*KNBBwJiU1F$EW!qN*+@j0O%>>D98tl zBZnAB)oc#AH~|_4crp7AR6qcR#3c0db#Qfe_61*ErK-EibqDxv8*nE_7yOv2ANUv8 zCiwBZC7zqSz&jus_RdIky`BkZ+IhxcCz&h`&xFH;r+MIT%!tlsnQ(!v^i>Cju>Ng~ gttz-1Dewb#iMRPWZ;}GH>$xnZ)QlO%%T1*I59viRHUIzs delta 75133 zcma%jWmp_twl(hVPU9A&vBovH1$Pe+g1gf|a0${lf#B{A!QI_8cnI#25I&N3=Dss? z@Ao|OtIpZA_o0D4-V#*G>-}KMW?0JQ;3T|YtYs@PY}ZR zOz5_=()j&G-7ewbn+PvgliTP*e{cSGgH@mLvo6^&Jn>EQ;cr8!lt-=af6}V7j(0?} zthRc_n)HG#be?uNR%kTd&YH0A1xtJLTF3N&81B#Qh~xSobtz$`w(M6!KX9}VvOY`4 z!&gO4p!`@6joaLCfQ%<#?xhfwj~ff)muC75hwce~yooy;QRimuGg zA8hCnt(_uCCrutd&xt_!TzPMxSlGXHadoyZwL__^g${;;;o^p{DUm=-dRQUN1n8)W z7WP)I?*Je^4gjS4juCv4Zm6=#ffssq#p1gxe{^`!<2BSD z@c;D*b7V%7I2=aeQb~mDpy6sHHkSMgF}$$6v;yXEIDr4s-+L}A{tY$!^jbjeLHC>u zg$gmhY@3UN=w*7CJg$6qN<#d%k4VM=RgBY$P?D0lR4pY9{?}luyyguP92;YZ>JzVk zZi*Q^nkkYzFb#z}xJxld96J!zP?ok%Q%Iln$^+U@94k2S_T8Jf5d0quK*qU}Y60dl z3LY44&Y7=h!Eah_yUh%WbbcgJY)cu9moj(O-!piS99^ctq!(Ij-ZrcXtaC`sCX^v? zTivQAKa)~dfQrFtN!pib4;#vRJ2qd}9PRT>?3@{cF~`8W5gpa)HGYW>P|FAd?WY!k zjznKVkJj$Th!9Vz$u-T##5s02+|d_XE>M!}#1x=8>3C$KPC~zFHO(GoX;N3fF;Z<{ zS}*?mMEd!gU}Me*zoVUd2=ll_&xR9SQ8aX(J>1DklgkcRSfkOYijL?}HhW1axC7}9 z2DI<4wBB;DWp3X>n%g_7OgH%{(8+0y$K+t3QnQh&l9t0@r_eq4-fih|vVO&#btoxA z>r3d#nrGq2!sZ&8Z{2`wr!#t6cguK@rWbY_7X=2xg(O(DsF0xZgt~d=>#DN?*K=>% z*XBAx>62_QqiCA)nbnRsm`B;tYi2;tPxC<14_(o>RXjBB)^L5Phg2rGNL1faX4?6z zn%od9YTtzS{^JiXmyR+nU4=+thN3O;8(yqZyM7{%i#g!ULZ=6RU1m|Ik^ar7AK1xW zW>3j1I#43(6#Gky6leV~Lo(_q>1CWnI!?slYZnQy#F=kJ!U^?kn~**2NUi4alfakn zdjY{0u0pbwy+68{bze1U>gy3r7v?(2s?~|H%KPchN%_+srzRWh<=d%TfG)j^4m$!J#ZYgJxF+Pn3L0`Je2{Xy25q(p z;meqijHuA;w*J~lxLUXnP5R1P^Y{A$AtoS&OtE3&aq&=@fiF(Y&<|t05>-m8;A?^$LkpT zJpvy$8y_Dx!~iFffDg#V!3zWcIbPP|;{|Z>17FtV{^LoCt53+qC$osMa@b{wv zuuJp4xN-ht40^dX*FVQx04_+52njSN1iFC*ng;@4#)skJ{|!ZuBWf(@e8^8~5^$m| zlk#>SdSnlWXL$Nf#s{wSu|h&P)<|q=)Zl5+JO>&W8fiGEP}^CcZ3>Mjh0;g!NQ{~3 zqUjA=ZvI-^*Wp{v%G0(VQ%BJjsfP;3IMSjlp<5Sj&)VKn4G8S%2+9%eu-AbF*?OIv z-*Ucw^&yq54EcNXR%q^o;fL0b`Lf`J8L`FIBfb@J3V%ZW>N@ga?{eI7U{8?W&^<<5OAi#H&JmaRL}#jDo>>6qV4}_D zV6aV$R&^19R4TnQ&8uBmbXA@izr2<$g*p(W-E8*^l$AM1oFc;epoWxD~fh)I`VC>jIFEiO6{7~V%BIlk2p z*+hrImc`1gf5Y);{i+01=2iNYWkx5EErFS!MH?orABs0~)r79#8$$K8C{AOUMDG4O z!Na!OIxngb*AALHvq{9{@p=vLjzr>nNrB2d*3F}ZCs?U8ZGYXp_e{Cc?k%YY)28;VeuG=A$sro7P8K4DRr==&|d^+uBS0qGvhPL_PGD*@_+bxVl zsgm7J`dKY_JPPJxsfVGU9OnsU$Hxzi`^SWD&U9b1lCihmq~hdhBIE(RU6f`D5x9p0Wr1$;ff- zAnpu}67(p-0IJD3aLM|UvB+CyixV>XCL>yRk^1UD7K!iji5w>}+xY8FX9OP|=3CIK zAnrn+ZaM=}3%gnMz)&r}f>fba9eGF!kFtO4zlr9v5j*xy(SdcoA+>--PyLV!xde*r9H%oH8+ ziWv!pg9p-e#{?eN*>qXq!}33<(6tAhx_T)4hG)@Z+RtUcSBGR(`tn9d`X|I6wQR+1x3d*Vs+*HeO3N{3x?p z4vC!HaTTKrMN(xRr=H5af)VIREfD?TfW#6zk>v(wWMlP>NK`J#*5w8OG#2#b^)-T%Y6C3Qr58-> z^l@!ZYtIN;Tr0kw$js%VJ_ShP#^Z*&Q|lrA&8#|DQdr)lp}$Du?cRlN$4FjwdCkP0 zKq?On4Zil1-Q&Vnf-<3$(z(pT`|q;HLnSp)bzgmag)|WV3z1^6a2!E+(d^Obp8Y{!!~r2;+MiCcPhC ztD(9Rn1O6=FgmaW&0qBwMsc~WRa>$(TV2d%rOd>Jtw%T*_j>Z5~K-HZBm z{-VY=l#=iEn9CyZ&Ubf`r>s*&f~OnwI%;>h;t5Yc(#1 zN_z$T0zGu*FQnrJVrFcNLy=+dHw15I383+vGzZAx350nHHk`les9d0EU5C&N-X9;G zgKt+Ic`4_Ky;+35hhiz%U$iPu%^hy^TgLCpz3GuqilS+e*+9I*Kyb}s;K9q7?H9pV zup#)CvcR`5Zg^uq;|lh+=zy}=Q47|jo;FUDZ7j7RY9(}f)EXi#tTI1s^FeSB6V*3} zmZ6s_6fe$~i}oN#K&<5hUP>^vxX5B$fekvLHg%~Z&7E%U7L39Wl^-oMc8p)7<;lJYg_>gEXd_11t*!6Gxmxt#! zX43p`%;bCV{1@=!;e}9%&_Tl9W5K)(1K89ip|Jhn%3HV*M@8hs>&pGfmMwTvznh*%r&$QMTbe$v^K= z2lkVnmO}~_zu-+RT;&k#A1tf6yI>2sVOgtp+yKQ$<`kzt!1L(eoUeYAI>j`3N22q~ z*!!|z=VH%wCdF~8pQHx|@srhcW*=NSel31&jw+Q}&Wth|7}d_inn~K4DI9yQ$qN_4 zD9wCe_B>@!*c)3{LmE0(rXtzPuNc@frIaN8nkHFD;U`f(O9aj%D{8`wDCV!6 zFM0F?+*d@TQygQ=18-Nqci3F_;DxWu9p&!BA-nL~X08^#~O!=8G;kmG5W(l45 zWwY4L*~flHf>&Vs<0C68B~kOF z4Gd;6=uc!Cf{HUNk@wC>tFk+cRksRXp=dNpjbS>&yjF&PrDa!{!m+_&RlYcpmf@-? z?O5$>PGzTrTPQv4$xW2#MMK?1XGH%>U*X&Arv|t(Q zOg@wG)=q?|TXD)(b)wR+2bP>Jj|bX$YJj=Y?nv7aL_yI-pYvl)rK)&z zR*-Gg!Z0as>$CD8=gQfx{y+(wDq_PGdELIH>-^dN4xag1?zgJtbyx1uCtEqhm~}6m zdEda9sgT`Wll}(iC7D#QoRpC2AI(7 z%(T-S9$tqPaa^`dlG<bG4izug z(v(#(?}P(h%wD+q?dqftGKW3gk?>;8j6XZaR6e!lk2Ya)+Z*LyONF9GJT{ z>$g>fJQb2~Cqr>-YnrV0Mu~Lq)Co=vP11PluQx6NyAatiWF~G63;2eyaSybU?u>c9 zMp@S6Nw!;k80=w$dCk?w1vk4;G@{^?)lA!bPu11Ky;{*FQTB54Iar&Qx^#$lYQ{ldB=l=t&c_G;h z+z5YRHKZbv6o!}cH!4HF_`jFO`cE+ZdvgxM`%>kI!h;5-0Og>W!0S48F9?eD>(sdW z8sevp3m2a9W1r7`=S!bk3|C58<4%rFW15LLHuvz;qdpZf>2{+WWTGAf(P5!-*PiT% zg!KD{)}tR0tw?j#gEA+{;qr2*&{xxKyjqXUSy$YY=>^pV6$H-&ilQclmoB)2T`A4g z^~-sp71uqg_o=nPO$OzxS}Q+J%fq7Wo2lA6B4SRt=Y1#%!Sl{@#W!}rZi_edBA2eS z9{r<~inG?oqQqYoa%2I*QDu5|pO0&WPN>$NdcZ>;r-FEl7UObAF@_x7dLf*@-kp3U z<9T^I)P*R^wc0x@bk@JV=gqi#><55GzPO zaNn*WRo$})xolyu^3+p_0E*uJ)O)kZFVE(?tPE>nMko&};0bXp%Pf7?nZ}i3&?CvI z!<6XEDU_cEjLbA7UyWWuJ5wI+anbo+n1LAi$S_GY3pwe+v-liSoQF;r_k$h0LAGC7 zCzRgT0hEy8pP4<8yQbJh8j38Udwn;KjN#Nukawg5^)YEC&v_`eU13}$qr^7IMceno z?Wvc|vR5lXWkUP0QUM3Yx{chfS0L9PqjDUSNQJ!}U~7Q-6wtgtv6d387jH)E5!Mpn zc4gzRdGK77MB!`Mnx>RSTAV1A6_xny7Lxla8U`NP5wiRJ;o*UG(pG$ODr6mcl2`eH zO@Ub)5qkh4Ov!F3dG@F`MML5*KQyd6cJC=IOF(-LE8fb^s2PyRz5U6E4Ue_zBd>_~ zdV?%4csqjGM67nTIlR$qvdqb`hAb8#s_fyN7sj3=)~h0iEe8QIv`Lu{vr*HW-zZs3 z^R~<`-5*hw_)6(vx;b(B*UV`|WYz)XoEN+9q}mdJYoWrkaePtu>80_~ruB4Wg53e} zDD<}^g(6KdI6DjVszMdRnP{q$BO$?9Zg7Msyn_(YkY#cFP=*qo`v= zoqR`v!k@;!)!MDg=-3@lGwZ(9RIrg18rwB<_PT8XbnvMy`V!Jntsk3y#7(&4IBnbl zg5&dihWvfqf&Sj^IZoJN5khgVlrSZ3Y<7m1YI)uUx_+w(^q@3f7mE|NW3(K?p$=N! zWvpv7``Sr=A94`2NHBt*%Q;C!7=di%o}VrlobWfbx?C ze@(^+N-yM1aKChjo=$yG<&fma0?IgJ0a$1Vv}c;vARp0}SorFeX{zG-j(RkiNLOnePtzu;z~sVRq1a$G1I3c;4M|byGnhkcp)+5Y^Qgy{Yd$W9O~CJpv+G+A867%% zx@GPO?*>kCE!QEGDrG`~gUf$K>K3JnaW^!8>2+P3thR}B(%7+5>!25U%E&oT};Qdn>d8sb^XX5z(u>6;l@o!|E_Ycd*;r$P4|6(>ypu^$* zk2=a9Gw{DB@}QS&#f}*`lv{0`G0aOzP3i z?z4bSur{1GG5JKFUZD)~S$$aiF#FEY8Hj-6Js zURlc8a&63P4PDq>rR(-$PM@9dk9#@;oiL?h)=F&PyZeav8+qJ{^cJcz+Y>|`(FaL< zJ{o;4U{bmfuP;X(*ptmJjgm71Gi-u*{Sz0$7Z43}S;G(*cU{78OX?$(pxi_rz zpmBJg@zFv*zs}JXb1>u(j8_n&Z@rVJWH_FrHgg~ORKM|E-}tSE{-5Xb|+vpGMr@7j*A(!@>A6deCu#579YLHFD%DJB_l^8K(U+4 zY4d3ah2+2+9WL^KQ~xJ@C*97+eV-g+KBc4lOGYPV5-F zGG>Ef9{lm#uE}7IdVjvOB)9DQ;g?<9>_u4Dylf5F_hg>|#qn>~^=V_8P9*E>-~Kek zrOKqgB$Fcw3&NLa?65lE&Oto&V>4df?6;bMn`=yssY$jm=}H!LpT5CYUp@#|^Y^YN z^s0VSyh16IdHsMi;O*NS+4-FOYbfv^&`{I|;L~n=cC*g$;>X+7-!hPK|$< z+lA%ugD>PG%Ei1H@x(RW@eYqQ`+H_@Vdaj+yu9e zABKgy4P~7ghq7)vt&{Y*Nr*tKKAY{ouEp3<81x_HMSehA4lp#{K&y{-vb$UxtDHr4k2Vmvpd&#MKM@S0y*dasD5z z0hX8hpEWmqmsvhM|H-QJ^W9^t#e}mT$&E~q0#-UFUCV&s=Eek1$MSNE*`BIR8gl*! zNtENlv04>@R`Sdr562KsXUXW0Z_5Kc)iH$ao!)TLtANl0l0aNN@m=D>QV&ie)tygw zMt90P8^a-#B*8lI2dXq#q!c+?yFSulFr&yqV48_&kA0=jOO~}JPtZ5ESLymsm=}~8 z9xE5S)Q;}D=%0padN?=`<{GIPB#b)UPDieC%-@W9Fr6CjV)|{Tz3LsT9GD%(8a4Cku!eRj{yG+~>pT*3=UCNUSEG^pXZz zfWx+8OL(@F(&P%rHhD96KHenA1*KZ6a(Pk;> z`lWL(!<}0DlMT`u?kjG*Mt5}TXIZcZCAGoge5ilNOxfQC>;GOw*Pyy}VpM8z*HN75 zE_SYSTld#SBU3BS42q&oLMDOG6H;tfD_G;xj(@x2dxPPF=AdnLi-vraF&u`rx6Q^i ziF^8)ENhmU{VahDo+#dThO548cZ{hGUFfO|ZZsHau&><{Ld0y=4m`Vg&+7eVg6K3! zOXNzB_cZ0on~U`&Ph|@|lHPff!h7x}Mb0Z}*4l2O8<~iod+Zg=9c6ig9BEeb3BWbS z4)hpq0nr~vGHeQVg;D&v#R?+HKlAmX&C+LEE{gyddNXR`@VbjJ6Ga~;0;Q|v0n1sk zUQ8bxr;8QiNSUEwGv8!LVqK#W*UcLzsx*qR|?q?tbk)$Nhr?-*IQ3t3&@eBHQ9uQ#0pT2C7?w?+m-~y z(tbWO%oylxwg5b^IjffCBhufEwoMIkCgYL%-+8sKnR8{eEnr?Dv`zT?dpwlrO2AGU z&{_A#8(imj6`Nr|tO#dEm#=^B*}4h~wUdok!+lm6c%!%(O<(89*_MSdxedN3JKEZ_ z_2lBi+uS+iLIRVR%6AWq>{gmE=(6n=-zBqBNs7oFeM*s|N|8uvd;=y2CD_ZScsdS8 zHi+STw}zZ@$!ikG$?qC-yLG~K>qbBlC=(iY_tqQRkN2|?@c1MO0=5Mlp?0Ga1zO{* zY%2p))W30dR8U6D9U?!P9f3j1qPz}s_=~LBHw9tsh7B>oA3Ovm-g1-o`<#3`zMNkk zP4>`_rj2{UqNEAkG5x5OiQt{BOrDcNYF`hnCdMXzpx{kfz_I-LkX~prAcIQCZ7vJL zVUnTOlbdwI9ah82{O9{yGgsjRnYa0^6w;+fVDb`Y6?h_B`Lz!U;r?KEb~c^i#xgne zEBt0kfc{0xnF1+#+Yo3H-0A7Fw0LpFD;*84pA@VR%p&u zEQUfQIzpxy^l%M;jR&*oK4Qz=uth%_W^+5jm!3e0?OPZfOu==$i*D&f%kcjyPdM`6 z+2QdO>qsMLl2uPzCA_y^rf8ld+nR0Zs@(wJ*JzWas6Lzn8%j43L?IsJmc&i~1*j{k ztAthJ=r%>IGJ$a;K~93doWf`gvW}{BS2k(;)=)q{v3=;0zXEoyYURBCj$f}oZ4YdI zV`nc*y#^{%cGNAOCb=RIQAnKdXf0*SkqLVB!|FphP?~ zP%gK|Gd$8o$MYfh65RJiP?T*#OjW|e-^{ir`Mu&#!Q9wVYe9i{KF|S=tdGhg z^0#M+ukI`5i*2Q=ZJmr79zMlanxuYLg^EofF6+6ZjKvSRLbVuE##HxnxSK#?uby+4 z*yx7mU!)D>GER3gjJ`cyB1zBUn|{?XXvsPKI?8w?ci*SLBj>h+13js?Mh?xzq6LQ5 z0V&tvMFpMyK6#_G`g>D>Q2q0li?~DeRlab0m>V=57DquoZtg$a4R7R-^pIlepx|uY zOrj)*k54YPhP)ME&AwOE0wyo|EohO~6)P~hZ8 z`j3o^{`(uqe~9@Y(4Rdn==aX`-;^v6?~9T}hxdPFW=KgDDa=dYZv{)b9*Yw6XM+r2 z|3}{C;^1TB=j8mKyqi+P27^!hqT2u8evqH3RvWuTX3^q#RNo9dtomlJnhdYK-zBRx8g+gMO`qM!+c`yR z8kDqm+d2`1)|K_of!~h?`ubQ*RI;;&Zz89XMow*Ew+@Xbtao%O(}Gzsz4M zR8k|fV2Gtc6nRrjvaO1UyDon72|_wEf*PVqOiTxOD6;rF)>y zI()6l&_1eZfjGBejx?Z@c~uXvGd?Vcm+#Mag@}dd z;65E37|BgFhQk6o=aLgw&J=h1;%;6-F|w}$?VZ20^h`;Q^FN=~tKgJLtyFEEqC7&T zd3g5cv4Q&tKqImzpVy|e2s{S%Xk?odaFxLEkB@}JT+02^8F>egyk9LK4#o!9MT>#A zmgfPhfif}BJB_`t<~YP2`;OTAS4-c4;FQ#={xxq=uNIL4!P`l4`!|z?%3XV*!V?iI zH3!(MRwAcEx2L9SH!zzZcF0g}U-ZmUZe|3uG`tES8@C^r@DyxXi{wQRr&XiIEGuqH+C4RxgO~eID2Do0tmDdfvHTh zj9w-Dk-di!r|+-HCL1CMiG)YGzk*l#%yiB9w(L2Oor;sCsN~y;{99TBVa0jBy+_rv z{Mzt1t9QV&r_kV`10`5>@~$bBsuj5j5iU0aJ41~yZ3DR9_;Bhi1Q^N7cS%2JvPFO2 zOs?N;zX74{ne%u@vjzVyC74Nv!%?6cpF@4jF$aG~EOw;N%)U2P=&KQ+f)-35<;5Px zCvmFF#JT%&3*R|ndo*@|oOoN;A{tDv1U7+vqg&&4ssoyI8gJto(|2aIzumlwpuNt> zgZ_03;XSf`>KuFr36UT__!9cnFdRl)ro_7+=)!vJztKb{W8p=lpPb^;PuGgNFCeg} zi@?h+uNqY%i|O#;LXT+>bqt&VneKzJr5u%!ZCGT5DUV&9Od-@Jv`cr$GDiRtWf@d# zdT)?sVO&57`O zgbRqhec!&#c8`v%w47~k?vH$ga&hmIni-r1l_D-pcz<6B6aV*2B8tfiy(H?$44 z4EQfh$nTKK1tw^cAc5fA+E>vTDBorErGW_w>pk5X7Su$>bY2DwNzw3w(7~AT(WhZ@ zX2u)0P*dM?pst3Qjs28pn>X{HZGx3Bqo7Jz+mA8bacEtm?2a^s2n&0RY)GVwNvh$# z%A&rWmy4qWxA8JyuJ$V|(8ce_)X2QoW!@jIH!KoW|GHik6=GaaE7#?IXcRur>&5J^b z{wTdRNe`3tUM{~PG6rsqS#Ux6kvTrZyvRf=b1Lu@oNLXRPk*HFuIx~RyHt=l$*rB{ z4q^t5$`_@L-zUN$So1ilc-vfpX)*U&p*=v^mTG3-MkURb8reIAsowf>cHX0a+TrXu zzE1APS>n!8<>2mQ$(Osn@Bp-%4R@GL9j3xDN8~Ud&wz}>!k6MrZM)enBUck#ZG}*6 zPx!=FmVrh{GTHIc*Co{)4I--m<9Z=FU}pjiOsmf9#fKl(?7&tu?pTIhhx>4xG|2M-VsgZqg>^3Rcs5gP(#$M`dD=1}1IZ$3x`@dNn(e;=n>0P$dWKq=TF zFwEcr4JC&clG}d8vX6wg@T0rd(bkrXUv+JcUX2+P8K?V2))(Iq@_DoWOCzi^rjiPm zIVRUxds?Pkn>TH>11p+Om!r*7ZFnsak|QP@Y8(>3Evii|BjXtJQHC3?KBR>bii&af zlSCV=G9!u~Mi5dTTo_+S%+oh4TLz!Q=0vc$k1o{#U5DTuzpkTjPdpZMGp!gm-s28s z;^T*(!v@u{tVyKR6yJeQTFJF?&gxR~z)<>;?S=mKous~ z&5&mu%e_9@?1awZJpyt6tPOW0v+!>1ytvl;GR`rw$VMBZ$>G|f`z$;+`(G;G1tuHi zQZ)B&go#&&KY59z=9b;or3APHN32k*06uf{9*zn{c6PGmmc+vMf=W}6jx#0kd!-TY zp3Dqv__Rl1Yk;$y^PZ%x7f3 zTB~VCW6x7EG+Tq!O_&2jn>-o2zcoUw9t#k*{ZeY}qiBvUQBeF{#y5D}k)lvVbkc1n zt}EG2KL(>qexK8N(yB!8q}&Tiw`I}E$YGOR3g(#nW@;`&_?^|wU5F|PKwOw71GU;Q zyJY4P^EIVhig*|_E`*j>|9=t7kG!%k-+pKFk|J{hczHoDeK!1%YDOwZB`^BFSo(PX zD`NQyBL5m4BGB*}Cb$%_E7hS_WL@v+sYiRk9JM2rUasx+iH)MP|7@dk#Ujqr zI7Qnu*~gFNB$H{x;;WB7vA^pk>+{w5&dm{{NWwmQWsq&dM+j0QC0Ms!2QtJ#E`?C$ zmJmL)KlxN@>(Q#Gd=rEdUw-dKOqb<_CwvGR9gN*0W-#d0tAI(_Qk^37O zNuQ{)ASH>VUO(1AY$YB!@4O6D7wU2Rs zo??wT7DC_52i_xwx7!(`gl$P=eIM6{mP(xiXSQq85M5@bZ95hYIC^z05@LE?jW!hV z`R?sl`asRlQW?uB+J{+1qU+V5Q+g_yC44kePEYQcte$r`ugNS^x9-J@m>(s)?QPHm zt)OhQ7mszbDa&JUS!Co0vaUE&1$1E-rx7+%EK;jgO2FWE?Q z*S_spi^$Vyu>XKghCADEEi#!&lx}w4Wq$vW7=Mx{R~DBg&|V$1Mhzt5sx27H0)dG&;`kYuF@VMaoMA1sO90?3@7B-njn!{CzuFYJzau&%W@&+;UP%Gx*yC~VZ7m@` z(lDzzaG!tTaDk4%C~0AES*~f#RJDnGoLdb3^cW_A`5HmV^&_CnE*Nv70JUO-FVM&` z9gfU5Yq8$t(KS>DN-aV|b`6MfUagT3uEO#`J((Z&0uC0xVKV@CTG=%-f^I=5N*UF? z^;&3Ru;uk9mZ)MOMuj?s!9y`A=!hg4P3%xe3ox|{1$6>bEc-zyRy15D-_3s)ilXE# z|AbKCb|gT+9raw0S@OI5XvFlhMI_pEgU^jS+m^T{yC;+Ipsqi}=nIR1{uPe*_IU19 z$z9IRlw8))NeV+Q8>e{j2@3k$Mnh1@P)G?qhyJ_iPXfhVk$voWl{(#qb(Vd{*y?gCejOh#&9jo}d;HzZYhvQv-hSt$EFh2s*c zvl=34`Z4plr}48)hIw;_JPtQ{aT>Be>V@Ds3+2p|1m37We5Q9EH~AS5?T5h!hi}-@ z(A<`z4(GY0zut6Y-S%EEvBC+^M-ox@)6_dI~Q8~{dEaVaXGLg7Mu(SU!l&2mlT9?o=Pjbj}I|cTFYPGDEX*%o3 zdOsy$8OQk*gsp=JPVYch0zVv1Orggs+KuEYS8RQ%t@rBb-LnJ%j!EgW}IhaI$rU#u)FINgA zBK|W=>ZF5;q7Bx$PzXJ&hkD zg2eWrKw>cw{#mgF0znYRemsbFAH_>B-=E;LzL#K5NZ>d=q`i*55Fw?(y9A$Pgo!( zz~8bdkc;zAkme}niv!n-1KZ1y=Z~#+^mh<11iF_DQaSc=0WQ8j_c$}=3j^eWVD|xD z+Ga5!%uXl}kA8GW@^~REked^tI!*;KnRvN%?mvIG<1joVVj}eA6mK2QWDv~D092PU zjRcJfI#@~D@JVSzkcb%M*>(2$`B49>rP1>mKJn2V1Oh5fG?CxC~agZH1a z7cKgqLjW%y&z}xmaEvFShWctN4-5=-yF3q|ogUEc5w{_pA51F-pp}(CJk<1t&?C)= zLmwKJz|0h|$ex7<1Ry=;4!WEP-#rg{I=qd}Z0~UJx2xdy;N)e<2&~D*!Lz_rm!Sd% z0jRRM#iSOOaNyve0&^wAvXOucMaX0y0-o#`_PIZ_HuJ}ML0 zW_CJ23t<^S$;!)1eD&ZH8^+(q2n&dZGUOOQ-jA$6f~J8QU_>Gdy?Bs8q0nVO8&J~I zSsEQxKst^D6G*fOj$ENYwS6UG2*5@|cex7|hwhX{_!ekr3YNyD-iJ%&>z)SlN2_8G zu~!SC1@HjAViDoQpkIhdn4Ut}x&_oEV~A~{hyFw@zakWaI=6Cx0#HqL&OAl@N<#Yh zlN>En>`kS;Nj@goA(CSNjR};W8#z@pNE!-CS^^9W?ndH9A;r9f>%}(ldG*!f$RwaO zfeI=*^L*0xUAW*H`Ue7uUhGawykAKOU&i0d7Nd;JON90R9}GF_O=-S>X-^@AKeM( zmu6SrAQ=62^mxL3fM8d(ai9OYY6i3br@H|7`=_Fv&n#wGNKhe? z98f-~G-Pr=&yIDt#Zhx+CZ9uUAlKlZt=Zgo%9t%35;;OR2lbu_gu{t_Zt8-Hl zvCor3&(6#&d2P`CLDXtc;!I8eC^(o1SX$aXC@}Q%m^oDF^Wl!DSwkEKW5As8iP_!Z zS+el6W57YInCHv+g0LP=LWHjmplM2|{s63F_-kBE=BwawPqE4Nwl=bVB4J=36F{qHxXYU@9ynwFfOH(NXr*09cDV_Jf z+`Fqu9<2;{XyM?W=yYHe2s|1~WomIC=~UfL);VpU-X_oO(?*IFK&ZxKXHa z_kS{%pS0;k3@bSLrJ7i`&au^WmEU0A$E{=ItABAs78Ox!%@lAW)fu}u8mvO-O_g|0;j4N zhbp*6*mtZkwtW|K*hpD{Z-$9fVOp9=<8YF1A^*}G%eu^$4E&Ic$+%szR>3KxSg$Bk z<8zfLBCi{tjuk*q+p+LdcM?@ndSpx$L73$ z>@jplKph*fcYL|mD zmY4ID;_sfxg*3^fNd^HQEsPyrQ#IUwOQ5J(y=mC>?Yd2(z5AiC2$79;UdMeWyAnO_ zdzib4@P^&Q%PnQgiQq$t>BT(dAEtq})TNIe)PQvW&6La8?DJ|a4`b0Ton z_W3)O_WOPD%o(r8_PX1-(>A>|>n~3652@`3HKhC5!-^0U4GCf`Dj)l3qfM=U`oKDE zCrw1|UGE$kPQHSRFXRlb zC`4WIyQhKZhfl&5`r>bWlu(_2H3yG&H?7SdGO32>HWNfy-d!p=j%cDRuuPbGS72eF zcBpeDvT7^ReDg(f8A>zFj~GPnJ;6XTu*2Qi=E30KiXuHfy)f6i&0>_LmU>jYpV^!+ ziF@*$D|Iykaa;W--Ge|KN?ao+&`VbJT>CG@FGd6SBN3!3=hlGYqJ=Jh9-=U2PlqW~ zD8Iw#tsLFm{oAzxX9xvm2;X89`@svFK%>eH>vGqKHH!D}k}&OZ{eg*iHm#enuO?r8 z-@NtJi1LRmX3|_Hv-91TjD8PAo;&3jzIP?mlQ&JD<@oupe*J0~02Wz+m`X|qN6IfklE#0+GUinao|{d7Y)R;`1d_DI<fs8xRR_gU5^9Zvmx#obKJ(1;W&*+m2H_e!u3 zOLP@ZYIhZuQ{4Eb!z4V(X6WS>3_$3BRSohK#X`MgF`Gltv}lv{T1khN2mqsxVR2+= zvp#QF9cd*{Vsc}Df|D%#MwkXk;YG5M?{n(oV~1_O$T1sARi_UpvTFr?nVBofX+t~H z0T-|P=5o;@4%{loK8q{Ww*48gp*U>{ zNG|<=5cp_-QhU5ezu|)WK9i43g6JWh)jS))-q}Ut$n4gCGup-;K7Lk4Z7obNEEpKz7d75DpqMwA&i|M>3r=?J zstf`iaYGJ&EUeZ|ewy+tC)Fzp!TIdOiT+l;eV7ZtX`WfuE2IlqTdy>mUmNKU?F5%) zS)XhvObL+S?jPA>@)0Q+P88xvKlP(LyKGAcjJDs^N+lWtZ14>B*$myzZoElkI%{?p zKWlp@rQ${CYBGzzn>{FrU; ztD&O6&Kcql`&i_~wpEipEFL#hWMr_#vC-Hp{j>c&??8LJ+MU_0A}Dud_j)IjU~>b> zTM-;#rd|N&m-v9lxlX3o*$?z2u0!Ef_b(ZH3UtUMM_-@J#X*LS>qk*EbGVf;**cm< z?_0EgKb#yl{rF)ke_B!?<|tg)cQr{)Q@3=Qu&bI~)ew|u>?J}bMW98jCS?NYnYO}J#V&oe(Y zY9lhsNdd%Fy1d$R4Qs`T9U$%)jVs>W>a65Vl+xC5fUNV4ov1WzKia8w)=A5HQ4>Q%kK_< zMOf$pig`M3b*I%td}i7^Yu{Z#@^8yPwSBjS7{>d?7fr`X z{mYS+L{YVGml89W^7GSNT>rCc)n55#0ioaD!;Rx@Rz0SwgWxaR-i< z%Y5W5)^kk|uLMzP0-QZ=tow5a2asid_D67CMYov(ypxJ5+V^-_vq&EL)7i%) zD=xUZ-psXsJGbnZW&08VOmGh*d`=ddClMUWrzUpi2{iIcAu2xI&swEip5q6e`VC)4 zwy`L_=cpE($?MKB?*;X~2k#FT4ZlDgNhb7adl@=@jC0H31KCiMg$PZ@L_Hnp+N~kc zF^hh5^7iNDxhp9R0z5)$%}KL=C&XTCm)Kfn`_ixx&K$_N=JxuuH=cEnkPw}WE9N6) z5t@L|P#`$AlMF9~L66i}`uJMv(`S!!;#)MsP znYrOMr*GKgt$VMUXDtdD3%W!xT0X#@j^{%7lXs$fty1n3vV^MMQF|YMlI-SIrOsDT z`n6^;!}GJd{v>n+DS^CMNI8M$AQj42#k6N3{k3CLzP~L?ns0bfhs6PRIF7bkDwzwM z>@362;z!#GjJ&}+Hm{WI*j=s7w-aok-G#eg*1+vMcmMdE63aaA4dlV;=*`+GleSdK z?##pmoslK7d%K^Hwrx~@;iXqr#fQ>p*{2_xM7r3%&@7rpUifF@q~9Uo9H_ zv-8j(IN$aOqZCxzd<*_7CKAnO9^Xyz}k8WA2AERqnlEYK()XdIe+I0 z5iv@Yfe?x8uTvz+pVO=ApUg5-1PW~ntCun{4Kxn{3(_%0Im<17F}OnP%w8}OCq{-& zGJ~LJu(fGdx1)o5k*GSw>YA1G;GedKJ4zFQq9rSawA-D^tY#;VI1Z)M3R>tAo#luh zTPpx6wx0TVU9Ykw7ZW`zQa^B zutpXpjvatr8aUj4dVizxSYX$J z;P{!x6jrn|Dio04JaY40YGWz=htUr8;mlM>bxl@Mh}^9gZyea@uifSwYA+We5Z?46 z=!rIxY~fLyn|qw)OA2XfSM1i~(sF&0`|-KF1>0NKG}xDaLB$aS9hv!0_6ai;&8_pN zlJ8yUr;@JA`kd$Jd60rr33rN0+k1tI`#$4h^y-Rwq7WjlD4JZ9w5%g}>2=S^0~?GB zHhx;B&mrp>L*fVsG83k_O8IQ!S^AT>FCmIJxf%!jWtT>J3!j{Iu#PkCS5y7m znJec{g^;~}2x^ecf8@jMx`&p{G~EuCa$~dYz%4OsoBz7TU96X_QTKWIdWJk1Zg1)E zYiH{^D&(=Kh5WsIUxhi<{UABL^YCl!_DE8eIKPMV_Le;I>~oTQTaP;ihFwa1M9)zx zG3PiR071vmnyX!;394Qz&F9vIK_u-=iL|@WXMSpb$+Uw--H*M3=NOhVDTO#_&6YQv z7%@4gzAhXtE*#c(cdKae454}~$KOSto<4EAjWDcwmSVV(8I5nh zTuLkKc6_b=_!+ZF%EJ!zaY=mJA5%({$(_huYQy)0KMP#7FRcKo`2!yqq}-&5oB@=d4!Q=nu>Kf5++h86LDqW%^ezbIBBlhPg`CoIQO~3 zvsz`xIqz4_L{Y-lX2_Z&4CF#Dc{iUpY${jqS5CP<{;an2*$Oj0@2IcM9B25P^F?-1{Y_on z7UKHaFw%#8o?H!8gJ&vB>!Nx%4LbMHwO%F$9)3x5=(d*8>T`qK$NYj%UzFvWK7LYv zqyE+O+|TC7 zkg=Ph-P}+bBhr+vd--hHQj^6Vlv2Oas@dfDhT zqk`KJq0jh}W*UP?bc29Agm6CaL1_@>ik^szLjm|0 z<#A6!^l2c)PTi5`@=oVTp!R~fUyknNqNu`5LR^{dk@Yuea|Rh2O3&Mg;S?Ev*DY3L zu7@e#PQDRcVnLlCWU#CyqmajDQWymgy4i@P+rv9GwO)G}nk+YN5%c5bRkB#!>zE+- zAYF{Sk6cv*-)gYE3SP$}8`5@6FO!mcO+JFV;k>sv?eh5AgOjt_ya8sNVBFo%e1jA& z2@HlD_tZnfS4P|M?)>q19IH%!qX#ehcCF0C2jjH#F3))XC*^>Vtb&u4ReuqTDkBrjHfMeI_;-EqZ~ zpoc>29Y;dslUOeQ>V*2v7@|jMA2rI?W+ii5AKl7o;J`6>RGba zG6A>A55phm20n#M^GMHs!V+o}PQxQssqbgrWz~*yjKnYN-74%V+a#w}jLk8rOa)AF zgHPEx`?US-47CG36}(+N@=%S)Nr@&4IOYnv>XDQZx|%L&-Lz-)REF>es&(=!kPL_w zM{yyfPAr-YDN2FsZYN1jeTNBal8aXAu=wtD;YT75WnL!7k<(E=2`p z?YKi0RotPk4p@rma>!}BWKBz6&FC#i>Y$*`3+Nd#QHn@;lFU0yj9=2nG29kuP+BQ| zHHn%-y)^=&OlYj6U$6C1tZVaA;Yfy?eP1YYTW8{Fi=d32438~wBM2`3pN!9o0qjIxbkf__h>yLG3+c1J{Z{CqgQj~}0ZVh_XIRbFj1no|?Sa4-Ky znZ9Bnr*4u~$l^9Brnr9AlOQx=>H%Z3u>Itr{P2t6WLJlvnAiTJfgVUpS`4R0QKC&nocH{Ozpww8AmB_`oQ zyYwUF4rd^Lp=R;}9Dz#j5&si(7212hNHbSfU7K=sEpqny@V-;Y&F1^!W4FR_Tm=@# zos0#|?^7j^;f>t8#obtaHYiuR_Q6YU{b?;2Q7#?Lajq}6WUvUt{b3^~zmt8xmL8213 zmY-G-#O23&sUjP?L(#stUrgqaAVjto|rN^$1PP5 zg!#mO9AZ4Zr=&fghDmfD_kC<>UYS7IQ_7#)^KZVR&gUl9F%rO>S@(+}}WKa}-RwwEYFuyJ`Ov>aYnEQ@^qIgLmV znvcKG?y0H!Y}w|0qcM;Z1Kop>objwP+MT^V1s=4P|clq0h34^Mq%nrkw&e5M|# z&0K#ov=i;-Uh1Mt(x^zF*ahQy{Y*411JOMgjWIsk=;34u-sjyCb~EZfUz~eg&VEaz z-h((p4VEl+&fhavjV`%=#LY-VQ`203@-^errhSy*E5?({Fri1f%Jk z$as_d04QqaZ3;Vir=fYvvrzr3uj5H~`Sg9iw+KO+j-4T?6_#9=OCM%uN2wEk_IBiU zC8$y@Z)Mh`LHI$nF`UVh{W{ix@S=~?4(5>Q=ynoZMXTiUhdNj&WHg_(Le!;XJ@ z&RhY750we3c*)$rm5cMXLg}f0R=1rCWzG2s(#Oj?o8cg|w@s|GC$4x>@clS~8n4>t z>5q&Hl5=CK>kRk5Z70w7BM^!Wt~ z8WmWx2_*N9W|2f?Xj@yB6AoUt+Jj_C9zE z&C_z*y}1+{{{!2HOyUZY;sg=`H8q!kM*%AaLrYarSWc6@_KO{GWpZh6WMgG+bY*g7 zWGM7RKd1pP7a!;P-PBj7z7A-HonDVV32`>OdY{6 zsH~|YNEo0C0)GNzLFND+9sswHkPs#VKn7;#1_xVOIRcoqHFR0n*x3JR`6~oq=JubS z=ba84x9H0y~2SFV`EC34_902*p0$>h<0>OWk=6~?!8B59mU}YNUurP%@_vmlCHWUbgL%>kbvzC9i z5r4qJ{r2s@__VCR<~Gp3n$9ouw+jRX{wwomdH&|jsi&rgCJ8HSv zJrnznI#gi5|62ToAuSDa1$c093-AIsc)0|g4;vRRK!{Js>wn1iHxTzf*D9utaIh=D z@VRI%?!Qa_kMEx=<9~sXgPOyDf6a-eqkkzB_&i$wW%$c&?gWQFEBg0oe=hky*MAQ= z2;>Sf$6T0&nTrHiC#R)2RuX6S4$B&LwsRx*2HF+YXyxVjmc!b7l0(*oN^8YTt5(I`ioc;PQjZdiQjoO65$=&$WwdYdOzM-w)`u0_DB%-ug=jN84r zX6hd3>+NYfL+#imXUmAjU=T4S_DGwk#<0}ZD_6iG{r$tkDEs8r_E9C{^exO-cU%ICDX5X%wSOJatO^K> z$fm1$D(Y-r!_xx}_fvT3oy9rUQ;w8&b@Qn=7g6vlX!cFZqOm5A0$7VI>A8wAm$#m>L?Oc<7>jFf=poQDTV_M^e&JNx6=1Y2RTO)|bM zq-pZG&I#jE4na%hSM)yAuF)xBX!uPx(bN_ z&@yUMsbP!ln;T^{0u;K;D)Get-TZ2^cY{WGQ@4qg#UkKh6hq8qhJRYI8-zV9|5wah zp1s#koOxN+VYUYmKa?vc_!rUjB}zK_f8Z)EP)KvilSyZcO4bjc5c42fE@a-v$J~{4 zy{4wqZ@un4C+yKP3g#U|D;{zaGxb++vNL~@>iNBqgRyUbAMCO?A1~rSV$w0~SQL7vGD6fn zUoraOGyd5hvKseQ4yI01K#rkTe6h^3(J zlhle&{N`NI099LQzo7o2|1rG1WvbLvgh<*J&W^+6&mtR|0B@N~oP1YR_QRwj%m=#! z1B$QAU;TS{ynp(&s!TPW5dYH6?7E!=QK6-6p)gl2UuXz*obQ5yu2OaH)sSpJ2|#mq1)Q^g1}JC(wrESH)_yP8 zRJzC;}MLES&;D(le54=3(0Dt(spKaRe=2x2NRr^YfOB}P} zIQv!u4XvO#DI7HXeYg2f^inzFzS)*7GO;!=8};`p#j~ zF8hczxt}Z-T}=`mR#gEM+yjfZ#eMb6SYkE`21iC!qmAj!r=tFPPl&mGddh{||7#*(X zpMNiuSy2|Nfu1d+WFJr)swne~U3gvp4vfm=-3}X|kmEnuaqGQ@+7me@4&!jLc#YfZ zSCSz)Q0a!aJrLbJ%_~>|oLPPd-XYOpBL5ChJ-&O83uPIOqDT&Z2mQJ%hESxk+lC&V zch)C*8^+!Q$lFMbK$>WAwnuIckJykhD}NqInXvq0v~(rXm6@$vqJi}$_QahhMnOhoD6$Tj=r^qHCL~x3Hq~_a%FkVVaT+LZAyj=~~2elXSKIF`v*Um>3$;k1k}h4qb==4?|=Pd zTNAx~>>xRPYD|iZcOEy##YGk|pd1E>5tbVx)+E+ev+;DrnhU4dNR{=EPZSvJSQ@pm z{HpVLL!2_~KJTZZiOc05Loo7N8;n>kZC z!Qy(t7?MA2#(!9d#$x2cyE_m2hQyq0+@r+CP?-WLMHo<(J}mL;HS3I*YJVH2Oe5kg z$&`_9BTl`0Q_G!8V8J|P-^o!QfaCx0I*5n7PoyHXGKQ%oetaym;~tY{i1WPbChnq# zQ9!2$oAgKfI{Y=s(Hb2OOOcY{pGGo)$E>%^<@IHr`qxt@=aYnF1nQxCx^W`|qcT;Z zOdm35^&ZEt8BN@h@KGdFLVqB+np$O+fm+UW`mVD@vK5+Zkt~?&+iZbP2-zZ>?nj;k zv$NN(8@jiIv6?31wmHPQkJz(t>3t82gY{e-Ah^Tg-{Ccj2c%LQ>GdbOLTJbYpG zb>(zM(5)>HG@CWJ+?|d$)vbKKj}JtfT7Pxt8AY<$g)MEm_}v56cD(a9B#z@^QT4d+~_03;gHPFJS!Q!ryOy8=3z(ZTaoZTu2%- zu&}UmR(|?R6>0H3x9ShZ1e8GTzDN8n@9yiz{Q^e1uMe>gihuKD-Y>oG)|UXzqh%trloejvl*Kb5Y2-6%Lf<&~*6bP$987N5wiQ#(vq-06ww)=s(B zSyVsDj0De(RJJ-XDD(no>-Z`R=}^aS9HD4<-NpCIoC!sq&u8uu;&4d(QOs0yN1h^P zeCV(9(xOFv27e}!X{d=D`|;z5&gb+Y@(S&nVLC^Cs*3XBmQh#xW&Ni_PBV##9U5)J z=9K_NWRvuG7aw}-1yZIhKR!PcUpOI;SWnNVcIgXUE~4+c0<;>WEHdWCkInL zJuVgAYJF-|#WoIpdw%jH=xLDqR?_bxgO*?Z2MgXiA^XY!CLF!e>Jltljkkx=gsft} zjsP`zBCSzPtU8HO$TUz1jg_x_gf&T5_y&2OrHXY|W^@NeX2;pvuI)(jL5+$zGC2eR z97Jez0)KaysASwWlY`qL{fc@Xv|Dw_Y(LdhO3;Ekw;0~bv1njs?J{R0>08vud^7<%tk)vdx)oZfn|(8>fv1o7?E&Rs*+VJVZfb|! zdp}8kN}x2+_cu?{iiPB0!G(P&wKLtP%XZZ{aeu)oV>->_&DOy5HsIBVVr0b>^9ayo zP+mFkY5mpa3hbBK3?j(E_NP&OYK}ez#pS**33?o36b}GZMo4Jw_vMi@&Rh}2N{*1q ztyhuA)sZ7Q%%5G{x1y(5`Mdn^d@y_WNCmGw;_uI0Efdq(C^`kKu{~j`&Q?dCw7zhk zrGMu07d;+7HVBL7*_+DqpoSAS)Hlmg+B8ax2Y7RZNmInWztyXAAnE#cr^02CgF(_J z1t>G2$k9xAmEuA!&?EWk#cL$Iu7zTcja8#Hfvp|ux;v;?(81#qS}@;aBO`Vwk*-$P zX7}JcfJVTO%nnaj=vhC+C*c6_M5nuE8bb zq01LrxOI0)OwT64trAHqL^8mgjaS@6^6O)3)OOLfjqmqDl&nt2g|z6=v^#gua^xZ4 z<&rSFtHpqeWrQxpaOP8U7oHbE7iNmMv+d0!1J9U;qDlPbrd0cA7{~QW0voSi=6_XW zSM3K=a>~-;&Gw=J#)F^j{vfGNUGrev%?MtJ1!vprb=&pfsZ2G9kf>160jmc~sr^>& zK`SoW=SuLOEzibZ@R8PcN;ph4y>I5UyC_-?o9eqB2Fc|T5O2a!3?XQprhgy+}Rp9ZtEQ#0ieo`ffMKk|`UyM&_!hUn{PcT0-!0>t_ifzJ2zE zg7esx(njgqeDRBSqsJ)Hp43GpXe;&l2TRdzTkS%d z?75$>)4Tn&7B48@8Gq$tvwkacnE#&JmTktKB4*bTfpEU(YG4~8x+1eC$H07h^B#a7 ze!zhWpOC(pMb0!O3^1X2;6N$(B|>vzrmc?5Q>aEj8SJ`$5sMO3z0dG%!iCfP zognA&)JoL@lv$rC^V~qYI)LEAzM`QGjHvd5(WvM&aaO@?w^9iOCY&Z0n*^s@nmf;B z^=S-CjRu}DV~HrTq5}2^I;8BaXpSICt0;GFF#IJ>jScQPL3Zr4A|d~gk4d`^pgQ?> zOdPRh6;dat!GD3`>x=wqyg!a@;3i^R!Sbnf@(3~Lf!tTzTJF0Zbc;Q$C!SguX}M)? zvlKz%LY=o$VCJI@39kFV1znHB)D!9`SA6TuVti#IOI$A73&@6SUi+sGY_G(RNLVk%)h zVf|0;VAiEt4HL$&-VO8JpJTp+)K?4flO|SVCgY*&-Lp-Ai$$&l4YC!eyXSl5f4c5=);IUF>aylM zb2n1hXn&fICgyIuhgrN8)2GqoJ0>zh~Qj39s#?|*F5RK6gS)|}uJ2<{}JdVf{jd1l%@6Ppq1vkft!LCN?oZXCjW z_NgSM?C$P2iPE`2C#fIMx&s*t!2sFr^nCVDL;hQt>x+)a&%g%~aE>gQP&zJ87fq~DCsx3f}^TPdeV9FtOVR~*fz{-C3+|U48Ktb zUZ?5~##|k%6KNWT5PZlKTU?IC!ub^<*j)uJ_lem>{~{wFq}38O0kUA8zfS6zJ%33J zEOg}jz=dq2n@B3?gMkZWEzG*R)$rojz5k#crQudrg3sv;um8S9W;IgIgK zzhu-9FWJ7ampPd2E8SoT=ONx?1kezVwTf-_EJM#QnLY41wBXMlLK7IJPU4N|;8SwOB zuy?4XrwtFLJQ9y@B;8f_X1Rb7Pc(-&qK##=a_vap_js}pdBviBlx0U&aj~X`ngaeP zQ0^*c+Sc@h=-&wLuc^@)>r70;wZu1aBp&PLdi3-qsyVFV_SpMKXP$c1Zcrs=K*5~t zwH$RXAxOB{Ps_&yjtOd#T7Ty5d^?E+FlX%BzB{>z8)l^Eh>b>N%{hgf6Gx_aDwTAh zqd&M>H@!-Ai*XmI&A)xo@{Ku-~*;f^M`V<-fn z2(tF+>nW}R1aO9}M>d}wdV#cEl;d4Sj~w0XaRM1>D&?}xk2^CE#%_)*Ex!0v&~W_6 z+(=+)z6<$^K}|M6X;@+DI0NskFhbN46uZi37@HlPg`=C0*ng$K+au*7XJ?FHJUQ#m zWin%=`k+E|3Y4>otitrRN$?N*mp>#qxuwOc8uY4P9A~HOe{Uu56$fV@=Se$JZby=B zGnuMaL1jcWMwrAbe}=9KK9w;FfQ5zwyBo?|6(dD)%DPOs(lGjy;I_R)@@DE4q&iwZ ztbF9e-Wy%+P=DrkZVKLayAos8N%=cU{^(^Jwz7%C`M^{^1mM9CI}tOqVP<-<+>&1Y z`cqpjW5L2)Q=}p_hEytLjpNf8Nx2Q{J558RQq4dlzjl(9YopEu(vte8KLo&Ih%;Hv zMn1hm$_#LTf;=Uv=l)$st}bE|(e;Z)f?JP*sicDX(tk-gSm3dhs(Ok$M)NIcOV*W+ zJZ9lFpwMW=IkNheTji-vo*2QCG+u-wMFHFl=Hbv?_9amLLO}gLam0 zdMqk}rCE=|<=9)gY=U3S+^x)Tee)p)_S1J)t{HKsse)O`Y#>X>PT3qMg{wj&N(d@iweBcYV z+kfm(L70J$$XTpOHbT8$>=sL03!FiSN5B}>l>2xhR9jYvOD0r)`wcdZ@q25IKncS7 z1od1-k_QM`5x9QZXZ)m48}^Sz1FJA#j0EXcp4MBg=ipuUpA50w7;>0+9ILr%PM7(OGwZf`Gnd(xOv#~{CNq@GW zbp-FMm;72)AFP{qWJH%F+vsm41RoeAvZkRLgu(ZRKO%+;rg!$_JI$|cH`G^{T$h%S z@5&0n=Tpm0Hx8BP*UbDJaK8&!I6TSUQ8u8M1;8L>!>ljk6vsz!;d~E-nlxAacgEs$dvQL2-y3d|;k7N@g~xbb zVi#QgU~P_Jw2~J-zYIDxc_1Teozd`ES6!mDVczudsJ@_BdEW?>x+pL3qkqpxj@Tvd!6WYE5mY_ zg*Zg97|>M!;bmP~L3>Q9ihplWR59O}6__ZnlS-qF%f>I>e+)#V(cKL^NF7pA zXWuExM+@7%T$9iat&7ORd9k3Vrxi9sAjVq9%~a%p;4Xwn614e(N`Jn>LibuFZ$^1C zJ}o-8@ssGR>#f-Ugj6QN-YN0bynDp7vO6HR{ZIrArhD9><4=9zYAts{C|4u-LzMDN?>&Yf)~)+ zL;_2p+m0y#Qf{gY$f}d?N2`v`T)<5UxLeGgR`G9(x~WIvlnW7OzS{^%W+B~3xvdt( zT8!FtAI$FF8;!@UFT+zS3ke{2oAWr#l2g%4Ij)&#MCw`e(8A~hIAr#%1T{XO^|o1g z#7+{%&Gh^#>VIDTro>E8A!2webkg3a{9f-9&R2jObpbt|BESd9WWQ+Y-8bn+IE=Cb z(k*6E)+5X3cI!3I@!L{Pi6GP?lUop_+Y6UaoI7X~jn89W^JPcWmF~jp7O@_x`BYq~ z@w!?y@ko}QP2A1F7&Qe$!F){&9~Z4!Z*%<$oIQ~tql#4h}sx=2`{!7!@58Zl;P zt+1?pzSAx2%8$IexDZj(w4W1)iadZ7L1Wc9&uOl*H|7nuPNlsh!kM?8f(NEo-8Vk_ zuB|^amw)nH7%jiU$&oTV(~yk>Zy+_x#~Gf?u95ghxOY^HI~sW;)34X12CK|xx#jU0 zs)>cY4e6{2=!X#a+%hVM?i_CqIFwloX^#S+lmk*1*Sxj7_Y7~`BMTU|AXQy6hZ;Bn z?T$g$SGki|(a1}bG-V#1Qo2+%B#XuE6*L>}D1W&Fu1HVzJg{Pu<_yLZsB7cqjpzz# zyxUL81()sFPauXHP3p5mM{0wIim*exod^-$uroCibYx+@el~QsgR4cQ3)IXMo6ipu zALiyC_Rz78qoXzB9=IB zOQ(`Xo5i=1z7O&Bqh=10B7Q$xo#9rsnU|O)2lz4V)ufh-A)^wxS<&e;S zeyKMfUQg_de{w7%I*JZ+v$T4+Ajng{Oul%)lHNWU%$h=LV+QpE2}yOmTf?9OLSfXC;^P{HM40=BY)l(>3E_=*bcS$ zXzQbFZAL@yF~&i7AnJxYvh}bVbnv z3Rb5-RA#9@FHTL)-hchrQA6r?q=Esn4!v`{Fw(lbXQ$LsBXeUI18Liik0~z`(seC( zc-n8#WRQwCw(|Gm2J^#)D*V`IrsfszKa7#wpVnIGA+t9}iOHC!tJ&Y>kFQor+2E`Y zjrJ{lbMK#z*An67ROn6(7DvHlCe=_E)9GAdYccTB!(+V?iht+7vR`mzi!xiYQWLMN zG~Ynk2@DV9Scz6=CYvCR~YM{Hf~dmj^508usky|TC! zkSJ^3zZuyNyMIwM3EBGDH$auxmvjmBt(iS}H~UT2qdRpr3$~26Q3k?R%UiUaA#0B6Apm8Ex|SOD?j#lpAFtqz=~f2fr!hIF77u!pHcWCOqmI^6{nq$RQ+D z+5>Z_=@p*8C7HyDzyP`ATY(yQK$6$dl6adt68nU9tbbJ+#ix=NZiIAXb+{%ge2A8> zn?IVV&RlZ&THV99y*nFVzWbf${wMJr_F1}`7fqWpc|eV^s}%JrSgPM8roJdxm?r2&+ zrOGqP-+u)#7q|2H@#EKtPWNmagJatGw5Xb4#Rf*i)NuKf?gHC_0 zewB|+wc?+_SJQ@(O5n;5z&FS<$oioQY6b4iNLM1DJp^L?i!KySjVdZ zy1I19`MpAHol|h8LD#NhOl(bT+sVY4*tYEnCVXN$6Wg|J+n!A9iH _wD~+|5f|2 ztE;-YPx@f3bzhgfG4;qQv*0;^dS*+`RrEO5qep>`TrkC4%H^Jl9JF)5Jk}njd7ATG zC6k$Op6j9D%xX2CM3_V`gnarmo$gBcOZ5vA@lI9*^!;X$40I~ljn33tF2}YTzJVgI z#}yu^51{tj{*kKz+7k>WNuayRvgc9Y89zOT{<5VaAydQU?0H*y^VRkrB{O5J*$HqN zR#wadDT-+Xt0jt>9MSxwXpr{z!h-0Vs~rlY{V@v3O3lP`8=qM^^VvA^SeEtXR32!% zqw!_;Vc&;WI`suV=(iCK0@PE4Ct!5wVO6vN6}wI+Q1v=zG=jB4H@{j^ z;pKb(+e2hh^&VF@qF2fkTRX9XPQL9x-*ytSt>lJB>Ej13nQyMr9ogu(tC?cyA1WkG zmajO^#h+u7r0{G$)2x?XxiPZ&r+;njoYM$P*ueY0TNRm1n+*+K> z>s7L7_4l7;h%vL1{r2lmu$A8WvBV_00`v0j@WLw#P*%r?MG$H0{NQ$ks4B+XVTKfxZ0>qzk z3VM_Jbj*A14fzAY_5y(t-g3WwIHo>>u6#dd=k{v2xNap|3?Z+t2qB+!*d*QkYXu?W zq2C*=^z1=~Op+}rTsa^^1(0<$_&c|bu{XgYXi2&q*SA@GZ00=*TheO;Kw!)sW)$=< ziA-Ul!Ukg}&#d(WK4fbwEWfxm)Hgx;-MrSOK%AuE;(^3UZH%o=?4MA;?LZpfmvSJC zPaJg+#B3f#QB4h#bhb3GGimMF@#44 zChpyydcd6P*N)RlEW@AkI7CJlHuXcenB1!PIMXg7kyM$n1_*qH3kJ zVeob|L-|e0&221sBR!i!f<9rD3)j_L!9CWIZ-vu8ZkBd^4KrSiieLvr3;3~qYWp9{ ziZ1JhHvrPV`$c}B4&@m}#ygjwUHUeYbRyME&y@Mq@#hy+f$osdfr_AE_}8-tI{%?+ z7Ol3s+{mHPqi~DNhrejn zhZpBgd*%-y_l9Ez!Nu*DmPK^E)PukEH?<3@&Hze4gO=!MpSiEXy7wtN4q#2@G#>=u>M)g%_`;M+-TJhV~>>=^m z{XQUagDqoWZp{QIG?XCjpFAZ3gA!e9;sZQmJ;KQ#?=R^co!fyCDp)O1_TB@!Zq zv!ybc-d;@wO>OmlF?J_r`>H_HZ}{!vGtlfwUL6~}W~UP(zq65Cjrrt;!?JXU1s$-0 zI{pRS%}A+z&unzhy}xL0@y+sqLQog>%zV?WQJY?2qmUALwC#g#O#%bW)bLTm4$*0kCT&^_mj$=i^0W@Kwav8)=EZ1ZK zXT24Ep|C$woUjwd8}$2cz_0ku1!5CSMf31nFXfTF9IKE?YGM83`=(Gay5~w=E%TV& zA>P&eB@p*~5L{|0_#}Dw7uP;yIsCHjZ43AK6f=o%!ulE-?J@dK9aJ5hF-JwYA@w}QwHRB580OH;VuM7$rJbDkCQ z;mgs$tJ33L_d1Y?eeqF~w*tS*NZ}TYYlD{NmSAD}#bue;B}0=tl#kWDXz$(t@#urB zpFvDC;tM~((U>&vC46axZY_z3VH=y9T}!O*#lS!%eC@Hr4N?}pVg*cR<&WNVoZk|$ z*8=8aUdirp2PK)CPJ)JOwhtrLU5*{-K!f=<41}bv9wQ;0Tn z$|R#AIw!raEq+(b1_HJ>rZJJS?(E(4FO*4SR&2PTd%odu1ThC*x(+n&2LS^Uxo5t% zT^FS7f>Sn#ZL{In;Bf|kljROMq;(eue0^-*d;NYupl&l8TsE%`r4Ew*C1gF;^(vQB z+n0bESPEB*f{=r`uiOeXkMYYC{UerzlcNR>q`0BscaFKFeLz0?;$#CKud@n4VSW&% z^Um7K2`Meh!b-$-WXJdwi}=~x5dAE#DWBGk4(^bfQYcrJ)FCFmU7nwxuT`G3 zBHroRmtG5EvF2|pTygUz>Y%AWTK+a#Mv8MPkZKZ8y2VwocF>F@K9sVFHr-rtqZW+g z(6OP|AOR*~100B=s3~*Uq>aU6bTpjZXgo1WkYpD57pVBi(NURvFZ{vmM4BguSL*BY ztIelYL)2+N1Arh|8KTrLT7o-%j+RsVk_RUjT^x+k%a?wuIkGO9GviPf3TuiZg`A^B zf=E_S-hI2Qc*f5$SglvlgnF+^SMQe#C#Zs_+PLI`3ouy$2gnD1cAvW8`<7m5w}VKh zDBt-{zJvQEF8#h*noVKC39#Xq7UY8{tJ!jzMSRfM_rr&YK_1G1=R8{PVo)?ui0^lf z8fCb7AM)s_$74_#2`%7EeH_^O5hm)0Bb(mQEQKRc&u_*b;rNx{Vy=z6#VMEYuy>dxQ4#&yo=j62S?X@LnTA-3~_LovmLDeH*Vs-LSGkG*5|T_v!S zKo909yd7gXaQSjg{ejN;{FSP&c)Ts61pab?GY)FFyu6@PO!E!%#d@-=(l7VXmi$nE zI1jR86snFZ)j}j5$(>AVA`@m5zV!kPLnhPk5r~7I!*gL(j?k3WKAe8gYhjQ1N*+?Q z?dO*D^~f;xiOoG`)rrUXgVNy*W6gz7f88V{1HU_PgwrN!+)G3#WE89WGH3%W<$U+T z`e&eKKU4a9<$hNC>Tw?Q!F3))PUoU zLxAMS_;7u~gU!bHHl|R_h5cu@oj$+gw*ak8C9~y3vAT@vZhSB1yVCy2Nh|)#O0z6W zq?+MW-Ts|MYx^KrykkV2Mrd;mRS{cJi5U1e=hsn-Dbr#CCR;@GQX()%s^T=f_#lI( zU2?B7%XulT09jd;9~QSRpZZf0q%T3WL_lh|qaKa)C26X(ODo#*H7(mJbZhBj;}Tn| z5zU9JKEiV*6nQLjq;(bk9LLT5@Q-6-v(68%0`z6-L)XASHDPkM?v3f+re`8UhuZv- z9?=gzwj(z2c+w);EEv-ELpuZo)1S2*c6J-tAxJDO&x$2Mdo-FAj~^IVWc_E~i~({Z z-R&>f_Gb6Tl%`+VO2qEj6sxg6aRVBSCO08Z^1j_?$h@pv_EYTBoJ>To;U1?A3?;r= z3viaLNCbEfVrxWZQrv#;LP!D37F=|qyxb|r1u;XEp*aHk`RAUIO4}W?t_5AVxC7Ml z-ETv-M^|{{PSl$#nl0P*gRitJ!GPXJbLG~#?;c+Dq@y`8KezStvHnCqNUQ&A2!v++ zsy!IHt`30@$9KH0cbUf>seJbUvWYroKhh0abrFBWrnSA`Mv~YaBunEX9WBn+o8hU zxIC$7oRd8Ji9GKc z;vedSofV!CXJjI;pB=!4;LaGvW5-&DpRczwv^~mD7Z7kAG)GM(OiHpx1)#}$56BC5c?_dYqB~Q(Pt8nL#lBs%IsS;y-7-7 zuuC>i9ab6P-_0EshoHaKHt)T1CQ0}}_JiaM?UVgL&OtY8b>5CLQ1~iq1eQ*nbOPK; zrv7LeoYc*D87JoZ{o|B}nISm>A=8eXuwgt_H4I}Dja4RA7a>MkP9i`72aCPIjU@J< z*~{r9Hb6ir|Bf|{N;w^SGu=z(m+9(`6`|618s>vtiibV-6`HlbSvw*(>$5CN`>+CU zt;?^t>l?(YU&Gs5-8b0G3NO5q@;l^QOCFhBLQ@;OG#>eeay z6707a8SSkKZ7}51Z{fhH#WQa8Zv+z$DJ*~CBHtQ@C%;w+=6;N3lEq7GDADO7ld&D$ zxaSuim<$fdDahJ*g8ul+im*R!pdcBRo`HfoxX{cB*Oo*~+O8t-s&OxLlZCm3ud)@J{FLTsxc| z{Fk4fp3q6j1WM}Q+#oUvt~po4?{{^_Mb@Hiry0Y@QcT#=A_{E7HkuhU4(lihNz4Xg z3~)qZkV~%(^t^y!(_TgW_M))NgPq~>8L@L37B7N?D*oK>1^7vZ1!_QG7SDnI5izSW2=!0T z%bLT`r~+3y27#q~5|Zxow+eOoZXkl*s$<|_AOd|2uiOg&dvf>kxqp@Zb9x~FuuF7D zQ)|+ZmQtm87NBl%8KZBK<+3# z7@_|2pTo{Ew6{8{08i+Cf?tlDEAmfkncLIxHp%RS4HXO~ZKx1JN|*RSfmIRNysRYQ z`NE6lT$(N59-jQnbI7zemKI|p>uWnw7V&D;dTmn3R4;VEP{IZ`?bcrLox2%La-EX* z;Y#Ta8iXtz|B4@g1Na3O zbF8A1%Mf8MTb*IKgrH{^0(n?l{rr?qm{)r%mPP{%6Tsx;y<#&lEK7Cc{bM=OY}Q*_ zMlyA^WQ>%^q}-XclSz=tzPA5e8d=U@ppQNhwP-rON0PGTWPs6@cq}F0bWzZ5j8z!b z4s|~nI!x%U6EA^CG&DqmGz0>+B{-kJvc0KVoGS`|QLO)~rOm8JDyW!R`@+2hQs zb)-^at*KXQ*CnTITy021>%_Cgcq96d=iEME!(`0xOZpk-L6Kf&Zu^?9NRQhGk9IQ!s4Z<__CWPL?~C;Z)s_VkT1I^`^h_;i>n84YfTV5QCuT7ep}Ymie4Y~O)Ev! zyA8W+H#8{NOW|!9W52f@*j!j!<5$VL=aL`YF{QB6h|UeZrPCJrLV8lP;4hv<67~m} z>*>m);Lu@^K8YQ?-cp*GcciNN)D5vqME@gw(#%mx`Z#l_P0xM*(8xhgzmcSPn!{eX1FBf_an0C zyr6wJ!fpR9nrnAhRY=+yeqB;X+tv&`9$^z-lzFJ4#GGBGFxg6}P>HLj?Bdobitkh4 zpM@^g=msQH?X?J=Q>dqZ66Rwqiqg*R%+2_^nRU7HnZDEnx5Wa)7_bqaNE|TIKWo0P zyIn6-hIz!$L3BKD^IP~w7b-hsM{Mulf5EKZXICN~HINM9Lh_pEYgqo^I*kTQCSYK4 zF8uN8vU%+$6A6-I=#G=W9-fE9Ac;T5r8qK>J9}($f2!n!5k>wObN2G8E{dDO3T~rI z)|j&rRacHFYx~E5pC~X-%i82qktm}J=*}b#*RgGybRDZZz|k(#Cs8Ot6u2$y)PlKZ z+rj*M#%_XRshk@}p8mAE)8q)iu^Eu^orOD;O;m2((qMP%}P|+2i}N@ z+P^8qW~{n)G*s{|KbG*1>gc{wQjYR$(l0{b!tejB9G}k?_r$hh}jbU$jUnd_- zxjB!!M|b`S4y*fz&Ihu|8zwgX=5<*9cq*RjU#IMDWd?lgR@ZDt8Q|r!unUp6ve~2e zZY`n89>+k-idw4-^K2Rcb3YAXu*w};ofG3c8y~h~|6#jZ&OZ~xfOSviRMehj3b{_p zFF(pl`0{C2v-DU{m9GF?k*SBk>&bvw&tXEFzdg$6Qtt*cQ(tIy%S?UA#*SSdI~QXN zabVG~80jd_B~nBeUAWU5|8V)sK|n_q5HV@3z#eI7thQ)?f{`8jU=Unzs8fD>-v&;% zS~`+HdeGKgdjz@H4UEg?A&yAp&fc&=mPwFH$Hjr5(BP;4?x+JUtlAG{C=tuYT|}K{ zuJynB7@1VB-QikH)7kPkjQo;T7gY3d^cmp1L+uP|EZ3wjU;S!}7%3QA8`d%3r5-!i z<5ZMT)V>8!<cEN3pT~k zM^$>?77`^@6#hm&i$-EA$@v{PW^tu+RB$yLB+DUjtx(#9($+}sB6hXk0wMTV03QNB+!x3N=z8g^2Ej=&C zw0Wp7tOefFjt*+}vxlQleY+^Vt-)87cJxJ~CSM_Gr;TlFV~OXV#Vq^AB}aOoi3gQ` z5{I`hm&}hd;0WqxK;vkzOHD^MRQwa6xo9&7f%jh2E}pB}2MZEHNg+vw(I+8Eoh%w} zHxHle`Kr|#%#4U1L(ZF*VH~6PoHvifAIXH}jRQ{x48pG+pX;=31IhAYIEo151rbtk zlVv=WH7RzdMIWx5&ugN`H0?O>aCRFgUBybaWY9WnEBZT!R=3MatS2}3-#)=WQjxrY zL|>N9hu^RKh*tObvTia<=Uf-Zc)?w~E})F2&F?$hQL(w}-%ED3NHP zGzJ+IQnPyrP%95dt0~YoBL=Tz=+RQ$?g7({QW9Jl`M~vwp3dj4DA7W2gdgkT6B?|o z%~x#Db!8E3+>+T@CHT(xiJ>4R#SKM5|e zYuOIs`iF-jI8kki&dgdKKNyt|58V*a*?wxo;Xr=E{^7rxzVKDwuTEWk!BDpqGy%Z; z^?y#|4#L;?`E#+GC$}Of`&xE>Pxn-qBpRx1U;1?YM%rNXkm^|gCR^^Z`qxmoDBXlz zonl76YybiDBS6E062(PKx_$GS{TQjVsz*4%o30$~s%c&r0{OD3(`EVW=hc{@-_WI% z19>{IByfbBfLA#vnBdkfTk$y&)ee}4*)&*5O3ZKjv7Gx~oXTJ6Pn)~PIJZF|faXY_ zk6P5v>#FKKy(y#56_hdy@K4Df1LRSSDuY?MDDx=Cf~M(GFS4;_OL?exDu&&vg?o!S z{u(vi1~k%-7YdJRvrMogDpGCI78fmfwKX7OdD0YjdD$sN%~}v~VV^L%-2gtAch-c0 zc;tF@<(u~5E^T=EBsvkaCG!DT1{jKvg5MZ=i}ns8MX zx30EY30C5WQmGxI_6uL~uVG-!)+H?j{Bqdc(O!|CU#dMwf%b(ShfSySwipPAFIJ3t zuKFCsvn$rstJq%}2jAY=*#oZG*IRhT+zLHYBDCs__=dY-*U2mR$4R@h04keA%c=~< z6;Jq%{*nF-Mk>)kmRxBNDJ+in*(I;7cjS_*^bpIm7OJ(CrmJk|DxwpFH$L)-4m_;HbUaV;3JE_N+k?xx6krZGWE-= zFg=_IQpet+Q3{j;lnUNLD4TY!kDbss3u3hatuNdL4_R{ZGkIAk8|E!P#2qo z9Z*9a#5(e8+Y508oUhM+Kt~cnu)WfiqGx#$v0!RelVaf4r)mOUeUi5R_9~?PvdGbp z^v%9L+^cA-{%&Ban}ws@5L`dEuKD8o*Xfn)yr8Gpj~1*i6F?{T3`-5qt;g z(nUE<_@mPB@*H$3^OwGB^Ff;^(8~u9n*OwNeE%qw3{AFa^_lkzLh)+knzR*E|%VT@A4qwYX0*&uN}^ ziCZGg>>2WENo8)1gT~e`n;$i9-F!#PSfxa(Xo?!#3w&GftO>vJt7W8RuvV!5PW)w4 zvbulJROl!$Op+INqNDoi6phY0nfNt{$5^Yj?~Thbf5Q1p$Y{MYBFLzyWZ-Ps0epu+ zw=d~Ng9w0%6VrARz_84^PraT}Tpm*o+&?vU$i#CkUQf}e8CO=6nAb|qFf@hBEiZ8T zIsNEdUs;o{%9d&Q3|(tn&1MuOe;~y!z$^9gBdlEvzfmA9GP%8qoeZ6ax0N@i)Gl5m zyI{RNGh-1~DvxuJNy45QOdk5HeYp#jogLi+lAYyxtL6mKlHcBJ@ z-t^;Sx)N{Qv%Lzn-S>2^K)iu4%#S|#y#1wLi{me+16=E;%ir!9dIz0}BL$XwUA-0p zj!(U`jVUE{4?&YmiQXonS++2?1S{-o68c{|5}Gm*2njX<3tCP=$xP)Cv`4q5SyOGN#yT?)ty z8&8FO96fSBc3YY5dEj!e&W9WldX39{jcnSDt*Xmp&-! zwQWfs-#e=DBhP5tKd{`InKlxOlnd>Pp71!&r}IRVh{M*CEv~h%ydwTNeOM z%F$4E7O!nQP|)pyH(c*_QRq;5GvtCH+f0+@FYS>DZ$3aV8m9sC$=wsy`@sjda%V@p z2wigkp4~vl(+2j@2S0!LtgTz#_K@kir^Y&OH9&k6CTjdTPx&z! zh4PSL-Lx(#biggVm_j1*XsUYXx8hHO#xHe@dHa2DoR=*JZ=0AAQ8OoD7qNVe?HNW2 zro?R7GX7|$!bG;m7J1Fp$;ZrLe{kbuKJ{j27iLHlaWN zL>XTt;FBBT1jE^Ia>%#d5-%l(cbf7OUDkgHVST$N;H3UNTEFL<{QNA8g?nsCg$1gZ zrG1XUqZaD6&(`#<1r^@$0UJQ)j)YT$t^Kv7LC<87LiwqynWww$1??iBCX>CS@@Ve8 zj?@xDob12Uzi%K{l#_`$XG!NtbO>(gYC3W{16lv*1&y?M{3G$4dAe;Mt4dGM=3-ZX zI(GM@9CPU?Y^s$^Yhas|vfDe_MeNfcpi#P(L<9PV?6mV;G{qVj-v*!s;)HW09w$%m zax~DCt9Boc+W5*jA3pcvE1^h+OJ^AM6P3o7P+S-3FN=6WWEB?nk>s)V>mTI5jjQPv zJ8#zh(tKEW=fgyFW>Zh9_xT;!Zj+E1HwC zp%SD8$B=Fwk*IMdNo)8uJeMO+qb`nNaG)_odjS5KJ+JYI@h}Y?lcfKVUpv~VHCoM%DA-K$@!r1$L-TQSQ zh{;$RoeZ22WmLa=PVkQeGl*($%iQpg18XD|>;W7h6r9z5R~#9E7*%lEDw{_@ofb~s z?U}}H<8$kCpa}@QzaMYGc#>SsU9|cziQO0+mJRWsUSz`UhGBpmm;2n(Q4@56jv3e8 zzb+;-KIdW&h)w?dg!dUvSZR&$o6IxAI!lpO+9rvp1rInqT*dg+j5W=bDQHX7Ohk5^+td+@K!dGnHQjz!SB?(BBpG3 z(NuTyAo$p4ne{dPyA>^qP2G>bJelUW5BMICmp(P<@+7A_!WN3x0CkpPMci{EQV3O z=*}PbHp$pzfj{u7Egfwd?%L^Qo{jvoo+op7?i(RalBSlb!rGJluk0IWEZ2m^^J#IOOX)|>G{@i0t)SG5mEt%!xzugcy2$Jm7zED%tzwP z^dgE(k!KwY{Efjf(3Udq(wZ*AH!4Jl%gT?y*$AzFi0rQy_{~I$p`b;brk=hGG>Bq| zoojGnJqrAV4El?q3mqxrRS3u{)xV_#Re2RwJZ9KN)+Gdq$UW0FU{N-`P3>|kC-nS{ z2+acW7kC!R6y@lJu^(cW&nt#!@1q~pbfu#nBb|1k;S@wnI%NdK^^ZbiCkhaBqIC0s zpbyo~DKGh@_N;ZXGV@dUV`lB3sLl&Bb}^OxPvSi=yw&eBCA-jrJ0=^En0E`9PKMgd{f)Ko1r~p^dm;ySw1M{BtFz>KYmI7eDY=go_$+fy)o@NK41Z7TbyI% zl7ph%T`~Ak@&~&Z!(e9mB{j^(t&80qD3SPK@RcKs>OOkRTKrEmp*7hD-iiZANidRP z*7U6jDm2o$HilvM%N^I5c+GxPa0yz#-XkY|&CzY2%u>K`!|7DRPXF%-W=-TT zijDo@LW&Hn{P|zqM;^G3V_Xh)ydg(*cRMr;yG3H@EZ)C)11dRc zcqs0&ndHA;dcNuKeMB`l?;4>&u<@~f6@v0%^*TmZq8n?jH~Hs5&y`iZ#p8y${tPU2 zwPU!20?Sciwo39kR@jo1LHq}t$c+&e@909g7UPvCkJngdA$3lATjcja$)Qu{w_;MZ zPNWq{?4$VY_eu|%vW{JJX+1`T0ZyN9ekVj;H&*SeT=w049UoxU`Fk%$n?4emF+5`Z z*6$s%Y+B6PLLCHVx}Rv+nxJSr-fU=g!P3eUpm+|x{u zM*qx=8w&=_yeq#NS%r)7CFzg5@-?-A7h05 zhUCc~8k$s*L7_w3OkIL)jNUwHf1POmn<=X|{J>vlZwM+cYO<7?u*jJCNR|{|90H59 zpE?t#y>TQ;N`M|LU4N2C~|gj(}`rL|xP9BOu0~114k|?2ju) z016Y{uk)Yo5GI+6KYr)3umCBisV&+vU1^lv5S+PmNB{l@D@`zb9esy4ruO|L1KH+j zyh{`I^cDo!I5!au`MiYcc{F!n2&h8BFMHt0cGYGZNbR6O6x)_ly}yu)qGACFTH|w< z0z|gTCt_=84bD}or58QNPeqMBBnN2;>3`Em?W;BJztYGF_WKpH&H>lBIv-~TUI|GR zRTFwo1zQ)Lj^)7kZefH!j@HYu|(6@~~$+-raj^31OGfpAn<^IngfQ zk?e)70{sdTG!;r7w*ZiB)ImlWpWh!tIp^X`%n(@qhOJLw((J9TsWL~j{lP66s@g~u z!)4S1=S_lm8VQq6uIR&Y69dpiaCKgkJP!Eej(6=?aUga&eo`IGZR^#*CdHr6i(BJ@ zg{#}cm0*zca!~qIwCnAuvTgRFD6X%Tm*TsGf+`*!Ei0FY$^i605A?r+H7m+%@|5w9 z5o(=w`NCWKtG!E4MJXG3m>ilBJEK-v{|G8`r{v}<>FB`eJqIw}CTxcLbGrf>-096< z^qGM*tGHp;`UlOJZJ1H$UH1bI827ufSP}C6hFo%eht(j$r)Sy72yg_(XYdIfV3KW0 zcW_owX7`saIDm!vK6J@nk790I>d)H|Tw^M64lQFE@-i`@R6X!zvw~V?HE{9#m&OyK zxb1;)Z2&lw+F0PKw5pBVf>x9`&#rY#0*1tGeER33sb$b35ymK_6pIsehlx@WQIF*K zM4|W#N#bjSVDj`AAME;_gH(MY#DsT?{5OhB7ReOUU_|2OlZW4}cAfL}Ue zLGVO;GX=lzQutT)&DlB(_7>gRxH)?X zee>B?Xn=AD&3^9%jGWh(_bw_uq9c0Lb|cH!x+V2ekr-vvDP-)I>CavNUjiUws6d<=liKx68hb9(34dT+kKfhNS`8!y# zR8-=3kT}B0)}%oM&VMtMlNg}CDz9&VHj4L4{U!B;7*gets@X@5cGom3Gzj0P#YF`$ zZR}B>fvNazV9m;!ToQsW6Z-@=fr4dXoeAuR8=|*~$~XSQX46mCX+6+lSa5lC9aKTZ zgv8kj7MAeCBK5KlmpTc4rw)o6zPXSO^6d)?Dvz((NDSdNNmvDXhc2QR>2jluxFDQV)pKEb`tK`PIj71>aE)edh>tC3ZO05u1O?i%2Wd3gjMT}q%o4A7I} z4CK+y8epK@`>FPE5#_xd2uQN%W~Y4kmdEhr z#&c;%tJxkmP5V~@hW;PLW@OLx2*C8b35`ckS{8>5#cumuec$eFL)po0a^!mI!QkXg zEDy;b6vBGxwB8pDtVBEB7y+zK*`LM*j120FlQaV{^lFz2K{&cMJ_O{6@eB$AP0a6O zs|Q=A(!+6DZjrQYtSR%La`qFT#5l{{PQgzMjig;(uTlB&X8+IE=e0O9KEN?ehz|c~ zO)TtGsNxGj_zZ<3&BlL%`6mc^%?{c|0-rW5%pUjLLHoTFf6*dxl<7NR>Z zoQ5UlWoRFpA(~fzKeiLY$lm-PGxhn4%7L2+7ZkU7e|Q$UXA*fLeVQt8NJZl}d0cXr zl9AKB2CcQfD$shrM^e|%lmX20sNtzKJ>OV=9B(PGZ3tt~ZVi2B{1XIkNfdp#X2Elh z#~hCDns+ZE$B7ixJSyExa5akX>VAM%p^Vs8`y3i~d*AeJFT^ORjO66icC_oIMU9vW zGxExBJiTMezuFe3dLr8TXDGNf9<(D34m^&`8mlmNJ8QgfhsHtIS`B~@7tA;E(na+e zT#0+L1~!b4>Uhf~Krs`;otsp^ilF&|atEVbwbqPNDiLCQcg;!cDpqy)bo$!OUT%!+ zJ9FP9CQ^YFymP7hIu0XaRmZ2mspuR9dZwW@BuE^p+{# zAkgJG>Yx;hB7R0kG6$%&(_mSO&q|?;sJbCmhO|S=A&B5zKPOgbv_>N=T?bOdHzD(A-4Srub&bK|3lyG-+M$Ab;`clGFIUza{=KbVW}jFeC#~X@ig2c z%J6clgRXG7mSxozAt~Swd0c;6TtYUqh3Np*Sy7gA{>J4kN}gW%Z{H=|^`kTY2u-I~ z%`j&q!G)c%oO_LvR6(*c2{{)cmQRZEbDq-?&*B%E1`o<$jQ!a=rB{9guY>D}fwlu5 z?k!<8v<1F+GzDFXY;qnAvG>+e03yJ8b(AzOkygYYYj&g8Y6dOBd&g;Ds^Q;X z*}e6;cuGHCGDYppRTrjvb-JxgHeW_;ipNAMrCtA6H$bLYP3guhv>_2^7;vlM3^H4M zK`Cgc_^PnCHy^N2H+QIMbLJg<|2zKPsZEAQ z`e7$(s#_q^)X#b0yWxMsHRAW#jD{YW4&Hsc^%_JOS+gJeB8FCjEU-DS?R{~Mh=n3g=g*&I1l$m_#Y6?fu-LN;XyDb8k&$j^TuX&9KaO)Vp5 zJo=pj5!$p~$O^sOez2_t*kebB^Z1Eepd^xE&OBQUmo>@5Vwhyo9t@r~0 zN->*73+)vJ%5^HCe(XwQ`?*}m+woqvx%S4c&Jw+lVa(ZB9Xf0q!`|ISM%y|I=BMWB zPk>l0nmCD&!X3q-W?;K%ezH{9rq!9&P?2A#dM)5&Ctt|m{j(H;LdHH6JHeQYhJ9ne z>Mic7F!Vf0G5g> zt?Js@eZ|O{*wc_));&$!;|ZqZpBi^qVR_fq8G39?Pc#&$HrJcIpg&<9$-vnZs57u6wWJHG1*uMg+JQlIpbmb_}dazEecW%-v+B=CY(D% zH2XtL+>Z+LfpS`G!qyVmai+%eI4xYJWv%c&Wz+8BIL2CqB<0OyOvC7S1Sm$rT!cU` ztJ&IvOpO)(5x1;+`9SrIBA@94PZtOhD5!<+Wz}*?U{e?^J6NzL%Rt=>GTvsGl4)+B z{UIT?{h2^sR1ium%nA;?6F9Axye}Sa84ya-Kz+4hma>NGhYrty1yg&YKd7x@sq4s! zaU66{EGI(HvN-j&Y%NTR+`7wykS@7A$e_ilFWr8F(Ow~gWepyW6f${-P1z+2tjqaN ziFGm+t!5Tcsqo3X0ZjC~?R(M@-U!|Wg3oI#a?Ex5D8EQIqS9DwEQy0eGE9$0Wuzqr zs{_w0EqJ4c&>!K$AaqnVA*64>^E{O{*@~#XU#V>y>(anhzJ7Cov9`gnT8_1i1<1DNu%GRN6FfQgcBy{11M zY?z904$XL$F}2}*gzlql;N@jE^B(Sx1l^=;(RW7uJj$aE#4Sc*)EURD$JezxS&g>$ z`&wDov#_ZK7I<=A&2K^13O9{6>NAMYo)kordGl881Dj6VE}stB7a1}fzN@ys>gx>W zFX06FZR*3F0D$ocVlSUzCl`-!1jCQSU5D4Xe??g;K)BtjUr_KjY8RBsm-_QLI$u6( z8aZKW+1O#EW_`Zf@0BFqc%%JATSt)?FFMkDM<Tel@M$w_1wv8-m^9#!RwOMQ&wPa}8rc=L>YN#AGYmD^iL4v4u z83cjM0Igvmmoe`Z<9#)qG$e8+#ZJiMkH4LbuHSPL=XVg(N3&J&{=}#A?}jrHTEnP! zGGyO6i>TSA*+-Zk)=2qJt1_v9Jf^yq`VfhEU%WD;DcuuQXWtrbvHyv_4X1yL5=Rto zD3H57=eM4=KNvK=PZr>1szgcuV&36X9uqpYXfyV`p+b)-pr3;;!%ON&Us2%T^SN-n;9om}=K56_|VSR-*yIPC6A! zfb`TxnBnxi^hODhoaWphUWM8+Gmg_lK&UONL3|3{OXSOB>;|FCgoH^U;V=m%ce=WM zf;h!?i-B=WLj6+UM<{asDbd!~*<= zDEG5hjHwr~nbs&ya!B6l{bszf4De_UQ_PF&8TXd93@{#-!}JSqah{s){hmP5T(4on zv-Se+mgXBmElN|zO6L7@qdlqE+Ki=+F{QVs-?{W7d0^K0w1~i(ceK-*PwNu^i`x|M z{7(!Pg6n@|GRh(v8X6L*0z=@1sk=kqW&eV!97z?agstVn;3GKyR{vv;$=(JZ{Fh?= zuQ}#_rC51V-F|~p5U_Ime-$!399&%g&9GLrn7S70w^DtrG~wrOQD>!VxilMHueaaC z+O!xhUvnRp99E!wIrH54=IfPJ`=OxL0Tm9k^^TP80SKS}G#=qA^0ZL}VQ9;-ghu%9rtsWsBCl8(jG8|kU+zzk< z(;y-uf|BXu_J&oYrbm#)0gLH~q+%2l90X)OS;5nOeuf>@mNq6s!wEk;jUrjuUKznc zwZDfv_qwY=Lx3}-2>Z7uGooJ0D@*I@h@cCTm**kJLk0RPjI@AJYGUZptNLdp)1n?A z7D1CZ z>e6z1JDwd{_?_<+Q0<$K7j~x2&gn| zUj8+F@6VVIoT>itGehe(KHUI@Pj*Nce~h_-weczJlZ$tpBj!h;?U(;(WMm&kkda$* zK({TR)Me0A|HLq!mF-M$-^3$#N%`c?8ny-r)Dd7Ifp6x3Vr2f(x;3@DE9B}RTncc8 zALXX!e`E&Nrwfj)U>Lyw9N&#J>O5Wu(1q`SQMN^ZcpQX;32H@s-x0k65wwGn3qgnI zpx)odpf8NOHnx>Uc26E)pWUWlKx#BA+_lFn*Z~-b0bkd&6qFlRpPgKN3>rW0)v<*D zW&Z_%%WVulJL-xHV*};J#wIVVa}(MF6OdLVW``y=@GRUdAHZfKFup8eAxy1Hk9y7A z3bLX1Pe=|q>^)gxsFt%A2F3TiIAWoiJsQ$K%dYm`T4FCRXe6!_fp!?y06e-6LMR+g z#jxJ?k2sry%jwVKU1D5iWp5OJZgTonO)&CJ&6VD#D@RcQyRSW<%y@X;K=S+YFs|97$Hr&rAL8cn%A`fZL{Kx>r^W~I zF)%HNdIHWNp{3ixeTYy$GdAH`K05xd&an8gKKh0C>zUF@k%k_wlI_lUE?WJds`-5> z)30*RjHmsujwY1)T|bu7u7zgA}RBeC44;(k@ilHedNtX z*?~YNEJ|YE$wNhZIPV?>ao&}>6Ju)`!yfGa06##$zqwms04Bww1G1lKdSg6R7iu#Y zdVSH^IaTt>(y1N&_+kdhv3B^O)4hC!HZ+2pfPZ98a7U5-+cv<($@USm&Y{f}}3?$>Add4zpcso;nc+T+KENZV}27OkAJuAU!WgN7B#Xo>4at8z8v2;l3~iN@76Z+tF@61RhhH(A~Fm-{pI3-;R^ zgoZyvN|pPs{2va{RFn?;@IG#CSxX7|c{Nz%`+wcUOYb4BeJ$Rp;5gcIC3+4`nGU~Z z++ead(h@9-O>9O@Yl$?|pujQIrUbi{TVx0-Jo4N;)NHmM<+7D0?16HWnlXH`KJ>jc zl4j&pT0{O)jU{q9Vl|w0sCI#2<%Xdi4bB>t z&$C*Hrod?l`kdLZST}y1`8LXZhlCi5v?gSj#lv5XW}mI+r029v&hT3^<_65r?>CqY z7Z-7TxhKp+yDBhmn1NXu8~F;5^gO9M#%Mc0aesO|XZ?LBw7qPJrBVsfo4-U2l>0ft z5r&s*;7*yr|K1F+2YWrLy?hbI`3f3PFq$|W zs%=VDj$q9ic^Tw*&gV7TU$7xIiP%h;^?0sh<8Ol1%ECJk?N;=@0VYY{U4JR?GnS6R zNG`>kntA`xenI?91i6m>fvmcWZq^w-$Nni@yp|RlT~uqQH}AE z=ql?8M%?`QjH!h4#ne4LSOFyL)8%^$AwLJ;8UFYevU{{0nFH^n=ZO-5b~hw4&6>ne zN>BOIA4WpVdb5GtDqHl2x>9KjA#zo1J&TbA33Df^8LA`Y+NjT4T!a!Oy0xcXNUJrL zEn<~|byS`iqi5?DF@JlT&-4rZL?am4{DN*sF&5ru@~@@3;tfWyS>^79RYd*SpcDJp zqvwseEyc;SAJY#tOs9}Hhk~$fXYP*VQY$JMq!oIgq~=stPnfzhM7~QD^_oY;5{2Is zs;=KceZNDtenZp#b$glWceyAGca!dt8Han2l0-Al1-Bt=dVegOu(yzx#e~7O5h*Ed z+U`ldL_YKEix9r{v#_nRSb*4eK+Iv3p2C!X3&8xT^3ABlZ#?C+HgI|r4-)Vr>{EL; z>9vHT=(Ily#+Pq-6e7>NrR5usU9SCyhgqc2W7F4 zwpps7gYq?YTcb-I19wPkOH(O>zpYSzUoaYHM#nE{(2okXUt~2EN8Kg?WRK&h7^RI7k>&Y3_HMSq{BTj0w*4aXUd!JIdpHB_< zv|r@qW@DhUsUp6>Ryw~bCqTa~ZU~UecoshjP1Qxu!?|sv?}_>?F-Pp?7edk#J<}U# zduLO(-hb5kMmWxzAt6jc%Bx-23$#>G8axteBLq2|C+xmUu+v2jtvlBBl1k!88dT=- z(H=6>KeCKB4rf#8FI5}t%SDnxvG;NElbhoB8Rh_bPaWI7tkX~M3y^PQW=m*L)~*e$ z{48!1gTGDSMfmXo$^PdCq_^EqNr0t~+7MoBM1M0>oQV})AfZ`I`8|cd0lD!Pz#_Bi zRRF`fMSW`rhy7@0ZwY%){e_GxtoZTxBK6e`lWgUFmGCVd3g7qI%tyqv;!VJhgk66Q zV=R!fI=K2yy$Q}hqZrO_HYDqKnc5*F;)?SmJ+xfd8pDz3JzOr|i(S8ewMqe%FQrjBZkZP5ntjL52J6Wq z|C#M&Wo&(O{Xkhu_-EIVvnsc&B!*rLg%x#)dR%|fa%52-&|KeKxj^p94tF6{`=zB@US z$rTg(AN*d!luYFC@H|!=|JIj}2pP@pEkLc#Rhp43Lqvpai=#{)Jf_&$D(n=-`|Uj= zb)>4o2=2%}lzL*i`jx;J@tU~8>JkDPN&>k6-FXgy)Mm{XY?e11iPO$Jl?xi;^?&d= zyO5SP_`Ax@{Ciouvi%*crkOsX#UXcJw{eF}G1e&*X(rW1falZXFT9CeE9WlpymQj7 zPn`ty5zzhb%K4|Z?MtUV#|uT#RkEC0xQ|lR#ufa}As4OK3u4@0p1qq+sb8#B6u8$` zzm??ptEJAWQonJExf@3*_1 zP1i!c>gSv!_hBFgXuUad*fRgvYAcf20*SgA|txK4zIa;B_VAtJdD;6fBD z6tq@u+uXUxWKZQFdzxnqVC&y>L$8e-75nPYZXqb>DDMjuf+8#8GOZgRvZ(BKkS z(lKGq+p6Pd``(x5U`96Ahr9E}TXDn#6LUY_Z?gVp>!aAUc2K}Td4Jj}Um{j7qxp2~ zlqr+mc+w0exFn3_;f&gMSq)j%%su~0j>sYPZ!_x^d*I=m3J+yJ!aMe$@I(fhm&IG26%QlC!4Y#n`gA$X15X-@g1n!PUeUpzpPJQ0b5QU>3{u~;!#Lx@grUo%L#`LbG(P!qMj zInAmuez&u<`>kBm4|9WG*I<)#;|7i2`3G>d4@n{9CG3_B4S(y#2rsNj(L?*Q--vsy z(KdoH-GbMlE2QM_we&N_GsWUbe7Dvq=oD-1o)9ugL)hqRvxCaQakgEH>A>z|O10!- zO~CbyZYwPr$k?Dj>&j8$?@D4F=j)MKd{TPVz4PYyAOzy@Kg^E@lD@dO}&Eq{%ux{zxvi9b>VJ1DGS7lN^g zF4Kr%MeUcjj6UL4>+E?(X{bN@7Mypi$3xzTQ0UQkhg0x@FP$4fBhNf=!H*H+6;JP# z>cQ`?MQfEh1(`8mpd4YSzz5sFQ^E5(haKK5G%V9KA{7vN3!@s`v5U#uWzWwRu>pl( zC;8N+D1Z6$S{)C6-hH|s1MrNn6@@gvKB{7)6t2yA3K&lUleKdoMj4Y({8Se+U8#k~j3VXW$uvc3SF2*b{=2Zur;DMywj^u~X*@=GyH;UkkOzz;Px$mI@W%^wxxpr{^Q4D_nx+<_#+FyHC#}UG2LN* zzw|hbcCA=9hsiK#G<#Gjsax1M z&0N`*V4Fc1R48c_mhV$FzId4`9R{#zpxD^Xm^J#+YG!b^@|rW=NR|o(xA!B3ffA!@ ze&>=kMt6PYrHVl`i=1wCf*+@KI9f#>oxi;UORV`NeOi~0+mON0^200oaki`h%6}LS zmYM=A^*Ns;#_8!8(oyFe;;S<;XYp1if?d2QnvuZ?y1~RAEk`PG35|P-t!4#A?R`v8=DQbyRfR zA}#&E`TB^#!(tT4sBTmFz+eoPxLR?YXuMR)60N+4ntJ?%;9!$L7LklC#`$|ab;>hL za~J2xN_5R@gZ3b0Az8(YQODd6sfaA-Ns*1zSHP`9NcZ;L?svzzynOcg0Dr5&uS^FJ zmaQa|5vp9ej~^PlI2m@TxdFvpo*)#6r}a;iQ(4=$n*B3Ogv1XyxmJHBoXknD)xarq zcX4V~;oRd#`{AHb5wM^nR|IZ5$c**W?Wh9FLi{8q>?!6_2UHAgZfo`M2~)FEl=xdt zDSvY-#csM)f=3iKY!AZza(_@;k1Vg5dZW}^nEhJ71=?Z8ga>vbn~x27DP815;Pq?> zra-trmjnZKXj1)7?g-5WT=dI9A4~9$M9pNcxK4iV3_eP`ratO+ zbLA~Nw#}abavpQF+47-W!!uGim$Rw4>46ob_ALDO(&Q&Q4Dl-XpMMU`k9v~oMjsMP zOU4e&hY{Ih56fhgwW;hU3muyl;H0p`B=bJx3oUV(psZF4iu02%y7RTwP-I0=*JYOP z(@zN-EDt}YfxaYEpKEj+q#1GfWpfq>h~BTv7*HNR^Sj=^zXo^Qd`+wv@Ly^9m~F}K zOM}*CX^{Z_hR7!6_kS!U^ZWF89yYf%sD_i}WHF)Qs&k1xk1mda^FCwz+^&4ySNl@+ z75W3yh@C@glg!WZ;Iwxvokn6!7r!@&zqvVElRvD7W-A65+VGI1q+LAq=%`69>q@435-;bEMtvD3fRO!ERM-$mA3{69z68Wq&!sH@HNB(d#~bX>)tS zV^Z45T@pOWScA^1f&Zf{fx8~ryS*{IQO;}Orl=Q($x3p3<6Z&xDVe_rGEBPn2_D&J z`Mwf^H>8*RdlFj`S9)VQ-8sojnf;kql#wt#AKI^?Qx##) zhg9(Ql#FODi|%8`E)#L(z?+8lM+V3_X$F?W1Z~VlZ-2$ZxhlqK?hH3u8J19igdR13 z4~;04fn0O<$C@d342DSFj$kAJ|8ojqc)gmpL zP^v&SW`9Y-Idf_XPY^w@H}cM_s2@T2GEO?t5T77*9@mZK?$MM-J{ITw8+rn83|oAo z)5pn1|I*>1yE*mhCFjIoFOV$8nQO-%hfyxsudpk$x3vr^|EJ4ZR{V60gu zXZf~IBg4vq<2)8f4hqTt(+D%TLXn-eP+W!NrGFz5+8S?5K#_Sis2=MvM{Qg8C28eI z-?BcPBL?={>@CN9b~feMvF;kdLy6^(ZxXH(6fx=){@0&;PPcO0%v3mYlCWZ9tt+p9 zFe`)GAp$@rC%kjKp7s+DLD2GDPu6P#uIGoF!r=N*aks}e<*N1HN?uN{2yYe+LEYGou)+DPLrl6o^-Sbi*&mrtmK2=W`7D3 zX74{Q#IVz+$NID@=D8VlcXtv5X)by|i*m(fcy#FBG#h0atwktIH%_g~1lqe6j;+Id z6g<14mD;-33g$Ci7uI1<3zJ>m=#%2n+Tgrd?^b=+SvrJyzo1U(stYp=Lcg*=jUxBb zKnP4Maa79Ohv#(fev4`OG3ONKYkx3&ZCTFN9G|6>VZ|@SFU_@pB^&zU!RWY3wu)k6 z1OVC@#1(|o_glTtMZ$PE$egWkBMVJK%$k*cBL^DYdEXsFv&k`jZaBLk9oQLtHo)zg zzp48g)=BdB=RjR0X(&AgFMp^wntoQ@v28lg4R(YJHt{{LSbv?73nh#8;G)k~(w`0_456R+^ z&>%cFN){)&3)K{cNn01Jx7A8qUxqb+7Ny8YB$e*v#DIDIA{G^A-V;QrP;h9mKA|z7 z%#I5^r!uu@rhYN-oJVd|Fn=5K{2d2D0_vjmF(S$AnQg_7}V6QxAW}(W05f+HoiBk&VF^@KzGkWA=$458oQ`3F<;i; zYYQy=IB6m5>M}e#@LLT!wr8&=z9l@iINQoJE0sgub#S}Q(soja*MFf;sD<9O>^mlE z3&_~sa^QPBZNQcyy=pFUH40gg;Wd*B{L8aSn98{T(#FzF9|*yW!^p7lS3tt8rp$`K zqO1t1#yHyVK}_-aV_!Q6IPEmBLswQ=W-}=FfMb0J#-EGs}; z4F!H%42|Ojamrc58w!cyX4upsQ6n2V}C+(<`%5t5YiLN;Nm&l z{6gI_gL;8mpFPC9M5(^SI0kvHL?Z)?n3{Y72z))!V8}1Wc4}wjh0%0%-ur;CLWM&UyVWUG)}gbP*R-z!*3a@5Y?`}Y2vDES*_ugg}y z%A{LgRVfg38CtYBP`GAv8aB zf!iL!iqLK&{WX>zb`h4<=Cj%&MwBC4hBPFM0fc+}ynm*_xrynAa5F9YOxz=?J%5tm z%w!({ldecLVmHB4{C)(^LOfgz`gwDNcYLm4Qj_)1<4^AZn{(Hiy}coNlURQqby|-v zlj=G=%bSsPUk7udvoQzv$sS@<$8nNHQCaLw(90pI7khd_RC}cdhnk5MY`;*Lxw3V1 zsKvGV&40y??0md<{4!?xLO0Zu;HkF$?e}6bgxI}gm8|^P8Kf<<8FOv>9YXJjm zsBnl+{HTdncNe>VcY?(C2cZeRZ}@NGIRRL3wfC2K4AEZlg(J2e}RwC{j*fd?IQ||EasR2WU|)kut>vCq8ukMCXZpwfFzwy5 zb+nVjPl)D+**BXcBK?A!J~N&OhSSg`3AcRHXu2JXy6PNFl>Z_{i9;vi%rtB#TVEcn zqRUu#7%AJT?Yq;=M6t_-@mk*D!psWHNPki7;LViToN)_b`(q1BVo1Dj)$@c>?t;`^ z%X?5FJeNPuHFomIqZ!9X{xw#34uG9*AW_(zu4&L~1LcG<~uIYgff(-zlA{z|FaZwPbW7~3{=?x(c?}lXQ+m}^{+xycyl@=P9g3uoB^(B z^Whv^H!V~x#WTFR1mD_N+koPVb|CEyMy)f%Acrrv`Lp(n(0yT+e>x%FzsPkV2w`UY zC>!@U!RQzft#_nC3O5yrRrk*ZM1M>48nL!AZfYXNTf4Emb=C&CYls{_hFjNQdRAodbH+(SND!=8SIj zAa%TQ+!*N7&-$-pUmv{@`9C8ZraFBVIAJ&lRzwqpiQRtkxTn+Hc|RUaLwc2!zaUT4 zNlK&ZhHy!K*OWLrj~e2V1Lol56nIsS36-OPp)xvuj@1rE}*{8ns2G@-ob1=byK;_7zr80@(2oe zyr)A7+L5R2X$;DEp-@7`L)tf&H>Ro~jBm49Vv;q|Cw>t=9*Mrq*?%@{B1~5tXz_VR z%;)!1@+ecbu+jfzX2mL7BT`uI0I_pEB?chT3fm6~v2l707X9hYzaK|Dka-h<+;4?ZNVwg}|*OiY-t#U8iWh@;yT_M?BgR6h_B}APM;LgQuZ&e<3)!WFLfJ1eF zp}a5Miv0-bnLBjo#(xjD%QvSNL+a!}Y>P1~NGXu2sT1vtpy`wXPqf~kWa_FoVYH|G zzF(*&l%BRB&O+oVi7!8+CPu`>SayCTt5w3bY66%r;pH8Mim6R%vxiWJzC!`g7I~J3 z%3&7`54uPJbk1I1J<1gXLnsJ3#`? zC$rp|2;)cY6EVFiUVOR2eyDL-f4Mjjg&=CxuAt^7@(;1nzAbG+(8T*3R6@Nx-=(kK zx5nt%XC?P4F$tsn)uP9u0u`OhN*m&!J&j`B@2+kO5?(z3mPIn^zsmxrQm=cWr@3Ic z1FcOjM6#xdf`3_#YLhDVrFGhO>wmG5tXx7x)0Z}(k0|?ayfr0iXpE;D<9t`SHV967 z!GXgaCPNL}&ofge8^!C@(*N!jd9>_|nbug&;_*-~vu}%Id zQcF%m#5#>X!SpxDcA*RIYvn2bKH>@{G{D4$FxowNuGY3$2kA_D;cWtKZgx0=70=jA z2YRE{jepC9r#ya|AMfH7{#0U=N|5qK?Lf((0<6g&pDpe$CSx7 zNNYfu!MOWN$6G)Y*oKS=Fc}JBlW4TO**0&b{*g2xDJ8cr8{uur_@d!t#Dt{*@Q!1+ zyQ>8wL5f-G1mckJ-k|L{Q9-NANKoS!;+pRkAb&i2+spKt4j4-oAVODIFw!8a3c^=o z)IJp*DXg>@tbRl7p#TdvJci4A82emoD}j4dybh{A^0G^E=EvGHG=%q3oOv@X2?nE$ zMjOb<08byDug*iAQ|O0Oy>Jm^<`Hw-F5TSx+R8^FEJ_3D%Rc% zI(^QaS})V7eT*sT`OPp2juIALOV;{DUwAjylZy$8p#lt;Tk-{ljtK+Bd*dNhwP@~P zr|*hL6AF@^vo!>(PaN#eV#+w2Wi6_X)^25jm|CgeZ)4=0b6lw*2(lWOWJv8jgLgo2n({%*Rj8fx2`h>h15>8E_LD_A5@v%rZ}# z^US!;-3N2XWDjZlHKXzs)8VT`isUfY_fru;OZwUYaDYubkiwoRPDD#%(!@M;ca-0G zTqTs5DlJB8zle$+xk%DR_g03knST$D8zY7Q*P3Z>n8oNX!QgQ`gU8=|mi(@+d~(N- z*RYbUmN{|CMY*9_M{gNB$x`zAaTrikF^i6R-e2)IrQP~Q)i^Ces6-EVOs2j7_^$`# z6cFt6L|}NV@jetb+KMIDjiBOJSbJbbXU$8#Zo!EaCN0rN!BW@o%eQOZViR6apVBs{ zmYN-j3K2fbRcWvw-nmCE!4tY{+W6**u!yWn1W6JATQ_R9(pEfntd7hA;S**Qc5~r zsbMtBa5PZuhn(BR9e?6Qqts6M4H{^-$t?j^TK(LNboYFlG#0#h=KdyRhJTI*FeJ3K z+biUqe;i&hhce>}sH!DwRZnOoEk1p@VK0E@=Se>jqsz`}eWI1) z$G#5h4||LLBVU?%0YQZ$O@7TqWCr?nFIln1)Q;Rpq^b2TdUY921rS_p?)1+hTT_KC0!{vdULD>Iq&Gz>Muhxq#hSGk#iwc4j%XIDT++4NAyhx(3(+&k2^h_v6-x z!5ri8QSt|NIFI1`aB`U_>RUl4oi{?7-x9?-MCXLbZ-4lgYEaDFN^>_pxL;a=^2t&Z zASUeI_4RdJVmJ{t77MpS`Xs|!(KmUS%atzJsT{$CkNu*5B6Vbk`%xhbMLR%vBbhz2 zZ|mCB;g!=qk?X{p-6mJ_IDvzleBjnMAUce%s;!hrh`O7Ng`to1D|fdQY-qO$8nqO5 zv3>F-S%0@MnZFyf<|SvMQHBy(oIBZI#c2{;WfvwS6n`dZrjv=7a$wze4q41TLle`qL@Y4^T=5&o2p$ z2KVQZ(P7^8^oO4MbEu2$(;Su3T)CBU1s_JwXn&=X;}|bl1p=85Hy>Wm zCG|wYJNCGUmQi9a?Yi_9bs)UTag>5(ECKESsiW&8s7x0Qe8cYDdE>eSbEoGYDG3{p z@ODt+Y*3N#@|8rQW=B?zPDMXKaPM~8t++#&>*MIcYMF4e;+h+&&^(NSg}{+ zr+*IZ`mne}WAm6H5TYm?nC~AHt20@8O5F{X2x7%n6nO7bz?M?cb9l6y4xL>lprsO5F z?M2AX0vrFhN$2gJUPdprNEp}t@O6x+-GAYHV#tZWd0(i-lNSP~tnlVcGfD(p!OvFw zXvL$W-QVS2A$7L4ng(-oqB@%sUGsJ!GKDS5WjC1lWl`-wn37<`yf`mf;D%#fKMh}c zSSQB3SO{a_=SMXuE06%%oeHKRvsbUzWv9+6hpyf^cplR9J@rRA7$sr38R>3_&& zZRE#w{j1ie!f zq~;#I$sKD0bYg(<|7c`6rw*S{yUC22*($S8F~MxbBS7pxQ8&woEf;fJO_7^n)vUZg zp$%IN6-BTbpjR~ZM%@tDq1QXqK0icEKm&B2^LO1!vS6P35xI#?dwjo0&z zl@ieUUf&5(xu<=VQ)NDS53sz3SoY5+rTJxmuyZ(dE97>4%7@1;-wp3t_J87yU&ReJ zO;fSgYNAjrZ&vgzE8euTruueUW!`~eV~&G4kYM*0Y23$;M*K5mEfn0#k4@`~OFDv% zvkG6jcv`>jvv7c`bBL+KUNZl1F(vht#y2V?7V-nb!_pQqQ@U*BK(s#S!3s zl-drB6Q7_>H6{JvRA)7=w+?CAK8yAqtC({pOR}wvol0#~@oSg+)_+HaA#N+mpRxCf zH5T^D6=)yCGaRvW#nsI}hMXB{)A^2=idp4@7^?)C4%3- zu?(KabS+VN<%lXG?EJ}vVjx72%he0Ujyd`}$WaEMMmlZj; za1Iocmm4MK(uBoSTd^j-LHWVN+|OEuROSr=p;&P(2O+iKZeG9!FgaIzDW5J1+vmrVv3*z%k;lve*h0uj(FNEaQGfPA)MCAiF$(!Vt30rlB&Z=EM=V&v za1_+!iG2V7$KYNaeK?bzm21KyPkQPK*6o3S37o?x#CIlqW4B!s<*~D{b+RhqBF5=v zW*vEBQqn=ZCW*xgO?QxyG?>w-^gHXOBTmuhd^E*Wl>6-dHu>Xs9JWMbsvkv!+glad z(SP_c0}j$gV3FO2EQyEelgi$4f&SXFdMZV1epm%GyJ0i67r@UKpQFCN4;KH%TkUZa zLWAI0&RicwLvx8lIG`Y{GCDpy!vQz`RSVT=U^R1E!1oNX89qY49Oj~6>QDxAnJnh> zc~g$FuDjSv%>Ff6`og3RvKVC4I?{#A%70!=voVu5mDgi5cFg?1oyO>KheU4@o%6_B z|AnUl+SfBOvzlzLHoU}8n2q9&Dj~7qO+vuQE(6@H|B-??b^YBgBf&YNNL9hH9?*l3 zI<%Bhr2<}%0w|(3OFJW7n7}e0fVB-yzxir|R_vs-7p-zK`6=kH!!6(n#Js`9LZbLp6{vF?T$_!^?hQAb zc-h|S>cdeW{7z1Rz5%jszxkAUs)R776qv-LqW=)^A#C!klX%mh>z?>{#(%6lQz+8p z^clqVtOAeb0MZx+`2ZKYREH}*4d9jQCNa)S&grv(s?J=2aJR@AV_`*bLjfHj<#Jhr z@JV)6uya%#&3?9ZRvW;h^>-KEDH7Aktc6VwxvQh&1N=U~TH3zuWy zOheaf^dMCV!gUz-MwVubrY^lZ(p0Xj;^PTIj;C(OfA9H;&BBZH$A9VP05k$)lglg|AkzZ@9UakUIBEBD*E+anuKs47MUV0xZ&7U$y0Z0Zq5 zO!`^b7(Emg&n2#V*5#or{9bF8irM}^@r)%t(njy+4a3zOMDr)gbW%%oAiu9ks`nY^ zE2X0|ArCHws2PaYynu)?X9P&!HF;<&P9D7WgC0HGgE@Y>SKFrZllupB-uL zK_A#DZ=aa=WnPF(#WTSvE($9l{hA3Ilgs|JlxW$%6VE^}DG(SmAda8G(o5<~X1H(g z?!UiJtX15gIxs!2Ii!RISD4mry6TN91Ew=&Wd6JJHd?Hj@qSzYx};X&WQRGF?9+>VH0-_K z06{z?A@dtIDGV}{=88JRFV#;JC%@xThB*Rk!SeIu;SJHf9c(*S1T{e;vjaoX5R&|1 z+pQCFB!B%v^EIW{OtY;7@u|Md>Utz#)qB(cRZ76Lalq{Ug1;wkl@LvA!2N>oHT1o2 z(oA+}Z(ZCy#R6N1Kwv7%wPrCwT_yn1p=Yp$>bA3r%BHw?iXS@k4r&{ zbr$FZ6_2B`s1XZ4JoEK%=ClSzl*x*?cH&d`{(oU9{4si9D--7MhO;~+C_&z{VdXPZ z=JCkLPz5xwd%dYXPvxt^Pa>9hL@0dCezqF`#p$eF%MA-veG#Kyc?$H{RZ&~F^m#e^ zIJ|_(a%g)PzY|l>s66vK<9cAlp2=W(?d(W=@ZO~3l$YTxz91@I8K_jGUBOg$eZLe! z#(&i}AH6*K)R88%6ey_}FF^-YlWM{aJcK@Ds#W`{ftrdi8$^4Sz%p{ID4vYFhNIG+ zKCMfc=~C7>4JIF%L=3SDe51?f?Ou6quXWtiTGRB&ry>0MG9^fObIO&FvPTYlCEBi6 z1d<=_CQ-`2ufCi-d+YI}$6AkO>-(bp)PIa-UdQt_YJS%s?QdWC%!@XMBS~md!Ew5O zyJU&EVtwIgz+5O)YvPcJqO16Nbt4@TY$?FhosuI!W|It>J?_YMX_@fc-O1ijmw-<- z6|)9wt&yEbrvT5OObG}Qt?gQF$K1x{?lb{&BBh2Et4Qj#=NKxX5SVA<3pw-2Kj4(swTe7pTNHShePre{CI-~ZZl=I9+ULkx3Ov7 zn^!q~mET1-x@S4zDr{-Xo?|Gx`hU186Mfq9(%3&=K41bBrqofDH6r)5bAC5q#yH@3 z`RXIf?2^93zgE3(zDd!~ojZYe`qHbRYM^MK=_Thxx8-+$UxB%*FS~pdl z^|HIQOmau3t}iX1CidJ%)J}`5grh)7zONN!r@)5*4uam?ewxoogWe8EzI}mBW^U^& zCr+I(&)X^jADUL1PZ$wYkjkrSA;tWdCx4vYw3HnzEM?=ts}3FesS(VYf0F_&ZcfEV z9@}zekrDr}HRL~DOmNoM=6`tquqiyHc7l-nQHpEUWqHm>(XZdXb(4@!8KM;8VGE8y z6>aI66_r`v#*~RbM7xs$c70%PLN{qSM*<$2?}a(MC`oO7a@D?clEqqe~LtSKYzkd4R_0R6Ce-eqDzdm z6=Jl*hMj*YsVjeJOQX(L)IjzRiGVM-eg=^N!oS6q>)hHT+B;Y5oR1b1E>3&Q>(Ui7X}S7>Ea$18%%`rz!`wy` ziO4dWn>XMV6@PH}kUk4fKH>ps<=O52b4Bl=y_cCr z`%VoN#jSOyGak5*J6UyX#Ys5UeEG95LEf8&-+#5vs4=K8N_XGqie$!yE`y|Jq10I2 zR&v%^CF+u>1|KSZh=citUDV@eckexz)`cTPq=RJDV`32nDb_r$m_HTj^~kus^6grb zBZWOz|HFRWT!Kp5OSAR%o(#aT2ik*+d4iaBHrl-)wWx+$)@kvG!F!c~<%J;t->AVX z?teXF9ylyvhQ6U^(xYIRV8!?F=i`%|26zUf`tn#esvY zjd65u;qu1&_Cuh7K|WrBbG(xvDHWm9!YYFH_Y;H#>Hc~=Z(_mT6ej&?$)VbuFMt1E z02l}7`1NEaJ_!6F-*qeSG{-pa*j(Gd@ST2V4smGTTqT2(j5l~_fM1cOyO<lR~GFSRw#jj=6n2ynePhtVS zS)Xe3i`%*=t-+pW;DhR4`8f1P7Jt}D-OBf&o&^lc{Gisz%3SJfHvphpBA_3`2(;u` zJ{{#FJWO}lFqQHVJ%5hE`*W8QihPmIHMbz{AlAkul`ZD4`ULhrelucz(#zBCllN>_ z>EQbUeBt7I6x$_@ZAP$CO))CY1C|}}Y?5B%gAm1kWBl}_Pa4zVkfH^7wSPMRKWn*Q z#E4a|2QgZKFKpTPKOxUUorRaJ&sbU9dpw9Xc!*I!;}2i$SafGK3<`vl%SUAhn{98%v(+f^eB8pf++j0) z1F@Li3&RSw%V`}}e1KH%NPnM;m2Hk}?huK`MItYS7c`ihp7pNw_oxIgmFt3&%)@^I@qf-qE{2BYuI3u| zYk-->q&kZLjAPTCh+j^i z{Oo#{@PV%~gD#C!)D zZz<(5(>jTD^hxt*nxUnsSsG$yhL>}`e`XeTW;i_hJiG6F_y7C9@B4or#^@1;Y(p1( zxS`_DyBBX}Zckg~=@M5Mm0e-4s#;lezpLNtuU-F@x=gb2>K$he??}qJ&b}|*DtNHXu{-g8PI3Q=pt4!Nyll&S zA?Vn$iAUo?COQpf59X%F@u4Ga zBi}sz;-}oEcLpT%u8+2CN@_Vd;MmEnXF}dgTd-|^(I0(E{ii%JWP0CmuIi zwku)gitZT=KVCi1cx33>{;0=O-wd#MZhv}IdSem$(ydqCTXBC(zx=D)L(`f=h7C;_ zC$Q&|8y`7;J>cWciC4a_8hZC~{?aPB!=C4}vS<7;yhfe)+VrZLS$jzukX#HcB{H&idat@OG!p$8U_B@2yJg$&(`ay=hkTlMX*w5cz={hxJ*)_-=WVO;qAUi;4% z2L3Ff!fRE70zd!0+qho_?nwF|>{d%@MCBtR3i@olclmD{oqHS3uc^&hCagJku+eWf zm;C+n9V<}9nw@WT&+qZr4?9;o4^LX>Njm*Yuc75fnv)`X)$e+8`I*YfeIt5YuZZ&- zmEPgt;l#=;&$!n{*6uxsE>tbK7kV#do2$d`OUFjPct==0sW#~4$0jyEb-Q-p-LDQ0 zy4VuDYE0GctbctqxH{m*#O9CQS-fq_)vBh=wci}tV%z;qf9J}%r#jwi`D^&Twbefn zozIV*lCm>**Vjz;*>4}N`?7q(=G3=-yJug+*Hz1hPv1LO%uVjQfB8!u)O+4zRK}R0 zJGZuk{ypfu>TYqjKQ3xIcV^@M+dQmj!|bGGa+dm+4N zugBGK)L+X7{Bq{UIq1jih=!fBrUmy}KQ-i;U44e%I6m<6(u%VYxtGiH3nqw*>`g6& zp9ifuwC+}2?&41CpE~l>asNZLg&*Dacv_bDeOLaq1-}Rn3d&&IR;eQKQ-H>!Bwm0^`|GzS=cZrj6Wz4CW5>$poLfdYD~?9`pUJWw+1l7R<7m!~t1}y7mwb6) zxo7*HEA&VE7V=$Nt}uUpyWc-9_S?YK{aEqZw%}ur4&1PE$hd3g8uo44yRjhThx$FQ zWcJ_Ln;m{keM^!gKbz(s8#sE_?2NH9>#K$kGyUcBF+_GJniolmoKmyh87601iL4GJ z%hvC=5?243u&_tvx)g$pOddad@~nxm$xlq3O;eWm)Ur}{irtmxbd8l4d!~ z054>cf8dD6ADcC4(%6)w*olskxfQOdw$cg1Mwb=mx1(B;Q+dJ~Mstk9Z<1K^U1FHL zxY6GtACDu#<F$l-Lr(!^$_L{2jBqACFa&mH#Vm)oP10yw!z5C-Om5IitO$ver6N@> zl2hCzdBp}&eT!Dj+I3-a*RezdXAmGLUXg+lWKvXlL`6L*se)*Vl~czN^k5o!)zT`R zps3IG@ro8`dEj^=vVf*FJ15v&ZjxrSMNBTMD0P#_mxIMwQ8u^8l8|7DpXP8E+ac3f z)&C^;sD(1a=ClKAtE3F4eLhLod|y6*_cCb<1Wb$;KO@sb_~i=_spMgeKm2d&UWJU7 z?3h=}7B8FTj7Qy3@mQ_4IlOAUid40X z>RV!>#a^0^rO*mul&8fCi_;8iU!0mSmiQnwAPieG-WK7};)t~1ffS@I+y9ZGS+5B( znTYUngNaCsE*7pWvR8PV-esgYqe|B-_6dNzaSGAhLnAG2SfG{wUV(afwC0v3Pqp1g zp7PvitrkTPPHP#W7w&1z3(YT0x@xkCL8KAjkrsg_GJ#zw&p%E>D(%X`vFs_mi;Eh{N0E47lmvL>x?d0D013B-z;R@7;C z+1-Fj^1%I&Hwv67-*k87bT533iIm1-reaM_%o zNbpt9T1iPs0F8>xnOEX+gOL&=`_CqNM=3g0Z@tg-wz1f@J{~s5Wt(SrFU)b+^LR>N zsJS3RGc~W!R_?Yt1Hk1X@rRgcc%Iw`eU@X|;Kiw4mz5X2MhjMcsk==n7 zkvut{=sOH?JRZmJ4_QiDln;R6$;yBUlq9dqC#nNL4dUf!J29{$#F3ZB+hHiT&4VV# za1^Ypj*nt^&M1pwL>l66pp$qL#?rV(>*-j8_k{stabKc5fVMh8u^b*L4TJ)2j|NOM z({YrU4hgKuKn~{jHhF6FD8wN~o>o8%@*v4zDy)Kt+n=umfbmAj&}T*3U_H=D5_Do; zUW#I1@Hb+-fR9W{Jlev+OG=CYZo>qy)yORJc&^eb5d~b1br{Sul5uTlmZy9%ydD%B zHcZJxixe)PdVwNM8RLs6(Ud%hZYK%?g}b0m9>sGWTp{%!$KVF6$9P^cMS&Nob}-!1 z4ZIRQ=jbs}(3aif6Kat$ubqI*YbSDeY%&Psa4j@oJTC7#49-95x_g%x$DgKx zlZ2etXpcA3Y3MGv=jfRsf~FAi9A}CQE8;VZftSaP$AAg=?Bs(%{Am$xG;|JQQLDQ=vhugpV1%gir=4 z(U`1=WAW95o{r`44TK&;$XG?8R3o^QQ0iZ64FHfeWi|8))AmH1U{nmJIu4&m^@=%> zGGh`xpsRFa)P4@kEFMh_%o4st(PL1)4dDk~`Na}w?L1|wH;7j*IebL`IiM!7v}m%E zhyJ0b1779+&{rM>n_k4Jh(qb!%>XjSRRv}W4~hn6b4`Qk!B~QzI2FQWu|ZmJU+eo8UuuaIgfb>U$Xepfnr!Y zJqEmzsiq;A@03aa{(Hj@%$%Xn!H6s%WBr0%5A&N*iNKhZh_FwMbRyE#IVJu`gaOw` zC^7gF!Ph$q!5%Q>yCj%8HG)aP5E+W0kSY9(fFS=3N*Jhp#)N}bW-1RXFPdr}Cy4mO zq%)cmk!e7Kf}w3lpHTwlb$lGtF~ewz&-(_9H-%M{I8(C~CCM~oNj#;;aQr2nF;2xa z+yEN~CCCrPnEil3<g;v)S*U^iD2Hu81BGW}=41tan7LTEn+ZUfkx$6qW^N;Ah{RGT?t$xf~W2Q6<^d%={ zczh5!b|Dj~T-OUeetFo&^^SP0p(Ys)xUGnMl>IPAd8QC>n0af~)a&5-H z?B!7Pj+wvgwFTJeT+F_oNch;iDkW=bjIL>zD)(1(Tj+3F)PIcO<0V~4=j}T5cPgUI7V8E1gGcDUMAt7$B z-ArsO+j$`OubZl|ft|IxZIWdSiq4g!h5D>Fe4Kq4Edu0>UsvDeRM|*>{-yPuPwiyr z^Cm?|%VM`+I_7=n^hgs1r((T*>OoZ|F9okgLfGjy;!GXu=wz)Gy&YtqHQLSal&zK1 zSE&y{nW@)S;}^^emy+XqwYIu%>ofSJHmT&OyUk`|5=TfvP^+bCsvhKjCIeC)r}$uF zYrM6*N)EUZ{k}aF30Y4`X|6`yfW1XJv!Sm(Yx9WWJ5vx1&D_gPrzQ~Q6|UYRUUO;@ zmE_Wx$|8pEdo}w#B6xm4M-P?sg1K$d)D?vER6nJxkd$mvbc0=E91E?vC@?8~QpSB5 z@YeOkPI4Bla?LiKc`z#EmY?<*kUj^gaf_3A5d*NYGUs!0&g_}PMRwVqQ=c!paOkRa zzTWErljesU(IM02rY4Ns%by(mk@YmON(0m8rg3|SsbzwXEcn1R3Z_-`Bzl} z3kHB=wv_FFujF(wQ86MBYXQ`W$QuurtAZ{S-W;2EDtfF`*Dvlz70Z_%8~WHW@k5J= zTT#b?b%~GLm4t^COU_A#=zE-pKPom}*VH?S+k%q#OcvK8KgVN~MwqBx%n*CD3B{wr zfBLAq>bH7uqyPA^$ezotj+k**w0m;&bEzIsj;2>ooL)4w*i7bPz@wx$S_f8oHs%WH z2STsEOqQyZvqk9ZdpEIOaB$(??9S4S7;U*e5i7pvL1e5bL@m!ZyYZ~7gmhC^EwezJ zk9sDl!(vQpqB;?+j5cq%7cO*2J3^YaUbNS#cwbi^{*FqdJ1n?NF7ar01*YEN>nRWUT7}Ix1BTZ{v(sN?Sm`inB}K+M!vKk1yt^DUDPYHXNzr(+|8OUY0N!K z)rS%}$Q!5nAZx6n`8OUUD5vG-R-I>ncaZRB!Xp)g4|y9V{kIS24F>ny_fndT$r&Fj)gCTbgnmndC!&g>}b` zDv!9>*iSIw7^)B!H%syd&4vKQ-@7b5yzd>lP}oSenf@NhS%ioQ*3m2)4Afll3y#%RTf$m zP05+k&)zPhTAWBARY7Y9((}*)SSLtu%!NkFzMcPM(id?066_L$DqC!xHq|tB0q>$S z<9CB8PIbOD{}oD%ZnjtF*H%Q^Zf_M-xfvlJ8y1d<&beJIu)N{)^F+( z38M;9&01L;NXybv{n-1%W@$!FT0TxJR0U_`x~ZYTu@BGqI7(qOZZ@s>fGUNcJobYV zuZl5kLeLvbM}o%rC|xlSdE?ySIeba+G8QzEV^_{;O*cPI(_s;cNS8cm_zId>aHMnG z+3{?<8q2uk6eZ4d{bL05STm?{*DNLFu~4hL4fXIq9Nq~6tKP{b*=8RR|MKKW;%G51 zLH?gtl%zx&M{3kkb19K9fO~FkA>YxnNDIz)xjY{vv7~C#6)$@whljdMa=)ZcL##U)g*ikRaNTJ)l zk8tAz!Tij*%!lZwNGC4drMV)&Y z8NCHZ7_RL>yc)^kG{Gz8;C;t?mT3D`W$H{C48Y zk`Zs{3|ii~?wCGVpNd~0Qr34>J762sp<_yP?fQwx=s>+7WgQ0}oSTcM`2|M=2hgKG z5r00MwE52q7lCRUSs2BszQoqZBOG#a;Myj(5jz>Q{{0P|DoaKalWdjBmt4+D-BTlx zE80vlKbG71w!rambM)&gCb-Vovl}YI;^XdLJ9$r_GkZDQc#Y!j- zm+2PDAb`N*=p$o)+2c3UbNAOH;OXfr;B6#lH8K<=)z;RMgnD4n-q-ztg3e^7^1-xjJr2wKx@^P%c!OSZ z+TG0~M8gdnfSxcJ_QdEAOQWH5XCM@(MnLa9e9X+@UKY{eG1bdUxNWTgJ3`TNqsg$u zD;IJQvcSue;PJlqE8tJ<_E(V#)6$Zka`^5Y_@UiKa+(MZgWx(TAqK8oGxYeH;5fge z&R5j2l>i~1TFV&$du|S;Cr2etnPO%>{rx792n@u~$Z(~CZz{NyJ!0{am z3?=fHZ4C^E1EVkHNq$*}95TTFC|{`H9M4(E4+`MvxHCgk0HD(D+*?~r(Z$}<--vd0 zK^N){o_crl`Etb<-1goe2tS^oT3^G~D6U0ha!pOS>j*BNB2xO!eO!2RK-gE{IubyQ@uBAu!Pg#+(}ZN^PSbu-PM|(^ZBP0^+)M@ zr8XAjc~XWv#UIow;j@OG0=u$lETf+0+`v6~_RS2)aN%F`G(o7%Z~KNBVV9Osov z2^(p9!6_}}^hcww1G{u8rR4Wak}B2zNvOkV$Qkk&HnC`cx*@-&8b zBe>h^GiR^?ZIUP~wF=))jq16ihCQ$2EW~~wx~W6AQ|+~}-mkAn_xToX!3{8brA6}0 z=mNY3d-;P~=ew3G?00k}b>%8;_aVV49Id)S1Hkk%w;h8rLvroS4@2A5%IPNi) zGx0@UFMLPMr1`FQv@$xC{e8VNv=D4cCuxf zD{}^MnEH|r!GIA-C(AYulOBuy$!**$2Nd9LvNz4tT+3$9BMPZQH*b%2Y|FASPjgpW zWA_^{B9Owg2@Ew`eCuToiDa(_hA?hp1SI~STKTA06771_Tv`1)oNd7(}|=z`if@%d;tdANsCN= z6x8bXOXP#;Ay`=;AFiK?sSGpqr7vJ(c^uJ6#B-4>>Cxb2j4Ir21huwzUN}A}P&xeN1juIyYI|r)c<@&X=90}&g zdR@R(0`+@E7`1$F3=<0h2pE@RsXSa{VtXCrxoI-XBob%{PyXgh3YZ|n&Yz<#blW2D z$LU1{5*}!u^CoC3+az5NcUdYOxKW2KWIXadb=dxrHhxl0y~i0-+D&mE9oIIlVfG~F zG@@wj8ips=npH-KVp3e*p|rhO$2CLl>*+f5cGV24(-Lj8b?qhqINTM8HcT@OexBJZ zV&=kKDAwZ$(%^mYoXQ+3vK->JWLBcpnvQ%ARnV9fI9A%I$$D*P0W-m-F%&vJ2x4@* zy*|f9Rn~2)PqNbF(fOD+>b9mJ1rXh&t4SzMZF|S9(L{H<00vlyL>Bx}$l!V~mggo6 zV%^~fCB2uSaAFjI@G!#*JB-OhZM;Msa&Sk%^Be|>ZhS`ybo$mE+?z zbf^;V$7pte_HR}PN3$~noL6lPFwJfOvJv(L+=g3Ot{(3QM;klf?|+Ii9ieb}IROH&B;2^nm$|rbv&Jtxt3s zw~{I#jJ~M5W@nW2vwlY!_E2JgyGN7}xAQ+Z$QbQsJ%|kKp*f`VQtSpgBDoO$xJrXQ ze9zWq*zLm|!=mOlX*QCI*0hM3_*R^pc5^X zt=I#ytVt|YGO;8p&38kZ0P-6^=`+b}y-$f!CkA-c5Hw3JLXdmubJEaP+FnGcuy%Ho zOkwp9sFKSboFz<5$av`}wqh;p1wy_<8G@rV`Tn@J*^Y~9ixZ@yKYkP`>? zGAdE7Pf`7m$v)V09b$b=;;xsVlt)LJ3Wlof%ZY+ zm&*A{n9DF8)nB&_4NNV%N0p`1j@kt=qd2buC&R`2^TjQ^KQ2x_(*$&v#q>Jr-)mQZ zPe2TBnq=up%opgBW?p37<8)v;o2eu96Q)aDE;4|V-bGJ` z;~c^W^`k=!b<7#JWMe{3rAN3a##^^Us)YMD*H&Z;w$4eXSQDntV*09moFRjEXnVa8 zmgt`6grk!e`49j@>YoJI8>?lU|04Pj*}fG6 zr$!zBPa*6t6~MPe=U5X%E@fGukRt<@tB~`b5`uO+-xa05Mf(r48jJ2JPts|^vZ0ME z_7WenPpQy6?tSu0m9ALUpjq(H4)tzn@YFxD-fX4vVEWzw@{3i^lU%cpDi|M$el1v= z8hDYD)PZV1HEb1pVKucKSYK9Oj$m@0)oFefU!MW2-(7~#WIfE6o?RpgD^{|!_a_#+ zG0!b1!m4@B+^scLI+i9W)g?vZ)g9EEhwod2HOj2UQGJ z&_PI4GETl4)E(8TTi&;2RCB<&TP!`ea7g7L@!+;1m4YZQoX*vpN;EpU^Rij{sGO^m zyEX&Lu!jiUc@KUpu-1SHtCZ8F0Dta|`BUDJFk1W64ZJa* zgdH+1443$9K={165FiBj7ms;gU@5>9?Z2`{Uiyzt=1*VF!#{c=DJ*v;YcbffoO#W-O)XUKlpH*|0}W9c=6%$QA2X3 zsJjAMWfB6os^S1nL7}+=v9lTVk zt0op8p8B|k{+qe6znFva`949Xi2nEOMEukuhs#mKvw_#}jlz`?K9@m9ELjvMA#B-8 zvC$82M=@!&&0owMJ!W=LH|vBC-}n}Ss%}>+AU_tCCryh84DIVBB0?t(j~c=@iZIQh ze++^#OUxGe$B1h}{`TIvp2XtV!(*0MG=|Is=#ceeOdz$U8P@WOAAB^eO_XaAqz6_` z+4e6)eMN4kGmu3BhcMkA#pewW^7;ngWm`3%YN-U8fi&Ytbp06S5tv5NO@naE605*; z!RPHD^1mK*LCbbtYeCeUKCl95DguBq^*%_WwTgffR~WN+@qQH$A%@Dl!n39t0GE8y z1h+B+wrmwOZ{Z9{MckCnBB-m(9^6gsnGv>4RRwQmST^l*08AM966mbzu*06sP27bR z7HyT$n|bdKxTbA`UnE)z#Jb~u6wIQb&H6f&RnoGSp?iP4YEwfh?ot&kFaX{@yPuTL zYzDcbBflAaT>8o*#JFX+P;H$?W0BSdqd^~=wLf01P@Rsz zVQARGx{(sp)VwylbfLRI1^mo>Yr2B;AuSCr@u#AgmdGn2qCVv5KOi93O~}zw{XYm7 zyBlUnhBsd^+}uY?p32Y(7rh&1@pi)>jaUPZI#`(p7SDfxW1u2>cC-5*h4r|7|l1bY|U`W%s8(E2W?W&ZQ*n!v8wlMlIF7 zlQBtr8_P_H5@|30La9!m9iH_!_2q5R5qNOPXAcEA1`T3%}y7x29Oln9Ob5zrIUISB@(@&o3e{2ctG%J(R^X{NAxpx5PbPw)rC%1&(0 zBp3in1q>y%3AwQPG7Em(;N7z}CF5S|T`CgIR z%JN8DPhfAq0lIr`yN?vetEBY+90ZjB84txsf#XwF_WMXMmX7$IAmlzjn}|Gx=T9mb zq{In8N^`chhJkhZ;`l&R1)P;IoS}uQEi;~q&q+Mk?ms%fv%f3pBBekJ*Ot@0J426Z z;h+H)Kv%wOtbkr1$cDrxP+~S?aD0pe0^2e1t^zImU~%#f2eT3O*#Q90c9=2v3nXzn zQ-lgWn4@+?wNV(f= znVJcnuW7voIu9_<7T=d>6ag^F^#lyOT~rE_uO2!QKIV2^KHV9;!V$#Kt6MR&nY3kk zLB{QwXAQtxrBYX?S|8ooFxEkrv~g3^+N66xSM7BjYpmGltr1p4U-hF#sm~@;d6@%< zBfp1)^+z{kb+m|}sJ>%fql^5dt;L!3IvztBYg0|Ffcs@;{`UvR9xCeF!9fSD0MC@Aayo85Fk>JmTOI7 zu^h@7zxpVANAt+{S-m(IN>oE92zOBwwKD;Ru1OF^~-02V_R#mW%U~FcYjRI zBc8#ONK8&EoCrjCm_I$5eeoUg;AmlB& zS)%2!AX~!aiH#`00V1YsNXNMat52s7c%Ty!7Jvr^G)Oy5bW}=uGmar|L#(FkNS5#! z8`9sQVKrqP$i3Woix^Ly`E0PBL;mD&Cp8vZ@(S?UQ$Hn~hEHqC*5$Wj&$J;_VmXV)NcfpFpD&IZ^UP|jiNe+#oAJnA1|zh4v4sNH+-RV$LEkIyURI7 zJQzZ>>tkP)(BCydzQ69uF}{D6MlMU|7trfLwB$weXsW zb-9LJVbaK)qR2YGdSoZ~JB`@n948{TF8v)wmy8t5;p~E%trCUFB?v$kwYfzh#GO(> zAPiMAoBd&7RV^-)ufM?MH@#ysqEWWb**J~#WFz@hk@V#1n_6-vA8~&6?+pOxAqvG2 zck+=s#SzkVIX#P~Fu!|LC-^vx{G>DaRHghR!H$x($z`CI4Z16Is#Xp%0U?QZtXI=^@g!J)h<@XZ~BG3v}e0DH%gNIn8B4UP}k~dnW}Q zF#D6)AyVQMGw1x?_cSPez!l_WrTolDZ_Nhi%|_-!`;L7$b4V+QU;%jBQ?Ln9?z2HE zbC%en$+rHV+;w>^*OrCWO9T4Y-Yhliw_hH@OMmD-lx{Z@j?bev#mug8Is0;(+y5fw zl)dV+>ry}~_v;mN1pWy?!DbKqMP{-PkYHWKrz>=tgKlx;`6rPLQ z=bkXR!yQlhc472&Yx8xcDe&}AmMm9czxRrZL{Q8c!=X*dcZLTrDNjvd7`KKoc8a37 z>8otjcF~C1qxaEiAc6J-8PCbnnr@>WnTtBqGl7LvZuT^Laz2#V*H1gss3-Z5FpxscYV9mGdxoK*q>*wp2sNlayh-OwUCO&Ml)!N{pzY z#V*4|WRtw1(+b1M7n*Fn#E)DuVA(7qWcW888Ow=2Be+Oi!5?-7MOU{he*3!k9{|l* z)?NShL3&~tB1WQKJ>)1#LQ(Vd{oawL1w7)UlG+lD=pW)1$5$Hrll0b84ShfbIx%UEB7Eh?qS7lT=dgV;L?A-TSHUtz4_&w({-x& z6*#8&b3D=YfS@sG>VQ^t2yRpA8Ur{@nxjqySEG9jZ6Omyx)PS-7Y6VMBUua@yZ$qkq|z&e`dV0JEa$O0!x<>EjDYuUjH zWj8T1J7RDfBu>?r^&7FDj`_^#9*Ohh-8V6Pv(|uKHMtzyHjQp0^r0Exr&`;16Z>6W z(?7k7UZB(be>lR`r;4kzGjo%kGVtGZOGwgS9+#mp}&t?jg5 zJ%79QOcj?El*2Y3xvr4m`uxEbQDZv@k+n^gUtWQYj&3N6*gy$_Un@?XXT zYiX$hsBG!-`8ex8-q54Q1=+-HVMwDKpDYF{$C03LCJHp)R-*_buKPpm} zS%uaP9$96iq7|GJD^G5!Ur8`-qbQ9=zEiF&ITrzdjy1WoB|);Jlp@mAhc%TwSE00p6lWHPuHM&0RlTd$nx6lj_Yd%D;8;GOH0& z7DDSM;upVU;A>lnj0UMV3Z>Yos{OQ?k3B_P(P9IS^Mw*tg2V}pn*sONLB#w)!GQ+1 zV`P90`T8=(gGa{_ExFv5p7%BThTAkDfcGmpZGB(A-PhsO)z`;k0@vMVM7z+J#MZ~( z&(FRsBcsFiW7pR90PnAqJ!Q7UQyfF1h#HITcfL*5D*)dL+9{;iNL@4owd=-c1jD4A z;}&kMw0-;XjW7EdCrmM>^*t0pXe9Jr=qVstAtcs$MIe!NxNPxwc=m|g_;uQ!abuJ?{l;&Qm_!Eew+XU%bq$9Y8Cm)?N& z>)yqy>q>0F;c(oMP3dC-B2v`);{k8U9%&M^*4?{XMu=~gB1V25f{&3}H;2EJbgjas zeE6StZTsAIRzEuWe*XGoc^h>2i70FSX#2MyNM+qL4ft?v!2^FrzepY4_ibccaU>a}HtpPvVj zwVhu)dvETHq_4%m;C6vm{IHkThRY{MaHor8DCg4cpRB+8R>}iEKfe|FsVyq(ANzJn zh|T?e(43acm_)v@)||v}v#HO}v>2V}+MkP`AuPx`mWz2zGsWNdt08D&ucbg}%rd={ zF(Qs?Hcs4$WjL@S-SL~Sp^Hpx>!rh9h`(i@;7i6X6EK? zWk)b5P98>f;GVo|XWSH9H8!|^yTlwU>?vhx(g^=?+1S_^*;95+&{N>m|3?Gd-t60iV;tUN$H z$A2ksG1!Qii5*RB;Q0B8ndHqKEZwY#xl@SUr~!Io@#O8Ozzu*4$kbE*pitoIOy>Pu zzrde~Psnv|jU@x!X0LMOAaM0uD$mX|@&B;fRI#SuB1m?B$1yu{M1KE0uLDS29 ztR&>``;0h!mlAI{h3mn(iCctaYxce;8X=eUZLr>nG{Aq**MDS@P1yGh;-UMotRPQ%VkK`zUtX6XWCX2@`EGSezqJqPC5j~?#2bHT+k^>zv2W zVBi?dTmVyty=Y4l2ktaa!6+l;X^3afI-|B7dP@Df+@7&XI71=Ca?I{87>SGa&Ac4n3eVQ?4l0*3O5K{8{4&gQP1Qu4|uhEngQ=sH3wUMkTy%Ix#-EV2XjV{;l4? z2%rm1eu{4X#YrT;of|5g?3kgE0ukVLX5V>cn)+S!8rHb%cZH=eZb4zno5T&yd}!V# z9cj}{pm-7hUbv6jQiuK;J#)9Gv?&EaHKsO8C5(7NBr)iee8sa@AgctdnL8xw$BQ%b z4UROV-tl6|3q^YZXvW-tpy_qo({JA}GCyG<=l9w36kMAD_)ej$SNP1n;9kIWHbtGd zWdKA6v@>OkAA#xKAwqZMSv(qp?u*=okzV7Kn_d565CR&aVS?$G3}w~r1oKN|iv~0d zRw0)agUsIC<0Mm>>|AKr*4T;iK&55QRe|gThyr}}9*8dV1y3QwH;*HJy_gf!KvGa0 zP((Eu)qupOU}hv$HwYen=paC(^raB=gj2cF$+pNf^@gS0sRj({r4Y`(fzgE2?efBPAjmB~Mpgzho#XW&^jPjC%_RcNThpNG^CVoW z>_#FL5a3!^shPR0_swpKMI!f9RSXNEiWvnVr`0kkK+y~4g|91hSfyl4@WkJtH7F8u#x}ZkbD9vU7czzV%CM-(lDESLLG5nm zuyA|<74*>pxWV7Q-GvcIia7raD;H_rif@aWMe-$J$i72GQC$Mj**SxS=ptS(f&P6H zB|}cD0%3zH5or|v%~Ia>SBIEieY7GT_o&&j}Kv#rlK#Qk}fim^(G1nx?cWjF4JAqYb(5V)~L#7ZwB z=#AkiYCC1abbTHOqay8>8hF_*w2+?)olG<;@SZb?yBPV9qACxC!(2a#MP%-Gq`& z!Lj9{o}FWal83`mL|b8lMDYUrc|eSqK0Q-%95ZALjVTgI*F-0FC6vS%P|1`nJ1_GV zXG1FjyD6^9w-jzda`9v+&69c~L3fqc8o)SEY!{i1cuHs6Wk+CVX;$2B=*gj65G4Hxqa*6TZ+^!o5zntf#Y-t8^uo3 z(g1F!$crp&H3NwYOC3tg0S1va!Hv&fe@jFoha@t}6c|!X(tpzhmWR!)PPNzaoogtE zxyXrfYL!(UCs#5DcVWy+``lKAo{vH_cvhlstG^HS0AzcbF+x*mLTdtAZyed}Q#ibS z0PJ2fpW83yexneIYK5FVo{5Q#qt?IBx1s6Jj?B7#*7zeFFGW^Ymn#qnfdvE!zW?aEQ**1-z%xXUP-QYk}PZk zM#G_nA7W#~Mn>ZwTXkoltgp5}j*b~U+>|Y90@zYf!OlKeJ{|aD7rhbVNw!4zfH#<2 zT5C#cTJG#t?$==P(Tp~*06w(tX5U|8=E$ zS4G{Wq++{Jfy0)!b@8QfXJX3fB24M1wSc!sO_haA;(45{Wz}{HeMTN-)i7^Rshh&g z0_b?@~L9IXfFKHc9Uokj?b|&wGdu%j-ea=q`d4+wa~1xI6;;z$R;+QutD+e znD*Dc%2Bk9f2&hTvk1;b@?xjGx50xu5{SGaezagi;f)!QCA)z~8MG^kd@h}1YEVtX zVqi|MphbCcnp|Uf@OQZJ3@so9-=6(b18~Pmo%SJQxX6@RCSVc24`dhLS&J`RnQ&kM zjVWcSsaqZSTRPCK1yChvzYZR8*?_JWqM^ht*28I|))j#q9=~6$5SnsO1dBPH%vuzv z;ax8b{HPhzpPK{I%-(lz)rwIaU|`f}f6lo&`s>H}5P~r(b_z=nXup2RXq{pO09pmHb%lMFss-9Y?5;@(=~SN`2q)57a9&lFo3S%O!iWS z!FT-8$>WqIo;)zxnu+$SQU5`bxeHNO7CzQp1Ng(Nt;BkpTBt*lP@$>~YY$v${H_zT zo_lLr!dKT}U-SS6fr;@3VIgdi>|8`>R7ce7-)$W_Ad#n$J6`9KEDMRb>htcT{o>;7C+|J>656a%bw~YGauh8Q|Rfe@t8U#!$sVr zpQi{sZ1nE5!J)|xCC_+rz7%`&FwXFEd@gd>Dclgc;?ryMO{mc18>^#`&dl%3DGa=a zlg3v({;qHl*v#(m`UarHwXnK3`R(rn%`C^IZ>K?_N*oE9S#Dn*fY3)YGo*RZqeBI` zEYY8{*i6Di{M7V>&=9pLnq*n6-jaVFu@S zcl1Anp1mvgRyhScvDG*My!}q<8G7FEzYWos_~gIS81m5|@j>P&jXt?E9Cz|#28Fq0 zA{c!niGe;kCE4*hS3sis4gK*D)Ts6vF)Hqc)3n$2TOIcRZEl%>ob>T3RppiKN(#q(ka5O)DVyAv|G1fltFzEypm#NaG=+g|HteZod>2fLiS6!o z)&X!$;&Ab^C+5piTt9_0Vrj~q+`@mhnNNjC9L(90UrGkZX@K9NBwu11Ak;fd z%4aKSFoSHNi3_}*KHsy&C2Uy6u>nTpk+k@r1@I9()L{Z4ZbB zSM~BmZea-PubA??9Ly@M6JH_ zX3oBY?pK3%D#9v%qmK6}F!)`R8pBt$tI8;^bG2`P(8Zl2E}ids@#H+3Xu@~VqwF## z2&b2nW!eM$UxD9Rt?B!LV5?@~2|s;z0gJ^CU&3^D3a0Q$+>Z;#a6N^;PrvR65r+D{ zKp|EHrh4Jb9n4(aT+EH_|FQmAq;j+WXSK@4$<6&gAjf||T{vzQps5PS&6=WYyoK=( zt_nv?%p~UM;AZaN=1R=XmLh7xh06I4LCo}BTZj0+*5O4a)VTk}aIrD6v2YS|asb!Y z%$zCvCOrSmax*h>uyGP|uyXvfxK80T{l8dtE-pq+ZjO|w|DXL&W;Px+Moup7l#TzJ zWoKvPWM)laGUFlquUY-4E^uayz{xqd+u7--)H$=!{g>oF%>!0WE=F!1mVayD1O@-+ za;1n6p{FpZq0@2yR}cp?BQr583p*n-H`o6T;Z7+cXTxL#o-7*=7cn<0BP$y*D;ql_ z7Z=w*tp+e|u9O>mtQ1%mMA-lI5O|nVNPta*vG{|whb_Y*BIZGbR2Up^w;4C+(Wy}o z9vnd)XBQmk2Bw#f>!hosgqZXy1Lz6|7>ys)XBYS~i$tC_$-7_B&);j&{+^W^0{Y&- z*B99br4~u4mRqdouq=1PPI>fX2W4Ji5U+3e9#ZDp-#NB!5bO5azvRC<-mda6)+Q|g zuYWgZ`a*5L5Pur%au!8O`*tSrAYR?{Him%{NL8X z_g%R$+G;EHPn}kj`s5A2Jf5CggXLBM8k5y$)YdiV({z15O=X*U19^pd!jq?*gva7- zbTrk~mSAkb(j8h;bRdWsOUEEmgTAw(NKH$R3Jb&f`*B|;H8=^NCKo93+<{I zjJWG)ANJ7vCx*w=O-^eEjjt>G_`#@WNFeo>Rs__}OQlCs&>q8K+5h*EeOWSxGKu|X3#4k991eOi2R35QJ&D$9BnY}0W(m$T_FhF;$ zL>2#8EM!@sJKrc3bSALGh9NLleh11+>y#c8jyu>jts+?^53c|eQe0L2s=HN2Bn~m;#S0$<2;PVqcf5iuW^A< zhg5iO*s(y2np$xz&jyjs4$qp7N?7;36j7nYUE8X&-_~6Ic3yIJ(ymuR)3SZHT&BmhKU0274IfwhTV{b&gGfcKDeG z7JG9WPQv0-$A~m%E{L)esfZM0WSmZ1ZDZ;VU zSMpcVv#QJlPObTRh1V||i|@m$@Q!bCvmIIjWUr^Bh!9mkq-DiIt&c(S()ua?kA*@n z(=(bWYrv=(2eOlAY%*Dl+oG%Qu+8S*GCCuP1%+Dh<={=c!J^y*vyNF;pYUF$hPV~= zQ}zejb6I%0DB9ncfSezmMKMzzu+H_lD#gALjOxxg3(fN@EPPUU!|YZQp+`#Ra(EQW zCZeoAe?vLUH5LH3;8-MmM-hO#aq1qAB}z0?>t5-Rq9^_-u4@6;t0C^jM3*tE(<-Lr zVU_0N5suMedK|WvvD!vT`i!a3o8t*oHCWS~*D#cIrNjm~6#wgxTR6`y^&h&$(yT}n5aa(|~5 z=>4jqsp5zsK)5+TAip4u5oKH=qtX56Xhj#r$T<1-NX5(JfSWsvSfMCc{dZJ^Ro(;c z(M*+$eCz!{W+GyJGlBV^uB?_MlW^Z2+ypUg(s1fmHb9ETbIHCN9svqg<-yTXEx%9o zj&n)p8=-B;O|fO3d`}ZT3ATg^OcffF45H~9CjlO0wmb?A&tc`3frCq%vg;A9Wtg); zD3q5)IagScYN&wmw^%WEg7NvNJZ+el7P6N5rc}nvkiyf^^I9@VK^JfRF^Q-aa z%6nhx1Hc6B0YWDIWyAM-gk9XY6v!Cu0lNt)tZ}2SvUoX(lAaj})AwMjmcYjjh$a#mDP z^7-!G^yjs#Sf7(ze>NK1atq;Y9#w{#Fkfm2F&TWZXxH%#)W}OoX&g-@si`!{1(^DW z1z33Dz+cF<{h;V%3bKt@G+`a{p5~bHl(ue%{G!d-g@5-Gr^fnV01i1s*kQr%AR|R$ z$pH_${N-$-xQEN`ob@cTWAM+YvNrgbmiYge>pg< zLP-KCV0Gr25nR?1OUVGTXkW_rT#o?mIVs!jOf6g#x3D8*7!vKkI6S!eBfVsM{s4k{ z>Bbn$CEUJ%X9}8Mc5s-kvbs%Yc5cuvzn4p59RzjChOqVLRBPV_EE$Dogt&MvY5_2K zSQrX#Cf-87AkIQHHXUA{XxQW>b#R$m@66l^QIzRRoB^M_Qywq_ zrx<|pvzpFdCbVt1O#TaL>Lmxs1LdM(YTQ?3=lF`C^ ze5-TL*C3Mu!C(nv@}H>~#{8wI2QDKpN=a@O3hz}5}w)Ijm zaVMNwhEFuctmkS{OkUngHu-g7aAcyxE}U>s7f$CHB#Ni*Q$Zbr22Z^r8?B00r-D#nSHNHnX=`wD zpe^9iV#2ZQ9iowXT972qSrAZ?@ENQvGtD8mAw1bc5I_#+qUhbW3yx1$%@mlZQHhO+fF)8I!@mF z_c{BF{k-RVS)<0d@2XX6eX48z=A2dJrZ<{V)T8;zQTu?C&}Hhj|7)i|CviI?xCfy5 ze7)0;_yEcOmja=M?u+}W0i0t3(D2SsAuvE4E}ihiYK28{SXJ3fRyVeUyPj*%LKD%B z{)Hj?Q@luw0NEegSg6sz>$;is~=ZqUd zgDz?g42-ltooP}A| zYkKb?CUaP5o38@(Pit$eO?RHNkkn-L%gZ~Tw@2R;hmT#pz&==-D105(caCbK`(w%e8haD((_@a6QN$v&GUmYk6 zK#OJl5@g$@T94I(+PXC`1c~kg?}vP0CxvS+m`v{cio6Cb4|LauPfEJ-RKN_*e@mpd zi=1|dGZtX$%*DB*z-!w7$QpN|XPPj*I8lBw^_p0mr*S`N!%93tSil16PH};)^y#`d z7rv?kGj+CNw`UQ!dJm(0iSwMJ-0K!9^zif%F)-X4bS<4ac<347lrY=WItbTr;dY>Y zG$7_wkN6-s zd7jwS+8Z~q+uWRal2cPIw6>#!o`*ms{bM5VtQ)aX;;7xH`IZB)RK%Wqbo5D5thL7N%ppfc8_|VJCXNXpI3SN2y%@UPL#xD$75py|boh3)xOMwkHHi zS)1h)5v)b=XyXk~iB3)5>f~#`V+!3~SF2%qf8l6usHv{D9#Jy$e1?EseX^8y(+Mtk z^93kfl!yP{#LdO{-*C;s%E|a|g#4z;{~8*96F29-AY1p}OaEfZ|C%R%lXpVYTp!lI zcK`o4oa>*n5)>Sn3Avd6Is8u;CuCz}rDx`3{x1xTcg9SRGsdR*&wc-bRo3rMRu0bp z1*=@F330gec>mBfGZP^zI}<&}_f4{XvnwkrAu9*dH#c+s3tG8Y{(;sXT>q92{$m2p z#r{pN6aZbRn!{$~?jHR-0fXQSc0$bDoq1qK7G6Pw5C!=^xTYYhDeoWlH1(~&m1^Sk zI0MC7*t?a=&S~n|KW>F_h`+vayTyez95U1OdwSjtpB9Rh_51MWALj7M*Yi%!BkJYx znFo-c<9QZW+&E)ccQzG#hB#a{KVRMMV^Y34V*$yx(HsD;mlq6=KRI`$yw5u+#;G}a zzKLI`(ncUu2bYo(!l%q}r#g=qH^*#);sZlqpe&b|Jw2ViJ}(n#Odh)Tj~wsLloniA z#TMrl_m5up_xeY%qMsK>CrPPMLcR{dshcB*ImTI=$pqwwCvv`}TO8>hiDNO(cQW^n z*8m0rebDw&%njQ@Xo|Me4d48QRR$-NtO{E^JH3H1bIzf$Agf3)oq~XtfQgPj5_7Y8 zsvPoAZg8aWnxf31&dG7{{-RkdV>4sSYIrG=B-eNML;_|*7qknBFhlLaeo_i*{$kSE zG$(`#5ypIa=Jk`7Z17lYaNyx{)t6-|{ebB5Up6IRV(0Ujf{K<+ku-7*In!1ZsO*;D zGFdAd*L~SDQx4LV%hA5PU4XlF*-~U#3C0}r4Ol70ocf1($ypgz9}26T9Zh4tXnA0Fw_jUH4kJ;2HK2muX2vwJAWzG!9-B20y;FG7ZW86 zW-ymg@ke2(9%HjSv-n+kKNomC>o+Db;r{}Qlfo{Bi^%fDtH?mCBS<%i&!Y4kaMM9o z>z9x*{hU?XZeQMr5F-+Ufzxr{)x`5?7d)h-rI|^!+%|+zB zKZv1>LP$9oY*UA;qv?|r$n&0I->R^<@@=RYjZIGKS4|n_WKV6Zb?M=)-X}s0a2=|E zJ*npFHVgYOkAPb_r6~~;k zPO5@(PjuE`Th69;|I$c&$h(%xq(4iCpVCr2#gDVnD_%g87<{^fC;KvxhuAB{ zbKY}GNHl!V_#=bz<4^Hz)jQ3&7UJvslZ9GAT|texrjZEfi$h@&9LH0u!Tie!fL^v? z&br<%Tdp~<$>Yb~&eQ(VP^~2&5r~JV{OFL~$KAAgOeaxi%L*L7X%T9?LBCB_IA@<^ z3^1yFsRZA~ZXMuvF<^5wo!#R-86b9bI(%QgTC^ohn_gCME8k&`=GxAbj7 z){XfQLcHJ(OeFQlCcT0W_qsKBbrAbC3}*R?y{gS}6EeQ^6HWKY{cGg7)!5GnkECtq zM;|kNa}zEU2DZ0E;IMI4p${|ODI1lnig38ETkns#uQimbbznB}Pfj`I#{;f-uQ;By ztTDwO_Z$Hpkqu<$gO5k?k^56f;h&W1MS*C*ObA%nXFND_c>T^@EUVRk9xQs~9I>>E z4duk-7kaLgG3j+ev+9h94IFHgym?Cq2)^u_8;kJ1V(WxM+yPSKeaj4H`FIvPG-qdXhDe#MwG3w4T?wJq#j{4LgW^KG zbFF4Bb+mTUt%f13k!SzHIb}Zk5>-PwfMa{8Xa>f%FzbA~cJJp-z^^w=(O2623Xd*O ztvJB7LsX~>Pa5Mi1e098p|^FIil2p!v*YP~SIU5O^DIp3BGl?%U^RIEfj+G*0gbp5 zExCwMykSnOP>amMS_Jx{k-yhWIh1@M@>RLxf#V^6hQ=j^2}#Ky_mvNOKzguPe}Lzx zO6agC9>pzPt(LMhleQ$hs|>&!J0Es?U2g!2l6n@~Ep5oniTHLx6CCPU!0iYT1~svB zhh@Fqw&^gYhcia|3d|RtF(1~44eg*5MVe92lzLF~l?)~rnyj!|CUU`=yg;g{_ulW_ zW)$cPtEoot(S4GeC>d*Dxdf1s&KaKRw|pu2W(&Krq7o5{w`TY_d^GE7_&i&^PqhGf z6AHnjs4T|szeNWEX5~dA(T&W#wi(_gKRniPqSzT8#Y0Jo2d{Th52kPXKC{k_;tUt@5goCHn>m<83aN6yDDwo+Xf*>)P&!)T7k)G zI?@#OW6go3nG*vkr=-gt4;m->u=BUb>6WucgxP)A1!G=ZSTl38w(6!`qO;XGC9Ekm zqfDSnMSur4@(%ul8nBQVx_cY|+I8q0TP~B)0@}1Weza+kJ83G-v*`qwDZjt(gvBiX zj}LcwshU$Wz^OQ2P`9Ll1vmk?C0I8(lh;;+toULNcGxDI5r^#>e1Mpn^f|31iWBad z7yjxgj1)aj#udWa56dbTcp#bE+##HfUavxISw~`)+gNI5JO5x91St?O2tm&jX|(1C z{}780$KQN@Y;6*{sU&!|@X0_(foqYB?UC*|W631@rNawFsa0$CNuGfBbh1P8;Q%Ys z94LLyK67?I`XXPbS#^$EO@br%^90*$Q9Nix#5u~xCVAowsA^YFIRJ*aF&El9Fju!$ zImsX!6-KGCL(VevU6a&O4N%(uirFX+~dv& zG#8fH@#fAH&P5P(W>XeQXq>}Ha9`^ErWdT*jKD6kJgGeS_&BK1&E7PVG?1 zLX3J47RAm@!I1&IEeF+Y_`E^M&}iKLY(M(qrTU>@-mU`jS7OZ@PerHVWxeNR{~&;h zGnf*gyEpd(Pmd-aAD4^5LMg|uGC1OD&l^R%Yj0Md#k;?aYC67BQ()f+uJHywv($O6V27m?>E~id;Q64b2mwSyQPdvp=0^fo}V?& zD$rG_`b=LClR5BqJIHTbe8yx3OF5T)$MUN^KR2DemsbIje|p$T&a0h#6O9h_SUk<% zF%F1G3{(N3&5)U1djS36uRv+@p)ZlWo`6Z6@zeA7wdt7|nN$QD7#*MAOTTm7$rrE< z=jiEny?5}KwbWcpybRiqPlzs@i7-w|QM4RB4LHAw;^s|Rn22;)uWIfFWit?3_V(=vIxZmQl^&3FL{{*z;yvBka;u+6)OhV(kH8GcU z3*E1ew!?1=(k5Q(U;iYkD}(U_uBtGND*;T$5{}1fjYa* z_Hvwz<}ZMyvBY`waH9ifGeTjx*JHV14ku8xbzrmoz3&!#$_CUeUJ0sr>*k|A_P4(Q z0QKNSzu8sWWLHf>e3g0nzEOI^zM%?*zR_O%ljeP|`ZuQ~aHiGmt~xeNxVvrv%u#QS zq}HU1&;q>qI~v;RbZTv2r_cm0|KwXYW0q@Xo7-TlxMj(5c$pLa(9`!5@q^XA^Ad^! zgIs`w>|U@NOAVyT$@XoL>~}GzUi4@Qz=4tlKdWRln1jLCn^md*ncZ_Py~n?|G7Y25 z(cRbm-Y6g-?ifnCf9jOG{W!RDCZDaPbtBP3x%g~JJ}t!uvOjt9Af6|TI`=}YOXN+n z@tE7zZk$)@=N;?Qc&84{id2gVimj*Bw|?j-xlMtop+ZozN;=B9<>$po+@z@VM_ zF%Vu7d4%0RX;v*1mQ5z%h6=LO7ie>s`}Q9tBenhGnlUhtCOr-EoF`$ zTiVogFkyA$uhvF6Ec8g{QSsOS*y>$OBRQE@M03Z%ZpcxMuk`jhHvHMotlUI5o;V{< zLRZ|&wiI~J;@o+}5Lx83yn^X@CzqV^Oyv)I2-GLq^Rtv@syj-{=!@7{-~PJU;_ms) zv==rvySrSOTnh>zTVn(2UDevH*CcoS(Nf zUeHJ|y)%QcZLz4qR7v50U!`&aja|{lPh53KHOZIXaXQso4WnPhT4lSp{S{(6-K?mp zbJ`G-F+s2^VT|rSDFBl$_aU+%tLmk1=9DsK63Lee2adSs_#{F3mfq#ef+sSXKS&mVCljc5>N_`Hy8hwyaVSrhM*?YXj$ysZ!4&1Pwh zujaf(!+2GKvBcY=jRH=lOUlv1UZZPJ^NZR!^KzJ1!rlX=$jK?OjGzr=urSqmD~ebn zP4+w>gwDUdfS4@A$d>(Z`JABl<;psit8VXh!V{I2vS_k3I20a z=>OXB|B6stYzguS976vRu>K$YiRn8^!TN9g=|7wkT>q$6|D|pHPgdeP(D7eVkxa~C zD}47;%?*Kugu({M4^Wk-Z>xh%E$CtG>H>Mjw^(FN|ME5$f%14$U|Bw)Hn2shvX*R| zp8p(2O!oC6%9H;EVAfB_{a`J-db(Bsyxun^9Mf=#v=i|RhzcNVdMlDYlC9wSGx+p- z`-D0czWwlB$KIKA`MTKI+Q|WY-ivw;lU;wlK3v$nt_wV<`rHB(#8`TGedfNv$+-Lz zZ+-JoiJY?}RhBn_3ATl;mv!VB85de^Yf4UP6aXH-WLGY_KmENz4N^r^N=IS^Q<)IMaNYgb% zSwbaeB&P(8#wkDA+=tUI(mf6vK0D86GWJ}OEKH$}egy+1;PZm1QF&Bm2J%+LKYj`; zo2c1Yl)??Dns(KZ@RRB@+*xclJ1oW$OQ%mdoW=Ve%g^z*sk0VY@1a>*iq#$!4G$wTwQ=<^Bsw;5_4!NFQKHdD1A&`zH-RkxUm$9jhdz^-6|A zb-cfd7dKw$<;BBDAwgcJs!L{Cx+1aDkBsLDS}LsxGEbsDk6eI>*C=mKp=dJq@szVg zFm?%Ge&Wa}qQE$|_oH}1znT{*HIV@dj_!q!cbBhtYVz&$k8h0A6h3v&lI=t^vo7IO z%G8W)2x=wXnf(Por??ug1Tj;AVG$2Pr)VGN^s7GBdb*p_w%@!s8`JbOI6|)Jh${N7 z6SLjJik1_Pp6RP+)WE05qC+eIh5r!{NqQVb!t*m+NSVWwwNAEy7`y}Ga0$gmYVOF6 z2;_WbTQBA2!wD@;mQqXc=I_hRwbyMp*h``I;X?bF!C)X@e>sG60Y(ol0;WT%&HD@C znX|vWMCWd7tY|6CZW`KTlB?o4)8$9mN)`%N&9J7=(qmDASt;z%6vzEhz~)F z8u)CDRwj4AM>f}TLuhE~z6g+zs3`dXBn%?-WPG;fT3asbJFW0(tjxn|#B@QO6X?RQ zCQ#yh^l3BNRGIQRq~K>gl%L%A5wxET5aCDW`3`ky70@~x5QHc8`I@V^8${rFHAL5sI0=3df?jYV4gR&i5@=dU_3$#M> z<_|VNEeHw~z^J9ogN+r|DBT26)UI0jW1yjVpIh!?*bM6nIw+)>`oX-C7L#-b zrOyVBzn(~XTS?1nq=8Ov+_+^0;&zF0OWW#ZgvIUdb-d37w*ig-iute>IAVguiH$ae z%D(OgUyvk17(5KsrMiV%c8mlhC;=dBF78X11w@~TMp&~n#)E|p8qU_VkUm_kXM^4}F%u;g5Ts4Tp=+Dwu4JGiQyxYj(-xPWJbS7JBUpyh)yEYQ=3?j z6kK<_FF0~Qt;TV5u}|LFhe1B4a2?wp;0XEt{Y06`r*U=T>0r)LB%%ra+?XLis0B;w z2+Tw2j}>Tp8wr&A$!2&TW6y+HoKY$5^dfPL1XCorP^=YbF&arUf_l#HsrwdemR?I6 zn%wv`Ki|Cr>6_%;#Q;TZD$?KT4t6d$P%R)9jk|y!jME|gb9{uE0e!QCv6x$-EQg;! z*|{bxkFM-M8C_$ETe}kPH0~OJ#wuV!2dIu-En>K6JE$rQlx1Ow!+ZOIl4yByQP>O$ zG#(i=H~Q59O@^pi*+@u3tT`5;kpic__8Tlf8zPqXWTkCAt6LzW&dRO!>zy)1(a}n0 zSs$0pY#gUgNOPBt@7m!}GgW3u%0@^^#-zkPohLgz(NLBlOj! zk?V&BXhFPTG~XY;vK4GdRYsf!9AU@bgi_7i)8dGTVYGxgZmzEek3`9PGV<`A*d+qD zdweELv2>b2HsjZ4Q~*qcHVw|coE)}dY@ zTT$S{DRi2bG(11i*22*N3~m#)*h^%C7>9ECWxwT~RvSsJBXTB;|5O`{`1ORLy8HE~ z&vl{KS zInnXxn8Qox!E{B^(wkl^w$Gl+V$bIRk)!X+j9JR$tmsYYo5<`xUUi?h6w_9J8k$yL zou(}+H$fsTqcXpuSPZrS=p+I*4p)vL#qvsc#lFJJ2#K_H)Eu#O-?U5JB#7?4u)@+4 zmlj;iw_T84*tw|z*`Zb|{=oBl+RMBAz2I2dI%SU7&Ndx{-UYDph4$I>l05XIe|P|< zjr@8a{YU>KW@hWXVL9N;zuIHTifyE>yATXBzA z_y4xIUq_15+(xh;JvfV`JBjpLVO1)SPXvR;-{szf3*Ns{b98!2z4YBKeS7GC}& zKt}e1ohOKA%x_EyA)#)whf%tHXEF0WS+Q1UlI!?rwFU7yVI}C!Ru-yA=dUbd6~zNf zB5NqA$0!0YG)MjOA3zUMir5|3Z`D8adCqSn>IVtvWD7gmN1_LLg;v_r&odk<| zcabrnHMQ<2cZFuTA>UU>6YZmm z)72y!Kzb%Sruv$xuR2Z;-I{HvkxpB}U3Dbt(E42gcGr<@aR+m?N~=Utt7AczE|z+^ zjtWh+lK|8c^#<(a7@vqdj*ed&}%o}T5*`>4FSSX zvt!m&btFyGmC%w78J)NKaFW(jDT;cr>eYBFpbp)uIv%)@;(%1M{v?{zA<6H#I`Y?{ zng^_qqe>BPgT&yV6447IK^Q_~hSDj8|2556Q2WN|K;QEVY0y(HLjlMU(|A#Rbh!zR7r6b3xMopzreCC!EypsQLD%glQwif#u7CT#Dd}L>z?E2Zc ztYZ>|+3F!|<35ExaQb0Tga*D{m9DjAl~H++PXfXE6U(3C>0gu?^^HEOGHBNyX+57L zi$Y<<#4;>{I5e2)$J@?!BITq{D^iTl<4I)aK~=A~ zyIKdKU-!uzOYi0vlo=)Ex@=rP1C10X2%X(g1Lu#Eu?k0!T7)TSGMS|sq#UUW0W>Bx zve{c_Kgjy)spKlBb{GOBbeKKSp{>4-UG*jFmkZIIX72SqoHrABvyCW#YVM7>R8@lOO4aPPb-2GIlqY<9>*c84=i_y4uZ$Vt!0%$0y# z$SwRI*Z+6&fR&w|{oD2NuXMtH+%FU3KVFaj1<)+t08I{9(*Dj0v?6z%sGma8I-!aI z2ZAtH)Wbcq+XM5%9kYg94mA36y*$ZYxaU>e*3LCVI+QOx!5pvS<-PLXpXc2#jt1sM6g86#xc|}*-CfU>t?G`*0b{5?2Lx#CSSndHD z>dOJwjJF+56^p3-VVV#-b$`A56@0~OYQyGQ>XYkCe2ltjo>4y2PsV}9W(+vp#rUmJ z?up@8VENBM1QuxAg`9Meix0I!&af}lL}2ct(8dbb3^N!ZIcj9#c`HnL7z0q$Im?2A z9ySdaNE28|yGFD$VRmtcS>C0`wITskl%}ixJyYP2Tzd27_BmkPh|;t&87qOVNSztG zp)?P+GUu`v+*0z&6k`%DZ<20d?1j_rBG}@y z(yfx%8Wj&-QJ_=kt|hL8NtMIm8uY$y#j9PeMpeHPWKc5K`iQM zHJ#^*lk2Gp%9Xq4Q3E1{vySA!S|t%&qGz4*jFE!$$(-QlAlvT|_`@Wh>;Zzc`X+fmVNvoz_P;6iaeJ zwn{DeJ8NzAz(rSlxBa)lS82e6H%=<`QXVc4D_4l_y3V39{oSKf#kvK^z?B|8r2an5 z{Sy*+r}z;d$L7CPZLGaxEnX0BrDo{Ge9Ovk*3Qg5h)p`>cK_E~e)x{8szXYoLJ$7o zMKx)7H6zvO#6w3rHoF2nB;=+mKbl#=g)Y6qAJ>TwPbOGnj$8z# zR9z*$3=kH`6X`K+D6Jbq`hyK;Dx5iD14qwL*3jLs!9-4$l%|+ti@zp^R@3&w8ZBZ? zMM<95b**~N_Pw%{Xqi85hssUxB}M~|bYR!D2U=^u?pY%JrB4R|AuCCE$H-!<>2Kq- zSvfg5=ZE#K6bJ?H%327s$x`)|x7xXK3}=f4bMlg+qySa2z;j_!gig*^Rxhq9?Gbiu@tQs(c#_^!WSoV+4jPm;koP)vZvQ48^$_RPx=;fhx zF@`zla@&l_+}Gj&tj#SBaCza;TiX-l5Yoj;iGG2l6FAO@fLYu zCFbgisxQu*>|y+AeumW;-qZWv0xV7XJTbVk)^MACk1TZ#VxovH-`57sI_ofOWi=5Y zpzxm@hO|B{L#GxmPf6jI{YNb_bzU&z46V6qa7xz z=AYneD87Y(2<;YlB%-uS5{rB>#;2+=YRQ%WBLx>biJF^EjNAGLHBUm6iBs}R4|}Jn zG%nLO3ISBnY*&z?C;gnEP)0EP7^}^g!*hBaKL zBIzGAj(jxOoL2EkFC{k^@wpP2LDuN_HV*<$rvdI&LoZpOPk`400zH@f&3h}x@;3=L zrKus$u+InnDi=?ksNob;ql<&zphglS1JBMH+~jJYIAoIkj2e>myb%K|v z{a`8YR7ZJ{X$$=07ZFt|CFr_Jt6^~Ye8Ze0%mr`o{@C75_&M^R6eV2G4F}Hv6G}Yg zA2B)H#X5l`G85uIsLXAwS!KRo2k5@}TcQ1mue-X$6LESiBU(rXa|M3P2_h+Pknr}I z3SwzD8p}8zHII|a#vX~`S7QCl@ zb`;FMNy(v}eu&saezz*UO4wqEUv9e7PgR#lw~1<``LsXZ#a`ZC^eq;Gr!j$63BGZR zJevBrAF+a_4^}OYvus&TdmCQ4zvx9pQ`m;sL*HBT*@Ey}k|9s7-?KB)0I&4JH*4^X z@vPC&cW#y{<`>}7w60z|D*}uiLd8ly`(98xHV+_fEB;!r3 zuuC-Ep9)vXMm69ZeD_8t*qv~3oF~>6ljMK!w$P>0Gwl&r(HinU)*6*QCqeOjM(2b9 z=`d6%AHCp16@3$kf~4n1zSm}wEE~JcqTv=dowT3&ERZo)6AB`c9lKQB@ z@M1ap5;)XnS|u7j`n#g9|EVg_(!%t0&d<~m=Cj|=sKEbKZ!4R!d<^$4O>n5+)9-Wq z7xuG(_g1D`5AgLEv!So|`CIQr|-0+x79R zYdK%|Rs3*2R0)_oOW_zUn$htr!dcik8wq~6XZu)v1!(FBw9tP9T?5)bZh9DM1e`zW zi4cA@3di%y4m7Tk$&bX7J{{*R2oS`PTG7iWNlvB7Te3eBJ+qEeg=A!f^4kC6g_$Ka zOe4kpc7u`wr+d%D`(CNmzIdW!za;zWEzD>qH&HiQ3va5yL7gSY?bcG{RGj20gl@gM z7bym_0TTJYpDbw-8x9!PjDrfMRzjF)r0a|5g6o^L(q@v?0K=wLrPj^VYTb6_Jtv+$ z`Ze0hRqV}w$4_J$On<2l4I;b^At+1Y{f$`HpFs1enK1{$8K0>mrajm-1wc4&RCy$i z>c>oa?4*irir~H9Y#)BUm$}tT?WaG?FQOkh0%Sg~e+C@=T|Rr90eM-kB1c+etjV3Qw-Mv=hO!=gfCg07cbuA1TQXSm0VCz;wu&?9|H$^>kn#^oi z0B*L8@3WSYl@vrS+5Y;L*3!X8V8S`+VHf)w+VsbXlBo< zn4!Dqx$m0w^-oy$^~fPx88w42_*3-=ntgKJ|G8Y3=oYU@==das1rtv$tX-rkuIQz` zjs2!*Py_7(5=-}_hDXU)W&n*c;A(Y!2k5Xig7ww8ri&*c;b5fh=hivh(KOw!`W&Rr zTD{T)23&?V*g)tk8Jf>Teqh!DhTek?`#C3FC)EN1oaFezu_tZDVONZm^})cX#Z=(H zY=ty(*~eKBg4n7WC+MeOlf$4cyPh|dApeR7;YtydODiwY&P<6;UAgEG(sK6|0Fahb zd9@W;F3LPoD0XBi9Ls0T$W-5NHNK*&D4uEAnCBr>nGDT;CH-WxHgA!PcS5yHb&+ib z0cKPB0|}leC28e2kg7^Ujxp&h!v;oAWLeonVFG3_D+7q}V*&r+kX?~|^HfEmxX$e- zj*ceynuo>ZE&gb;Bt_J<1_f!*2Y?wyw*^A#D>vXTbB^i7a9#>bfRfu+*(o)iO#}0Q z-wP352-b8{MUfO}&h$c2_&vcKQ||Po<)!Rj3q%!Jc`gF%X0SubzU_RqOh3RW4^5*R zL3Le4WB^A-`LzyIEa=BF3Nk+1*Rc8*i}zPv)%%Op2ZzN@n;b+PDFjn76Tnm8Y>N!7 z|82xN&Qj&1SV0L&v}z@sHZKoneTeu^A9;UEB={MUH_xsYAFyXb93vt*&fAq=957Ho z#NCoR3_-4L^v=%k?cXdRg^vwx7AnD0bGzF?5tbRr_AHai?=)Gq+i4Q_7{|McZ>-MF4;j_5FYGu@Y(EgjxThR#) zn?{0mq|vI9ae|y5f6a@WyukI6J!P3|%=C|i-7L&YECk?^-(vhlbmD(u#+@#^S(Cyw zF!nUYkp%CF=eRfc8AMteY#k9^NNpU#*(W1<3GB}{eamw4=Xd%8O90edj}tiEs!QU> z>F$4lKU{nmdimh7P8Xf8Dh3yn3YlPJnR7dTu@GW2(9H)E^0~5| zb#f6Yoatg;@zWaQtpOyXQ)BM0bl>h7ZP-;R5bE`l^BhC}Xc7@+d{Y_Os23FOoCXWi zO$tWla%0ZR;OFIW%2rY(o)WL~<)zyWT z@fZL@fQ6x?*;}u^P)b%%vPdJ$k!PhIiN@L_8fWl3F?yx!VH)84(nq(RW&XzoK{xVF z+8O=lf|5yWzmpm#z7RT;TXB06_!Xm@cQn&;`;+AA7VPEzE}Gq0=b^kSvGjmp?BPt= zN?rpAghQcFL!5^L6g!Xrp%J05z439D&5UdIbix}VFIAQuDR(>uSItd+7u?!G5qEM!QS)glM7AhqR{6WwHPwCs3-EI*hc%@5`V$l0O1y z>mg1PTP*~;ni)#$nLrHY++zLWamqlNn2+qa z2%Y{Ao*dUohXx5NPu#o>n?YHin0yyCPh|}-R^u>4UQfeaCK7D-Sg2mgJsr#@x*~+x0ANbV#-03z9Q&0)$ z6Zfy-7YVEqaHdcZPsMH^akehOf{~+PjySjC)_T1J?kc@I^4BQ=RT!PaJN7MuUf^Qj zCN7yAyW0|xO!6txH4YA}k=1{S_1qwxsl?^x5E0K+$H1l0Q=A>cqg$D^EJ{}59S12h zh@1^;WNFw9%^l~2s3NaPr2l` z2`7Da)p@RT3qK+<+A)u(a`Le_#=7af!nS@x${cFuA!$qBr1NY^am5kfMgw1sU8Fvz zFfmDK@$}$q@Oyd)dMcvMKN)0!3j0z_rO`-KBQo47LdHDp8yIy3OR zE(%6khFb|)k=s6xg1pn8ogwQs3xqZbtB{dSxp$qlq`W*6h^5Ol8m87S8u7fJ$s&pYZp5uaYA%)o+H9N9-3|zB zN-_ifwBgeV(!898cNw-(bJZ@0UxwkMfZX>TSy^iLIVYAhwmBd{X7NBhgR8lvF_76y z;gecrZAh0KV?Z5$Rw5m8+@eW;%V~DA&$1J*yS+!i+C(0RITX1&X`tX2EyWfYYsWKs zMn3*pffVZiO=PsK@HZ!O*nsXT*go1-4SaAUpZ>$~SJ#`#g}?o|9;v_DK{f>wm_g}6a;w(e@Sht9o*k1D zSxT>WPt)UoUb&q3Xye%K@ojO72wO72QN(XkqA^4Ot^{41!L7yWZ7os!Giiz}PnV$_ z&WPKi-1a*_ByC5KsVQ&7G(4ngOg7FO|^V8IT|#b9QuqY;9at5}E&iC4A4S}?8* zePOdhsWrMnlPAj>sOV*MOW+UyPyqoC(MI3HANrXg$bo^cvLnBq-%A%Mn{~uXz z0Tfr$bqnJz!QI{6Ed+OWCpf`f!hxW{VQ_bs1czWjg1f`u?ry<;UU|Oz-TPNf)tT0-=YHrmyJ`5(TtdkRB)98pvCZkEdVh$Sksl1@ksfwnJTnxt@5 z50`n#B;QRV8H){dj(8v*>mjSQXG+=EpuIbiD`V3hkG*qQb}u4wTIy6lIQevl?ueL} zkS30#9+L@yxpPJL>@+8w_*}}NM9-FIK)&G=V=NiDKACDLTG4Vx#`qR%DqiOI56A$3 z%KypvM^HP|ZA%7zp)-jJUy9d8YRS?!(=V10dUmiHza@6ps-qA_z-QbcIS$ilP36;bhAdB>k#Kt=0>?G%GYf_DVw;+X&UR z2|_=WI(nYB6H)|$IK)nCuD~FKCqY+n(4CBb)bJy8Ptn%6JWt9JKdXF6CDY*X+BFUS z?7A_*UJBc9VbrWR2?>LYoQjv}%6`?@9{1i7O4*=4a#nzP?4Eyc!{iBPMF$8guoFH| z7246gPHB-HMPUtE;Y8JRQq+H2_FV-~F?J7se7QdX(Ep^ji&bb(*GQJOThkI3IJ6SI zBg(L%_?S`6ki@Apr$XYNt7sk|r~KH!TH7QKaWI=`E4#(~a?ldB#KPvRJ&GrgBbPcS z=ihF_umDW+7w+{3#Z$`BbQkP37K%I4q|nnVCV;@P@O5TAlMTJC(GI5z4}e$<;nQsex$*H>LkH3mb(*c{NycF^7+NOFU+4Yb57DS z)6}4GQWtl7&FA1|sWS?A?F*0n|MZ0!=K72B|qC2@!RW3jVFB#57xzV;Z!@q_&IL?*Fe_s1e zyYtkEUxb#?%w>_&cty`M{IZqoDwqwzfJvq>YQ!W2Cm)AUP@E*%^v-|4@BCJ!Q7Td^ zK>%PI5#B{;>|3LhCxgQ0^aaYsD`-;f``Ny>lNktF+CeX{^@O1ajPr~2s@b3W4k@| z%33EHC~nC)H`-zGaP0>Y%5;tO<3(QE^{OZ$G*+0<01g%KX+R*b;`_B0!76qR3zwRL z^e2pp?SRvYNRQWBBeyCpeQlZ17_h3>B@HVfF;jP$vy9}9KwnH$F=ba z@TS-qYngVu#$V>DLnZo^FiwhHl#5$cMadkNZE{t-tbAti*DI~Fi>1lCifv`+ zqOWY9vRKcdz2WR8A?XFWd|{KR9pRX}0TX7EdjnokY~x;9F!nEvI9%HLBtJ^6u?GhF zv4)O2WEb?(2ibaCA=+IiDc~$|as^sPK;Xs7Ip78nFOpPu0NbqLaL!C#gR5y9+jVYh zMetFoo(V_&sM$n^IQ+bRI2X?wIWR%YemHT$;HsDVujNm-{oFlXr)~~m@83>}5Y~)A zv%%BmI5h#n6p9LYwNW8|hd6%vILkBM=d3bB$NZ+rLYHGcWrx&vD+G}J0vQD|*oiV_ zxsz~%xYN)q(}@yr#!!&|DnpreZWJ<6b|;aD&+-4Ipw>!H&HFfar(DSXAkchH+xQ&} zm$Gj&`h%WRD=*0E7A1(9^}7DL5&o9&Vg&+t#?#3m_N4cHBjc&dHBXs zb3&ZFOh%DMgH}9;1!dQa3z;BBhN-#^?hL$Y4ewW#kx~bU71`hw>3VP-1Y}dO`E}6> zXfTw?f(j%Ld&KQ4AF(yf^*1HV`J!ec-_YvQ_skec?WSibHIMe!D()vVpiP+lG8%1j z2)VS`)$~ced#hC;9f9J#zPb`~k>9C+I8~YW4H>Rtx>~F>Fkb$_q!1-oQ1&XKA>Vg1X(0BNa+g=bf6S@S^bdvq<7ib~?AS zt)3n1ONp!NJp1cGqPIvnUOaK&sNVKUJTrRlOH;e6Gn4Io9*p>Jo2?N)vW2hy$l8jU zGKDf8?>YDYW}5vwk8TtwP0U9bxVx=+D$(S$j~5hC|6%+XAaSt&TP^kf5Q{k2x!=!4 z#_9iU^M5moIN1NiNBZATEe;NL5XP`P$c&ARl;^!53m+#pIp4e5D)+lr9`5%lFYirG zc2)sC&VNhOaBzTr)8T>ihB?T%*x$iaTzuqw?^pJBYc2t9R)Ke68$J$BR-XUce1912 zANkdH2juSpHgo##v?N}%&V_%|k_wD*KX9wQltK6*HwB5n2+(Bi#3Qo1Ep>HKOUc)L z(V26ft_RuTvnpUqef5{mh@%rCcz%NKz;)WU9GX!fQzk23RSq()#O3PGhkec}C`=6v zGz!h0!sDGh4xUawy#EW5c~*Y8I&MB(FBMa^g2MyAH>-!?WIxZXPx{B-a(KVIdi*7Q z<4uf)(s#Z#$NPNZKp~``k^kl&^#l5snklS?_p`6BzsOfN$ZnUJW3~>@s`P9*fqk3O z1@sy8bxMWcH%igC5`SucfzJ>t5Tzl3>kokg`-o&r4hpu-fpNfti|@<+;jRZw>g@V%#L2IKm97aV-3!q4PhtAb>V(< zhzCkSpiWu%k3%uvQhDzYk-JbxOG> zz0lM%)s(fyf`r>3C>tPx3F`-Jc(OKt`~E&`EyQi5S44dUB8UJ z;r$p5|D{~d%+lWgQs0yiIm}ij_j0*IbG<3n>Xx$O3$E-HM)Zt0&>iI&8nj9xA`%G| zE;3{1_K0zA29|r9 zram6HR4o{}GI$h8;k|wcJo_21H^&;2OqmlW+k;Kc-ur0gYRe;CS*XfL5t|zP%?rZK z6Ao_l5lJ_(Of)O-GmSNz$n_7DhER`O= zd=XGyJtADi1x4$9;LvleN{g6z*CvwS9AnQ`_}e~J;1s(Oj#PlTlXY>a1aH?jk5kT( zxJ;3#Pc#L;`1Sfs-6qlc=NS2vDyW*;!EvIP#J>=_n%IF0qu}~$Pjd`iR#f;5{@&@Y z$16DRssefPt5!!SQ3*;aj*wyyz>nTm$2t$;J}v9B6^5fpxU0lO5!ycJME@C5P_J*- z*C&ara1MohSazLX^E*A>M-bGf8PvrRCPwYW9W;-hzxgCbX)I8G$kQ;^Y07$FYMFEL zVAsOvE7`Li)@nm>cixtMsx6CBn*kvNH`|j{- zx0W`jlERk;4B=(%O8Oe+fiIo|nF#fz5o^&x8-LP#O_+tX&v=&|MnCX*XVT~PrT6{> zfuqoLSd{HPaTw4Q!wGqDJ|UngBh+w}PF-?$8ZWnpr zlTYwYW153Md-s30v2N!aoWyO}N3f&xOR(?fLv^ zj+(Z_EQ?8b5`=X-HC;7hNuu!M&j&i@uEgDIV(@q1@5D{ifrsYc+5EV1?7}!t31Jo6 zcVmwGTmoTL=Pa?&7GTR(&q|m0&#duYlLpzY_7#50>eyi}Gm}_XwEqh6hx29OwYsm3 zs~mm`i?c7{)pQ|ucK9#I^*3qYs-^+$p1a?+e6Rd*a;bb&w-zyc4JxjA6lO**t0eFg z`;*28No3Qc3!+H3o7xlD=hK%h7-fh(vqb~pC@Sb0O&wh4HNa}PlHIN8GGYO#k8E`7 zPrhDxI9&Ch_0P{ZtYSq!(n&)_bp3$%p08A4t~U4ogM?lFud%Q$Mk~p zvH^+pa)9Vs$UcE;Bfk64Y(v!6eC;H@69F}rb{#TB*X}c(Hr>Ns=1!=UbwzBJru2|U` zekyXn5IH~RGgi+=<=15<$PoAOXmjrFYtgx~Th>Xu9k5#aTH2MITGWz};--^is3-zA zrBR|^l!Y!*Yq;)Ey>-6H%dy#%WwV{5=iS;EuHf>)cLwpYBVV3=eY4LT>y;VYrWgPu z4P-7^KD|*fPtJX@gD2y2)U2GDtADD@KjcL^Ckv`64E(m2h_T5?YOOS_{Utv5`twiP zre89MCxCBS9ygx&c!Y;-GV_c7j(pQmP207Osfs-`ycnC==vKx3sCQ%ySG9HcV)hjfB!M?*c*3qxBoOBmmheB4`JC)r{|D2-@sH@;dt$@! zZv^-I_1{W-|0}`a;C)YUCf;EkYSUO)|AL16-x&=b$ZMMM!+-Su$k~)Fz1_(XpD*!^9 zApoV!V1fi@ut2|Nm?8N=jx%&noSdN48EO#EEbDv6!3+()w5_Y#`}FTw)xV;AXJ?!J zyU7E}pQQsuFJOUIX35$9v%deC`9Jv_Iom&D{|W5BWBEahb95lOc^r_{92wC+j{mbv z&VSYD0m46M7YdL z_MgX$obA8!{kJ;9Kl5>eoR*0}&x<&qyycJY8f#d_} zt`LJ@m$5+ME0phjf-Cfx|Gy`b3#3N(uL=j)AY?Ej$o)M=SuoDK@#Zo<4hPr2r6>P4 zD}kFm)j=EzFZBWr>YX$PF2(w9pzn1fIum&kxQ8*|$ z?zGtsTXbXV@Z#&PrJy!$bU&@*`3xMWP*U?y0Y#Rn)oTqmIYdWJp9WU~CnXlxOzNC3 z*Gr4hscx{aY6v=rk-)d|__1su+jYD4yoUENy;C&K?SvGc!_E0b(Fp~MG5i&w3(I}Ii_+^`PN^T1PF~J4dVcAYn>Va4T1SZS zpVEzr{VB-Vn1C248%$pq2kCX`m4t{riJ?;)UVj++H(s>eHZ>Msl)tAO?)XQ>VUC~R z6UgA*$nrC%9KgrUa}I+ai*1B6LTjYGHUS0Kg*?~;kyl)9IHPYhpX@JF4DHX(z+YSG zCef!L&lXxmi}Km^VRCz00R&+9g#b02sWX`L)A{C8QU^V($`TY$SNME>cq>Yr+lZ^l zN93&oeH-YwlEU7WRO-z$g~@RK2tGHgP1d;mx>gBQrbtd30bhKQz8G)D@5somGk{xo z0$Gj=3HX+xf#@_?1^q9BFVS&NIT))|gFeJ=QtCo<^}wqo_4s^cc^yiG_9Gjrgp%`~ z-3`|blRGxu#l+CuH`ZB1hRwfVqQNNXe4okX(v4yCi>@K1i`I0%(A>n#K;lWZ`{_05 zE=l0GnPHUm7B_)(4ek2=JOx1xKY%0}HHCC=4!?PJzD2zznpM=ksOp zgKImn)1Q^gjt2^Ve6oJJL2u5~D#~2fkyf;`(r1{5(anYHW`vaxp+2maYTzaO?_25H zdTSe)*PUUbSKH>rYw~_`3@wl9faRe*{52A`$_(D$oIiGdlW4;Ys}uPRLM$ft_v%Gb z;X~~M)ic2>o~XhHe@RRV7j>ju7(JO9nswT^c&ZBlc~Od7H^`bK1=kouu7y8FsMX8k zry&!@XKemyVm*iX2=Kyi<(4eSgE6_y{5ziv77Cd>)ePE?y;m1KKkKFN4A$pbw{ zT{w0H3z^UzJ^?rL{qMu2J0B~zHh^c1E7X=0`VSc*LN$sIE z;xgVi9V0LJ`asBYNalDhrq%ZDM@YT`hcc>W90yGO$MtQ?h+bRGaWd$$ru53~?H^qP zEyGY7woidT8#)vj{)AF^BDv`}O(5QNpoB0=E_{&6MW8rS;2$<<);pnDmT?*poAizD zZjTRJz={~r*o4S;(qR?}o!v8u-XO9s6AocQqA_bS_j#q44ljIpjb-@s`$qoX*+Yrn z89iYjVE5-xYq}0m(v9 z??%)@^TL!zb{1qTEAC_j{k-ql8Qc~6M!bs14TxQ28hzxOfZA1EmMI@`TKN%RG_YJ* zt6vZJ&JE*?q_P;fT9(|_-L$uISx2ih8afAD`)p_YiM9PwR!hWF=h=6WVR8GTVmR`u zPSRFbTip;lCPdI5NX{@*Vna`u4>UNlFS5lP$lm}%jM)MTzZSwpa`mTF-Q6yOfKhf2I zv+;|TX4!lRgpxD9k&VI&5j(K?ZE$o+(^>_l}DZ%n%$mBayOVMiwd!?G&y>>(vl4p0%~nvY?MTHK`L3 zU<~TV!lS7fE$9oW;u@;R`+mZq=VGpH9{0UE&nfsU!ej2nb8YE!0n-ZT?^fSdD6)P% zxQF*IiVkLu5Cc)mtGDyT2gkFV#kP)%FCoWS#D*A!;n~?MpO=hMn{abtW*iKNz|b`` zyBfq za79@;MV_ODYrwk>sCv6rxjEWX<~V$(UdC09^z>2NKT-1gRIY1tkiN-dR5Eh3$QsUt zejrWp!(xLtSl0tdDN|&!KCOw~1%q6Yw}~E(#a5|XD5f}*=Cj&=X zPFSVa%KS2t=;>RsAiA9?Gx6o;1PWldmII-9Cap zJ+nt$VkFqb!`oUfvfezihbB-r9!J8T8eW(4z1lEjhXQkRQSak0EOhdrcxBG+$R-nK zkujKRC@{GSW}w7k><+owA(Jrfjg0MRD7!%C=jw*#qm|VFO*m;T-;THJ^$nnmMu@WI zu?&99&OVBF3$&YuW*a_-*q#Ex zkr=+R5of-Ck-^Y?AShHyZLT4>B7}Fh(`1+)k^X%gddKq0b$@y2ly~c92W<^wNV&Bh z^{<@(DPKFS_=LMB>)9tm0bzg^=dcF_pX)NV>15QxfYQ&B6GNqeHOT9bF#P5LeD@s! zgFBxo$jfj!xkqSk)JX)wO6AJ{czzWI^!*fTxovpE@HhxR0!drmhJmFBp2Ih=%dyFthg2?vBN~HSHU=_=Qp^CDO;pRSmE81HSm18YFt(}8r@qVh|W z)-OgAgD@^y5w{OPec~rYw+pcFZU!1hX|pY}KIO==$U&s}Qor(d$Y({+3q|lYaETAU z`Hfrki+C$6_>>PqdX_FdW*FqrvaE2b!m*UjAvwZK)EoP+Z4ixSuD9(&!Q5LLlyL;T zswpGK&=*nsNu$p(N%;cI$?Z1?1P0v{3Gx=;X2nh+*YhWIP`VgpbsF(zFJgzk!xrGc zgp3~fh1EXIP%<_Pk=G5sx3qi>t#uSr4+%AMk+*+$s3|70B>d8=_y( z4LjoVn4=*xl#_@l>iUstwD4azU0m?e6D<5j@3QgeXxYLDZ{R;QW56+y8i1wkY3Qd^ z``&7koyBPwWf#YU#;#AOvc&2Jo4I~{IoP}k>Sefr7nFZQh*IkQ#hw^rts`AmxsnL2 zg*)wa2D3~mgXj+s|MF&hX$)RW&seRqq2R{Y8Iy{_RCVUS?$fVr@Qp`0QmznS(ML#~ zG9DLW@Y8Y~WC@}(HC1z_`YX7^Qg43h@Y8dH;z9l3M3$MUTeJy#wzTilFrSs)ITv!8 z*a@!Zc#u5?Nt3KLR6vvaW+IGbjb7xr_W2C&)ubSIDNhtYnVpT;O<`mHh+T%#Tss(v zgEvLuzd(zHoT@tJ$e?wSqRR-+oqCJbVPN4(xdx{tD{!oEz)#-5bZDhUH%G*EDtCaW zQ~HZ*eijiX7t*+2hgrTE+9WFdCj$rXEHafdOtv$Ih+Qy|9!|2WK1Pl8dgMulg^n~M z8ME1XB}f~vOkYiAz*y4r#QFXe@<7%~&XhT;h%L@~!rB!QF48kcH2}li3F!ypr&>71 zj7&VD`CGgx1**G1y;O0zOcn@;^!UzaI-q@M zp}&m}S0|;u7!W9ue|CxfR7X!t2aYl|WO|?ggrQ(Td+B?fdjxxjdxu}g%3w{eiN8q4 zME}~Vaa}b1puKNyc;1>6I9`Cij=V=k80R-AUk8`t5pdUJVb9o*QnfqiE}Z=#>mxOD zRj52gz^T#i9h7VDKhF?z%3=a`)JNR}6>nzPRj6d70u^I3`1dh%z0MPB9nO&LS|*e6UhuU(>CLrv`d4aR!8*8pO*v>Z-tYKQ+d`RNGKbL5H4MZ20Y}2G;u{X z@@;rq`c)~1UZnLiLqCbrhPnF;$j>WoZKtHzZ$o$d+^3Dr{Ao?ZQH%xPR+#{@(g#80rD`@*MEzcJgfQ;QqqxPkd)6}B=K#C;?N~m&`3?@CM*j67; zfLf!m2sPeJ>qf>KX;36$*i37X8kQ$U8E+CHoVq2=I#RYrQ>us1;)9SSuw}sw7X6-1 zn;!1#YTcGSF8tAiAds!i<154mh6_D;9+W`NzdkCx-8ss2U88b~H6w9b26P)vqA-bQv*bdUTZ{JFyaj%Q(_-JwzeCr?AI z@I&xq_t)L!CA-QO{m-z2;gJ!!W}ow5q`q2^rebgo3p*e-%eUz36%2I(Ip9mjJ&BtJtNP4{*`UvK{#_4{v=CkLPIGcI}#JhnVKxpovMB?C^l zp@Q^YJ>T@j_TvP-GJYwVXZTZB$RCjV;BFc^@kzm;L}a+8mGDpW;R6F$yN%u6TwX|r zvI7iB(m`NrSqXj8S9DGwK1joFIw)xh^u*Om4DtGV8M%7^#SO(*qqwe(!rqk1@RMzR zdqL3IJsusQbjk+i8*;1LeDz)N%WX-PjQ)(Yz6LM;wC}W4dJ3}B{TE)qR7DJ!bTh9iyee;6pM*p#7ZLZCRCUQY4rUZT@+?TjnL#{5X6b@CWIa_QBiWcW(G`}6Wup%u8mp;ru$yL5`<8vOf1 z=ydKna02d`cVh>2+xpAJYU|8=ghwgKon9~8P0-&v2!Ss^_YcU$a>WhdOJ4i#4=*%Ot9!?FV3xwLueeisqR>3{>M4r*o@Z{3-3%67xr=^Ff8X(Qeko*{e(StXh8o8?VLMf_tx5R60x_uPXb{Kn_4b?CTb!whJhqFqlE7g>&JGb7$s}*=? zS#2}LsrD5*Gn#QL)yEmKPJsnhNwd=L)rW1+ZI_OL5K=iGzd>-){FZX-5YAaDxNkL> z?!;|Nom-$_TBB-0o#ShfsK&n4p+I&wgyN#caR@0T%WA^nUh!{gcQX@s_6z3=>Oi{S zy~Aya4^<>|z2eu@!4Og^P23u<%I}CqH}~OXjP@ZoYOd4zAf*d zL%|*Q3dUol;m~7)M9p~}^(%Y{v6i)M^k~xU@__g(pH9+EfB#cSx+JX>1tZX3i{`!` z;@D%6J$}v<)k_@J=SLXz@@z4BQ##6p1_ydXdkL!W5g5YStD9-J*5wGA2kgnnlQytNb5tQ4 z-83%oXV-*gNIWfvq(tvv0+xy|ss~fAiFI(svp8}1YM&f~WZKIZG9)}R2YY>r%ZR5U zi7m?vD^ftQaI^F=pb0m{t&&Iv+csgP%!90>w#BclZcTp44Z6mAYt+VU4)N$f1bp@r z_ziP{Q7fYoNsAykmeIQS*SrvJjEf1A6NqewbTYR`1ytHdciUl;>pgjC|EhG!vI_(B zJmx<&JcMG-NiLgbJ18}I{HO*wtoo$jsgWqJ#TeF6rz(uQhW{d_2=61%WrL^L7@Ngs z{En&~TgecJdU6|4u~(rAs%ZtDzjy@MH`>=%&J?$4=18QWh4Zin8#%a)E$`SqOn<)S zfBBex8&lMtMgBo85k^YOQfWbJW*171-61Xz{4)nERbE^%gYguq0Ch{);;0_~aV3SA zeS9~p*B&2jY4@GYw1k`*yoTx^WvsYrpzC_g$!;0`J7XVCoOUD;2JSm>;3-IgR^&U8 z2It5(PiTOG_T#ZUi7>N#t8y+=3o6>%SU&x*N9UKLCkCF#v?63PvVABE6y3+&j)&;| zQT39fkdoYSi*I>qy?n$+&=1fSF2?o>Vi!j~Qbj}kO)I5wvW{Jslb)tSCQFdoP=2D+ zkWnRKNHgmVUxtV?mAr@m4iRbNQ4(ma3;fBqDM#*U0ypRisP(4TfR0N z88I@|DCZCjJ9y+Z$m4f)I&tI6CwzcJKe*!N_!W5QNphTaBTFj;aFT0I27dfK^!ADe zkI_RNLHDs=_FLu7MZ+r|n&?c=f|%RJ)Sv5nk@fYL9j-S_dA9fMC#=?V0WC&~MltD9fgF7whNxVEYiBVRN?_zZk&fLjOcV@^?#C zCex@E+k%dpLI}-~oe`>}&k)WWH`~EQuo=SaP0gQS7gE!>*~JjK~Pn?hKOQ*#A}4z-g^x?(z4Qw0l_eJ>xy*rV4$ z(qU|mQBPn6#`NTRnSW`&TUmJBPwjd^J)mlawCjM<-R;4w zC!DCfH3bbIb_V4RPHd)L5qYb*3`JJqlct)JEC104G?N0uE9B>t2}X)J(C^~aQ>&3% zPR6~KvUi|zWWook$X1;r!#d4PhlnMF3(VQiSszq}cby^n>MuVmdEe}ed(@ld#bSv` zAyU^tCMaI=>V)qRmX7vb0jJ6>==^kIv-y6E>>TEaWH*Q@~S?W=;8&5GfUk z)kx|H+?>r(9xt`ErQnX7+{J{gp~^Oh(M;{Pox`tJ^%&dm% zvr^bW28B|j(t@`;;PfSb3zx5LmlrY#Hum-NFxg7jy? z^e>8+#@HQ-N<<^k_x_Xae+#m3iEEc75nu>l_-lJ%k2!qJ zRQ)7&S?MM(O|`5cNrX;)%j+8I!Q63eKMv(vmyHYz{SgcIF{M{n`A9zEkx;yUNk9V% zqtsfWe7(8lHCgwdoYfR^&`gcrAU9xtc|1+mpgIz{fqC~@NPMnbQ{_q=GBQ(a1C0OD z97f#aB!RqFeA!sp=b{MWrU>k(2uu*UjG;>YRzYcG>%)(-pEH@xr^i}>5*$tH9$Ta; z$8Pou7$N(~rJE91$7NVtJa|gY7;AyX2&vjcEHy65CG~Sh^YU zt2I9U1TWHrJHGbvFs-)~zq~GseEmsgQhyn)vhKY4d8HHBUm76mH{37Y{=OZ>(D$0uBS-+w2DwCqI+5(+$8^*mg4n3?4&-Lgmb|Kx zWBy()Gybi0hB}YVRXqdtRFOR*J4>3jWnuXNWi;Ge?otPjlL_$$D9Ir;XdQT43ZL#n zWDiQaTl~AR)6kiBi|3TGdiwfgIK&tGLjLyMdB32J@<|)T;m7H!Hnc?m?@&ohl}vFq zs14{pFZA(Os%HZ-GBz}3#H`50!oR}=eSJuZTU95Q)uYiL8ScW(Z-!N)jd3^+4MNij zBXdY&Orq-y*c)h>+vm&umL%(-H2>7KUV?g4j6gLpu)+>x_f1 zqB1j&IYyDD90oH=xYAENwCz|)m}FWMBhnISXRYFbNIB7O@}mU^aF8m=j`qE?e~xzM zs}0m3;d|0Ox-G&EQWBCP*;%I<86%}Hy6x_2N%KQ{%uFz5(EL+SDyyC3pmHm`c;?i} z>a3&Jx44sWlBr~Y&%Fm6nhlRHe(%=HTtIYfL#LHt=sDQxLD0|FL7v>r8+u(v!cXJ#1uCI2OGwwr(6NSh(i!WC>dn z^x%y^`93jNg?Nhf|%m7P8i;k+INI<3SxY4VN1zU+w5u0>cl@AG5BH`txDWz8d``>I&UqD;D^YE?r79gc!3>5d-MA6qWggm z&k;0$R^{9!djVH5ERZ5=oEu(zIM}9?RE{4BkC=2qHDOiQ6n#czQP?N(FD*Q(N&L%9 zU4|vl^oo7hS|9k+i89d-v>fZLuO05D75b+*;YlS`qL?mS%QjGpz{z#CFB9bl<9&>+ z>uikK#@eQ;pfDMyU18Bgw1OQ{Acho`$2SRJ-g3(#sKEE`XVM&hNsSz_7mXo*kP-S8 zr~jiYP=;X=6pHtEX5zjX34S8L^E|Y^b0qu89O-SPKIZvnJ-2!@_kI2kHE$A}O?~-f zKfHuwDNX)t(}?gg4jkof{sDTB#sDWR#}p12k=LiiTPZJj@A?(GM;Qmo;? zuR$%%KCLLNnj@Pki;9Fh<2{A&6y(ZCN9Xm;fHc3sOXnNgXBeO5TljEzAGO7s3^UTf zr`1(0CB+YaDl$IC^!xW|RJkRX8*?ya;T1;W%O*I>b)yNP9S8*ZFRCfQGn$)*9(ZD_ zTQyLGN8+&zR{9W>tdFrzKsf)U=4v+tk`kcxEI3KH_r5Ja+Qa?|@yaL}560D5=*ZA< zM~C>O1Ff|e%+ScI(GQuRl9U1|CmvD#5K!Jf z_6T>yF_CGJ>1gdcV?fm)1x1Wr1S!q!jC{Y&&>7D1*w+l77l_%ekO ze5)ZY=ISeG`HkE&&U%=Zfil4fhFwmLW#k2V9GVp_+rFu(x}RYRCPystqMQI9m$xOp zfQ7gI<|nu0KXoeds$O4z|B+BrM+um($X3 zEbI}BD;scPY0m5)j(3%oZ~ru&*^8_^D3@!z zpsiD#dv*16F}@|dfu2*gmF~lz5g$Xgp}k@T+eHKszRajJXDBv*JbYV{2x_;PbKkkn z5TAqHO1>h34^_m#fF5F`P>faECXt;*a+a08<@?u zs~s*EX|X=X*-`uS@#LmR^QVyIIA>g17Gkox9ZG0>XX^H;3Vcv~7Fu}8z2&#_aAhlH zD~L1>pP?djP6o{Ky4Eea@-<98Vl`{QVaL& z4uW(BIO)4|hVXpsABH=rjGbv&tmv=jXEs<-DXEf~!sRwt@M682=-htb*Y3wUs1F0s zMQWMWFl8;pXS7Oek-2edYVCR&43hLkeo(4=h#v_;5k5))FPWj86TB$P_A=s-^3r!i zoXKz0xqBaJ@c!JU96-?rF)>OZGFd2gy;5lJQ%fuLCD-2HChU~I`pIt<`o9Pb30&2` zk}NcgwxO)g^SyxgC5hJfD_h8dr@J};STcC~#hA!TGW-Q_US{vu^_VT~I_Ah9S-CH1 zIrJ6Rb2v%>y*|+j0cZgBj}$AKA+arpZ2=DkR}y027H2P`yF%9K#N%A(w^vUs9@#x9 zn<(x`|1%m${W#zH!mIJDlN~GkejKMxni!H@CKY!d10f@OyacrJl#QUK zr)GaihWg4J3nSU*nj~Gu334%6+h}Vzhp?g1AD=9N3vRcViX`2vXdlP!9A9;@1HqrI zZfoI*NX533MR?oU-gx6%8fk35S-ffY0&ypbBg>lMxT*=bFapyrL(@O>CwXXa#b{Zg z@sDcios@tH!{tk25w|{hDpi;49n#(wXNfxAp{D5j6XEmS4()!WSH<&?Y)j~Ur&-(o zfwUL_zE6=lJ_O33wfJC3;i|0B?cYDOhY&I*v(|Kn*1ddpxo25-ao^=RUuA#G!Kk6* zGrlh@<*hnDGNE{GxSm!x9~F7w$=7BN(0l^wQiv%p+~sKoUP9RlAk4-UvpI?An}d*u${K=T zK*QRW$p3By4qK~h&}&+1CM9sz)cH5mQ*e~~yPiJl3Ubu?H#SY{?&=;HVHTNh0>1k( zdpwrMBh4<^%cs(%*R0n~bq2%dTxVWu=S<_*Pn(xWUPY8unkci43bCexhTxsDFHjjA4G7mWL$_3s*};q^UQ%`I-jB z&1{I*Y?S4aX}XPzc&;Epwu!_yH+7)pXaKls@C?bZc})}_`Ps}CjIgK-05{vSfZ1N7 zp~0qQg(GICl}VTnXB7lpS*PZMDZ~mr0q(^i8O zjbgP}8u~TWmX2ZOP_wc_34*6(wbx7maH1c}Q`-oJ8F5eeY94N5bi{%6@ABfL$uAJvS|R^@X0=u!S)7udU` z(RuT~j9h3EJZteg-sL(z*3rst_S)u=nRj}K_`B7Gp0VOT3C=QI7&WcyCSm%GW!Vk? z?fVF`C#3+pqzY-qx0D5t2F>gb)gQaN+G)y_Wk~`|(E?1+gXJL#kpLXl$gD6K@Ab(- z0`KRCw=}#*Cn2^gF;8QQOCMx7Dq;fMRhw}#E9R7C@B+AJ+Jw$gK4y?+zK%r3odYz0$n+C@!RS2g~PtwmkFG$*UM#Mp@v z(*aDA6^RF4YY@DUEKnerU>LZz=OPV8;!Idhq}D%a(uBi!luyhrK&)p>U35WOnr z((ui`N8DtJM(2)9;6@X8<3c{pAri5>l2Hk`I6pG4uoqi-W9@_`k!I8%JyA`Tn2zfs z6=u>3YPI_GBQi5Vo}d5YmaZ*W(H*vpa5dVK0`hd4)GyvD7O?sV&Vms^R?Rswpd0H1 zr9B=|`O#p^=rR6Ix!Bat=rLH=Mc1X*iX|1XTHn-5o#TnwLnAwDDKz)B+1w2ji-UX> zhJV14EZ(sS6LV?a>pq*BdZba<<)JZ6d!RfeLZ^>JcXd zhIrEOlV>6_1a4Z&>VA)&XFrN$z0KqlARPPw@L?(GimgDuve!7%JC}?79nh^pj9r{xHE=Wu2J}&4Xez;nyU7(5q>N z*!R;AWju$5#Aai$DlZc+ouR-(rvPn1aWXUwSva+|06muxHc#KBh^nQA*(GlJrBWs- ztjsO#N1BpcEQ`fg;)xNoV6L0msYssRigBWXrXlhlZmB_e<$b}7awR@(Qal9Gy!qR| zg;#akd`!69?(TkzYp{$2A#gi*Mav@bWrU}FPk+Xg8J#q(W-QH8dgpbFh>ZV#08l`$ zzfyPe83XU8!l5RA7wF|~s+)tZZI*iBR|3C*Y~Z%YZY31x;p$S_>QD$_C+NkvlzAHR zq{ec9lTCSoV?0x$PFhcb8$p275-uoabeD)fMmi6@cKvT&pI$ZZlHBQ+=UsK#@c6X9 z;Ky#ef5rok_m30~&iwVJN8T(dFPm{qe+M3Q>z!jYsqY$pu71p>smr%PPu~IrI0mqt z!&{kWme`IsP8~?G!Uc(3$c8~t(emTSX#>e)IM+9TFUW?Ws-3jP@dHU~cu3M(9^Ri8 zMpOM$pdpemV;k7Mq0!op9A)iG%h^6v?h71^Dp?_Tz`U^`T&PSnD7KQdQRFm_8=zh!B+LO!Kn0GFvGH3=r zbyi_G+R77Yrjry}C@Qh6kuUv4_8{ta3fggJ*Dc+`!7rvzId*aG1Rn*PrV5SWN*N!j zQ4FOzWQ41S8P(NI#%SM|$hhi@sxwBWdZn?Vx_xASr?G9=!~XAvpD}z@wi~aKS_&Zw zJsEnoYG3Guszae8RloPWQzcLG<6;)KrOl@)p`d6niPlJocP52O0wuv@O?5*P-&AuE ze_>5pZc8qeS0p#;+x1uV&yCNMt}zV`%$v1k4S~A2H+aRctA~+c(OO4~;~vMOj+{ey z)bYH3<5LIcc)|9B>`yy%O4bgl7twxq&_;M^|2lLl5sX?IjtV=6BgpV;MxzoMco#IeQmu<}+OUP@LNwkS;w}D90ek|WWeQc86|stu z70*`)O)Oi`!IbMaEnpylWly?d6g{6ZX!c4|Zxh+ogqs31GDdG6kZq56pgdSwYukCX zw*FX~kDR&EwPi1fM?_L0wunUZ+6kFnJ6|+^pAl)%!C1A<_%sWai#mNXkr{m=%YPAn zS*w|sT%*g@Mk0~d~_ur>F`f*S@;qW+PWP=xXSX#RsNMqUVNlfQM zfE~CWaml2vJBESz2d1DSTB&k%4Cb_8AQ1JkAXjuS$kpPaToP!;O<83*3tv&KlO^o3 zfEUf)sJwtMHPr9(dHsPz1t&@l;`3U6nZ1o%^Wp<5pMP=c`U@LZy}bcy^Yl1WI3w2Ch7G^@6%JE0+JDqUe@+Q+{;YjIA@g4kKJvu3k0#{I-r@#~ssB z3ojmV-Kn#;oj(@8Qx!FSbq$E8F#1M zn(aN6gSwy0<5;}a0#2uZ;ZK++>ugInE+)xdNs@`gak9b_qDV5&0h*s3Ky9u-RC9Lu z-ZU2zMZxy{3Io1h!HTGXFtvQYLMEo+m^Mpm*VbxVG(nRUTT&}(9_y5tmC|EClmBlL zoByv8TctkV0X)kvOagh`!BDGznRyYKnJ18;PE*r%p1IQOc|Bb2*nZvBAje>XSdQMP zkyNDxncOX>rZhnp_U@a~B&X^uu&zl0=}bcp`$7QLSs*PvM*6Voxr`RG61%OFv7Z_ zVh;IDCFxW}Kd?!sS&B-r@ii`_d@!yw?Jn1GPLd}pliQ`W(iUl_#3Lyt?UJ%muXI!r zCF-G~C?HuLDh7PU7@cH)=Q=ZhrRGqRhq5-B;71)^0Ezhssg=km9V9DJ5RdL!`XzzN zw0ujSJAS&E1p@k-sS)a`uQy*UP}m|}oS%hpI1LaLlr3d726S^dRE4>hRGR3Ytgox9 z&D%ER0V_qvm1wMYjd9ic5?0iJnBhyBuUuVo>#ckC?)4j_HN7T`ths=EHPE?$o?y~i$AssK1tiCK%=eI|_DY1aHDAs#pk>*bFZX}863={v zfW)t}5}5-Uj6*Pix)5jEbPOGnd1WK+yZ>eKdr&z3`CQ&|=KJ6c4Cwk1)_le#u2o(# z&79`F+`Qb&YkDz%%}WjhnT;U3hnNTM0-oa@oXF*_kY4}+YzbONT2|@n$Ywrpemcd} z4mlhPWB7)H|24`4*Bbv<<3P`|nt^$Sr|g~z750?fRWrZxt}BntIZLKEn}KQLE>?yo!dq+8Ft_F)%UgiqyN=^{vG&+7r&pmWYn$ww+jay z?j`%yywKm*_hSyd|042~!@(LXTD>0&5N0H(Yl#Bx&51BV& zggag=3RT5!qgY`XtkfN`kNG8%PAh+wWzC#mDhmbJNoSDGeq@Bo*|nI23DL7$nUCoK zK6XbR(+_-qgGmzh<}mZZ(HB42L=9|<5AQFwavkyw5v_(CXWnWGu(JH~X&FZq=Tcfo zA4{+FG3C$4-gNN&?1#a#Xnw=t!XG?5HNDY~hxsq^UsUmd{?SN58HsO1H{#9w2Dw99 zr(a`SAGiZ`;yd|m@>cCueVcJt;5FAP9=DE)gGh&eC+!-63p#U%Q*WrjJK)qSUjLGU zmGN$}3?&O{CktvP2mJl6baK5j6$8c2iILNE5@!#-x4SN=f5is2y??>=Sq^hOWZ9lF zyHc55sm!XhCtbGQ$8w_MiH4XjlzjtOxLFwNvNZ(4U85&G6K}Gy{jV;(Ot!o z9^Hl*XBwI)*sej)&Zx}8%ozwu7_(zxixQ;>N(z&fIj?{40IyuT`h%BxKVG$F`(6EK z-g>M5%)M7`TebYQ+m|leG47(BbGAPH+&6E3eu|3>dvN8hx8K{f^nqbDhjzS}Lm2no z^K(3R`K`BHv1t3P=W^3`&U|vqH=li)^0s+ESuUU~6BXh9LApw*;bLC!78^!Dv3(c{ zvzQnyxe&8dkl$h}L$Zbr=QFtNETJKHvZ6E!O+I3@K(m*a5eB!ka^aYMjTj#sl89t~ z-7__V7q^og+79Qd4ndK$AerpB#CLIMVq~sofp0-*c4U>bN?YVv?OPRUk8C2>iW{}- zo!i9+rTfiSgKv{J#W%J8b%qC;Gz71|cFHYaYGA#R0)!f=V5FD|QO2tU^RsAFI&Y#Y zJ4*&ryT6q6y(jHj&lE$Qq9&qCC=8^3%FFqx$VPRvv)IB~ruH$EJ;O3`3lrpNhDIJw zyTw?@i~tM#es1_V;m2?kvnd>HkuJl_u+lL2u$4M56r|3xEL^|aXVOSg zWrfFN*#Rcto+Qp&_4=-jyEjZ&`TApTY`XWrGn+R*^UO_~FV2wHF^|vx@fCZ2`g3ph z_xJzexd->-@AW_M=_$M%ul&b~ZSbODIyV>Or-}>`r8xE?C~pH3=nQ){o?v%~XakB3 zs5T(j-6{@f-Zr4xfMm~VmTf??dsvnS>g07g*#-o8z#hy4iVdjwNzr4{?pA%d{;>WG z*!aRF+$F}hdCrZAjC7Hc1XbgIBt$3V{)Xed9LIA8BD%p#+zaFdBqM@%rBuZ8PzC)) z1F_3wFDhbj?KTkm)Y0eFQ(BY0Wmc1(s%X+nqp;8CXtjOaWFM4_?9+Kg zy=l!`y25UKjikEyZDFw zj}e}Hr*9P^xjwKT+W@P7B;+8S%qA#8xWJ8}=FSy_p$5x^0+=7112BLrB0qpNwm~Wk zCacJzosPl}rnQiP#1%YPDB2!F$#2uQZZ8dCqr7UHjlzKa=&+k%mq=F0{xo(tO)E92 zI}cd-jnn{3wGC!fTE41UbELUUUaqv8JGhxM%XB}oTZ7Ig_DG!R`wF-ZseS_ey;micHI7vps=T%{BXf?OrHQjn~Flmbu~Mua?1*<-wBG z^J#~cZyInof$1c+IOmCTG;@O^_5VX!-}zDBcOQET|343ZO(~7mQ%5F#v40YokMBQl z?Ok^OhuoGs!BbxdNMYh;7RC)&(4A#MqcB+zT1v7dq@)BcjZTQt*)QTa51k5giT{#t zM$QiHz_N$78E{!Az))H-XuBZU@cO1Q*1 zOIRwrUGy)1{tHt#eGXnEC_)o9RA1Dg1&7Ri2^I**;K1CM(sZ!wsD@1~rL}8YG~S8^ zYD_E8g85wIGj^oMn#iWv;$NdIi{hxp0>2c8wGG%s?CDV!CGJbR>XF+f9o}A-BJYCc znX*vN*@A(~^XO2TD<|aZvpV|il{f744!t0~!#yOIfEfV<6;AI6vh)tGmEKW2b?BlN z1?e5E>^PU1838+guItL@J5v3h{q)6Eq+#B@8-M)6Yc~E^IN0~EduHDAoAv#l_P_Z( zeE&=H?l}D0qputWQ*l=A1b33Z0ENjyOCAU8l}D(wvssZ1I0u#qu>m?ng{wz2IBs@g zCsl~(;v5{}-BC>nMtKc8e3Hz(9lAB`i1|6J369JIdiafhSC~R$9?I0wAJy@uDmpHS zPV`I+%<;?#w0qhE-zDGW9yXpZp9t%+5mHx@72HbU8l6r6ep277>{IvZx?kU>|BY~t z(kq--J8yP!PE04JRE$KlQSH#IooE+2hE5>`Ih`6BY-1Ff&Q!XuPVsW4on;O-sm@Uv zK`g`ibb+6L{2v3=P??r2!5EkwPC1fRno25<&p4IuH9Xq#NXMXv;aQ3y*@XDR5nW zp}zxkKF-)SIJ@pNRWR4tmL(V}X6872SvCY9tS#Dqt(;)D!|8PM!TbU%nwzPUnlxbK z6yyZ6#Z~fiyzI0GiojfRSJ9_GdAtAL>pr^uxj&aYAG&$|j%S~^b>-dow!r=)xCpC1 z#$@aBk409k{>AHWzWhxXfsrt92dUJ@0p>LpZNG?Q1EOThU8x{a_jy66y2(Eq5{g(Fs0 zSYC;W`{FL*=`Z3t`y+z!+;d<2lX~K|BgCD5U>Ve}(!M(YW zH<>$V@T|xe2sH#`m+ta%0vPF0LGo&+G)G#zsOIxC2ahN zHR0AbG{R|5xTVhe!l_gRHe9nM44SapnmOeV9a6bN)FarCopc@)4D?n6+B*i-SyPe9 z3CF@<8-|xwd!T)VuK~)4H!&HS4Zs5CfmxmwDomzAMOM z+i#e2_lj_K55oL^iG^D?wE>An$L*Vb zO>~^yw68!_|N5wTUT3$NAC03Aw)87;^n6PPmrk)monz7p3$V{rb}GA+tkSE0yr-N} zB&3umYn3g^qxqs^N={Kr6kzlcPdG4WUdZ+4?@*oQZo)_uL|zr8asly=^1Jvf-^(B4 z#a{jtPY@sDkHQhp+ktg{0M&SgYCH?9^DMB=TTx&J^xMmsE16o-b z;g%nZdZzxnGP|Ew1(B}d$8vyw7&>_x>wO%c)Q;}%ZvO8_j-2)J6=&b3`4FHn9HamD z1MjzZN0=@(2F!!RJbs#lb1D^bdmEA-Tqb+`I`;TA5vi^yr=fcP5SHODU>W`amJv<+ z%Yy;RGs28d1Q?$PxM}AE213pO)=dGXHnPMV#vuX&93r4o+6+(*V$hC%$^~$500(A- z*$ffND8i@0WNmm?I2+D|d8$q1*U_@sgKja5J(PnDunFq;i77{6^m)Y|J7r*Mex7-$ zjAhYBGX|kB2B9zpq09&k8LvDSV8P-csfE@weWoimTjn`q4q@JO7*2zTRu&s4n!FxC zhU|(U$|$O|4!geo?hnZOY39Yp!)=1)KO0V1C8X6y{ns|KS^t z&os4e&2`nKm)?C|_aogGu9?}mp4`{B=dMvxFP(GG4${Q103?W50eWMCyP8?t_aRTg zXqrPJ9fPo|04^$^zGwj)DS*QTa8ZF3A1#0*1#q|kE{qBq1+b%k0CpC@wEZ@07QpTT z*i`^~3NX14tK9{#s{l6g6Rc%>rZr&5pHkB`qoJHX&L3C)5_mr*{6RPqBLO*sOXCVK1oRWow2X0A2g>2h9865jfF9 zo;G{Tzi}UWPH|^EB2QU-Dd6oU6F+Dk4IT^Tf_zN&I=p^2Xogty8>->Z9Yc7%qk!@q z1-#x-7GzvL$TUNZ@p_HvgxY{ks4=#xm9iQG9A9I993PH9&pQs(yhq`T6*1MA+Nojq zYBPeAgNG^G51tB=wZUD%Y_K=T2RTyj^V_)Rx3ST0>xzD+BA)4Xx$*(#uT=}xFR6vD z0=1CO=fd@-++S8RX9Ub4Jl|3jPd9&67+CZ3neN}rria+NqF;0=s;o*X2!aZi=!jsa z>dvEo9nDql0KKszl2Q!S56Hy{ZiZ5VJ#l0Jo$~oV1-P{R2iLsQ{@5&2?XF&R;rgfe ziU*#bymorsjeYCMwyV~Rzwfoa7vWtz2$3zq>nMP~VMW8e3U-ESLnA|}(Av;<^+$|n z3^{C68QD;8h!3%8R^gI{BH7?{XH>;LlJt6icnA0KkZ5JgVR{;;R)nf zgO(1I^N1t&VJmfUR6cbv87*npiEt>zmU>7Tbb!2Uz^a(>Rmz5}CU16qmXCyc^TYPB z%{M+^o+&zz``HLS9t^#R5283agH;4sFlX$e?wRy-4+YsQEU$do?GjPL}BkhBdRF>+7sh zN;K9tHjEiubjRnT&hg!kKI#eIvhk7yk+F5NCmlJ$J$z@!s)i|-xxc4QX}|K$b4w{r zAB4?zbQl=u7&b~lLX*XttrYR+5x)z6>g?iKmiU=WhR%tg%Xto@gy$2&4<0^znBFZ+ zZAZ}mRI={iO%k$rz7Sf{0~CY9zW8LlP;7*c~wHv|TF5A1P&ey>v5 zs}#o@P!(+0Trs7zyaD-P14nPCZmJs5fMT$5>cdc#QlU1XM)g88RZZhGX_H%(rFbb> zA+J!bL)YSK$tL+arml&T0Rx4%IEcGi%Om@4o-^wkla)|PUzI1pk<0JJYy zatKo?pjTKa5d>YM{^xg+&^PcqoIIRFwah0?{b^%VNtVkM)vG8fP>{XSo}hy8QDm7e ztS6}ohp5Tyr4_NIg_0uca8DKV15rP{~8rwDVBE|=GTmk9??9?#@g z#~Vl;cQp-N8=#G?+K7jG)=_3KP!!oGSYvIiF-mpS!F5IPPx@E??09)eF!{-W{;T+k zzFU`FJ$ED7!SqqEV!8j&b;dcjl|x7|(ess=M{)to58|!#m4IVD1PKyKw3bE^)8c%E za~l5?&WN0%Q@$eFGZ$2U8&GV3W+$dt7<(RftxDWt%)@3FpW5TLmYwW|y<1=facdpQ zTcJecd4U(lC{uZ%TpX^ps@HPYsBd$B6Qw6boDeIda=A$ytF#z1jW)hbY?a!S8~IJb zL&_`S@A)^y?*Q(8G1Sn!nCXUV`kAHX+I z=<>_ZP-Sk^SClzRmbw{Jb{DK<7SfHs#HTJTRNFEgR0FdZFP+xaafVLoI-NW@fPa92 z0fvHn;nKO1DL2c1%^ch2>CBj>;1cCljwnHcrbuQw@{1e-QPvbSDRNO!GhM}ecM)C8 z{Egi)w(E-L1D$Lo3Xrwd_}(3F3n!af?_{?l=~eX z%U(Y`&FgJu8#-5HcaUEENmsQk&Myv4FB$~9*-ix&<-n7)JE!VzK|K)RxNYqqbkhq}olFFSmyK1D- z@zKLtYNlv^Q{t0{&5h3;wnADIUo@<}W=qZ6l_%o=NPJr93iw4|59#Wzih3mGr8i?} zB=ga4VT*Z7sE6E`GKFZ=sZK79>Z;#YUtX^cnMSM@7-a*?x~0m}YI!htG=R-OD$pL- z65wk<;*)tbEIt)rYqA9f)?^E?HQ54wc8<==x10)p?z~gMT3;q$t&0P|c(q^&-~hnZ zGxc;}gA^<#!bu4GIfOh>fkmO9$MsS+=@@!l^m(e7Ti;VK>6otfam6<>fM5YS=Z)oySBi;J zVy)s9XsmZxOC}ZOF)e*wtDCst#vMTi-kAO0Q&;`ZT`yk$%UZJ zt5&TQs#fub8WMg2*n&c(Vrgqp+gEGVR(;jCsO?9sf7yIz=H9#61@!IP?|HxPd!NT_ z=FZ%?b7#(+Idjg;oik@1x%#S|yRNu==Ti5goZ^mUrGvNQ^4IUdc*{KlU#|P~*Oxzk z!A*Se$l+feeeNh7hggB*{}sj|A}Nr^A?9&@E?UM-MZ_byvkaX(t=Op55Iqdc%+PBT z1Ls8r%hV-&!*1I)#3-?;?vmW7(XpiV#uCoI1dU*%rbzi56kgT8Y&lK8K#u z6EP=lXMf$fXHp{6&2WG|Ln0y6K$1FRPD;#%^ z#g$RUj4c>5%1jf|$~bWJ9(bzAwg5QG@Py1Veb5^}J))IObP81a<({C4p^pw~pmCGc z%P}rVqXUXk$`83*Y{fUk?tSZjp-JAtoZ;WazJqUn{nZ(<_ema(eKv3M?2<2HrqN&F zi(6xDRQr@_FuyNbu|yN`ALZ|#8ek&%>_e?)ZdOay>a3{#2EDe^-Ou;vqsDLX-!f`* zQ}vuHccL#fgKmm`FF_mSy>r#ag02?5KE>{vI59sT$$N7BKA#NIZ65EB;=bD&sS{837EVzUlY?$ zHnGjUG%u685MWd|m``PVzPL`Z@1IuNK35sJ;5=&EZ2z{bh@5D>F22u~>LrV#u$-pyPV&B6TT{r#W+PT+15PJ=8xHK?l*^K79w#0Vv2U`z>FMXsW_vwK( zyH{SwHQG{F*VRPwzu2KOOOK17&pcf*G1B3&tBV!57;zu4GJ|tM{bJU21|mOd27PdF3}*WY3wB^MqOJ`!oiZ3 zd=#+d+XJpLG|g6KpXRDT^K3Qtd9I~siEXKUiA%gkxW`ItE_y7F$gT=!ED^Cgy}&tz zpJJ-wt4y`dX1>{fbcwTr?=Y=*_VInDE1g!}No~T}1teIBK$Y&#vRm#6`Zx`)9JFC z^m?Du4ne!kWVK47%^`|5yWS+YoV?X0nh*hyc+MqSt$KNXPDNaHyAArUJ1xyER_gI0 zBmu@2At$&X;_;$=61Cx#o<4$a-z{&=ZKL*b+^*44;IFc=ob#F^SC)5FMW_GV9yzn0 zb4(2-UU2Q8wW)T{Hm(#kWH-2=o61((a^Ts-M5}FxstPEk-Ynw}hD|{}NHt6`v&_@m zhR*Zh(-Sm*o9qHln+y}Dpy*9egKY^jKJe`F#80t&gCB@pdHjuR_jChJ`{1|rS>6lY zJsRsi6ni08m*$8)4`bPi@89(w+1wvT-Lb#?uiN))8e3MyIZChg7 z=;0buyTo;lj?{khX2(tD+Z?xgeryIJHCr?~4CTR)_~BuC5al6EkAgSjslXzg8rMPc zFiIzXYlC`#jtB6}_9XS?^KLW=+FI<<6;ebZ5;J`+4d{|y(j~m4OL$2a4KSVO0H(V& zNr3LUWR@AXUEmtQ(}&Ve;e&WOrH7y~uF<@$a0GvMx2kUsqtQ>)rLHptdlvpeCC_eT`sRv-v7ua z82KzJC@C&G+s-G|VlEvYky1McB?+7!!HBhGkN>Xq*FN>&)g=oYc4KtprgfcL9D6c9 z_{rrb*01ikep~FlSDqQe-*Vl1^WgPY{mAhEx%}$(>uP{)eabj4zar&U`_uM!-0yfkcAE|x_oon_K@_yw6JEE&>vaoWH;0Mf_Ht&Q zND05*hHWF*wU@$)C}6{wm<&loYeZtHEO#4Czsk4|SM^00%L9A-wZj`(g6TM3|)ZnSe|21=(XhC{{) zlp2Q7!M{fLWhqI^dFZ~6cHVn`<@NXD11X=rch<5d z|F)E0|Jw(K@3C)jg0OsK*|hQI9?NJZOTSgnbi#D6?2YZND(Pily=?Vp9k-B5KFC;At=5xling?K}MI*ukB@ zz!|@L4SP1f|D9jOen(E=4fu!8#(w;VH)1>X{sJ%iS?nLNU*jp*GmMSji@gJNxhl4Z ztmIE25y>`t47pZ}M7vH9#SvUGjJ8_@@PjtpcFU!R6FG_FxF>CYKitB|d-M~a@6&85 zN$cVnMphkGj4I#&u}vwRR$2norPDe^jNiEHS9QytzHW2wMOh%cV~d`~pJL00ua184 zo7UUzKJ?R=KPI6hh&IamIrB)KNc08~gruIrWZ2GO@b{qY+@%(ETb)HUGi;Gh*<*pf z1g$XFU2L`ZEyVJFq+NlUw!%4(W2;_;JS7XTn;U>%30=Mt$>?=3)|hZn?iJTPy{zun zu|@bz{MOS4?!Il=Z@(CQ^~2cTVgiNxFkG%<7CmHJ%Ot+(R1FYSbCCN_H3x~RImrDd znuGgV=#Egr>~l=NNVd~aGDY?;m@NBw`LdrS+wU08@X5Y^%PrgUm}nNKNc=YbDW2nC zXo|L?9cU0~ikN2W8|Y)i+a-wHhB#i{971PUE@kHR7j@?Kp*r*WBq)D$Wk#COgPKTiiy<)2)VK6tWZkkoTG#-AI8R)?1L6Ce@%g~5UGx~69c^^H$ z2XPuYsWs?-eMTg(lS>?;eTuG`yI3+vW@2!gHF~ur68F_B6H5JmVM3|bf5ptwH1m@4 z*}!2YaL>Gb&TNv(QC?s(N1n$>ug)Ab=gb`CiK8=9aSv*;zkGlz`0{1$2L9l#SjCeu zGu=r%_8<`F45oEw$2ZAT7~k<6*FcJI@Kpnr#F<%t@?6by&S`(~5Aa-Ew@D3{6&?AU z77d@n&(xIgH}QO$!1FqdhG_T{gw006IZPTGZ`37dwq-HRHltSOwOO}0umdJEz*%z) zhHXadH&z(yjU2Ts9xP=G__C#OMq);$q+#^2g?pxjViedSJsZ#%(^DL~&Q{7`pZDo8 zwoK`N0#(#ewfO?3Y7y6Kvz3(GEDEw|FN+{r1A=JqV7)~rt3y%Mi{y#NZkuj|n;g`4 z*{sGyg|`Al;|LaG;~(`HS$X-{nJglYcLX?sd4zh9$y|HT(SyYgO3&384+zu0lC zt^=rW1IW|^zyoveqm0Jg9*4(CR_5YMg%oUm=d!a=rag`1!03-nFPu!n8*9^i7B17L z)nl02h7M!*+?8yn*V}RH#Oju>SNACPiO)4iP56cBTq0e?Wq(FC$`T;_~Slxj`Mgv5>%Ne6cm_?%4aHKt`^hYc=1eP$-g z=HSAtMPTI=0QGMoQ>O9k=YrYUnHFld0D2_G7P_;xcz>5xZ%;|Fq%pZ{;gaS76lk`V zji=_=l5A6_rs*aTsy73|&tccl&)7D9ZUNb_HTIhwzmIL-GmINv+m7*f15ahH+84R; z*-e?#Z^q<1*L*ySR6L1C-|USZz?c616&&5OX5`MvJp*-%Zm7Ry`?1)k1EErEgK^jV zSSRbdrBFz2^FJ>ig;MdcGu9tT8*> zUZc*Ub$B&KWJ$A>prpkTl(cPOe6a-418l5Vv<9s!tpiq#k1dw?*xcC47E7%0#S$x9 zEU~64=T5|AO$uu&?bcTH7z~zwoH1K#-+9hEQg$+=wb-)HIiu#R{R_do7F{;mC&HFP zbZj|9mnx3~<+Eiod}l9&DC-~ziy-O}2sMgvwv|=c$ST%2*lbc`v}nynH?x9aOg6HL zZORsgY03ge(kV5C6TiRsr?HPBr>^<=We<*KK6!cck*7BHKNRaE!pwz#coNp_h<)pk zJ3gPo?K*k#=<%0cIZj6-J3&g`NUh(XQ;aqyFe$ke#>uLn1R;4p^n4>BZsY%qmlO$?&q%vT!J3h9R#J)I-QC zPOAFL;0~NTd6H{AdGgCgPtyHPbeDT3{|NA7c9z$0O8gupHISn2&9tk56qO+D5J)v^qNP|r?@N=-CT;n2^{W(#pp-!KuKSL+RBl#2uaBa7<8L>T73IvLAEQ15~taF^pp9? zMtV>oryNw+%2Y^N)sKlLguLbyi^Xi#IOQ)xPN&tYVf&H1W~-f%rByRNMq_)Ds8y_@ zvpx&KR67M|m6H#Sqa%R8;-5P2?Ye%?t}RQpl-FXZmf5bp_Vz-6lS0w#risYA|Cmk0n0H6F%K|5SQZ{5oHN)Q+2}UXM+Bb#u4-q-=*QGYgogZt66cags0gRGw=7{-28aG*`~L z%KwvjCsrtyD5#AWs)Mj>{F~W+qaWxn$L5kbo0j%}Dfz>Ii&iU-R>);z-N(jiXLH#K z3ygU_I;*U=a2AULHDJufVP??=<1pGOCeV*dv@aejXe*|)c)D0Q@3erfAc-emIw`*X z^Z0kP1R%ycHq6Ut&$h8WjbBk}a#?2;Rg_pzaNZ2}g4AHvC83h-M9F??lREo|~jb7wL zZE<@nwxEsJwiP7VV>!)~VNb_b^TwIO={P5UID~S63uKMGIh-kJCH4E-W%Ljj&t5>W z(UK=`ptU&S-QO|ctgmcE1;vRSpDyC%YGthjR8pL3w=tU+0X$`VcVf@3)T=^GS2s+< z(++Le_a)XnxApXuSN!$CCtoEm{J8J(p~tSe`bW4)yrO%-wZHE%xmwm^;rDM~@xIu9 zpJRWE{d;WqCx^KyKiGHd{w+^EMJ0ae*uQfjx{8d`namTzWR13u^lNW1-(u71*-T-N zkxmpwup3&?s@EsY5Ry%4$IZ;@0|tYTlu$_0e2X7%A_R=8VM(JZmW=XXfCb)DH)+R$ zY?NhelTxsh5=?1GS(&0q!2u)>@?DM z<=Klc)>}1fE)8{*4R0lXte2-^Oo?RXQ@Wn^nss^4wmx(HGbiy5*UqcvM6cog_GS9W ziFJRZczqZlb}oz&&y`o^&B|#o8qTLT>@fVsKny$~MnT~J2|Vp>5hR^XOFx%?D0X`l zV}M#Vqh;oMnN46VW{c@<17>U{Ms;{Lt|ccA&-S+%C51!FW(@y{VVZERLBLey^Mz!_ zlDWZ5k3QB=+~LJF4Xmjy^(`eLk0e43E~q_~)%yr{=cUf4~SXU$N;1gEcpg(lv7`nbZ*9 zMMZVY2oR#9GupP?)J&&e^H{9&db*Dnjr}_*gBdg@+qS0G3ja9dknIdgjNKpo2WN7sk)SSGedr5txYlrI2Z!#O)J%hLIkBtKSTrR1xm6 zZLy{4{9itIK>0oBPnwyUqezRcl54P)8vp^zYdL}NhsZK`z>#G`B&a=z8xX+_K__|w zKOt#|ThNrVChgZPS;kmd`Dqv^qx2$o-D&u9xkY)9Dx3231dSS?c*1M7T#&GlYH`s? zp!btyY`lt{7(08o7ru;tafDpA6W=!+I~IFp7@ZHs0kTy?Gz4=xo=_Mu(g2Kn5K|a= z8Ak0B633Nmkz0^>%quXy+XfJp*PW(7o*zaIX<>i?+%(9a4I{==>Az;?ms2_Xj zV%)%*=t~osJ|631Y0OkWnqvHk3doCx8me3)ZLODqYZ7m0l$+vo}lYxei^2 zu+H8g^$Gpn8-<&^uLv)t+H~wd_+0fI_$=CcJv5Zb9#F6v%me`Q;8QDP*%{QUKvL;8 z1kx>O%$%$zGd^a2&WValIEomF(8Hl_AG3`F;HvSB_k^u>+V> zaiZZe?u%hpVa@uM%EhZl<nk?%k z*G-=F;UC&p#{Ti!+fHADYw=b1v1fKZ`{nCxkGGCIa1V%5jPelTUV{0f1%JrK*!Wz_ zv4Av~4LvV^5IZimr%@g3Uj_9Lz_WZzBm3Fl#Sq9RdHN!;43`;d@LV!isL|Jp%W*Sl z7MAH7#4g-U+J$xcD{-H2rT%t&qj0PKGkk`4(uDxd7Yg)c!jFaDVI9>^+b=q&kU~2> zTIi)P&>N&P!aQ%cd+7cIw>10I#*HRmi}x;GyO1UfR2 zu_sV}T*BS6`zaPFD&^00m$l+HrUWej6Sq<%DBgSfJ!N_!)pHTmbstJAW1D6Tsb$0g z&Ye20%15=ChYc8+M!3m)yXbBJneMc*Ia0b6j%`T6+AM0_0PCieWIFT6!_lR&dah&i znaJjK_&>hO3EJ;&8ol&N{SSajLLebh`A4CDhu+S(r{$O#Yv7*<8a-!5bjm)NV2$2n zj&dBKowuH?5_80D6{7n8K=r`8RuHZNya>J)YjCI zc|AM#aO^U? z^>Ms4cG+qC-JR6m8S6%LH$6U~i2!+ja_9mEi4{+!GM}Be!bCBhEPjRD*Jz^n#CN^} zvcd_nq7~%62WB4f`r*xa8PhX}UZc+-ORW1-_Ir<~9QS^jp~b|B^cv2A^t{%F^ny-A zdZSMC7)(0RWwz?XG>ctpOS7bKjx7tyShYZ^KYX_0OZL<8+7%UBRvp3b9;-w8)6g1LdoZjxY8ez!FPTbb% z^e(6&TP!W1=WH|UYQ;7+K-IQWvZj`#K$EAGaMYDJvpD+sBP)ektxCyUv*fYk7so!r zMN95pf@d!I{*qlU)Z*0GFPGfi5_@h*Kb}z=`*}Kk{4TuyF1#z&MBQC~vAgbywcy8N zEu;dkM@i$mHrC477*BANA#nG=Xo%V3A!s8<9Q4RJb!Z3Uy8SS`!|KS6ol5!j13R7# z>|#s4gLle9JIIO~`;dPR%C;gunt{Xe7sfm7cc%Wp{R7`4+)m?8^MT1P*m8bwsC#!;DeKxjcN3yTY{wQ0MeWy@70+B{k zu(e>UfGfBYx!`_CFpAVeToLsqor3&U4QJk>nfg<*3gwax5KCT&(YVVU@MNzkeWu)H z&eTyRQg@n;V$Z0ja6%V8%|3Wi9Vv12ZMMA9TvD1d5&QE4_8d!>c}4*fe`2#bGNE62 zGjW<8Lg_jPP01_v<7t8Eew1PsGjRq77pKvci9Eb3Q#nTYY*oGMuA?X31Ra@@G(9_8 zm{e3$3{yClpcm0NU6qu`CVom}D?bSu5OjqlZGwi#>nhpysZ&UHN?P0w{n=K4$R|I; z>rNbx-Fxgu`ekTDGe6eU<8e`(J6dBQ?UqC(4g zcAF(E>LzTF)SrKgq`_e}|4hmET{RMCtGlVxfWfVfb!ak00T^eii@({)yD07S3q4y) zh;O4TO58uGY!J*Y;_*b3$K@D`Op{G3O}D~GXPfDW>0^^oGBucB%3&0U@;OeAO-8o# zUs0iG+GZQ{dP(3Ne=xy@t}PMXK?tvhqTZJbNYIA`93}!&Ovo#15b%JoO@MohgJu%U zD_cSER^>EF9JEP%15YONEBS5w5&mPI=SRpb!$x|VBt5A3GWs==I+rNV`P}KJT@`0> zO~uZiBSEDVSq&p&e;v}>G4%uoJw02s-CH}D2dSqmifgyFe=@b7w!{sJ@jrI-*FGKz zf;wwfbXV2$8cF5oFMf-!p5&i!0p9Z5=(GI6FMc=BbNS_(e5M4)ed_r6o_ddRee;x?zR+dBHl86&bzRH@a?cZ^^hl^X5RhMQpGju89up4A$Jq z#s(uX>QWDp`DhNRC5QIRnZX(SbctjJmI`_bNWno|2dw$fzM}crRvp%jkZ%R8V#6$y zZQo(do-FpjG=J_OehhiY1A8i_Pt1n&dXz;T27>QXz^PNRBK zY+)lez}7C=CWfpD7NWVe@<)qWcXmGXx85ZWlua1k=F88R+R}UD6R}+Xm!Ne1Lf{8V8eo5XuyZe84tGc?nE>7Q5bxv3J?R&qU*3~T3cM{*-#z9*F zgHfeZ75CURWtf@pNdStDc_aSBFXU_4YI9i~&CCN~G^dF|u< zaW#VOIbq*naH9Y#s6T-vwHxu%Qg1hdRr=aEWTjs#7s%W*sd;HGQGc~ zk@fj&qjZN9FCr?m$(#@mOmiX_0H+3traTFrh*DU}>V9Et!u-*_i>}j%`p)l3ac|tY zchT?n{`;5!K!=afnaSuK9Q)d(+ZbjQA1MNy{$rFX8Ac||W-k_1ifvPQhZk|q4K77~f?gTBF)d0`Sbhkuht>kXvZPN@nGcwxJmAF~MoXr!dRXn%MI{yistC`XDGq`bl0TaS|0s|Vhg zD7l?8>jBfr6%n0uRUww%yAiv6xOo7)39Zs_e+ZwRW3+!@yW({*TpvEOsg9x4Ly?Oc z#CjA!hQ5ABBbPt+0bKzh2R}_FTI?pFNaK)cq4SEjN{ozYM!u$y0ojAgXrd%RK+-ub zLtPVg=SgQmd`kAnXacSzgF{^-+wN?mYY`LPtz-|_wQZr7qi?@MQh!OD>63(awF-Vy zqpp#c8>UQt^Zrj~Rz?%zuDN$uY9_Mu3xMUb`x3ncwcT=3ip)5^EuYQ!=GLzvEXg8Y zYD8OIKU74{FJ1NDO@hMO8`$oM{jn&3yc=!_K-EmM^wInzb_r-WGVP`Kj% z)vek&n|bN3CW7@R4Qa7uhAF|{;1ICDH8seYFzO5p(WABDGMSplN&r5zQ{^#XUornI zu61*e2r*6DGvy>Dj^(4xSe#s$?nN>6B!{tn+wLYMtH;#JE4_!4~SXT%se??YYzinnQ#Ws?b?n zI^}3#eyjo+R^(1dsFq62twD^Y(6lb4Fm z825CioyZH$rCf*){U{WasI?SCh3qZwOFgT}uatmm2iBOA2n}K+SB#PB z3pGeKwR5+o$1Z#a%cjR+CTTqTKo0sc!BtIlGWA6TCJ_X7EI|0?QzI7Ee;ZcSZ75r9 zK!RFP-d1YE1$kg;^qWvNkHzQ4ju{2ULLs@G35B?kvn*6jY`uOfqHuM(gtiSYWp~w` z5kF0#a6BzKWDlT0U{QUU6IAz}e*CzZvfrXJy5JDpvZYF^P+PsXQrWTzUDJj>;6qzI zEb(B*JTliMix17y(B!k0tPJCeY4B4&WBFJ@BfJc9D=&t2z3UB35!F_)Q&XGM>lH7x zjYHuF9u5!ZWRiXtt8%Z64FRr+f8+vQ82zu^vu`IpSPTHG+0%u^;T>DWq31@b!O*0C zb3@fs4?>Ih1oD$3kc-7ta0}f)5FT%^7Na?>DT~@z*2`XTuEcy zubB@L@*33t+9O?3ZK)&Z%P-WPn8UQ}mAFZ>ez@G%7pv*AxHB?y=5?=23Scuma=_0` zyg21Av}q_*`k8T`O&ivvF{C9|b|toci3}6O65s>U9rL#^Z!gre+!kw@^ROP z@O9>DRKYi^iKkOw|EzpHqiRz~HD+$Lp5h+yRpt`!8^2)wDy~Csi(Gu@P`ZVvo9l~h z&F~K(nZ%bs`fw4fc4J!9Oc)wG#Hb)jEVcB^QJ1jt`|ZLb`s!#E!xt`5bBQi}Q~@du z8NGvaI1u4rfu^Qe>cYyJ_-X<=ZIjlBr;gJvX#I!j^n0-6i#A3+e|x-fGUP<(d-1o=3I-CX8(4J$Ud&!EPv6#Tc_B%bH?zTHxHyFrZ-)0K|{&|QDbjCc<+@45FMxm)XCJt zhVs_{dO;zaGK+KC6-BHiaBF^bbeC7Gi$*Jey1E(ZLP9H|^V*x5 zQ!ec-u@O1c4i>QAT4lzUFd(L&$6W7nPK7kM5|=`bdLz?QZO{2Y5GO zed?yVtByUL7ClN8nE|YW5s_!yIm7TmMxs8)Wm?1E{mO$EO@Y7ae0q-ci8B4JdzE7&aA;&2uS<+OoeKuT-!qURZ9Xdbm z{qy(I(%DhOQ6K7I_^@hvqg(Amp%eB>uiLOv^?&OP8n`F%clMX?imnvB!b#hz#+D_% zKQz{ssJT7rbT@EQ35MsLuEv~xQcc+9ks2)#Zc{BihIVJ42qH>kQ@;5F>e^;XmfgQe zPS!RRcap3gk`+t9?iCPA$5gdv^{fLbx`h||NWQbi*Ic9>J$g!6%TL=17OQK27pbP~ zT{xf7G|asyWRa&wUyv$Lr6)MKvPTVR?{fTF!OL{-=^5%DwVlkVh{) zY(n2-qS;YQxk>Im(Wov9@U3retVuGCPaeZPh6!{RB{TR6N*c!PalaWB8etQ1>Xej> zD0sW)Ui6~t*#szd@G7{{<#Ks*C~B-Ql|!RRsvA32Q1+1j?ly|9dAGS6qr}Ik_L0mM z=w6Y#uhxbvS%6kIHPf_gZ)s@uw=ZGDVoo8D@bAsN$R)3&A2}riQdyX?jfi07qaPmc z+}uC^XvlWG(#Y2)1z1a3HUCC+zbsxYomV%OvbjQzco_;)m$htxWiz4+>k=%<+gA2k zN0A@ejVMxA>F|`aG$u7`x8WSFiS8Hi0-K(zhS6HYK&YI(CWS38=UtfJ+1930>k9Hj zJQ1`3S8Y_XEwq3K677Q}1iLr7Uj*rrD(7Uox_K16bDv!VX{aKI`cU*b*XTujht;Ba zqi=2?j?Zp-EI5!3+$HG!_mEq>eFO`C$B&m?*2z^+;`@^0Yl=Cj=E7k?ue|q>kZr`X z)zT<6^K339$EqDGY-Oh&w1|^Q4$Q94Xy$_bqiW7v7(bN*w6#N%0)tN_tTpKn8f3cm zOKN!}w%7am^6;gl_b%nL1y(#CLInjRlPP*_qmK>IOAHF#g8i(FWN_3F@TQMIy$^o9 z7wrns&|IVR(MRs+6`0aWUZx$0J`|FkF8yT{UryI7Tp{gq=+0PF9mT})w)GYXA%3}G zDOCFwrbFBVE%nIvbwL7Oi6H9=r1#wEn-F{~d8HL1opDlU-kbz4$rY*X4y{C>KVJ@i>Wkk;_vk zfz+uo@B7JL*b3irBbOUg*U?Un4V2#4B;`~@HR!s4GPLvmFVQ6B{AtMj`m}eQ@EzGU4%u!E~oo^+tSgLYTpGBtDXi3K*y@32) zw23PK)NjuBqky;cPuHhqo&M!vt+cC2v&kw6AA3p5sybz$Z*PLXbVV$s=AQm{hRds% zSk&lZ;##dCs$FHU%CNM|RKt)`mCnPZ#mg;!AE&NlI2@d16IrdxXF2$%Dl6S~7^PErR(z;cDD!rFm3vldFH%{1nDi-Sir#GC$`4wvPdfQb`qN62RKNBT+`(l7sJk$X``|I>a)`{Qiv zOh+xVKHa2epr3;B-;tx1`MYJ^T!cswdC-8s1#c(b%)Wz9AM}o(>etDf zDjn|DpkALWEle1Q3)%;p;iBV4UJB6%cVO(e*ll4Vj*ANH#@RG+B5kG>XR$x-rUvs} zpS$=)XtbjF%R%z?;+Drlu%|@x;S6UYKr=4KErsMg`SU>tzrl&Cf(^(*s#SK3W1OS-x5f%!BjcwV*#25&XzQM0;#4^}sn?M8vPQ zKMIiel%(W>x5=mz*3a<#!gh_=j`ckmAo&0CG9CmU;F#1t*BVNIhqXg zN$I3_O9R>t+##I+NRv`~;-d#N13w-5Pqhd{Ic5E@Ce@dTd_(IF2qJPIuv*S2#HJTU zo6#FvqW*_Ac*|GGQu9$*oq_^6_$SvCu`F5m)vqzK#C?*31u32=o}{mHXlIcuH{MKBd0gOVgQ1@>-ymUc1{S>v&{2gVVTZhS z351-8N$7q;rvC|o5ZWDu6cIujfsD9z6B`9Xxs>l>M(nyCfEQ{&M3uS`14BL74YCs= z+gsR$n;@#9H!mM2@u|WY4gJT@ zp&D1;zhj&Ch_aN98(XJs3!qt*Y4F|={gwYd9DA4Q;{v;;pv${f_M{&n0=XP_g^xgV zt1WQzn4}VtdC~?p0}cp8H@#MlGpYgzw?AkHSV?Z%25grL#R@;j+UD9Ho<;xlT>v|R z5@b(#kBJ|i3z0CW&&J^yv=7h5x7(*cpOsW#MmZ!UUQY;yqR)UJu+@JCrRYN})ZsV| zAm2Yc*FVhstAA{QHF+K^RqH_1dy5M)e}7IiPW4O`#S0U9d}i!Pf0;Ve_VmNM5u#)*K$9DAcC7s-V;%JO0jkjk3{3en4@n+zUO|szJ3y;yJ=YUkjRu4z|(#zl%6`tZBpOJNT0** zdwscHRx0_G6c94?IQzzqm=GuaIRIa!h1*it>z(VLOPa9P#+55wcVN7TAGKXcW3J6r zdiu7qPKb&TR3L3R*ph$RY06;qN{i(3*Apj~$%}^ruZb+#K8ecpviwYj@kX-?)@(}c zf?0-!t%8C;cByc(3a<6Ool zZ6s!kNq)-f2L<&+?G06X(+@tOyfMad_WsH;_I`NlnYHORO<->g8rsXt!uIv{)Jf}p zvgVYnBQ>Pm(cp}LNQYX!dqe*O(mD~b#$c&0?WGX7{${JyVbdU7t*|ca`s_`@TApBO zp?M)QRh^xFX+(mgjXaGsQ!|60*@`l2o1vbiv0Qu8RK}G<+YFPnhNZXMv+z%dr8MF1 ze3OE;ZfQ%6_n>zT(}-NJ$%zB_v_o#Q!9zsUJeXPcLh_n`pyqkzsFjyR*%`sCI9}Je z)L1aEEdF)*T%42dP~$Snnp7YVh2rR7;d(PGkTb8As1ro4NHJ)y51$%>b zJh~(oOJ<+PMB(BjV!G&swzpV#Wzqa5f_%?DQ+DxU5xugx$*1%{>2SXJn)D5p?PAV zdzJU(%HyMypkFK9s_i7_FCf{>bb9pqujy?kI{%TKAibqD+{fyVd(A1I<{Q7mcGKZn zX8Y_^v%bm)tC3Gz?)r--w{TkfRI}nF3&WH_xJw1hVKM@(hFsOSnz#BPW0hEH#gEj< zYl&ZlMp4ij(!Yw8557JSl2ix#N!(^kI=7+H+&PLbE3~Ho*&BV_CevOR- zZ5&DWq>u}5JSXzxvILP|u8f$kjU-b4brq#eTKheUD*O5>(2H~za$KITq=kH;GKp>*Z&DqTrR%ZfH~W8;h=`d1fYY~YTZ22Z;BowqX?Au#UiSarI5&IxZaO#?IA=1s8%;W41~?7&|4S7Pw*PI# z_1}p=l?jfO-YE`23&G8uKKq#q(EkZ)^>mahaDsFq2?)Z^mGR)8GQa%kgPGv^;N1Uh zn>Rg45`wl}Hw&B_9Opl|^PlA6;OF>X^L+ou!_{o?YHDu&|8nU6xXAP0PXC{r<4)g! zg}_Pg%K^s#XHTEc0cQca08RJ%EzU(((oPGG2`aNdC=PO{B5VF3^v(l?Ik^saBlu{d zXentH!$D1O?DS&>IufWMbk*hKuDn@VqsYsyCYIlQ%d&BWFFtxng+{bcK|GN^8(QVN?OY z-uFDOY_*hJdO5L5G`oj7O|}-_?DvEzIk182dqE-Qh$n;fmBD>h~6Vc4USG zx~8e%CHw7Yxd!L-ry$rH11Nc2SGI*lxsgSRt2Vu5-Mb z=@l%`Y(M5~N{R-L5zZw!=g^;C!PlKceZW2uDOp00j`szEyWXkC9B#U|hX?!`p_he( zPsO)JZlpfoh!eUKGAVH`^8y$uB=Q0A-z!kwaD)-T<#RpVoO;T8|{_2~6)QYb~Z zbL0inr1R`|)DOEzLIkrTk9z=G+%K9dmjox@4pjOw70BLVI#Me)OdpI3NF#sP-WYGM z0CMc+!pHsd zfb22pasDya@{O1fsc87dH9LqnNuC8?HfvnwmdZPxaBAyk@0Y1#G#_ODh=9rZKUX-G zkw=~OM{h^2N4^tp6OVzO=hq;-DwybP6oVToA9#N-l@BQY@V;*#$XU|Z^1bRkX5K&C zPv9V;cgO%#0I^qU*_Z|YOZcF^BN<{4pzN9WIstk8;JJ6j_MGA;^DYyx>&22zIKb_i z;B0RI>zVNyeaa>Cx)9(-lq-|W#_{%y4w8DOf5(5velJm_|AmtkT0bf{lDYu63TsNVG#j{cmZ#|uM?--WR9gsvOo_Cm@7qU(oT zuZg|5-?={txPuA%e+yyfU@0Uv_76BXl75l7euCh$K+)$x{UHZRE}=x!hI1~WxQBlX zL>;hrz?>SnKqDiKAj|Uic=nezoUAf(PK#bHJXF(iKBJKpD7u>oe!vt1SnB)_n2*P^7c=Ct$ z0W%J9l$zaT5rSj=dFTcGlK9jSvk@Pvj|M4sE6l4bY>^W1djN@8@Rxo)_7pc zbwPBMh|1l2aUoC3Oo^XurQ0bw$ZuyFWc7geBJaH6KaPp+Yb8daJjqb|K)x>*t6Xo9 zMNqz91-zFh@DsWhIXZ*=-G3)TH3WU?B?rLvM|==}#LuBt^kM+Q5JYd#PR$U)8DZO! zJ4K|8P^Oqty=oBaf@teTF?H1Lo8(+r5vrKucI3x5rE?;ffE6xfa4S(I$6)mc?;^{E~BS`QZggckSF3^o|{(!!l85ST2cD=#8?uAKI z6`Yj71{t%9_f9uX1eGKSLh;bR|J5J#F)vVb;%pfLe>;{j5<*GM)D|NY86dJBzS6Jt ziu@&CcZ8F@Qq(@b$VLOxt4kzP(;FdMO*mxV7|ufw6Hl}j zu~f{tI}(ei%+(UMr*S{}*b+uqvoVCbV?B`T`f;dC>0a*qu3QtrsAitOy|*{-v>`ZL zw1*;OFTo5Dec0%_PxU9BF+s}H@R#|hppgny?VeJGrTQo*>)(dhWGgLx^6r7?DAx8 z{#SShkD!3>m}aZ}W~{&iiY3vuV9j*@xz}zwgU}{-i^qMKHfM+XFl3yGmSMYtdq=IS z#6_4krJb=h>}=rG57242`_lEPZ(t-h=I}L-i?*RXVIQIqzJ;(!ufn~fvKyb^VguPG zjVur$^|xMs4onhLZza4=hhU8u0U!3qPgN@~udR}GtCCsG{nn_ZMaeAO^9yPu zF^35Mtc_Fe-wtLHM@EM4OcW?#>|s1cHcL}4cYU29NRd1zCUk**Rzh~D9oS>B=_We#0n&>mf z?)PKfnrsuli8%{ltup>CU{4HtQOXXeb6T%=xlc;3REdMv>T44tYuDTA@D@|9ac~kW z7oVXdUYNahmF*!5L#`(Yd3nm{-wV6XMLm0=u`!BEdPv`7!FMC|So~>OhYYkFvZYzN zq{%?C$5U@-M6FvQ0Ru)GW-vU`a?MiNstX8^{Q9!xmf!GU!Pr`Lv@6|*s%C-HfpG!a z`=OBleAjw%K_-zy3@S^4y9wLX6b?%KV)7~|VFMeej%pXUb?yn)XAt(O zmzIcF=L=^?bA5^n_q?|q3nlT1W@xY%qkH9rI|QLv!Ryx{w%x!*`(&&@&2UOju-{JYas1Iyf!)?9t8tQGCGm4I&2Yk--v@~l z>X^j^RM#|nMkDN0kUH=MVg{myYli$tiN_MP(=A`8Y3b?@*v+sQZO53BxW>@+*qtawnVikeUNteK8wD(3JSgL2J;H&YRa8 z-dnYg#-`>@{Rpy3UlJAs7F-tX>f&XH8ZyM`vfO~GCJW7|h8NtCm^u=_tEvP%DnU-7 z;E$i{i={VG7RG#tg5qf@{gXTNDU;EEXfid@T~p{zl!jdzITC%_s~SYL3aboI~15nV~TB|lO9^E7gfyU_z%IK*G@Juh0Tzf5aVL(=-7#+Rg;rd z3guJA=N)^nOVN3-oy7%u310PDl1&SNlO}#n*b+s2zX(VHabgku=rLTfj^Nt z(D9uxPxFgS;)KVR9nl-+E05~D4C+DMM)(M)Thm+9sfB^t#0kUjZ(f{SBnnodEgsxE zynQ~;)zz;sI_ULh+QGK#o0zt!Wc1#4=>#Nv4Ja%6`A1!!`wCF$BS?G>>psvg_r#H@i;49}3+NbJgRIp}J_OZGjD?ZCFZAH^V zXSWfX5vQm*Ijp!gVQofvMt#yC3U6%ID2Mqg&)2tJIrQ||m@tqeh~`=85|zO?>?b&2 zTuAAMv}qX}_~l|oY={AyFvTlt5B09_$5ul(2Jnz|f+92%L>$hW=;XF5LAkOdyGOLM zQC@JBbYGz=Pcw6e(-OT(eiTVf0UPY2;yY%KnOTxkCL&52Bkc-Ze#v+t8mP6GLw+QIF?3(ih*{e)n z0*>$OcL&q`{;zS%*`A59j4A;kEBn?@KA_#@>WwPgI?ys`4fN0*_l4jJcJeTY3ua!x zw16(Esp6G7Ur2(0Jm%{m{fmr$vTO4r`i_Tx|CQ-g`jEAFt2Kd))GyDeEP}S)snwWG z`vU^}eNF<7ji+D$^@W^V!eYSN0y8zP5$x8~y zDMb8=IQHI)h3Cl0&8yB|m=ti_V-Jk!xKV`f=8yXlOTF*Q8+sBQ4F?a!v;7|v_IXUZ z5%nm@IUZX*akH*Bu4Udwi*fFpB$<92)@Gfok@;|MUvIwcv(rwi(#%;S_=oS%03wv4 zh41J99fD_N_6_9bFo@>H)9t1@j(V)#V!rq9Yf`0X9`R2@wKL|F4sv^|7kt5cq z&Y-fU$Q+9oLp1%6AI`L5HRTEizyL@^!au(K1MvkO7Y`-6VXyW4#5&R)mlF| zczvlE;)aaU0<42}8#!JRuxupa?nBd4k zLwutVCz6aSr`{^+NaJ79k8dat7SZ5Dxi%=F>y$@`N8$@gD4D(2Ker&efs3b_3C{Hd z7pQXUrm-ShEv?I%4)grdLD^Z#J}xIXcR@xm+G@nQ!Q5l7j$Pnx|RY<3;{ z+1J?`l{7jTU5)BiH}S}DX{WSu2+|HJm@2cSWF!ibzqnjZsjcU15cLW_J{%jnd|+Vz zQAlED|~QIxVxA=qfx1PbS&+xX{8+sr#{?ay4=={M|E4!g{y+u%DTxGJFR`wZB5 zt5)eARN(Ojo&JP_^^rGli$TCn5T$?Q$okL4M!P1W39>uF6OIExuo{ zm?71Km{h|`J7pp7NR+{4?U$eK|NMG5^yEBOLXQm3atWS-csD-Yg>~%AXZY^k-c5l! z4hj8xd-LLZ>u~t6rU_y<1D368gR3#22lC7sLkif&ruFNO_ur+{8J>w8<63F@Fm6QV zgjxE;TV+DI)depkb%4`f{ZH*vuu3z)JPI6AVVJ8lLuwH5kD`Cz19L4mL4>5fc76ZV zk10&p_w5Fs(MJ_i)Q6ZOwa44T_5_AYVYK$%?&CZvp9#>iP_XD!kK^ zk$y+`kTcG8>`SP>MjhA5y+P|&J9N!?F6h{-^NV1CM)Zi*F;g(q!u&!>c$o%=BC9|_ z^~Wt}WgvO$MjNZRloMZnR0j_$R-L;g|Q2Y-K zGPk%7Q3XBDv(K71<$d6MUVIf}wk$)bz`G5iA@o9ugUKKS6%kk!n<(6O-((E1go@~# zQo_AQhyU$DIpq{ManM#e@v6`dz?W40pqoeG@Z}X%2ZD#3q6!;oM`Y}zkoU2Yn`Ug^ zEZ!EL$v8EFQVIp?jf3l=zyI3ANa1)0;xnx4w;`507h7N~)#h)j|H4SZU!xa4r{7%) zQD%#$f?UfT%w8zAEyjyj+Y;xY?p%6}^_G=)RC$P)W<2OOs7#59avP?YlHH-XDY+?m zJ3NVU36MR~l=u81KANH5q@F~JXR@nHFukTcWimnkVcg#Rn<40?Z=+Ca9wQsoBda_* zTvHqSXXrTkmsAg+Dparr!=Y*N&cFNOk|E^>R?ZLHUpd&83f$2%5l-Zp!B-a|3RTk^ z(`U-Ju-&*x-Q6EfmmUibA^b!#w`v1CDz~#CXFxy{Ist1i0ES{Jl0Y>XAvI(u=UccF zWNam>#hW=S63$NCoOE7`epP(kXA1gfDf>2luqW>IfWM0YJ1G_u=NBZp%a67zbL~X} zgwnED_Dws;;`@m7ECx~S^vegb(pFPdlULJMQ^(9M5aYH{|NBnP#|rrcA`m9 z(VZncx&_>-K`V}BrLMgBb7{@<_9%2UBEYl81Dn?%Ozb7l!tCoi*tV^bP6T%``@rf^ z?^1tT!%~nv(6|LfnY`x7Ni=Hiku!VGZO|vBx#R3FzY}s`lmcH<{t6LQ_ABkn0s@L* z*)D?_h;G=PI!uO~{+#TQfG53{!P9_c!hC1u^YILk@2X)$Bs!ThqWZC`=HUFU1{gQ& zz}D;BnGHed_489}7~Z^8UA}Z&*3i{C#(RQe3L0YH+ezAKgI|(4)X5HPITM)*w6};B zw~QYv6?di)c=#?v;}c0;ej*!hyL62Mu0M%~$WvMwTcrKYE5*E|86pmT!*`9C1QIc4 zWh_0F4%3?ZE zQyumSw@|Ia$E)C=Eyv$XYRhyRHz>{#JzIUo0g`EDd}n^{h4lPvD1Fa`-u&v&m1r>I zkaor6bS51J_8TnyO50dQsm87T67O_=bbyuXX%O40k<5x8*|%@mW>d~sfM8@u(qbd> zjjrs^pg811pZKc5ac_(=xbg9oUpzD?+1UXWu%1-`!{y)NAJ)r@p3XbgHfY?~_c5+^r{g@q6EEc0VlS62DfJ?1yyfdwyo$JrbPr%d-UxvNnV_FF1R`u!X2vqILF zBhclYJ9*tNvi~rDAfpr_q<}jO_QQ3J$50%{I?INcrkGZBh#3~Jzgxv!Hj}1ei(_Dc z5l({nw)U=*D|DUT0jt6&2_gd`1MEYM<9!+*VruE*iov{=wMi>b+UD?0vy`?duTrlR zuL`e_4?FALzN=;4c0ALC-u3~;efp2PFe=p%UP5$4CRHdYdARZ(M|`+s*(fxZ!?D3y zg-XX*D2N(5TUeueR`MxQl-r2hMwek}3A%4SM}_xzB8q2A0I%dkq;6xEW6w5<)QECS z6^@N;i;r81EQsxRG zUJl1u`1jq8_DiqZ{a-=VdF=fInzO&9yV{*h|Jg*u_Nh06&Zfoo>E?9CKI~V`l@o(= zjEh*JoW50~0nOe9vIytR3v>`fv-h~vPN-DM6jBFf2&zyFDSLjCP9hhqJyWK6;9UiU z@!EtMb@KLV^405=KN|FkFf@NGqPCtl`u`aT-P|eCr>(G);Xj0k#7*E#uY^4mpKij( znO2Qw&FWl{#HisSx_M3`781(dd zTA0L8zRRd~DkrDe}%M4!%xHp%VJTcFA@{F%D|3 zGHgc0nrzZ-&^$(u$Mr_0%af*ZJbCh|L=36|vaW`6%eZC>L$b%&2?9w=$i)jBoqS4tu@?5U*)!!K2i-hkz!* zN>2$K9UCxx2S%MP`@YFQq<7UcXlwCdzfWGIGVJ@So0BavAV+K^S(!KD5N8Q*9YWOE zlvgnhbTF!5t3l!VQtqFxk}%BVWlNd==4pYsZ;*`56xVA`}W~ol3jGm91J_the4~>0+yTR4L+&=C3 z*!CAqE|r?SOzPN{3}u&IIiE^5XBRN46Ej9Omi6s~Vl^oQ5D~m2u-4E@T7Zpo&49>v zBeWuu>p?fD^BHL-mEqi>;ecCC6r?AM^5^z$g2ulgEawl4aOVie(0xx=}aS zqo|^7kA1TZq5DT?M}>!;2_q{k`s{AUabFhG!B~$65Ty^Wi@!P{s8tSJ-O2KH^Z4?7 zV%4Z(@@!C@d%p>O1B7qQ?||3~x4OBh&$p1D4+UKlt-_CiG)6ewx8b8lhcofD9<>iO zlf9fOED6ZCRZH`2&he+?iJzZD^<-LgsnU3-tW%iKVV;vofwf{&)6;R$-kma6gss$h zrQ6xQ%nW+_hPrnRkAp?RoxVkFI6d2d%5Gs%dCa;G;(O$5q!gwICBR9Wv_G8x+EA|% z9Xo5BuW}nFrbQw8djmm8FtzHY*IC}tV3Y81CO+j@hjkP^rk2P>li{>Vr<9!Yf;5)( zta0p#-<&4V8f#zSwre8UZhH&TE(+>UxVvWgc%m#3_vKGe0#3GB}IsrQ4=-VXU7!9Eh?MqIe$$M@i@amG{_}4jFI3I^q+C<}> zJgE`{4V3q7V^sDWWQQYNud2Zb77Tm7+F@tOR)34==EiY;@krMTT1f0f8Bk>K&_JOn zAk#|JP8?&$1;XNWis1Mz8!?Dn3`NhnZv7HGQ=ZRL+x=e-%SmyvLHE3{0GcMTFolY0Tneqi?r zlEVji<+WVf`_Td!A9;UWpI`!@cMxd3g7>t-oY-@Ibjy*96W3OKIr)2+QfPjWeTF-x z3-u>}=m7QI0J()2y5$0~C9debV=aR8@Aht+jbod2D7~fO&&`55{l& z(}R;0S^IoR zRYF5#&A>>6wD}ZlN;xDL6UF|$P7&--#9ylYtNz#TvdHO4jsexD6uh@lFSIC1-Ull{ zhaU);JNbGEvTvHPp4j90THTP^_|E?+sH^pLI^OrPOQ>0hPE-5Ji1R|5JM(!D_ys=< zn|;;&0*k-^t8zlhIGYWrF(DtIbh%Fo&F}m37+c1KNYjTOW=(eEjOhDZ3`EXs42Y#2 z_SJAC1Tu$-p$O+&^mGuY_MJy`1x%V&wFz=@>k}2X?&cDU z<(d5*=$d4=BOxM-31Ao}>SD2VAaW2!Y4J#Fvc|Od%N}T)P}&QU@KKn=XJ%xc^88_* zYa?zkcs%e+pVe5aaI$?TUXsh>SB{&k5a<44(E|MNt8nCw@WUYHkzRoc07mpw|Ad-| z>W=pYt7;%M?6ayXs}Ip5WsHDUKPxZ9lD~NALAG@{CPz!6>r&y>l?4SiR#5=AdUCx- zg{Px6Z87nWc5gm-qjOsgZc^+@ zcrH1^xPug|3$+HN=DgC$cc@XYOz4sV2A*Lf6X`Vu4y5#GtSW}4FK{@!I&}?=j!+zk zm{rU_wZ3!O{XTk(a4S{7FLzRarDxYrV9?N${;3vELPZTntB(|+74C!=%NG%{UR`@a z-{tk~i?;A?_{;9<9^e}8Z@$FrK4~#&h>e%^4S$6%rnMw^3Z2OLH506x67Pzab}yJ0 z<{7&C9Vb3RtoM@j0p;=jM6zi%z{hA~pRD3qXjl;@m?DAw?dShT)msMT5i||E1Pvj$ z1$TFMcL?qh+}#}(hv06(-QAtw?k+d(?#{{cocBHF`>M9Lx@V`mre^=mboUj@1;xgH zd4P5sb1bfE)B{<#;3lsoP8At8=$1#TWAYP(vkWu(O!wlsWxr*AWiN@H&IGVJnJ*@8 zk=*t6AhgjbqX-+QP$q_o&*u8)_T~~%F~nky!#Q;Q$P`|JY%;bUS&wfdags>hGxX%j zSYS9ks7TVpsf#w2N}hf2==e>WXBADG%qy%x1CJp926cAxrGhY~5w(Jg`wERDJs%yy z+lWcf=Fgv$m=|w%Tvo2cT~45kfxP!DOHiLWQETK13w&bL8#m~OGd+&c)!Z%>Kn9oL zV+Li_XB(>e!?iO&+7pU_E7w^~hZnhM6icXP-*&vNBaI%+UO%|sl6)Rt7;D6c61Ayf zuhyS7E;mhffrkV_Cpo-vzA9CKkO8jYYDZWf#{*5jY=wL$y>1I-=W*EaXK=BLY!YX49FgpXDoZH%o!Xdf=HwUL zG!UtFy}VwUf*eZJp* zHV)(3wCVMd8IQ9Qt(#lT<7AHAHMW&Rs@S>RA)9=M zbPHxO=w(q)fB{n6Yb4(JC3>Z&H!=}^M;&A5b8uu|To<;<_y@{gqs9IZ#1t9J4L^7~x65TMK{ z%ZX%aL|CDOG-(oBX_r?>6s8{WUnAMroqInAFgWB}PXHOte%VKylcAQyL|j;HYXfFk zUnGZ3Fddu%V(%3UfztA4GHnLpW0Uk#K~Q0iz&QrEq@=98rup|Km9P>DIZLkg>2Ari zh1MT3hgWa$E4@*8&L!9BcqzO3ljy1>m>Anziz6)6o3(Kb>HbDe&@tN1xNb!ae>O4% ztNnc2900?Z^4KBUkQVTC5~648=%8U&@U?4xP6-Vwryff%(qi@n`Xk6zs1x$UT}GBT zcrXs0D>;Q{1nt^Rq~K1nO=t!;l%Em7Lx!+w*j3|aL71%k|4Nbt8GCQ?x$XUlP|L;j zOL7nXq7M(_#!60|dFje}VO=wA2raWrfNV7ky z8$AWB*QKR~8jpJ;zcQj>n12~jv1G{}u4Sm&Zr}xF(kKiB(piUo zqj3}AKW`u>z&hvPd<^gO&VA-&Y)3kH60CQ#4w}6l9AKV(vg9GMq5dT{ zevUrU2>hmG2P^SyW{5YMV=II>#9HOVVYfcD={JRlfkN$z+og9!)}h(PpKZ@9T{O&}FG;d+w)egxY=9iz zFP`??$6wmjIv(fgDR$%T*HtMK33^42L>72CM zJWw8#4q6*w>QU8Tn+9ExlylZ)L&i#pA#BB<*F&W_z&v@rQv3fctkLT~qm9ln#Nnwa z3`oW@>~}(rH4_?rDy`y_St=L6mp3^TN=pZaG0&Ess;^n}bHuV7Z;f}Q%Lr(cHpjM%ET=1_32qzRGn#6x8IAo}vOQfV&O6m5?dX05EMDT2;-*lnt7 z)U8fkT9#^cUFXYNmfTyel&Yt^U^_K*O1_=YEsE(zDljGP*Y98%0{z11D)X6>0g>b5 z8vfwnbGbu0az zVCHUL*0uC?ptZ@YJ)nMr8%>k_-U)Dn<7e2yh2nyugTkf$ikXN;3TG}Rc3K;H^}Hk$92>Gd3viYi3N$h%&BOfl@AzP ztTDQ|9j-@_EyUyzugz-5W_L(qprBa>Gme z_|ug{a=B@aqVzu8u(?1(aKaB`s{ImeWEtg|php=rhDlbJ?SaAk~L7yi<=n3q1 zKE$qaVbQIzEMOT4UiuRQr>w4rc<9uc(UVw=77Dj`3%cYt;YMhw$HkdBHpHBq!mk@h z0KA5AOv__Ewc|I4)Mk-=trYS=+A+*Opa;dHRz~McXXlsLlC)K$>O;GZ6`xgy)teP~ zxp4YXJg?#K={FDIFLsj7kF_Jc{KSVe%doiRN7BmymjBRS+wrs{nH#g|WI^?Erg5~L zIp_u<@zNEoV_vH)cCYWx4LUSSuL2r zM@?dH{DG})^Cy;k0zxAwSW#pTE{JDIc9$EX84@!ZIa#NH))pjHnwD<{q#R1i<2D$y z@aD7^eBgvN(p2&$s<~Rw-xLWh;{wCg=kiKoF|K^}gbM{vLr0%w_AOZhBDW;M^Y;eK zVSkM?#HCP1G%lFK|C*?dTcRv)l%J-!Bl#eCMg{gs&b9C8_$CQbx>cjC1ah;FEIuOL zwLYY{D(|I?tYHIx*WLUkE`>E?z%79;F9i>+`HG}#TJq<&C3ig^ROe$yo)Z6h9PS)F zC39j5&O28@@V0hFNDpX}l*b zoE$*P8iYD1v{nvdPZ{hk+{?6)E9mA%xr`^7dv%+3;`uUV8M_}pb#B~o`*OCGfliyo*f#$*1q0C@6R zv0<{RTizDTybKix2gO&K3=uA%iZuKp$KZV>mHe z7X20Y4a{L3tc8<#{Ah@=NhtmD2HQn!6DR0)%425I7B0%65ymOM}q3`|} zp?DA&G!6F(40Qo}p~(vtZ_uF61<2mqTcvE{IEM55JmTfKh>4|4bw9p^8qjXe+g7%8pCT>_E`vT zk=qX3PIrcxXs4<#oIvK>N+q{f%G!2~awvlSNeuyx?-}Agm~Pk)`ZdG19Rt(P=DbX6 zP4x#Dn5(bOn~Yi9livESJx3+lx#*n80x=CIe=);&olqNN92N=tH;GNNwQFUpR z87`%9r)}|-+pUiy3*m=2Vo^7MOP~93Ohy|WyDoSQGmFJL9AME-qw^*GE0@*BopP^XYR=nujxqk-C49Rse;P=4X&D?~WN2t*la1Q%Eb?8As4;#x`S zriE#2U9N1P5*W@9RL%NkZGk^l1zjzoXaUnY`Sp50NetoY99MtphpiANOs%Bq0-Z&; zR_g+o76h1XC72fCQ{AHcKcIKky2WB6hijmi7}cMC-uU2qs_beC7!Nvx9Yy!_XF^V* z%QS)Y5dvN}Y>@Tlst?AS_A7P?p;(iYiK7HNxC8WX&36Q=euF@azpmCklNYE#*NJv^?d6&5ygR_ zy&~tk8NR8x4Px$<9x>^e(!+4@JR`-1Uh_5x04|ig(-KuV_g`+jo6nmjNyf|!-)0H4 zYTPa6;7%t1Z-IG=am(lK}tCv#@HtyAz45jrI?cik)dE#4McaonELUn2W{) zo^0Q{S`hEb3*|8NToH>9!ur;?dvS@VvH>yoE+45G^*h!=$9@{P;7*cfe)VX#jFd!o zz|Hgb(&_JmgZ6c$<-TMIV>DLSe~4tuZ*Z=4WI*YgeU!&^Tzr=}=-LP8h5g>v^Af%$-lta0f{EGc!vnJDAC+o_5y*SNSrt*6$jMgvQuhX_97d>1p0kDz$kcbW+Sf4>AagWy?K*1sx zXvFB}I)3rkgvZw=!&*&TO89|YRqq_?#8gu4UR*2+6WY&WeT18S@6B69Tn5wJ(NEkU zzL+6e&hH(k&E%1VSwH#AsJ)$#FI;xa7ID*SO>hY}uYzRCsu|ei{ZwBaPlt=xPTMa@ z-kaoUaGG3IAKj)cj=G`W?P^S~0CpTV%y2t}I!#``&maL5`BitR?=yZ1l!^KNvywWY zb^{TjWFfmdV7!tQgZTp!Cs^B&l!tSv8U>_BgeH`D&pCIRbd-#2|HQM2c+HBIG%Bu>uj0f& z#KX=6-|2&r1Yi2Fo`dfffZzxCVsD~Q&EiZ=i-XgxaFey20aa5hv?-@ZAIFRAM`*FMs^qTBVwaBKFyDz(vJo84hoai+IHEr^{YE!nTfI z$&ZIHUVX|NfkuhH4MAL_#zyV8BUa0+H!oGTHTu73XLvgkQ6-EDfS+!Nlni8qRrK94 z;~vksi<-)6u2q?CQkwG__TZnpIE>nR%S4Q7%AX%W&+{Zsnyxh3&Cl`s%`{JS5RyOf z+4gS2gA~5DtBl$jXbLlat4!R;AAFMhq7(RwK}9s;Nw`o0=PO~ew6-5cEZ4e@Zx^fl zbGlO`2IDCT%yL{fGXSlp7=(-tl}49>!pqAb8IC;Krc#Srs-Px3LV>H{+Jrq<14sPj z?sr_l7ag!q$B^6u{ZonoEyhqD$t&%=qq5u%(=5UyLCA7r;~bQ`b9>D6()EqO3=Zh z@OmO5V9=$j@dF;Dnt_3K^slPQPetQt2`jH5;8R!_Q&G&|Pz8c%5(iX&hFxzG@d&%4 zw?Ks~7b1S%bQfzR?+JjYk@uk%W8(EM^~dXol}R5e^<+Yw(ni7<`#uT;oJ20SbD2L) zh@~Ej88xqNX8d}0Zf~sgAIszXx0{a#RJXLdt)*Lyn80=BFk;pWkX>skkKd2j=@7u;0#$$@bd2x6$S)7A4!G~^N&a4z(1L_ z69!|y(Ig~;xHjT!RGHcL`W%%G{Bj2yFZ+M6gz|8NVg!<6CF@=8Un@3nz8-PbbmhMF zD5^7F>H%tP8Pc+L*<~ zYnr#??Ergsj~D>@7`pfegkyB|ohyKqbvAq`xUSr2PA}$vi|{}}d)D$6$a??VYPZPW za&~d=##GR^n337=b^$*~h9WOUrDwl?)e;060Z_A2xJDQCDINK@I222^hb5t`LoDgo zSp}7qo_F>`FrN_AxEXU!N0pO4BTjp?&7)wlzv#ex5HIx#TVbf&iqEbEHk_<;>}j~A z((EHg zSAQ+EY{AFYZ^>Ja?$T8O3EwA|Xd*T(JWTT_{9N}GcwUB?G?8oRE^K~&~FTd{xg;~GX0sRcu%>Z0)Qh|v5dsMl`R|JQkAf_ArNHj*T zch!OXvT)ikLydU8cv5B6xmf|BYasYkNkH766r+?Txn|y9<~tcJt`Z{Fz+#2v@7&-t zVlqzi)0re%9rf(!r3Dzz`Tc*bADYt%oN_-pT8>~3nD!EN-FjUo)Kn18kRnF?0fk8> zf}w$I19%SoH%uL4TP8i0Ph($b%h?eh0O4+PYQcGL1wk}E<`3!>J5ASxm>Z6fx8cw4 zuP|Y+q+J6sT%!;*jmPQqpH{q?p25f5i$$uuoD#o)1I#1D+-Qz@E6En<*nJ#-=?~hS3bV!CTqZ13pIm>u zuy4N^no?D?g1C8OOET+@c->zzA2~!T(3}yrYWT6y4%{C37AKTEvoY2W036dQMw7lq zWGSvL%ND8Vm+7VZ!}8iw#GIRE>T}F&#%y5{bbUG zC1Ptm$u2cyB3IuPZ|kw6F9)9l_TsDv3ns~$@Al$Ycr^(0O0vOK`@luc#!E-5o`h-2 zuKOI4IsQtouLmMI+|(GP01&Weg3_>w;gn33V<^nZ-oZb#w-ZB%M-oEv)Joc*|4f8~ zFXhfM^XBLvJ=cX{26{%jJ6(6OM7&0EEIBUyfm>u4?LPj;3|~yzl|2u!#^8)fBa({efO-tR1O~ezay?EQ znyL4Cic2fhqst^~cq}b*75@*6CvT*4lw-1Er{5SdIHbfjn8i6#Qf1sG>4-1PwfX^Q<2JW8oMmNsKmQTM;N7uGY*hjR+N%jqo;PzfR$mfBs(6{X4 zY_F$h+YII@F029ltf)kbgL}yzKUsF+H<+i$nDHq9`s|;74i^(Wx(I2ANKA5V{PTBp zmeEJ$H7(D<;e1ZsEayMMhbougAChxwZyoN~T(8R>)2Y8s_PXdS zhvIDF@d)@1{TVI-5k+giC4mf<7bHXGwc8zsH1!S-Re4s4Pe78HHjF#7|5E-gEvobF z8#}_E(Tyi2CTq71r4@s=VxV8XC%6Z_dMP%*4 zS2Cg?N1f>O5`b=A{9*D%tAnc(vNFz2<)97cJ*u}=6A<>nbN!}9NWTB2R&qR;^gujG zfXU}F&n5L#=Sq_7U_8NXBCFkXKN72(y_&2G8Fk5efLol?Y&9w7Lucar`FwF`&6WR# zS5l4{_NxhsWVGM;c^E_j^rV0J-xvR4sV!P(X^AW38`$@t!<85*x^0f_s6C>#G!V5E zu2Qh!Z{S^DHL&4FgwP(UXKpIvw72eL8aB48<>&rqMCv| zs<}!X0Zr3W$4t^fNo65*0an}mhtUp+^-9FlDvWh7wc%eyNNG*@D0TfLGX8$%9V&pLb9kEX*w)X0Ny%DxGZ1RiB8i4P5eXEgZ5h*+9tI z^#E`F;tC}@_1LtM;?~vv5w$a2o=4YuKmad6tOjB2I6p#FPM}T~2RnUp%+J|0;sOYH zR^0W_I^2kTNI1!fN#ZkUiC&#jk3Hi2lH8KglERWk$*-81w8UauP?gxGr|>eY(ZQpu zUhk*8jvZKFDDKrICo1YXm+Z=1-;SsA&;g|eweL%ZuWxxt-Jn}5`7ko*14K!lbKQpo z`Tg;{+xIG~R@)K-P`5$xbB6~H;k054Hb_m)9KWK3v&rpJ@8hOIk*U&mry4Q({56Y$ zdQ&O*a^Tj0aq-W@fk^k7eJ9hMTXU+}l*^xy0yq1;Tsd-FWo3NNf^x-zyh{bSd(`Q>WG^s>*Cl3Ft`D z!i$S;Dm#N(<5I!$QFFs6bok{pxh=-+{R&55oJg)n3dymw;i7JPJ;!qI(v6dn?V&lc zto$Bz{FTRe14diTynZ5DmqKBV=K#gNhZi(fpuWZ9;QrLg*RRDGdSaSia|bj{x8nY~ z^WuOAS+~)J;gTgel~R%5TM*ri*KEzwMr|490)N*Uc5+6rhQp0GUaRlu-ULvZA^$oP z`D=(yB~=ZL&rn4|H|lx+or{WlHY@`c{ttX~3OCaq;(p}n7?OjLuEG-dLJQ!8vkY%ZMNtA-y zi$Am%I4P=pPc$Cx5Q}Tk;Bd=N$uQYDEmY*I^#0v`sZk^LO|0Gd0%4o+anmJwGgq?= zEi=K__s`CEq_67nl`9*i!uG($^Ay+TWA`L5*}BoZK%J{jIaE7!(Ld5HiR-*~x8}|6 zk|n7Z%OgOMz>n$b`_UoBbvx?#^lZi69hF)+ZcMYHjmbbxf;syd7D0ho?my72RpHyB z(K|uj9g&*kjai%P2NLY4P1@u&zJc(hdKZGQEq$KZ@_{q;F?HhVt_JvkXNUc)!oDgs z5c9h9`q9hIHTjG2_{0ta|GR{U-b?%qV)yfLTyb{SHNVNi^teD#XTUxEfU3mUpvn1| zt0v+yf-#@&pCTrF*6)X^n$sqD9FB+;JLBiGpwKpPz5N zW>1}1yK5_(<<>cf7`C0)X`_|bdiO)C1GVwCRGPIYW*)viH$Zu8jsEd2(fw&7^!>CC zhkVByB1iXgu+W~O{?x_<@fQp%U_?>DHrX_1@zDNQOEKGuT^!A=cnO^A5EtM zWdyHh5}&&VcD5fyHh8*v<8Ph^mvbLxtExgPU7ByJwWm|;-oLnP_%g5W*WJoz5vwAs zIh*8eZ8;mCGXeC~L6a^+7m+x--eF>!gr@|61Ngpmslt7I(7kC%B(sdc8Yn+ehS?_n7U%TnGbnWf_!_0_h z)j&PZiHASa;;WxF{mIOulJ|bQ9zs0WxQWCUz&k!t;@Cd=DEe9mDD%cWKx~V9Fxy~W z0RFDOy@UYteXKEte!blHL^4uOMc3TK+|Mb+tik1ct=cEzmki2A^GCshgq$@(bqOj+ zzNs_q2*-F{!A_h)$hVl>NuiZ5FR=4Gl><9SPu-%acd~|HWFkJwtcD$k1JS}SPoZaE zoiO`9qMTkacWBJbgdX`DMuxr~kG0SJ9RPpbMx6AZ@Avk1LsTcRU zt!CL3IX|TmCXuS3(#SJyR56`}>I{2nq~nzDs1lmO^73BOip)(g@K`M(C@Ei*i>S(u z#imjl#P%>V^;e}GXt>R0!&GMgs3M!ADQeHT0=m4KqhCd}f9FlaK8 zIz!F<@}FjLHc0|37R$;Wna8-J4WpkXvlzAWS-q@2bRu1y^94jhx>I)mczCW)u@p(j z1K&>uQm?aDS?q^p0z*&a7tGacW{Zf}2Rp`JJ#PZ67CS)bu~+J6_D}3LRg0etxm=ah zY!>4ds_=6Iyv2t<(+}oTc`~1Wk;}e`PgWFgRoDyI_Kvy8&=e+cnT;**Ny&2+? z(ISL{J+kJaL;hpzzW9tQMj=z^wnXM$2!V9B`iT4K7%UlD5VuYYCavGB#H_w{>N5Ff z(I@jGbUN_i#rh)Ek;IY^Eg`1yGky2`;S6Tf8-$-$)1_7c1*j&QFTnAvZG{AZxs%@<(cOA#k|!(`s!SH$%`8(PLY$J*AAoC$kC5-(Yrh)X@sCmOQzq}G&y z% z6$ru)*CS*K1f&GadB*`Ui|^idZc$+gyq1tdKL+h4!Y8PBnS05^pg4UhMzXK$&?hl( zs1m2O5%E~nrLa_~(qBwRq9tY~m~y}0)eNE4``~WGfoQz!4u6^&vfRq~x#2p=wQ>gl z{7#!?oZW9>tudX)dvS_QAb4%6&o)il+N0U8DyBg(JtLtdFm*P@;ixLdy9qqh8Or1J96K#y#Y+B?OPP z^mV!o#~4Bl%xf?D0h2I%E#7rTC)?*=^1mAJy=$K0DE!N)=f)o({7kTBzMk(9j7B@J zQMpT5z_e32%wVLfeMX4KzY`o&)S_bkO^!kL(6CG6=D{pRqo1mNz(U16XR~u(2#BMp zw45(1TSyml?HzIWq=Vnz2(n#DPQ)dY|E~A9f}zVZphE)$0iV3{GkdoWH~IHVpn73moJ2oO|n*KjpE ziw``;A?r>Uotf~C*4M92vh13!8XfeWw?9!@5PA!e#&g zsF7)AhRp2(#~smT`8%(2qp*b;tb^V-np@U>>F(7bCEhayy&t5Zf&_TiQH87{+2VQs zC5~AdnNF(o+jQKnf8!o9-DuQrQZMWWhWm=gmn(b@TnPk(@Grag2M1aYH#g3j&up)k zLE%LuSg#gkAcyvNx5N?E1m52}PUeU2n^RiiC^mM|A=6zZ;|gDA<0&c(?vd7Z*yPJ3 z4e6C1QH&6*#<38usj+01k@S}8osOGhEKgwV+|xW~$0v`CNy#~*Ux6u)$ZTl9d5FhW zeDIHA_<2ckoAbiK0?Iu?c9$?uO>Q^7ZHD|CANa}+xB z^FfQ1K0ceH{MSYN$TQ(`tj-DGhzdrcnoD<*rSZ>HuG-c49?N9xOrRCTDn!Rn_mItK%#)H4TXG z%-h@6<*OP->veeM_kzCVTqi+i?8y=j2_G0mJgBZssma`2;-qQD?7evag014E-2BGY zsmZ(C!%9t4f{%G`%XUNr9pS`6Q8hHdfLRKcu#@P6%a-0O4aHDSQl->jHLME+%>PLg=uc0aCq z{nXi?1HS;%cn{A*<%LexKOdeRqC-ULhH!465#9L~n0eY4c+l0{f@KP#~<-=I-qh5p^ zX;mk~1SoNQM2xx&9#dJ_0)`L^nfGEKLpw{v|Ds;xsEZHsZRUJWAh7YN>*F}XL-c4K zj$NYZd=2fuk69uCh9Y&3uR}rtyTG`Hxp_Bh);KWAT%mm~YQ;ZODe%K5)=5fb)XuKp zVcrYu7U=C5MVDVrR<#aF3>C6_;o&xzah<(7UN?GuW(6D%kI1>XLMDVBgY3Mk&#*hFkPY9es&+69j5MDxjY*$WA-9#KGilSTq*mh$|l&_J`TSs94uMQo| z8*(eiM?rkcF*QJDgZV6_PpF#btWhS00Zp*ye3tJb&OZk+zfb=<&o$Jz2WR~fE|kdj zh!BPyzl#x?5w4e$Nf`S~#pwz405ZT=-k8%mC6T>n6&6(;Y=~TZTQl4QRpA7O06)T= za%gAqb!Z4c82tvKHo{h3CfZJ@U^C6!!MY2fv_-ov01u!rw%Puw@{~h%wjn=H3$P<)r=^=w(%+ z3NiUQ!B+EaZp;FixKDC?J;JqW-~lxuKag{?h*4lGR2~ic^2_B94B}9)hq@w#Nv-9T zl?_%dB3+g%9HJ}mMvfzKV_sJ-lzw8dhwm|MfnM7z13zm2MuOA7i)du*qM>ov(O&bu zo_7)gf$O=k1T>*HeY*tFXG4)KQTLZPBF5B`JG6Et)vfg%o#Avh?iLm}w~Q3o8CSs) zr95OCXQpj&_t)D@1UK{3vULH2o8RC&!iGiK*DoKR#7iC=1;LRy(XjWS;ATXSwK|AS zSnDD27kjQ_G5@fUPV4+pOrKLR>J=%hH6ND*>XA|NKi;#qNazA3?QsX)HT{NS@KS9& zdU$Z7DKwa4;@I9jU$8)DkaCG54%qn%b|nLiHfuwlGVK>00dm&-i3Hr}1PKC~EXSD#%RuP!W}StjpK>Nbp>JGyD>SuITh z{IJpZW@aG2Gt0Qi$uBFLi!0VCRUa4l>UPylSC(A4Ai><%d!IxHo zol4+okcD5{NU2!G8e2W3mJ!tDm1)u^2b-dsy1ukqTb!PfrqLmtByLP*bu|@b;!WbO zbk-NQmoGuxPav(WEUwH#&{g48O?FuV=3?2-=fWZnP24=(8c9wR6_*CD>1}N=o~upG z4}}nc%HWhdQzsg1-O3}{bEbZO%KF&+ z%G?uIRyWf_Om}r(nM?7zuswBIDXcH9OaY&rorAhYlOt@?_-da?FY+x|Ycd@fs8R4T ztERD#sUKVUqS*;4XHqpt7o7sqVZtXb==v?-MM_I7&8|E7=7=F*=N6>LUKowVM;D1W zh0XNZkiSZ^s%KTb<4N!0t@io30i&CybHRW2^7uG?3c84!+koM7Be+}lnZEt}5Q?eg z0QoH6UfvqSFnPHVJgxg|IX(IVQyVb+%@+r51TW*hN2oEA8!!TH1aIp;SO2Iff!X~$ zBwajRW`>c|K7{97Fi-R}k&W4-Uav>4U5Bs}JK>LwVS0I;^4^didU+U|X2BlJAUar4b@!jo?qxh_9z7$ts4hS#l&g!CRD|T}x=~fUp zm|B5Q%-CK|I(c=-MoEEia@^JCqKXxlXp8x0xYlTNmBnAFt=|oAwJxe(EH~n0Rn}I15BuOGI$kE*guIOtXaX7_2sCe|DJX<)HDWwQsWayiW57@Hk(H| z_sDT{m=NI#aKzZBOJv<1x`!P79u9p%IwhsBR*n{2`c~|Zt$J>)=K|P3NoCdL$;3c+VHNq1G4l6N3*m^NTu8=Ir3PTq>UfbDk zX=LhS7^ezrtX36rDVS_9FrP;%gS>T@=CpQ-8#JqZ>8i8<BF+j zy43eM?}0SGOISG9_fMSGT2YgUyd9%NQeYe6#(b;!*ZRShF-WB1=V;{wKzg zzKpvVKTk-Y{^6_X3G(R*`M5ER7hUy{#$AfbDm3P;j$EjEE<`6|mKq)YFD7SN+6tdA^&eLED1|LAHuoLNVV=~QhX%gJuik86<<4xi$~Q?S}}>_74;PQY7O~7X{G$dcQl{hi=-Tq zEaR%PA{P>3cWY&ox^wW=V|c!u;uS_y4^aV?O$|+D4~gV5CP@`3#RV+#KDao;u;{1i zK4?pxM5@M!;Sl>Rp0uW=G2C`N6gM*H684$KmPkur%HT^K{YTZQmI?$IzbL7VafP`e z9U=Ff{sx=|QX=NQ!H$}>QbeK%rS;gNfDwa;sfq%b&>IM}{K)CYp%+&kz*FVuI}lNRbi*e=-}Qgh>&S`==WBlTz411|xNQ zDSb>T=ljYXH*Z&{#!!~G@Y8M{zQneS-kS|@Reg$P?7R?NdsGpi-RsDQF;@}AoYqX+ zNdK&!a>3AtI}&as7>IEM54Mfh6{}^w#~7VbG@ZYXMo-qUO1TfZueevWTGc#dD^LFe zY$o{QArjGTSDZ zJ|UjBE%z%IM$5~S>dTWDs?{>EPFx56@?@gjQ-4=~!P7KZyDO)>H`!mSVd3-rcpvbr zuny#})L|}Djh3Fj%+CDB3ulk$5oOZSS)VP_baI% z_#t|%Wp)F15hZd%cP=Ft5lQO#tEe=9vYROlPp~bKdA8=`U%N6d!x#D*AL=5YdiHp& zesnC%iw!Nw&^c+AVN>LnWu6*#y0qa7h6;`03;9mdZ^v|ZvR^8-9u|};R?3V=eQ?s< zVh-bT0@K;^Aegsqk{ZbvxBUjF1?|E+i4W#`8P%zPmC~V%wEmde?F>zcQeRC0RnMQF zGQ&#JQ>Rl$3opB>bL`Fn(CslmZFuU32Ulg51MPIoQKM(e2_IhpsJ#6i|Gi7;Zs<;6 zo*^#HQ1DoNDr2dgs0Lm)aq#ZEaL(E=!dJoVX#a)WhQ1y{8*}x>#-j;WJDG0Iw7w8nqh((pw}v}@ zRiZv_uD~q1tzIqGKC(36mM<)~d{gQwTUK$X4x^u5U3l0OFXZ#|&}cv$Ig;oko0C2$ z=Eswzu~5l-KF{l{W@@Xg^I7GdL#?c~j?rp=yvtTj9DpkIJO1W) z$Sea8=QC6HqXK8$IR|AcRR?gE2&xe8n6zKmmKH?blLc?VmN$*qjhzsdMY&Y<>)F0Z zSue|D|ARUhUnPA(=-OBDXJFAzWYW_#Wxv%#+u?CjZA+h$8sP;Bg>_7_$_t5uVXz>q zZ(Hk_52)j$1stf}$>QO)2Lhb&XjQrEw+2ck1Du1C#H`|J3(3b`Ajdox3#p{=H?}l2 zkPkIAzGRJl_7T__6*4!HJ07v6H21Sh>o_<$6?jbVTf^1f)!3zW;6VJzW_|89IqO23 zTMnG!{%wk{@ts}R$}n1%>Vq#BlWNN-DDR!=Y182!eZBZ_VxN%&uA)z=Ty)7D-R(zi z{09R%df26p;Ixx5@wIO7A{68zJdz-0D&hyt*}uW7a+L!atU)f~B3wt4Y@y!}Z8W>~ zct$OpC=oYqWw23!DlsHwFX#8-)%-Cpferam6qlC6ta_yPEBjpg=k#lWb4JWJnSHce zF5s`zyC`uS(l6p9BBIs&a<8>~oFl90O0^PfYkWaHmk#;4mP;M+5G&6EW@s9NAQW;J zQB~@supEE{wYsh1#Q3E^wJ_z|c4^)Y_a_Z!FJk_dO9nS``F6_GN&kGflIJ^0R+*;V zynCy(ONF@yo)6DYz8sYl>_#JB^swE+q4MY@`D8gY*~K~a#4Leexpy?Y!rFA@^snk6 zJN{r;_<}XZV<@?ot;@59YP?dSCi{XWl2YD6hi9w=WcYWY`XWX1ND+ub6#YktX~K-C zArF$c7)(OEKMZ1t`pwDcN3!jML=|~!Wj^iBeykk-2mC_M{vUwLf3YZRY@Gi;`sKgS zlm86=pUfb3=Kr!8mSPOykrRai#BcyD70jEsa76QjXV`uvh zQ04z(7O|%w34>GoXJYaf&i?>g2rxNWSO__ong1*7?Cga9k$-lIHUu~sz{1Hz$i~M0 zUtworBxL5`_^;0L&*?w%pKt#=_8*;zk&*HLa`-=;|D$vM3-}+0{|Lwb2n!n{;eUev zul#2oFaOIgtwx5nU?;@nS zri`4vMI686X7;&sOvp02a6a}ao64?nL{NU%(l6X4R*V$Cy1=IFPDc$QFKm*FVJEz4 ze74fxZtw!uL)_ksHo#HSBj|vA{N0{?svDtrXl>3W{Ub=`2S&o6n}PW*Xz!{py-O`c zKfYyZtoB#n%l=1QQMbffhkWnyFcurD5l)rzLQpIAKyfwAhc)cEFjc!(ODODgsM8Y0fTVg zWp71Nb2RJA-&|6LzFZYj1Sl6%&P0{uZ3TN&ECex#Z3SZ_1hPhBp2cq^hO$A~swOwe zbT@PV`tNK=hX-ZTkZ3-u#=hCt+^h*baYfSlLKSO2Tl_zTU0aBiRTO6a$cBk1p_yX3 zcucG?$bJ3y-XiHto--omAtxOl8o`E1hGq=Mi7pT4CoqBvg0TLVaOj~zBm_;Sn@PzK z8D(KTNHFkQdS3c}r@+w54@SiDPKGr|D_%E~Mm+f6cdzRfZ zvss=0anGrD&W`NXGtX{)cj)#vc8*V6vwzX&BY$i-^Zw}U^wEoJAK6++FQL=x6R7o^ z?on!5!bD&7dTpjabt$cj6hU?An*~Xsy42gjyb#g7GpsW?VDaJf>ZJRY!jDd|;l&h- zKB$KMx;p8+p-pbd2p@I|dSuX8>Rn)bi*L*)9!v_6r9&O2nAZG4fAUICQ?04J{f(Z1 z;?f5l5MWwYPDF>xYvrV;ztCzbInNmzORj)}AuXh%@UsX~;=Jx<>c1W?wFxenH2=Ar z40jjuXI_4)`qb3;*pAU%SY`8SC0Toa!7~0=6(J!@RqR>+C`vr_xfT_y2vy=hIa^5{ z%YP~-T{#~}mX&SEqZlkLUxPoVN)Ew;1b9;(%ez&^CU-&liZ`-ZzJ8nkC~f}MKyoVx z?W2KYUj{A%3xS7rl)8$)uv98y=Xhb7xweOO^Cb&>Q8Q55ASUpD89@r|B zI&c(R3c4Xn9jGw$W2QJ3vR1JuK_hekF7r!_ba7zipr;jV$cz?oU{AH7pGX;aJG3!l z8;IKg8>g};aLNs}G0S4aR`^B?5)`S>=JHzepiFNf$dFBX)8g#M(yHawCYa|eu(;$**e=UVUQWI4{q!xD;^~2l|8}{RK zeU#NN9T+7=EOlHijF_dIF44e|4b3{welh}==`^BD>2OQXtkZes-5EwJqadG35i2Gm z{(xWVd&}3qRep_brCFm1{X--uzmi7N##D$)17p94(qU^~Tc1CT^3&32mNFVdGvfs~ zgJd)=J36vj#!U@dL~vSWh(b4%lAawN8^*uN!oX5GT7HdhM|7IdSy5AKY3c-*AAUHw zF^e!s7txT$Nzne*N<_q~aokbe6pyG$E9Dw~bC(WSpVhqXCq* zveuyTAO@O1YZ2X3_+}Y#BEEdb1qL%khp27T$~xurWyw%yBk3g!BfjK@Rd9@3iWm=! zs0t2SQp64e7$U}<&VjBGqjd?x7(FK(w=@~fr1g5q?Z@P8vh_6$+a0d zJAe5LGSRRZT2|QbJfz8BdP&c4iAN;$gROakw3-l(mJSwdj#I>Vj#2*Ol4D1bj1)qW z^5a=g9^zm^7~8Lg;%49&2>NF6wg|Dpzrs+&uu;0gS`#Ix3`)I{WR0n#a|{}ibi_P0 zF_XWyDOuE!=3j40ZW~9PF?ano?Xwl<)>IU?wr@?vq}=r>YuN*(mH+E9?7tHZjy*Su fZ-Lh9Xz!ZZHaYdov$aooG zHyQa@-B5N`T9&LbQR?0DSMqQ>rH1Y=Kfk=`UeXgECf0el;dRaWC}!K$6Xf^hK}XK` zaGgRv5Fc+wF(vDpq=B&)+RPep43y|BY#;F)(>_cb$86>F9;QwLsk_K&IRcI!n)`DI z%{TRE%77tk1s5T%w+!v~(^1i}3P#%`% z1#0FMD*On|5B&hwd76mhccW?&D`$`#CyLFt{O6l*MxoduKqxw$Xodo)jW7fl=U;FN z&L(ZPhi!!?b7M%r5S*o86xu~tiL}v79+4U2{;8kCj%M9-XC(^Q zcVA{JcL?8`l}uN%!hvNBE>Na^^il=qD$cP-U4x={O~UODiO>-t4sBCy&nA1RoGZ!>OWYca zh)CYtxMn9^8;FPPr2XOq&y*!(8E!p)lv$?aNa8!7XZ#*3oZjH7gXnsG%E_ zl~3KjXCG?Er^C7V%HoemIaM2M9Dx`Lt=w%UV~q6Y#rq5muE`~%3>Sx$Uq_U^R;m7+ zT@*tzouxtk%O9(A`TNkcNtV3DKk@+H`9B@~KQ4=u zUyY;{$8O5HVMK;K_n4*DSk~ghp>0&R^JzW z-G4;Aeo2~OpI%9~?5Lt1blWGKc;J|`ACgw12Wt9Ode03PatAeX|Cmv$EZcJGH6PI2 zXP{B=)y;<{*j0xOD$OHsBiwm!SNseM_E&W}&leVkz+C{fQ2?N!Es90Cg?Wsz8y&t>nd5HQ}Pg+aAC< z4paoLcIqoH*Q-rMKBd}hVkMkc7VbRfLC2d4DA-|2EIx>l_J)c7ZdlQZwuQ|6E^@x6 zdcJS}+r&2XrY>L+3Do(Ag=wD%7^F#`o_4!E>OYf zf%|vI50WP*UI~^-a*q|Mo*(AcY!ha~PcJIV#h4NWYTp_|vY{2A*>F$bE3tb@V1TwC zPMGR(kreI;nE{!{ zWERN_+B;SpSt1Rwco<*>7T$vnFj9i4)5Ihj=7m4Ie7^QsgW<?I!4eWpH*`Fg^WFm0FYHO4j-?I_<^W>yaj|P5>H@~ zU#(!lKFEw-k5A3dk~NhJwi2FL`qh$4=MRdTT;UtXKA@U(YEFhNnK7hy?nV`#C-yWJ zvW8gkl3fu4YYy>SfCNnJ%LryhJ;D7|1_$nN#kywY~>n`xiB~jh(Iht&` zpNkW6e8b`(=N+-)_%3vuhJObLvD+DMP@Js#YJhkhI8;!WNFW}g*;@ndRZ*&l{<3MT zJOpK$eU+XBmV+V@6l*+kCa_ z0Ub$+Z^<73VOE3y$8dru86LCPOh@YJ$<`@1t`UBG5RN`ml;y`4BzBx11qnZ~aKX7) zIP1Hxgs^~fI%BqU9Pw)>w71|1{SV-b4E8?=5q^)GgLg7^qz^g+PN6*Jx&<6kjZM_9 zaz&zsQfujD%HWFfm`64Zc;#Pj#JXvo9yTuNxx5P!^*(NzQ-JdecjZIPA>U@L+&q4@ zxIy4LHeK)JMx``Q$duj7>Gm{loSV#@R3mjK$8`gRtKM%P7P>oLcf{}qJzk!RQ*wZD z5AQS=qZuC_*t+Kv00H)=?#_<4+v|&sDEGPjyW)?wkDprj>c29bCaVSB?w@avq>ljC zCVR9rPdTp#yPolY*LMm%-L6Y5^--_ni`=gJ)~r-z9(936Vq(I?XLv~mLgntLtQo!3^Z$c_c>}PkD zo=M)xP_ecTu-tLuB+ufywCkfV`prwH`W09USlCv2&V*eEoY}H}{CvXV`u6%BRIGAu z+0H0t&fIO{_Ad-B%Rw<14BW?4>mO62O zWF`aKU7myykA?S7CsT>KrQg|tk7F=-Mk(>_5Y8>E7#;BwM3t=UXhn32y8mq6-vF~l zx`9OMbOkden(J@1ueZ(HD3$R7Np?=li@kdle3_#M3>^;Cni%40Hr?|%C){i%IzI8a zb%S4uYo0~k!2vL;;V#QaM7?~ZE-@*F>WP(9wkc7NEqLW zZH>{^__8ev62tP^!6C+GqayR#`&FV6nWdbY`437;aMMIx1B%nBOyUo1S*zsNhRNDe zDw$PO=pxPqiEV)>=PD`!4eo~V)-n+jHMI|#mm*(*|wrya|~*W@b^V3KqIRHy7H~?uRcWw_6dU4EFky z_!?ws{k7K;dzYa=aI_G}nK(Z;b8dn<*ve44Vv| zp|S9aVb{6@u_KwiX!ev53dXFkP-5N@2kEVl42KNb?loY8T&(2JxG`LVN2G@gRzEfS zv43yZPG3gEKZm}O;PseT=gJ&sW7m+UG66~dK zk*>W)VrZeLAbEACeYBPIYGm$LVbKm5xN4>1>Nn&YLKFtLm33#E-P-(AhQgi=r|J$6 zbA4S$5^vaHi$#H{My(Qb9jFBfe#u= zjMCNxY6>V2uBfyrh`d(Rd~8WFk{*UW9z#y(%;dNE z9zH{sW@O04UyzPRdtyK#|2oB%G-^-Vz>ooZc~1I_Do_%K@RyFdli)9mhMeBUD@hYX z&rU;=F(f=p9|ovPt>|?t-2{`G4n*bczA@!6KmdQ+paO(hIq-==`FPyH4Ea#ED8yzw zi$VBnvq^^eO>&(+f|xc(nsD8BeJ?5tC7qOf4EPmChbE_F`iNulRAC%;KO0zGbQxRc zQP?k3Eq=F8#G(3b{YBRXQezaM^g+Yg?DSgvu);3cwIgDu8;nabl6tNz#fb(7W?mq@?YwDK=_3rd!TT~gG-#9L&h&% z5_+NhY=|KT*rb4Z{JRm+%Aid_!y%;&)-BgxM1k|&6wjU&y4Su$+Eua^+{%!P7 zhG|czj*<3!SCqy~dlt|V6jMNGphP&eg5ls1s$=-&e-VNm&LhYy@tAE+^rWN` z?R%De)aYR{`cU1BuFWKw?qG|h-RgP4?vvW}T(;p*W)=7I^~tuNk!|aerwn zxbGT<5rgk{Jhvev^=FX<)Ma?BVQ1jYazXu@TnNB+z(5(|H!cNa-{m7Kf(OP-{0zP7 z7cUF@qzm9(d7mZU&Poc$8Z^kk@Z?w#5p6P#g|GRhpA54 z0Ec}kN`k$g(IL{_%DYtRr^3|vNpVix*~eJl94PVU5$_bgr#U>-;Vaq{zlyHy;&w!8UKp> z3wXFs5%x~O{u6;mP4O8q0j(_k8Ht*Lut3bt{kIyPSR*c(?ZZ9NXxMtPXkFf&L`I<8 z_Tet7m%J098USz%@KWvc8lW~f&OhF}VIEx?85?YebGpCI`{xNbt zLT@-muPTTEQ-i9hs_qV~*dK!x7#nGG7ngBJnhr?@QgwL13ar)o#_FVFbUDMIq42QL zdmRnP^w{Dk9}b{Y!_R~YQ%2ior2{`{WV9)6mVf9PTO9er0r2>n;;BG+yxM;y>l(%5}LN298!64hx}whRy_8OvwQBww-74QgjI%K7%}t_E3u9 zKTQH(68RKUF+isq?2KH5u7`WzKS3!HsfZKFf&HP=TfnV&`;|nhwTAt|6p2IzSu0(S zC$G~U1Z{ukne-IhE{LNdHbAGBpz?dgej(<>@JP;l$L*oYY{*{rY{%!_dbeniYM?)+ zWvg^Q+N=Kwjj^C z0x{n@(>_yv-uL)n3N`?#bGhPAj#BrdtAfKAuuiEzV=(J9yK!=spT$q<-MrImYRfij z{*j5HybH7W^zZE8Lk1z!QI|xq4|~u&QkL`Elj*ZbR1p;zlRWw*_R`~7>0Da8xu#Ai z)=c&LIVV^9#yiM%Vc`I;?wlbNpbea-C)fojMqsHpEn{q_xV=X^48{y4FF1YZ3e94D z`*=s!YfI?e@GM_&NI~Q%i-Uer;x*gZ47DF0??u_l%nBgwPYk%DY0XV}7~2h=>h(xkodo=7l(ogeulP2C(Al9!!SSkF1ObG4Fe);( z5uRVrNssNjzHtezlxeN84BL37d9yM&<8`b4qZ>@s;jQRh8L+;fA;WlgML4xL7F zSOY4H;!Kr85~~ENM8L^gCxp-qEsOGF5wu7Pmho4u(f3h-aBjE9x9yf>JG38*U`5>L zpem%#{XSOgkwl*p<#}jy4Y2=Hs5&5}YBhewx_rjM@SK9E1me5+QuiV9^q?7p;OmDQ zcO&SBfA9WW{d@Q{RsWi*pM;k3o8rNyBw?5@X~=5>V-*BG{+MMintP;3*`By0x)Ag% zSBrze4dbzICz-w9=MyCB*@S(Go~xLPTZh~?gvMv#qwQw?r0 zuq;Xi7f}s2ggvvg=0N*=d{eTK%KVfB+45u{7<_Kf58 zIIf8xQ7Xo_15#2f#j7~1Ky&DE0|TmrXA-eYaWtD5@7B{l1AEM{2d7nKF831 z)}ep#&W;iRfEk|9Z3~szDHB5-^*{W-neNZc4F1h1)BI)rl?#dDI?U`J>u*k^BHcum zXhsi>&yhFPLunky*>`B5G|qXgfBg4K3PV1};egV=RU{Jp-w1sVMwR0~ao{Sa0$G6| zi3EvsddLhPfIR0){!}dEF6uiU!^!LG3&T5%UoC2K?9(?mC{t&58ns?O0+JtSo84p1 zY6<70GXagy`)3s}eV)S-G+qaHb{03l$UTP2AKY1@!)oTBIbQBoSDk22i93M~MjYkV z;BYR&$yb{mYXL5ZPi4g?{_!!x--5h$cW<@~D5fuWer(slQ)z^e#pcAjN`B+x!%XxN zZKK&`p#&WFOB3N{#-->8_DX~JD_Fg8fHT_Kum;9otj9psy)-KW~vEy)q>J7{Na=GCXTGvst zN#xaVrzs>PN7BlcJ{lS(bw5*|A4IVKri04?a>|=D7lRAplP!HBfdDclM{BbK)l%LR zg$oj%J$gEXK!wNG`WRXQ1l4aV-LuK;Xg^+Q0sVP=Rh%D+3sRMxupSc+y(G8uumgow zm+gp00qt8^%>3#AzDvp)E?^15&B+!mU<>lqmv)4Ze3XZ6Q z7+hb`P3haueLDEeDspgrjW?z5J`1^%+j-uBO62FyG|xTb%8~@G`u43j#bYailYI53 z-5})X(OJyGRV?w8m-x!@)BYhd5NZ~LEP-SEbF*oG+N00SCLc1_$!rC;F1Vx+N%VIad07!Cg7W1ZnB*$1D3*SDYZLsw2ejC#1c}Bclw4NL zX>VK{$lqdfQvkV2Ccj%t;qza9!5JB43Zk5p)Rm`pnlPbcDC22q;-sjoXlesDnOeK1 zvtF`mgdWY~u@@!MY%qqpxP=A_W2-~f_{zT5j04g8D@W-Zdl&;-%cc>=KLS`Rf&BfI zN6vq9d*kRU1m=f)2GQfA>oY{}Q1=WK4F7wUywK&_Q9!oFZ2fmF@&sRM@grP zQ159YJY47m@h+o0GoHgZ8H69-f+ZZod!>)i)af03xKFhn@*}&;Go+urQL!E}702h2 zyn7A+1I)zbdGi-+tDOPBRN&&i`S-NdP5}<_W8NATOqql-6z$LQH@fv5q8A)NKN~Iq zzV|c$b56$W@p~}b4f#clOMRzNfyk3L@1;Kx2s}i=9WCya29(haEf%E)A&ET#B}GZW zS)7jB`$3l&ie=i* zo7J8oA-~F-zlG`YX~G zu>LwT^s zR56OuPt~+HiYiga&-%>Zo60ov$=q0cuQ7_UPt}|>ib_?;Pyb}>Q^)_tyjf^48hlrkrs{rx8|uvo-(8S zVMZ-Nbxi?epy&0OwW5yJCNh#(uRsWGs}Xzzemk<{&UEfdBP zB4#2IX1<*InY1?QucPTW&U^Dz#FK4pYf>}y-ZGe! z)Zvrc@6WAASW5-uh(VAoqo4I>B9*0Jp2cy+x9jz{^&5b?#|iUdJ_ZBB3+41_iOF`Rrflu|LM6hxTaMU*C~Qu&+205F=CwY;Ueke`T1s{edFec zo&NFV=EO>Xxo<|HC8b+o*J`3t^AiL5LhpcjaPeM#F!wy7`Z(3}ap<~`0Hky%-YjQUt-iKO@2u_t-Q5{|~ zWKo!sTMnR#k>{IxHYEAWg#TqY&8AC>k^7_)jvl?tfmL5;Qu2LAvbkTHne~e^DR`suNfIu_49Z9Obd0v>ZL~$=A50#l*yOo}_oIW#zb^P_j!!W<~dNts}VT+q`6i zOg!41HYL5SZ>LtBBlzoFLJ}@fPA=Qbb{!4+bq9x|f{AC>oyi{5V>#2wMO^dI>z8@3 zN3Soy#VXie>#djRiHs$&CffVy&rARU94RF+FF#wq$3t~G zZN;E49(&e59kJ=+zjq}X$x)iTCTw+jDtH5jE{_oc$~eB1Wn-%vqcS)eK9ge__`071 zZTx8FZSC#y1J+0=O(9g(xjt3u_x*uL{ZSgqq7;s?%v2Of&AkEZs@BqjKUCc^vvc#A zy3gyMN7imtb%mRvHj@f+tAoa~uyG~VjJ{AEjSFq|OOt=4i1$)W?-CTRM~U=0r& zG3WEOwr*GTrPQ)OD|*K}*1K&{Kwu0gP@YuXwVvn%TPwFJ@GI9y)YO3@!dOgaB!^RF zbmZ&aWGEx&Q2e3Z;xemynW#Mm8@>5(FM^<3{eDtC`6&O~fa7>=APD7t5wY5IsQvPE zVnBjKV0}??J^E-DT9ro=0Tz?Iw(%mINsRJ?Br{iL7LS1El=oQqCY4IV`U! zh&*?fx!XBrgElvpxzmZ-tjz{$$m=2vX2?xKLyYh(G`tA9q*CU)v}^CS7oRUyRFsiT|AQ*i+Ro&nq@G1vcT00BtmY!``qqxGs0@=Ki^huw$&GUk&yd0&lg^G>vB08B9M^oj`=;Dkp{EdI(R-hB0(^k;zzrb}L2$|pS%*^NtYXq|Tx8?`lM(;!Z1m7E+YC7k~T`mfVK4E_Y`5p}6;GnY92q`3) zJZ@IxC9$Sm^lV0y<8r*m3>FQwyD?DJU-~QdU{v^WJkYCNN!c@APTfWNugcg>O!SIX z;nY*tPNm>Dwp7R)@nTj{zCNFv`kTqpzE9lZ%#-~1!DR2jTweakQ9ruce}4cAbb_zz zV#-gnsD^u^%~J$9TTn<4;C?`08*HYuWB7!-rTG<;e#57nne%D1x|{zME_p$Z*ya85 zVFCD0=d<(uXzxI8cdk3T`r`x=Ov}fkL*4yoVL<>1jRvd^e+N7>R;|$vSfF)$XV+{U zb{UxKZSo5vafx782cAVI!c~h}nR>}iAjRD8c$ug{xj-G)SthhaCX>@MPj>{1qqV&t za=zi&63;s&aO+}S=HMcRO)`bRWORN3s0E8QxIS97nvWQRP%~%LZ}paHqQrT9mSm!2 zh?4^vm5qVaq8_8jK>Q-!ITOX2nm2E-oetae2lmvOa}GM?`u?KUNHH5_YmwX68e zlOU~jX@XAZ)R#Ln-;6r7FU}1~)DOIi^Zh3)?wgI3)8{K|o>69`S=~E3i4s@tWfdM` z+=9R{l)jv`>-+WPY4ZzK2t@)`^|LkZ8G7>5 zmnV-S9TRyOS0C?q3DxW$Z{<{bbVu=5$JU=SeKd!~`{=p4mQ( zzuKr(*)lXAO`7N2ac%rTg>-gUo{d~C`YrdA{KxDV$K_yyTBYRvW@;`m=?{_>Oj@fR z0rH<1zz0Gw{exgat|A^dBL{PRkD?tI1REm*V*;CsEX>~p5i1vCLWGLszYJzBj)b-U zGMG4769B3&cufEJu`x0;u(2}{v9d5RFftLbF)=Z4u`(rGVUs6Vsgc9Vn%J5-n-g)e zBoM1p0<~pgR@uLHJycH;*7R|R^)OD(@Zsy*MSO`BiJdv8@C?P2WO#ZZmQgdl%1t)) z&_4yKffxAX?(sBq^h9nsmA4~+V=1j#g3V$@SRMKr>yr!% z#L0#C`l^VkOdJUte~V2VZySU@K6GLns3^X;tK#nsqq%J;!!3mDO)DzS{wknCyT#gn z3qHSauR;;;6K1N!Kn7hytf{yx2e120nUXSg;sgmq0$Fx;q*u%z0#*U>ZLdg$C7|spXmoWN29VseO_`v8d^ekI{XRVQpQ9^6`w3z_Be( zv9Yz;tVmTlFc+>G>d+*l)SpBFkzg1McQnBe%h++)>60aO;>n#qD)peX_(cZ)07}Ju zq-rQ)w1*v`>Lrs(~Xt}9-F*ye2jY{ zu_Hs9cd-!JOx9SL5#za47PeTJ0%{t-Z)OyQnceu%=D!t8lYBOkH1DtvF$r78JP*R~M429tFf!T1sh~#ADkZzaj?xq{px@aC zdys5HVHr}R+a#AU4y4Y5N>bv*+>kOCTOe?ueffRsJLhhyTxDDXZUTxzhFMzGq`^S7 zgh3j@$YW0t3A?)8phhgQx6{tNMIh6(D3P4YR?w;%({>V3J$2o#tQMiH0+2<}tyR>S zkpAPcFr)bh!W-gh$`iZxLvgfi;`eW!x$ocP>aK`91wA%WjDXmVE9G3_p!wD)P~>+> zD1n-C%pCY%ztyAHcCwNyOL_h*BG{8v?C2X{%u`~orML4rO&P)M^7fcmoZx|wLWB?{ zmbRQ^Z0JL@CxUYhwmR*YD|qP{vZR@t-P|;M{y~S2;(R=Dn5pJyq}$J7SkUFh6Nf z5qPpj%ecUh{hvW9!g0k@lMYjsoYov@@#RJ4P z^0*?DrSE`ei0I#uT#*ZlHvfnZ5-b)4vcZ2Fg2vq?&A9JCwS9i%qE{{{;wm92bh1Hp zqNVSi-hC)ZS$MV7ayFebJo?ROh@b}2;gIvdp#8dj7~{)H6NMYvMk%)$MAisjYQV#QUkUaNZfL z-D7S%H&=Ad+n6VKZh&vMO(E^63PvVEB6nOx*?OWGD4G;s=76`(bM$Dge`G8BTvzl3 zL7|aHd%1Fx>lk8|V7}i5>d47hZ50Ycq||7bCaRO@MiTm_6TGh(R+4YlC6@ccR_Cs= z{Ok_cS;aA@-E*gTb1|P}uJtVl5$V3@b{aK%$gcwGLWQj>XJvve!r7qfZ2R&aW{t4& zS)TKQs{Jc|%@?R8RoFTRb+`EX)dWwu`d6yPzFm?)rZNoXfeA66q9p1%$8yxFBf_aT?<~n) zgYw_DYHh{uC_h+4bK?xe@abAI=f6CSU_kLPM`5+xttx!2U$OgHM8U6^HgO<-sVgx$ z;6YA>?f(ISPo3X?`upp*D(hBSzC+0cKF7CfO>|N-o;`G-ns=l@(~0JX>;Usf;}HgkW}0eDxO`;9oDhDQfL(EvdZK9t17RJO@c#7%#D z2|T0B-I0(swEmZ5XdKRVUZg{rN8`s5>&ihRMH&7@Hmh_*ndUoF6DLH~MPTZvwg;SK zqUumrQB;P`Vd<40-tg0s5Ro&fE!J{Qy@$*cIM*LtEdzX0!(1FPq(%Dv>(1GmQHbpD zvTJPmSeeWk3s^T4>z%#P!Z57bvO9+Wd})mhPs+MB1wyX zM`+s8_?las7WRY~eIfLkH@wJM4~*p@5@rmyx5ox+aOcx1_Ade?a8FDC@sbZ8%P*ghS(Xw7-Bd z91#&f#Lm{)#Maq~h?6;C*@Od=?Jq$D(9qN(`sb%UJ1Z*#`zJ?Z%7ybkxPNp2J2Md* z2PXp)3rm96|4y?qGcho6awd%b?=%ZL69Wqqa{`gs|C*ecosEHokuAaT|3fpfGB7i9 zB@CEx3H>jnF|l(nFn?aT?GI~f9XNje|2`u2e;)=fFA?CsqzcEURG|WXDwP(Lu8B{j z!q}f8iNg5hHnJFe7h_QnF@leL>9ki@-)Uq6DWZ%d0*TL~i0>W@-mY5fs~Vlp9Yfi{ z12o&n;{`q*M)^Qp;8Bhqq0i8XW%`z)PF#XjmH9~!3j+jdH(cR?ni6N0lbWqG^XD$d#I1paEj+W#O3-sR_e%^LhT*t)vu*Q?S} zkXFb6Zo#W5V;y}86}g;ld4bLpWxiiAVT6F4k8L13LCT}w&Cp}o4s;bH^&L3@zHa;G zUCdS50g`}1&d2@bE0^P^Q28(~vCzyltz_iqN4XPm&jrD;0K$3E;SxggV;+ zdy?O3Rv|}uKo{KO`Q;*n`pNacUxX_ZxbV!|#Q+p{5nHlraC|TLU|;fab=9ClGhDQQ zucJ>=rTDk@Ulfa~g-IhkLWDA&jL`Vic=vG8Rm5>nIyGQkypjwAQ?u5Fb>xZ@iaLZ=c4v4fjaQ_wt}MfBYfvX*%w* zo{D%ah1L|u^&72jQr^r`Mb&X)n<0DFwCm*pF!5d`9HHI03tH2yEs?+l=_*fhggvQ%@l2)xfZ~+hd=s`Fo^t!hn<1 z!^2kk*LU@5yX<)<&h!&Y9jF#JT>(HYC>z6nH+ zDq6tat*I`JbU%Bbw92>Gy^wg7b?`F;Aodw*@CB^)uBkh{cZ>y}EL^cXDD9k=|23yQl(^>w0B`83=5dNjzNB!^03{z%-#(2jB-p&4ie)Lbjn zIOLUz1|dpG4i;h<-<%B^s!!N7(hyHv|C|@2ODucn-gvcScbBuJq@-$)al3Rvv9hi_ zezrM(zwuQm)LslsKn@Y%^8>r=fabQ5x|VNHejI)NF|hT7oiZTk%6)F%fdL)xhJIx= zzrRVa9^KOa{-S4A;&DUAH=c)Ev=gag34Paeo^q%!#9e`AZsRTUn7HeShD?p^Fu!Q= zm6_%snS{bqHIkyfR}|jrMIEKUaFjj&feg|bjfr+u*-g0#7+nphw%EiaJ4^iT;JvF- z)mb_8y(kE`WU`%dDXS1H4cLnP_ $)+TQ^*-3$sGdY#xD5ZLK<`Iv-m3__Z2Jj5> zrCoxInrc32p%;qglZI|fZUgsLD6V&EMdOrYbbl%Vw3zX`W#SV&!6+Yht|_1lHuNVF;`I8XCNB zSwq-qI@Xyl3Sxp^cFcWRv>g^_GGDNIZH%SFj8Bm<+$10zIw~}!wTc|tBYuH9Qqz40 ziI+Pwnji@C)t9LnA6`{1t80j;Adx<~MI>FzojDfMhw{4<-zhLoUH?wjbX|{E=KGM` zN3zi7JYL66;OmsP4%85g#)=GKcq%%f%PnSGD{zz;w~M_S^#f0T0cp?ZUL}bqb*M=v zwd$^>4^5UGv&EN0bZF9$t|AOos3SX{lplzW1m`js^~O2ygfd+SnO#$jCHphlmoiK3 ziU16_WtgFm>r{)-d~M5N^G@A&Pb`mu*ntLpEGX{sWSHpS0J^{8!D9WH&r*x)VbTF2$vPR3Q%qD5G>5&A z^5VQo`!ZJG4V(jJ6LW0`G^E?)bat(C42HY26DijhrfUEBNlNHI!=7!yn?;A>#G9`n zb%eakda-~I5!u9mYtkXRR~ouce$*0t{OVU*6|%-0>f-U;Ok=SY&Mb&|qlfOcN;6Rt z+QlKeFwQ`cMb;yD!ig!gfMAx)Q(VoXV$GxdLzWY#5BNr^tEX>@cY*zOf7fXf0I#mm z8EE1X^49q6@G4Y(-eLb89lX+M3~Gqu&E>cq!RR%sY?Q&c#w|+(mj#B+mEE+^DvrRF z-gumjbM7~JIl4qIeKWSW<}WL9clMdQV}G}y$gr}IO6Qb<6gI!BOvW}0*uBo;ai@pj z@|GKQk^~VF!!JBl$kdt*7XuA!x%Qx z#T`!f-;MRu+%oeyYL0cZmqZXM-%CJOmqnVgFf8`h+#FZVd8-IYBvy~dLsWEx+MJ+2 z+KY`OESNS9WSwfDKGZGsbs~qPH=H7@IjHq-?toIg2)`qNSMZ#rB(W9Qk2iCx@Zd>6 z(YfM3R+80(6QWMIiK)bSizZJP+B;qkroi{y>>P{pWwR}n;H1O3$C1k|8p$_A*FgfV ztK*0pdF7M*fVGwyXxmOQ*D+3^IrxL!Q2Bj5E^BYry#!}c(lPg2lt3&f7vMYGsBHo6 zJxXnNRS}qkTs9^TQ@HVKLo(L=Q9l~m2C)SjUGZG1%GGx6=%1291M=Nqvdv$el>+m% z&b?+=a6U}N^{{xaQBh@f%j_8*3J%FYbkslv0gNky5Ndj-tDmWCrH0h7BJp6F*tPO+ zT%#t2gL(>JH_(*S z$}B$6L+H;orq4^aLh<7?8@`Voh3F*sra-TPDRgt=1C%>GQ}4f36w&{cQ5^r)2rP`O zEdMPW*b*ED1{0#NVWBwxY6#^7Tyqv`&i_X+aWJznFtV}zr|d|`RiPl{{2%WB>ZP!= zaWOEnG5yOwLB@@l_J8{PqrsTDm>D=(|5M3)c5AjwDgI{#|4PZs!o|S&zqlvV{sR2F zgYzlr{^{T_ea_4*MC@Gu-N6Cl4idtnNZ?}kRm4p2K{Mn4N?Nn@W-Neo38lvrUV$_^gKWZ!Den?Vzpx- z9T~kGxBnP$_ED$ZZ?4L zPLBuuKtj=c=W-;V_u~_$+daSy4(a0|_UxPx30Qo;K@hD+_2`281_-GJ zdb+*ADazK)Y~ao({vWp9F-Vhe>(VaUwr$(C%`V&KQ?_jzUAAr8>ay+Xug^PkCgzKn zh>XaLym$W3wXeO_mZ~?GEB5gOJp+io#ELCL;V)3quZE}*#73Ze!BQpVidOp}+)4`- zf;CT&7V$^3l#Ea>K#MHYG9_gXngyIeW?-zujssmsuu^S}VEa0W z#mW&G?u?;y%2})#5c+j-&ao}~-_7NJcPTaqewxfBdWE>AAVDj*#|{`Kl>w%JZFx^h zT0mUMy1<>YAIh+|B%ZzTK9;&CHV^Ucr)0}~7xnEY73cTqfkYCxw1i-YEGV^>U*uWi zAk4yW?(>r)nrBG2ZyJd!)_%PZkzQF@#Nt~UaAt(a5(Fmw!h?5&R>>wYUK)dT6L``8 zy+f_AZynMe*qi*}*#3Eh3j&}jx1~eCVXC}qnVqkrxjzQ_@_Czp-PW8d%F|!bE%5gA zvkWM7BxwdQC35C*dpp_--`giXFneiQU!66yaRyp1Tu2Bcyl+tPw;X<36fWd4*QjUCZ}3-im5bIVDH1KP|nnipB@$}7E{Fdq5~^HTM}6d!q|Qa}=x@@Oi^CS=28 zD1=2zH?~PUbw4HHm8MEdXAzW=@x+F%d($utQmw>A#Q0lSCbmYS^wwf<{4--h{j7K` zmUutJ4|NP2r+wtTnG?|ah+9zTO}UH|^)$)ujub27-miOO{?Y4HGe+zsrgYcDC+o+$ ze=LM$x$JWiIj6GN1TM6w=GX`=+H&VA?*UCFO&J|9{)6|?gJFJE!lguq#+m}P_J

    +J=7qqsK%{|)&@XH9EQf^6sH(4oDEE_ zaVnj^(;lI?_!|9YN<&%$wu1S~hlWZ;d!$qUPeOLGD8{h*ZU=Cyg7ZwAC+HfAMqk@* zp63HcSR{{cr#%pmavdyg* zviZ?5LTtM8n)~&|nJD9*|yT|yD%YICV)WasXXVn4gD5cjIyK~pYZRGayx)Wv&JW!#e;>k&5~@5l=PJI zgh7yac1p@U{_xAXDJirvB*=k$6xPy%mSraqZjLEBGt3k?z44q~gcly z*Z}jF=1VZ4x^WieoCSzVq|%RQb@O@m#=>a%*P^F&8r{4_w!43akkd}lFH>M%ZGl%A z8dI)cvkQQ!PGcIA@~Vs~<4($vVHKD%INg#Q5nF+&$*IXo!rR4HwbZ4bR8@bohvWIy zw4oFzXj+XvJ|DlM9knzUs!H>S&hgHQpcV8_=v8c4m4-^P?a-EW0C4!RKi`bM5lpgE z{-T_4uStN6WWL_rN@D!)U&5NB=jsj`JznBH*f{`8QCAMs!sHu0>*Tfk=qmY0`@8@* z$g0{f_14$r{Nm#bD9zm@*oo|5P0$qEj(vYF;!ap0o z-@7fH0UdE3v0fA2eR;2!&f;NxQM9lullMC4ST7wT!R&9Ddqi|ZyS_g8akuR@`Zg&} zqXd8!3HG<@0f2})*5-hB5OZ{n#c2(Vj#oNDQ)kust`vXp#ude-x`pC0<|?yTHG+&x z;b~sWJYnqhvTBv&xU2-7t4w){@?Qcs8U4uRRH+W{j`@@@m%k)M@yjWum`HBG<)Q#E~D+z=fiG~=D$1dcdz;%S8y2sRG=aT!2@_BP+dZ;xRGHgD-S)Lc1tC-xo<_(R*COT8joYK)qJIWtF{UH3k(EJxHVT zqqWN6@}ifX@o_>A+Mg=FC6$r>Po0Zb`t)3?E|xx)8{?la{;1oF%a54e-}_Da$Jqe0 z^WS4#PqOOgz5K=bM@5T<2+mweZ`=MZEoZBxcGzwjR=TCvu~+6giFpZC*M8=i8kCbi zxl~e7HZ8oR9!rpG{4V~Mo1yb#{i#Kpd4I4n$#6oQYxD3cWXj?3aP2KqxYWur<-wq) zV&PZc4-s=)7pLAF;=PM`v<2dmLy-aZS&jWTCmt1aRnWaX&#yn-D~CCSN!jY-K60j~b1q=_d!eE|t} z!L$wCq-h>q3-Kg*d`v70TmQ_8G-0sPUg^f|f3@DTNt09trmRB4xH^0xFF*h-U(h3s zESO84{Pab5qts^f2g)^=xR{VyYa3pKTUvz?Cp@*Cw=)vQa`Mk4yKZ!b7sk1=wZF$L^td0nPW++i@_v z$^JS2S4+KR{y5Dco;N{<`5M)&*Emu(ZnGwzs^4J8aMD5j094@P1ZPT$u1kUl>>WN0 z>jd{Q`8CU7-y%twCMWy=+G=bF{>_i6^w*v`gpZ*ekG2y+>`HGP_RifV!gIKisLcI% zWBESE+hnv1kP1TWk}3Jt@iMRPJneT$Y^_OTjV~6ED{bL97D!nJ3~!YbFC@)ySr;5~PKAFW%i1s7r`ors2*NLM;IB(xO(5VeIo5^x}(ox9=9)pA%$rYL;? zE5&+dwxdS-F4LOUopzU>#8s}D7?jWwFZw#t9WLkSRL1H}Dw`@wBiGpYey)R)`3l9l zkJQJPW5n4xEa+E)_z?QIOyT{b4Yrsq|NF)$G6bLYS2-P%-y8dHl$nC8ff&?4?w5j# zK$ho7K)r>n#ZcbWgB&r_xfMmr)zObaK?@9ESza;$=CjpDae(RW!lykd&^d&-$C)0V zZ-RLL>bMBCpEa1%(@WVDAn@hNp*4qlf+;Svnk?oJn)yv0Is2q7Z9W>kM=!(+Y;e+W zg=AuJ{~7wmVQecj`}?bLmJ!!HWtWihI>HbPpbm8ZPL{?P$K9(fM-0A%>H3+WEpU}> zN^4|4H)5d?txBWwnWQ~7kR>ULU+bV3&Q~Y%z|{P_McGo}Wi?1{mQKa#9iEZ{P;{l_N{MM{I$NeWozI0=sxBKj$4)`Gk;*Mf zAky$}v!cp-YyQpB#nB0WBQ>t3{7|lzS*EX<=^-QbgY4`y4e{$?MXKrYj)w2+u}K+9ndPsZK|=+HQ= zo{Sv%W#V@wW%Q0w3t`Y2R#d7SnMO4y#-5!l(}-Gz>_MgBPR&>3GY)OXbn})QFII5- z?c6cwfnzAn7Ctk|xl-ipci>g`XSSsxf5XO7dGEOko0!@QY3y%dHCHVUN6GRSx`8 z&wqw+P}O#D9xaZ<$(s%v+#^ZK#llJuPvQQc1u;g-n$WZfEF5uWMvDj)U~x zbZnzAiDCrCjjutRx!Z^dz;Q>K^rq@P)mx)2dHkh@n~rz{j@@N-iv)uEBH;# zT5vi)Ab0EXY@>|GGK zmMZ>HXp8%yaba(sScKh@gGzoXn&;%fZAHvPDSS@X{8ugh@D|CTWn{hW|x)wk!q`0TmVxo)^i4)I9cf3 zt3ybEVgg1gT!c9XhQSrJf)f;&Lv3el^fx#it+e2*;d~637+W(Sik#KzQkN>XqL^0r z0ZsB8EVtm=*ENIO$?CMPZ}_L=6rxmvnjel{yccB1#yxvpB+*X_KP;TmksRF}0_vif z`>DN6o2VXGdx@xMS&O-F!&JY7RF zu?On^fyQi1Ozi*dfpGr^wEl-L>%wp||EKc-!_D$PH~s%s{G+{2!!s2BQ~3WU%-sKB z(<=WLiRI=TerhfgO4S-XBO$^4YTJUnqR#++LHd)jb46ed zLYDshS&d0vRpPyVbVK77g59Rih%TP^B^Fuq!?h4r0T4W^Q=WInGkd&jTOz#Sv*YrQ z0KxCC=*|~LH+B85J!;+U(Fdfzg#{3%4%{QtSO`H z5+uK&7aoN93;zTo0O(h0i23T{aegCD;^DH(KD8MmU(@T(xIN>k)0IToJr!-_nPsMp zRL&#+TJUiqTML6Em3^#aubY$d+xC~EDkM~IsyDC(KP#~p-kfROUx8`S0Qs;Ml$f{L z?mT%ryy@aD4g8e!_#WZ+K{(D#?*Tg|UX~F-;@WHz>5GgK07%wTV@0omEC^qj58G8` zi@4L{w&R7n?TEcza14gWv%QzJ>m}EX@B1K?NDrD)D=WFX4~oaaw5Eei~@Jztzv3#!Nz1HuxL=ykbI^z)oT9?t7fJG#l_r9MA!cj<7avL)zr~AgXgVK+_Yw~ zHsstxYcgHe8V(-7vedMQhueauX{N1|=8+zgv~ngi2`KW>>TO%*E^YslLa|q=Jj^l@ zg>?m!pefMWsS%RNvW(1%-v>D!DVtR)v9o%}M_OrXSI$GaU9n z2qkqq(5G!K#O@egrf*yO+kOqm7sz0~0`>;9Cu2dfSPIs9Cjt{Aw2-?ixh2h^L587J ztH7;v)TFs zH{xBfd}9w20*hL4tx6{q5u`{L0hCsWjutEh9C#1+@L7!!XoL-W>Ss1sIA#gt2*6P7 zoQeqc)d&YB4r3k~#8M!HJFdrcc`|MQ32bwcyKQ_qokOy(q?z&ElF%^lRd=`+HF0aI zyDO&)AG9uL{0Dk9-yZgWT2;aZO3{g!FUHq8rTbgTx1Nj_(nX%g|M?rlbzRAzu3YBz zr)(elAk|`x)iAG&URk8tjA54L5g@%^(lCdAU-&q07B+ws1|cYim|3cCTfe9L_C2hD z`#F8p)62KLWKh48gpSp(#QqE5axZq-gJP;$7Nha0pYzRePRDW?foR@@z5*V{x=K9a z4B79;>BCJdkr|{7**}E^bowPg3#U!+rJ~Xtgqe3=?Xd$D**Y&B0k2~U3!o-zi?R4j z9f7mlU{T7Grek&^_Jx7t=V6rY^uLVLBb=81lDM?SEC@%m^9s&!JNOx!P)@`xfOOT# z%cECyx8aHE)8m2B%D=QQT?;rLApX&2O3?-JCNXsZx5xUc1Ij4$^voxJlUqGefyDZ- zw37LRCcpvHv%#4RwT#@H1K9L*M#4>voEvoPIyr#X55zy;v|v~pD#qpSCIN5q_B8YK z<8Gx&uSaKpX&#jfcNTn2Uk{!&qW2ms3PeqV+Y8SAf^{z|7V0-)DV0@1m{Bgo`{ zIvmyrIby6Iak}PpYjQOmf&e8n#{Noe&$`Gt8@KxwKfW|oM0#hS5b(HBIo1vWA%SOVCpW;*+0dVYppHBhiU5!8Jv9jbE-{HV3xjVa zB-uQepi_M@!zqdX4lKVwR_0jS{pD|XkvWrYRg!unP} z?8jqmHW`q}kQj?U4x|un(7GQWjvGoR=Pq9?+(>jX`C=0IhQB3LQQY01xl=Vy2py); zozEiP45AEPBpEoa7Ytv*0Ux&m%wr4ps=(Gac$WSgvQ8Y2} zicQd)mvFGbU*4u!xld#O-E(F)KGv8kQ4_eIFtkl%05ciJ7j)z5AFz&H31;&kpg(1wDU?6j*cIuf^;c`$7JS1~Z)zYVr|PVo$c{aLl>unO+Wk zkq;n1S&+TL9^$|N?}-r{G-B!Nv)carc+2RP#G z$b)$VRB5+O=C8@a3Z4?SAsC=XV8z!fs`mChMuo4zkEwY*y{ZRDhB2d#j(NYViMPAi zldO~{?(?bzvarlyp|Mg+N%bHbM_*4)dSz)1fGfo^S+VOG@zT42@g^^d_4J3)`sk>3 zS*RE^z^y*^SkkrlylPp#7uslP*Wt}1 zSEA{OAkER@H<^c74vB?5j%Ukq?vA~$-?iLBeM!C^Xhp0ja70hPQ<7R zfHc96{ze+kR&gV}d_WKFk!h0ZK|#zES;l_T^Y!lr5G^ z%okHVNmwIYoZv3nEivjL$4sUsee5+x0Q?aCgx$E9^VMW~WycPK1F?^%CmDa!7+7v$ z5v8p_$l%g$c+P3hRIgp{Em@OfX4l&JkTThf?bEdGJm|ex{N0t``Nn8*o1LVb73EWn zn}q>^A<@kIqdVTQS~X=>sRcu)a=0Ix-&7~~jEG0pJd|q%p)2|YhII);7EOy9;5!PI zt$cAk-yVg4Sck$54jB6$L4zV?-x`!m&R+OiGJN-p2*RrF($$QIO61nAW@y(kKi<57 zja)Cu#70K{RHc0hA|Yf5vLi%xeUMy2#~viP6Rykk3Uaq#>Qp1AW+FQ_PefXDJtA$< zEGW)Vjeqs{*%Z+Msog0&TN6B0}7eXx-HJTV*Nfn=tsvAa6&l5G`p&E7%KoDHvS)_!u z98?%pBwKZW51(c18F2(%$?iIg&94fNHwZ~HEs|B@;!oXpj-y43U|?AiH3nlQIr4fICtKwlJb~#CoD5CHvQeX zIT&H`kYoGVu(qM^a?30MD@lTJ4)_ZhbM9yHAN7Ute+cxyr2YSDEAIbjtN$U;Apf+J zSPUmB_kSeS|23}5%E6kP5Q7fF&hZZoljCy#U+4cF*=1#6V&MKava6iK_5VC)VP$9F zV&_aQtU?85N^ZzSOJ5KG`QK2t{d(8%fDlVkaS8+jRL#Q;e4KtA*jej}dV(T+11MY8 zU$BTwW_gG~Q_)ScL;0+-vauFYT$xN<<%v+y_t(uWtnW-z{G)An-`}4n$?iEwc*7BV z4!ITl!fCFF37vEQI6cc(F1CrgshxnjJu7VvUq%MMnOh}>pAW$6U0eBIz6NC}`fx$P z?}uui7sS_P{;xgNwvPP#pQz)%&re_1=ZciX5DZ}seG7rk95UuLEvSUO-vVC`s3u<{ zekGq+CqFKKQ$F){Ab;oYNOmD^H^oE-f94(bh;{>9-3;Yr;05&4L~GHko^WFD(E)v7@szFxv%mZN zVjyM4!N$s!g%$PW`2zrcLn+M~0kfuULiW#_Y8vr_ zQoPxZHpwZW+(qdQnGaPRyD9mF1MxsmLPfv0RUCa=2T9r@y}1nsh^Qg?=ckm#97ka4 zX$Ev!o?M`d;iKOO3hBx`Lsocaf#puB!(>1TJT&)~Qgz9Q#%rE|QZx%qfkt5jxKEJm zlP0a-ZUq71dr>!iLVxeHaXW&hpd|@t^~}PgrU-!IP=(7$VwZ+kV;zD@EKQM->=}w9 zUk76HQ-Gqvi-e(J#e0*D*g1bk)ky5GtLy?n>rUvQvl7px#c|3m=bVh!Au10=ZKhhB zj1?Fa3Te;R0GY7P-2^XkwQ7?A_)q{qbXw{_Y4N=Jcd@n~d>+CaV4 z-O&tIaD!eRAh;$eFa{h)fBI%KGLErn>?|db`m|#9|Vl-uP?R+Qi3Sye3BWQ|ReMj-srT;7+@YmcYd< z*y8xcPez~bJ;+By34#tAepyM3n56&l$&P&I3-Ocu1Iv%O7&ciCel8>bpjPGmV?POS z_fDd%WQ=OYynJ&`L-uMEKEC^ioxrDH28{D4qio_6FQccIS+kNrT~G!}ZPGu{7MUz` z=1{=_LuW6?!53>GC*;`lrM-?A6U6*^lpQ}MA~xIOPK&AOD%lH<_{t$kx>|RVRdar# zgYItT8g9g|4CeJ<{5WICzdG|YhT+q7 zWgK4hxX*Me-+a7;n9c{S{HV|nh8a2_k^g9>IvGCEAoF1~lCA8H!C*B*%0N0%{P-N^^TnZDN_&R0KY_5Fk4{Apm#V{9$kPW8_P&GbJ03qyqQv|_V4*_>Ot~J zHXBa09!_<4#c%y->A_eu%tHcfC(~@Om+jlpQxT!Ib!A=N1r~!`@zrsrg7uj0kuVv zH7n*(8wu7mc9i(qFb-%uWnp3-rSLw@!iui#^ZR~x)-=-$l-u>&ayD?jN(!pHqUzbz zEV!mexTObEhzyJQsA9_-w|q4&G729UY%q)$iIo__uc*2T{wu>(w6P#8F_68mKlZ8#{I z1x}zRSRj$!sofDtH8OuEUhyC(ac6)iF+5YHIQkC87KU<%PMhRFskF!S4Bn>CL>rzH>u-S zb5y;uYJnwKi`?j;-jpM)xdmM$Oc=G8cj2c@b2(Nl z6|bmY@dO~DsovoLXDo-V*|h&o9V%|wbTw>dmK069(!uUqcUnzzSN+Y)5ed&!Z$HPE zvDzJZ#qIrlSAZHHR&}~~bs}$BH;_PXRW=qOL_KBEyAz@H{^53~4RK$_WqNf)i_~wC z#DYD{iOIA`z50wVK2+PLdgOB3OIA_hes_V6WDj^LaTl}4()4}zT~8eT(h4fG>sV3%e1P_Zh{t-7OAH$RjNS8kUbqy9^1T&A4{~^$D^2N;K4Q#Tm zDFZs#LFY;_Rrz2kqOb_DaXT(>#{)3_PEV2f2U=drQ$~^nv1uH zIthR!&Qq9OOsNeSSphNtk$aZjUYLMJF_L-j03$WhYSXt^ssq%{RTn5JB zB;#Fv_Q;)wGmEhj))sRFSNx_7k*EQ4sRRHgkiW=zv5KP+uR1D62^*}XWZ8yCA1t(w z@DW7P%r)BR!x$@{^z7AfoOM_851)Sv+ocj3sREMUar<*>uJqyj6nbolr#CPb02wK( zVBw45jO2K{v6P4eB$1v)1xibNwXsRtAvl6y5fmt%Sq_d{8|KyItbCG4EiY$nEe%Lb z(n}<$;<1#NQ2S@qK}NZC0>20=tr#&2&?7yOpaDrrz#jV;$3|I;m}ERm$r7D6#SK1YVPbl+y@}30{J+V zr*)WccYI{&s8Uu1r$Fb`P*Ar-i#o)a{ki14Atn}1q|GlkA20uAV^DfpHa%OM8_J$j zeus;Qo@y+`GG2oa=&F{NDWM}@-w>La*t#W2vLI@S>g-NMgZnG^{sIwcL@UX$F@>BR z&;YJ*_sjWRhg&v!Jc*V)bHJ3kYuZ3rF_@Fzz(j*4hq_tr~6t&F zqy&+gG~LAe@~|wO;lqP94SsZueKj$zljAOC(0Yylm9_2)ByUavBED`USz^Z1;GI(>SuV9$eO5kcdC>9HBG71=HxK9hAtKalyfYR2oqv zxP ze`Kn=xV`|AHO=J(&G~F8Dn4Z~x$Ov(Un1BydJJY}EZ6NUGUgm}{7g;@GlbU;f|%v{ zk{}!YD{VHa-S2DBCh#=MBmg>A)UsJdc7J-yH(F?JQG5OfO&1`X=+3rlW^@Ik6jZuy zsi1*6M=S2g0^2@`Ov-}@b%Kcwe3dzjG^dCW*T$RIy^FLhhe@WB- zN>6rfP6lRXw&d3wp8w}D)4vcJR<2~3TpslQ>+AoSfo5anV)!3Tnl3C1g7#0C9*j}} zwEq(=1NYCcc}EygT}}=x9FVz_3DSeEUl3kYj$wx=9hmd+D!W)BHuW%TJ5R|GH`Xeq z{Aa9OApgpA{}zAOx!z^g?BjgtoVBk_b$II}HZ$!e7S_t(OC>5izsU_*ppGnddmnsP z;Jm^7qur|{lh6t8?}y#L9GTCF=~+pDpr7Bzf#ll@t8ZvLASdikFRvf%5rALcK*4Y) zC%Y!wz<&X^#r%aLRRx2$$2ZI0(;>z$Xlf`G?hEoS$Tq9qn{OcPVCZcW^9|f<=w9yo zH|unV|C^Kd`-!U`FoXAb$=~edoDC`ZkPXTV;J13KRw|sM>^C~;8Lcs6vBZ4E$~;GI3R5 zOCKbVN1uIu)`Hn9J9^_3fCYH_u)*3rt1)+zKX``I^SoRFlssnd5}Rhdi`&m3d-<)Pdt7)f9p|( zEae{kC!z$2)t@p;u+T|_hSapT?Df@3zP)>tH-a@voVMWipr=QkG!c7iocYE@o!ZR` z4Js*=%TTC&NaSCcn9T&Y=`cide-fS+spmU3u$2s%y|~iF^fBQ8UEP$TzvGugaTLb% zbLHjbV@fD;3RZKCQzm_xt5a4B{*(&*nlog+k&m{%QjS%KrkexsTuMD@wy)jhhv(FXT?edbJge3;7JAs?1X( z6HRL7yB%CS^=c*s99%`RJK1z4Y{%7GXQi3qH$rYa?-j}yMs}RB3c|uCN-LQ>|M+|) z!Crqe1ej4egPbjv5sPbYre$5U(p}@n1hCNui@!T9)YGRX=ZiZ3;$w!7KIx8H3pLXD z{yoi&(X&gDm^*X0J2>5L&smMHea%0C>Yt&K!6jRutW%x|aFMjpnE_($dGkjPv_8)F zyc%g1k&Mcy_MU@DloAu+o({L8dsHF0svJ=jyC02a6|qj4)CWs!uVm4A__EKX#lBJo z^J05U)~YVfG$33{lFO~CTla+`%6cYlXxKYG>UiJfNZNsJ;4o(i*EgqFcZ1$w+F4mA zBF@%VLRy^!c$eSGC5L2j*~(0^$&CJx8=QTX>W@bgb|&J=xhGLLZ;}=V=owhNk0&D3uB%eA3DI+Vf!;F>&N;WS z${p#Fy8Gpn!hhl6lgFb$*#&KO@XI>buQh$5EX{j`w>LKe z@L4Y!p9a**om#3Xd|)Bjb_3o|AYSxU2njKoX5@!cv}K56tr6liD{na+j- z-eN-jUPH2$j@&Ay%{1E@t_S4uJS8r%^m+d&k|;7dAL}4+{5O*)JVvA&HkI;(;YkDE?|vqEzQ{4e|}dz4%i(fgy!hVZ~9`N?}8Z*@V>@;)&9N);iT z3eqT@l>5GH#!sM`oe*PO^L74>5PKd3oAX$73JO=4W@*cs#&fJ1BDdN~UhqX30L;>F z>=s=pnSoZCk{#7Ld#&r%s!~1G!U-q>)Ew3TL&|?{mEGo2<2z`BI&C zsI1|esX9Q4JpMO6a}X~hfNh5yVJ&Z*oOtzcPAY^>f3sA~k3(_+G6S3D4tkvilD> z>%s!hAJ-@pluIuCb?jmSQX9l=RPMaA^>ScsaC^COMxz*dtm9J62`<8&d zA7OgecKF`vpbLD*-C4Wo*ihSrT8vcCe{ER-O z$BUb6v->GLueT5Y^vO*qq<`ed8kb~NodtF*P@(Nevi)XFHKo(M5?OPBQT))wo4LPY-DsDrsNyx- zd-DY`QI;63bhgo&fMS^E3h+5C?%d2S=)hj=zG-9ZKR4+6@-N+P)h+iwO7j4fuLin4 z$3~3uo-z8|h0K7Q+^~dv)1eZ#b~ZU}oARaDYmW8UDf5VJ@K4F(<;j4> z;y;MHYEZ7&oJX- z&=Yll2f}25xq4Os#H)vIdE4DAsQ94Jv{x1ny4&2s7?!?2qa&eDCM4owt*G@e(uSV1 zPRVtz^}#f5FaDn3sNi#``qH)NS0@O2nO=_v{sg4Y%>_9d;hFQAQwtAvj1q*xpKu&| z0r_6Q1_qQuMHd!=8Ut@py>bz)s=0}g+uK*kiCNB#d>Z4g^AbOZd?;yWHJW!gP}sWH z9)TDyKofvBjGDf{WNXKZKzsCN$NfnuoKvAuv@2W{3CY@(4UK6Q)|P|X)S^}c$K9k) z!2_5PCJegCwbFpll&m9GDNzX5PQaU(*OFsfjOtbqIl?F#)$&u50tLo>vy0?XTO*%# zJU|0>c~DAgL02khzzh5>MG(fQ`fB;8D>!kDfas(K+N*GtB8b$P@>4n`Cow%&f)k-) z4^#H2%;qz|ybxC4)#W*-JF8QK)EdpUQ2}0qC`Wu%qxdQ0Sg)t4#t;%OtpuVp$ck=D z?Yg+(PICT|uC1V)^GHNtrJY0ljt-ZgNZ`XVWvBoAF>p83_`U}5U7G>~w6As~5gACs zT;?_Gl{=+KgP)(KiOy;kWr`wD%R2kvA!R#C3|!6AM6LvfT5R|;dv z@gPB5Hu;n}sv+_;n5|e-90Fr%a&A$qlj(SW1Ep>PW2qTYv~!bb6}!`+Fv%o`r{I}w zE=8%{y;gniZlz$he{gdkjV#+%1#otrR|#CDpoH}<<3Rw1+UnaZi3OHJa`K+iinR_( zy6f~RuUeVF4l|?@29yIS%r~iygx=R^?+o`&WbXvSIjwv~$aJpfUzuC9ytfF6Xy%MT zx?)>B89U8(e+IgF`Jt!ux@=aUx|CUWs3@Dn5Irvqk>OzrBE~P#Y(NxH2;l!`V6rST zQkG|?F$8x$xbSPPIS&SBd%~H&yh0A zcZ~!Ad+x0ko?3xV+ef-KI78}tiABWR^0)8IwV1zoAAxbhnp%DC|+G5NQ#}03`uM2%Ocnkg-D6s%j% zJFq^5$3u8r8w>Mq#qENG))+S}Tzmiw7_aK?rpgVIhi}}%d*@45J)jF?b7X*eb#c29Ta#;^9^jYsxi9H_V*9uk zIHtTU)bmT7Q^*i5_IwKpR?6|pQYF z5U`|3ZGLDhB!Tl43}DER0cT<(*bly3SsCOl0kCdE| zg4)x4sIP|-YysJ46&Y-$t763})m!pLh)NHjY!a@*Pc!Xe5re!!bTi-WIce!6l_Gp% z7#cp#y6+^*w}}y(q*%XV)cvE4vnSl*0d|V$w-rV+BWHS8FxVN~l9%Es5oc>&&fcuB z>T(C{Fe()E0LR>pTv94I$cJJekkjk4Q~tHE-K7z3hg5`3LGod_a=aV)JIRD)1r^O( z?tmyId*>qK=7q@JrOREP9oFy|jj{uCh${EyYIWUPef}tUKLLiJlqvW_mMZ->Ha~w5 zGAB$$&(sidBj9!69kcyN33E^H=Mv9ip&gcTYG32lB-iV0V+L=hr0unpvn zW{00rlZ`bw#K&JljU+m1wN|--XH% z$cxS*S{(q8?a{nHwY!L7DveFgwqkJ+@j2!z*S z?O-R7^X$>2Y9FL_uzraDvo>zes$f6`l5smui?42ADR>!r7X5_@kJg7bq@mh}v*hn1 zKp^Ds8G=clTF7}0CPAX=iRs4;1KEs`nYwdIZC5w&Tk|wVVJWE<=fz!NDIG-5G3fM= zTN>2z)tWt69oa<$q>pOD3cWvY^oG*UlI!daQHtCd=~vunc2bJ4^zQYrBhSJC#x>C= zd;lg>{J0`8RyRsssS(}eW8nC7Bvx_~U<9VrGRmqfonO9(KFNY6e0C-Qd9&Ij4!xRc z{|`dC&8Br|S`wd3;4*2<8@2Bs3>=g6TPRng1S~I7W3B|0%>9z&LQ|AC@3U525B7WG zf%4e<=J=dTTXm@ztq z2v&uebg}Ag4O=49$IK+;t3pIhc!32)(kJ=~c}G>Cqz~*|;FBO{_GHBMO?@d5_Jw=} zwWidG6+uuvkZ21)Se}kd>G9XlEl&}0*@D~e3Y&{#iBo%dfDdC@M^x^i@ZtD-q?aFDYBx z32lX*2bIGOIX^n28O(BTQ=S&lESAz{-70Yq=zAA??HBy#NY|S}+i;qC?hZToL>FD~ z970C=+<3>BcOi4FlmrKTY8vRs6rkm{UlI1@5t;0zY<0&n7mlAomW{4R30jB z@)wN>&7PO6WeSf;rsw!|Vn9m_VgFc`xoG-?jY0;1zyCNLsl5;c>j%JX^hK1%mK9zF zr*4Y!zk^f;KAd&lBi;0mtR6+%-7Mz@UbMWw!gj@5hp%~e|Gs4em_nol?`^H9uFeHx z>+cd|@vg zHEdP&rnayR89!3|YNFB~d_L59JL*yX|G4@Js5rJ|ZQR}6-5r7icefB6LU4CyaQDI8 z-6gmOcMtCF?(lQYdFQ_W-8HjjR(IE~-c`NVRQG&UUs+UJB%65VkSu*8UR<=YAI4F< zgqG#jA*5I9YHlGmvU_Vc>MpzIg{Q?1Q2tW2N>VBM0}BN`hm>xeTCYGzwDpf<4ep#&RMWjMtp`q# zTw|g2yHim}uRA$g-$^T{X;t~JZIjpfy#&!%f!P39GfE;|XWP&w6{Ot;VNXDB2*f9i z<8#``Hx|NOT5B7t-@*q-Rz*|uy1TOWI`NRhItRacOQCv=Fj@phAfMD3g3t{5juDTu z&6SA>npX|zDNdA=Hl6x$oo2ywezsv+zaOKW;OB6D&&v$Y>96zvAXSpkY!PR_WiKwz z&>xWsJM8_Tw>x(+oZ=soW!(fU_t9*Q-1tnAg=$~pf!(99r>_+0fjGm!hndH|s!7Kg zG@AwXY_1?#1QDBp=oUNC8ErYwb%V@~M~SEd zSeL>WEWwY>GzQhqsz}EZC)tq9%=fO8u) z?DWQ`uSW~NM(c$pmAN0>J0O-}jXLh5ZoyrAbVG;z1Xk!Qr_aV+Wnj;?LzZG#TQ}8P zl?vlll6k%_y~>q&%mbsN6l3vAjZl_Xv$<{-7l;rERJ;ok3%Zq;7-1UgeCZqJcMt@7w8wf7k*Mr5@ysnm*fv ztt*Sebyp!F1uayvQxs7ADf_+R_`uQ$`5=l_k<~}LM1#dUinLWcR(?r6M4@F8~dxRI?LsTP??+lM~}xMLr3TNl{8d<#Idzg3~uORma!gBH~} zFEzu{Agj+u)l;?4;b%ERK-tJZq1k~Ax?@KFn$^A)(a6|B&~Nj7pO;{f{Fz|0o7Jbg zMAV)?(Nm=Cu)<0YaE4TlAjV3vY};q*^CahGL~f{7sY)_;?6%0 z4d#IhMm&Ddn6b^SvLdMxVyu!F5KoB?fggpwO&(YRGq4g5)ii??C9y*cYAznj#G_J= zSF4YfFE;t*mbks30-^r`!QdUPdqPGqIv`z7#FK3#NK6<35M=Y|Y@rfflC)0vwU2Uc zLEq9SBT%W}U;Qo^MRgKrVBEm|Rs~HVBP1(3cTGx3=G+XotyWr9caes@VAj<8>{@zE zG}^Z%zZ4)JW=q^tE_#|%?iW(NOV00HJhHxlYaPypaSi4U|NILYK5if#n{ox`y3IZB zxrU$ZU4-Tw5a*#3zBu5Eg9;JE|G*B7+Re0$oj&s(*;JCTK_bU?Uh&f?HPY6aB78h+ z6;+1bIPd;G$Jc-&oYrNN0o9G<#*WIVRP7$JhPqJSOmdj z;OH^MdUiuXBgaIEy*)?)j7r!K<(=gSVJk`*42*&yVVe-}B^E=o<$axjUoLKNxkd`% zl+{uE041kx@L#529F-ezn#OszqVLIQGlTu)W5qo=45SarT2JNJNH7fLB4*Ox1ZA@W zq51YDOm>C(DO|4XQoPZsUcx;96yR=z>jw9Fzb9aZSr|o%@hw=&_CP4=;?rfaoO z*6Kj?Yv)O*+yIj^gj7>T0hu5NLHEK_g@XcUVe{`@;C#NVqJIpu7fHQ>!VZtY`sjyv zU6$*4F@&dQYCI!(H;kmlGgikJMkJiS$+xT~z0M52w3Mn2aM`^}G?MB@vky$#RqF5v z0Z45ype)MA%AMF!I;*D8BsxH=Nb=)F@J7Zg%w$vBnS!`ENkN7EnqYTsQby(iX!izJ)vHLi7RfC@0hTA-dLUx&!_`I=4|=EM&u+Z!!eqM?lt3oZhWt~`wK zH+W>%T6&@n(1fBOOsB8DJ))6QtyZvH0B%L`zs=P;ugn+;CS<--<>HBVr|`|BA2td? zhw9&iw;c{QQWwIgu$OqaV5&-Dh3~quu^lLPQW$I6_5Wbi0g3_*XhX$$v}fm9=5nbG zzZ^u8FdulF0=>p#dmlowc4lBo#dU1x?NxqpIiWQHTZHo%i;NS$b_?Qx(gJKM0fEbK zK5KX_bJr)@ejxJs$E@ha`J(ivNV#LB54^v`m$6Th5W%ti!Mai?&%X(FyJ}BfQ1*tFGkFCX-_$NC%JNy}HS`j*bF!d-nuC zT%Y(fk46I$f&GPeS{p2T?R~4p*Fr;>p*_}2-sA{)zsi+^V(CGc+|+tbkH;;3*4Pkd z=bmDJfhUxlr>j9=Ru^HuMG)~6YcMq8oLP$UN7BP0X2U}2zbs{rfSyh10z|wLRvo1y zb`E#6+g1Z~`ArAf?Z1<~ry#1G>SGIXi}=P})B!Pp1*KkP*n!4rVB01kbs54iDMdz z2JqroDbe`2{JN)D>!_e2rFfFvc4#qiOBMtmVhXz?k1)yt`O;S9smp@P} z^9!2V&ttESv|j*7b>3aCRN3$K>ZLXdQ4WoXj#?s+8 zyqjq)jW){HP>Y;z*{kC=IA(4I6#_~Vh0th%hn$6j)!D-92p%TCGyys*Hfl&Tp%=$b z?6rHMBubWG6RR2|uyK1;MR!$Uet4Vkf@+QP~2rY8bD%1$E3O?Lc*>t$CZG;2O9dq=e4>(dx8RdU6)co;vHadNDj=07UcVN3* zzYaQ9p=y`)G7f1sM*lEz|GMKP)%G=uS-b1?<~q3;;0K_8EH2LWQ7C@@mGx>H{S$%9 z`vjjMq*MPr14_6Lj{Jcb7(M1_n1+rhJ5b(8zit#nqnV2FJ)P5QKi+h<`?cWQ`cydv4Q$5&zFqOC>rOrK_a z+y-&5{Y!wHRt^o^3Fb#^Z7}jIi})~J7ddEJyr~w$R>74-Nt@u$-7IlR0Pj#})+L&t z=7ln45=G)kZ`tHVnqdD z5x0lIwJ3`%hN|&mfXK-|iw?k&(~|pSEv_ff1|}_IHTCps91SJy^$CiMN21H`GdMYx zQrC&I-ihCI-WC1^i#S>SDxmnQ-kpn+>wm!_*1xh`{;7gzW%;X6Lj~?X_3x~ogyr_X zC%`@tCE0!OVeG=?Zfqja9!cD-D0i;g=iWZBT`%{98g!MBU<}Yq@E*=gh_WvUZ{#SlYZ6-Px zE9XDR)c==}@WljOZ^RhocQoF^o0(^?-K`wb^ zV!_UgN$5tY*BkUqe`T`3=GQB)$FYa`A4d(X0JHLBeWZ)^oqEA5+OMwV9|KV0b(P@-)9}Y z6iEZ&3{|Q9z2R`}?I|)DP`sJNOul*;8c> zadq3;lM8YJwhCG}z8Z*_l5gY2N!s(zz67th$HVmbe*bVWvvRDB#7v=s{IqwEj$mWA z!A<(ErmZ~Imf{8|7l83e>QjHaTpLoGJ(x0$qzNzgtQ)Ngjd1mM(-kHYD`x&bk6v>p zA)Z^m?(V&i2}zF&`o#?0Hmw6nY{!UwiiliytbcsMCB$%nOK;kh;ZoqaOf@|IJ4_O=!p7JIXvO z&lOf!XbK0tV~H^?rU={Kw9g8?-pe)JK8i3Ab%S5=Ueg3fSg|eh>A!^L*Yh6Q95crU++9{Hd>;{;*oh1MG zPMP3OZF5_5`9Z+_=2y;B4W}r~h<&z84H=JY7fWo9h?fwsS-7Bz{+NujXS?ik z0_xn_-zpSISPl2BNgC2`SB1e)BFEk);rd(mq*j)+l`cQvWiktdFWU998>Zl1n$5=K zcIa2RqgB#d9Y0r2w?Y{Eo9cz@n^15u(5u?%1mBrRjr1wqA|k z<04fiB--Uk+$%MNp>%$`qHX0a=OO-rR@y16S*SuBu^A7-LaJ1yW2P>&LcKml8biJU zbi*@klRTie7u!C}JAlj6uW9 z-L**k{oj8C_my(l37brql3s&#XKlFDY94wR5pV(I;hUJ0?y91jBd&Ea2hW+OKZ=^? zF$ja0_e?fuVhcUyrSW%R6+gV@C#Ia^v@`W01N zx%e49*U(fTR~r=iCQJhm&FbACBFL}{;gs)K*l12Q3kS014_V?A3;Cz*Z}c#jNQ4H) z7o-uSsa;T}vp+yE7cdL|4k-ex|5B*1awweaICEVP^z%9{zI7ZzoCVd z`>)V~|F`V_0MDl= zfJIY8bpOgIIT*W;u(JLWtNt_OAAXm=hj0OPrzwDF)1;sI4pr0CV60z&i=R9ErWrnW z(9KW+XQwfND)Z>TmuaT2|5q#9KgRq=>;H5fVU{p=aQtgxe|PTqoS2;9-_4HF)8MGtng>67GTdG3ZU=;0qB?bCBpys?SGui{_nv5nF=Q`eSsRN{09@bxF7+_ z2FzK+0dg&(14kDzfqIKw<`-Asstlbg~kY))D`0}Y$eu?aJPuwEm=V$a1K2UKP z9(Zg*0GwK)2bL`%0%4Z%3I6ZGV*hu!elBSa7NFn?F;H)L;-9?YzdPGVi>Vrat}u>& zF4Nbq$>-@Khg~~<+ z5+NaNRatB6!b^3_a((sXyu8Gb4Hd1RpYM(r>B(|)?;pvj?icMZ=bjgxo|8Tk9l|)I z1^JbsqNSRSmM87WCN|=SEer|2nAaOv?^k6n)(|f(VZt<+wVS-o{t!O`VWh`l0Om`3 zmR!s$4K~lNsMlO1w^NApNlaSTP3A}BFmHbXEhVab!CX7gf_EaenyvbmqZR>YQcj;3 zu`rCHmgD%gIL&p4OW(OF0a=dwB7lW5lqzMK&vH} z)tGwz3#x}JkwBNt+l+I}*_S6)z$g5WT!M*|i}Kprt4i}*BZow!1$oOg{`^H_Ut#9P zNpTZy4){TO%i)1kEjF2(JT>~rp>xO28`?Tutpp-35|hJtsBH#~HVsD8LOAXh1G2Z# zo2$cJCLSZ)B@i4fv^F}uI8C}c*t05mXySzbz^mho&!$9+7h{rdIi>3c@Hp3MKSKc84j_5^zaA>8#zJQ2l%%kRJZ693Y6Ik=iVW!JpDa!7hh)Ty`> zqH>u~sdUq3Cg71Wddbto|L~=OB)Teq>(UI0*WXhb?YtAiJ2b!zo*VW2+Knll51}!S zCg596$TaC{XmNaV4GT9pz!l|!>` zC&IJkz0>-Qamonnc7|mR!n8_QP`pcQ&<^Y)QiuFdBr_JxW~jrj-3%iQxzZc1>J{W8 z-HY%mw`29Cssnl~`179L^2#Ch;UrI_JQWJ8=ThL(j=Fqu9bR)=~vlB)! zB&!9jx|i_L<8WB}s_zlH;!JRWS%mow6aZ(YiCHneBZ%_77rPE*WTJ?$k$@1yq ziujrs4nAlD<|3l3`P(YfedtFFhgPdp8lx_iae-&S+YG(~pTWW8^j9Yk%-5}*RW2L0 zCge5w<0q6S#wW(jn?y5M?f{UY_~I)C2lR6)<4>3i0J#gskDj?tpHr<*8WUf?3uXhA z6?~AV{xiXudwNt5zRl$Q;1J`3tbN6HYhp2i_nr4iZEl(+l;?$9P8w z`4BZhl;I^y?B^mnpc%>EY1shAcdFZ5+eD)NFX-~|MKR#-MJIXi50tiVbHGR zy@KGji-Dso)Na$2JbeNTJ~Hbfi+TC&;p=-o5S4;5K4asXitvt?a5=u|Q!aCHE5Tgw zm9H0<4!^fJ=ni7QT~~VNuk8P9Am|vAUN*c50oFo%ks}XGgrreeOnEo^y*5#h`woOR z(fJLgWOA-X{UYA5oFi_ekhMOad+i7VA2)6%h2b3(^Fka(r{`Nral$ht|MbQ=EZ4P;GF z<08y?#8O~d6qJUM^^dNXo!ohaKNW1qn;HO=w|xRr@U(}OmVO_r6Rwv{m)W^|e)|{D zKK1yA`%GLcwG;#rQ7vl4@ZUSueE`ckx9#oU>Y52DvCdSQm>h8eRP+jCGN-JyiMQ5M zgtb*^>l1vQa!%@=D*Ex?>&y%-leFg~vbSV<9jT4Mxiesph9;Sk_>Gxbql@q_N~^I0iNBB1zs|q2N-U*nNwl2`vaTiyT5)dFmxgk;R5n)MK(q} zx(dUF8w$MD8OkZBD84yWS%l=mx|&R9j9&?C*&Q34^S~)aJ5N~3|E_0@6I;oXo@Qb` z&Zu{{rNM+p6ku;J8g%iuLH;$h+L=!sROKIVx-hC$xr5V6R|j2a0kqbfQJB14HubtWO0B$4^#hEqp!*K5g_iy9 ztk7Y-bWZb`+{N6f`}6T(?@{XPv%LDdVHB%jfXemP!HdgsJE`QtQ!V(xwbBB6ZDK?$ zzZe+-AG}nHT@IUo*?hg%7ccSPIav=1+?x2r%|8hhOFp%Y)~Y*KA3Nd#Ewi_Dzwp}` zHpWhycc*sXg9(t$v(^E_!U4kV3h7Nb^{RvpNJ`@#o0Lz42K;G@cro&0c~3&AdzWX! zchQAizofVzb-CicfxOm-Ux$d&NnG3Ezbc;+=&?iM=$alRh*`;)dLHCutuB*HPEz!FE64tNm-U(i$I82?Gpwtbe4;cg$km;s?8d0rJCuJsD z$^NXCq1p~xD7<9S-H9d2Ui^wm;!ov7c{wrKs^WIA3Rwx=a~gJ*@mZK}z*g%mqOF0O zVJUzEuG}L7%UBq6OCo_h#etw(A2L+ovugmCTY1Vb3HRq}n<(;os#UUKLvzS?qyueMkDa0%L9Hk(|8-t)8e& z_l1Z_Vy}QFc&!J$z|D6ncuuM*!4dOZ)F6)EIOzR1qrgf4?@-_SJYBLp_tHr2I$4C`^ z7?=VA(XkzEUwMb}h$vK+Oa5EK-6aQ{({jux)B8xV@Jan6T&uDE!fVwujiCT>LBrkD zL{KI?2=NYJiR^jPi*IuZ)!N9_H;I2p%Rkjl3xT(XO-7b=WY<@ois_7u9cUG^)Oj=W zdzVrv;9cmDtJk34NOsw*5`q#h{PCj^jj2Qo61L5~*xk=N@J15r$;BiYSwM%?jwk3{ zNRpP=uol)0TcYmjv`orHUfUsOgzR}DQ)p#}#xDk7gsoWE<$}VeTCa`G>`&$2-tyBP z42~(t|NDvhH)IvzHEGV`{)kPs<7sUoXo1|b|~fQ<|S-tJvx zK*}+73r$a;k1+e4%Y%LsKb#j5zq$U=*ZPj;Vg8$l<%EsKydDx{(^KQi`j@s?bf&S^ z;_((TFhV|lTcwKO4?T&{^srbvgfuTK(gUeLofTAv54_)=>>{}_sC3KWN@2t)&*U(P z0NrpUNCJ&w)UvoOS3!}+CNhpPoZMh!6ucdAvr}7Ci)Bc;al|4x{?AJ2tnYqPy8sfW zD|Hz82r`Zi%-mF2l(aX-z&le9T7Q zY)NiW+80GN*bV(49!Zd-%|y6+sKrV{_!F*4`~-VQ)wdN5Fyh6xAhz@%cC>ns0b8gv zDIAkr51f-6pRYqp2}Y3g14DL;pR1UziG5H3HN3<-9+SloPDuTadMqDPZmXi-;ultSU(O8 zDO+a^G~4py27BJ01;B602Yu-UkQT(bpH}tj9Hmfc;%by~h3C(g9`CKXoFiv6NP9-pQ`NjOHtu&0(&BXmFs16NIcSr*|0DV6qufUhE1dd>G5MrJl0AoinNHI+> zomWQYcbOuU7Wp%g9Pjo!GH$X%G{&V3Dg7tbynfNn9PVQ(VBEx!o$z4a%(BJwJsUv!|mp+-kNyZy%QaXuNkinV%-r7J_cNAks%jJIi&Tl zGI=c|mGF&xOM=SbPMQ+BBA_si3iR2#i&zK%lnN1Y}C{?J20TBLCEh8s0;CDygcydu5jgUIR;%i*1cmQ7`#l>dFYaR z4=wBr8RB**xi~f7Qrcj-4(TNRMCZLO?FErDEVRxk^vCoAy-GqKak-#ml;$M&9dSwX z#}<xX+PF<8<=K}cqk}ZQl65@kxrh$W!%#O?m z<6%q=)ridDddzwke6kZz^1Fiyrl=ElE~;YP zz9qCF=HWN;kylLu%6jmT+>XU@(M}m6<`_e7;O0`JeILRAC^kC_Ti#eU4+p@H6!7j1 zO;6Cr6vJp?+cLFp+&>Ym0%d6!6Erxc-u$-3`i9VSwgIHB>#g(e4PEOAH&T|%QkEl7 zqFRc}3_um*c|=N;2o88Voyo-nr*aWC#(s{dv1ES?*FqZWSO`~C&?5P`*9K#il4e7M zJp$9ys|gB#>21$BM1nH~(3HyTQ~iPX}rvU&liuEvkr!A!&qQ;B<7&Q0qgel7V> zJ$;+Ks0Gg!EIn_rzRL8r1&-d<9<4O-2h92nw%Nn(*F={;Z=8LMMG64SAm8ur!|1aL z__@{rxDT$KU_ryoH1B85P@2Rp#T_0*mrK4IP#NupuL+2qe69m{wdW0eUoHRmepT8a zA_)N?;&6d@u63#t73ZJ~9Fs7fl8xces&ptQ?BtOaYtM-(j+{lB(+cb(sF>^_%v1GU z1O`a=G8_WV-6&%)&cQbPRk_{>$2u4`M z!V3m?GFy)v+SGpswG%0}Xy5hvsk*k_I>_Hyq#fJ0IqRYyAu7#9#xEbrDx;l|GQI#Z zrpRe+kyDdrv{q@kTV~$k)0;gn1K$*M!l@+HJi_lZ>55|JOpjKr1d7pdd|^E;Gp9rg z3O}hF>lji3nNCkYpooyNz%JFM_#Me0{2O=Fc+9eXpyV8uCYg+E&`Ks-M=NOZ`ExIA zfebJcXC*mTauAO(x9F5CS|Y|w#(4^@X(vBgwEio6gjmfm{X!vzHOq1y+ITWs)? z%p~4CMRF^At@2o90x6hd+;Agu;88J3`qx&q5F*2m(i zpU=C~uL5fNagk;g3Ung7BIFDWwHv7)B$|*w%yg(#@q%<%*LbH_&RCYh*3}q;bZA{F zT6rR!y3rYU)eG=irNl$ddjmDxlVy;FuHQq3xiqZV+;>Bt_EB%5D2xEyYM?m?)YYL% zloavTd>zec#6|J4x*E;rF7~&3V$1$Ja!l7;n|WnXDrmMW`QnL)a00waDhu5at=p*N zGDD1)q1c?_jzc!+?mX2PiR4;w`3Q-!^A0o9zIcW9*O1ZDt7@#2HYWxQP%+Bt60ab7ya!og))xnxpet6%6k<~iynh{tmh}YXV(F%;Loa$ z=GP7P1)a!e*vMae`nza53o~{Vc?F%aQ3W;f(xkL2>MMtTA^mc$tK^%!CM)Y3Zi|ym z+I1M#Jhw|G-;Lz~KiSKHXivoxrK}YmvFC)W@#`Q`p4Y*&nBfG(Wal$ERoYwIJGZJO zk2u22Zq-1U)RZ$;X{Pgo>w8#brIQd4CAHO6TZ-FUrHAnXSZw545b>xgqwEwq;Bd(0Oh-Ots}!4n>rD!pqv|K^2{{ ze7{*G8TzRi-%SEQT~jFT^HaOfob5gv;591m0p$`*R57Yo^NRAQm+?iNRHUufdBj^B zXWGt_Orza&G0dz{--IY>N6x;>&>cvKpNeEpl|$jMcnlWx1_AgoOjueULF)-rhjO(1 zaT$z69VX-^TnA-%lI81`F_;XYG?>1XG<;4^VobHHKe7Rk7Yz}upuQXWotqL3KP7t2NOZ@mo1f8X?Uot$}vZJdq#(NYD><^;eI)BMzeHK#c zj5&*wm>E13HX0^9xdK-tXy#XPYk{|-;~W@75!f(+$B;aadON350~g!`)y<+Z!Dd;j zG7xK!aFZA?B3FJ)*d!(qhpN^)~U4bGX>!u{i*zd^qIV;{eNhq<9Yp5hX z6h`usRA_!HG*faGC}J`v&HhMl*U_OJMcn6k-~j-P?CQRZ3yceXX;%7PGRDe&9tlve ztE~B^`J>9Y`i>b^FT^{X21mp0CeZyD^BK>kv_?%gzub?8xg{@cACk~V$@YQGukc{2 z9Vwe_cD+t2+@VD(ymTt3vsg!MGCl>BA@ylyc17rcJuSLtmr2@d0s_3XrwYl zstN)C;||-(yhe~Q%7fXTydhd*9^gypkoAIW7KS9NQaDiTw{nDJk=lxzyqGGFS12zm zS1pNT5`R2rBJ5*QQnWcuSppf{{Y^B^Tfd8D8z zOKKCimI7#%^G_vc&QD&bUY$L7AEeWpQiR7ZVPetFO$n zhXQ(Kr5s#JoIW$?O&{BU+K+**wJW`k(g05vtBv}HUxc2a&>HIEXUae`ku;!;i^YeX`*~n6uw5rtuT|Od!I~OFb_Qxe>@J9{tJA-a0mxdPmLBm}1X9 z@F(`^7->*GM7Y6ZVMlHkM5VUGE^na^5Vc+}@l!xsV{zt3>RRXYR2yj6eKEzePLuaX zULvE0*I6DcI@4oXQ%bd4#m=_9qPl{$x&FojRN)wH3vku5mvk3wWu?!YQFpvDV*$U+hz|e^m&Q%#XMj~vaUk8NAVt5vG>_iZx-Jr<^u-rIp zZ4|vSK+r_4sCUjb+#v5b%Qns|)kQ&=xDIqcv(>)nhb;17-C^Z5V&vVSHyn`dR?F5k zC{sQ0#ohOY9OIpxwqlz(ljX{;F>6eH*HNR)!TCMJHPio8LRnR{#UZx`XvC^LRCge= zkf6{$UXzd1+KCb>#Keq3v=O2Q__jL3(Inxw-CmA&b-B#rH#KbNw>2@}=UZ~WZ64uQ z1FT*i&iNl6^HWaRt@!P|z6LexzuZmgxxX~_&4rtRMxKC`5e{RsYx5bX>Q6z5fJ74a z4b+tx=+MJ&hJ!V?gD`!b10B-N9F&0B*N7`)IeuTZqi`odHc{I6Xr{1GJE1L6do=6z zwPxRVLJ4fkz+kr_jT>AfaE$tQMW2OTZo86Z3DX?bF?^KzHJx!{tBiJGHCrovD}81n z<|nY)Cy~skw9-I{DO<)x#g$~u_)_#7>Z3mo&bdMK6!;wZ?zRU&SNEj%QQoQsBlsz$ zZUGL9Pg4*2?UOgQ6p_LzXACO5B=v&HMvFuv>4c6rTK&<@T1>e)gg>1Qah_!kxiQcr zs0u-Vm=_N%GdF&UGxtHnOZqSi1@;XBCmA^f?8dLKy`eQsH6`T{hYBV=MJfA_UUiq> z(?H1y>JBP=!~zB&F0Vv!+noJS9K@@;nHW-qXyonj5T=qMvd=g-f%=b2R59R zcK8*kVE?;Pq#kbgbh!wXcR7lq+I0O6CYKqh17y4v*+zQONZFRpOP>5-nLVdBM~6J; zJi}oJu8^&&ytm!0!aVbzfKF~*LKEvSjc?oyi+2lgNv)Lt=YnY7*AWy;3^v@pXw5#M zeRkhpv0%_<NReaj~Natlwj~rpriE<+Nz!cK63Vt zALeM7jGh@y8_j#q1J|~l*HaZ&M&%cop0kQr&2`VgiQ3D+}9{qOcd61)Z^P92ljftz#l|Pi$(BTXudr%!ejG$JjM8G3xviVLOhu{ z)Z;eA1IjrgrqMx=u<*7V&KbThv0T>m*?|HLI`u&yKvdK*X4Y;SHs`)T8j=ODM*Iva zNt4=(HBoVz2GPBr)k+IvpsQ0u;3KnN50~mDp^m9RJcR4$*snF}a0&#v9|(r;Mopm| zgJB&+SdG>)PW}R08BrUdq!CML*h+~O!O5fJ1+0~M=tVRJX2~^XqQR-de2*zThoWAA z;r&yBggDFw^@60Au`b_eT=WbkP0r0G&1+0@pVQ9va|6rpnaR{*Qpry|fO28?j(~OuWaOFPfMDbNaBomD=%l@(!?n?NSyKvcpy;WpJ?S{{@o&#XYf4lvXz zm%*Td3AbWcOaPE z`?0S>4tOPqnqwUGrm;fPlN4`GQrYY5|EZ3OsX&dpO==;hC!LE^#*UTmY-Tk5&B=0_ z>9*!l^c;FXxN7CG&>kU^=GioE6tKnQfQe~8|0A)S7mq&GqM#%BWhNK1_c#xK&9#|$ zpU8oqz#C6cILqa2eM?vmgR8?N1^U{@RZ$%sJ+QWnO38?o_zL4hryxaR4DO(?gbYfB zLIxYnPYd$K;0K{71+Ie++f!E47YekL(>Y;3l<;N#~{Ke(*zNs6=t{4MG2{?cwUR zYNrdg^QH6R0pM`~|3>WG36SD!8#GXp@ze0Qf*gxiqR*)GDt|2-dokyJ66g$udIxid z1C+K8KNZ-`&>n^I`s)25r<-HAU}ouum%pt~QgpXn)&^aBhusMZq&-Z)MFU>TiUdp< z4ves|3PwMfzQ7`0S>HMO^bvvm^~UpmGtVb0`f-dRUpmE`DDDeT=L4%)Mm0!;vmaPd z|2nz!Zf(ysf~-mMef*K87HeSoaB7^zFe7E|m#7)zIEPM>81Ck@0mb5lJ~>^e**Anl zi`C}!J<0_fKbl)M+{5h^eZ3jLdDO-|p!0mMbaL%>-)C#;smk?vQqYH+>+7~j=EluT zXSv>h9xoi6NG~OT1&*jHF}l|cF%?p7(7bPMsB!Hc@~h2*5b0gc0X*c2We+Mms#OW2GibLT<_G)mt56URa_7g1wG!QfH4#R_6d?sX@4 zqa8l_;x{!nAzyafyy?IIC3Gf4&M*FPRNTgSaa1vl`S#&&aa1iFGZ01_ZPd%Z^Y$pG zsTAp!fA>t*y{JbFxL;OQ@{NxJ50={#94`K%G#q5#LD>o-vl%X<+zW?0TMY=EZwXUI zx8FPQ_NH)e{m6=cq|yIia>#mZ030+j)-w+_-Hr2n;7$i~PcWSi=GJJb0;JM`g zc=a6MTJ>Z+EPx(7>p)0!%zcn1_> zZ9omkdpo!j!_A2k#@X!JeR&;Avj@TmQ?j?WSK>pI{(`e^5Q!d{W! z%WL|^$NnM!gs6+v31x;OYy@s^$=KIs z8?|c3@AH1ga;M6^M=>iCF!m-Z-P$uo5=Gyh&f5-U2;-L&)6x3|XPgI6BETh5P-f_& zj_fObHRGA(PZc)b#>t9Wx#G=1M}63|k|EY3xN)NzD@MjDdE_`mwT5f&DTG6LA@QS! z46Ob$R3})emSgCKukT$kJ|*xWfOP#&T)%cWv5CQrMLI%j+$E? zm~rdyz+cuGBEi_%d+h`m;}L$tHqjex#MCP~rrNT+H%_7MgR}ELmJLFNs)>p>1kHXY zn}wR)0g2w+LjAAd+BGe%@&aFt4$3>N23y9vRK zDE!1In`&+s!_rmrTS6%!>PT+bn1lVdNKiS|H4qcgy?v@Tc0O_Gy=)5Of4;#dx$UtMfX`ZRBz zd?rVYwQsGHH{H2z6?p&lhV$xoxfyA$E zttrRLllNT_w!)IJ;YlofYJ0J_qP5VI3hD+`sVEiOCIx6nNU=QM@VMc1!>WY2^Vg(= z+8PIm3Q__2xG3DkLX%(##m@`0^%oc>ozFvGrGGmm$<$DK6(kj1E^se^W631uOU5h# ze*QlIQ$Vc0_T_a=qq!qTI_{{L5n6Nw;Ll@#KhFXF1W_runc+{|tvRrJbaX+>oJ$p z>vB0=PF-eQ}W`bcmT)*}Af=Wn5Wl&^9CoLKVThE$>JN z|9@%4VxTlYD1J4`Bxow}ph}Wyo?%fs;)ZKi>z`Ln%sDH@?dbykG=AdhvrC#`zz;7TM zxGl0r2?ct%x|Fsi6hhbudND3#o`yWBu^ixJQ=Z@$&y=W>)|22y5FoXL3yK-tCE|~f z&cmbiGq3IMz@u)x zbF3!yU4P5fkJ&tR#dhfFTYvz^0Jd{@8}rN(+Y!g911VOxAdw5%FeoZoejGV%AbAYu z`Uda?*)UYKlh!zXAZZN`Nm|Rp2hzf5s(%VJL^5V<1KT$=TKkcstbJ)Y+o#HXfd;2g zB0MU*C-Adib6Vg^SOQE=;4z{s!wNjpJFx(?uYbO=;ZcNp(P<#`uZlu{ZYjk1D74C= z&|ZdWay}5iB!GFDc% zkALhmwhw#Q|DEtNhOf$Y<5f~iAw;1kL(f+254})zICQk?cfNP3u7P@<9O7Oa|n+*o_}|I>fjtN*q)FBX@^e9+ClXq+V2k92runl zhi)Z;QA@*7VdroJ8J_J+I~-9i&_kZx8?3Q3W8fDxsybnQ@Y~*KR6+yqf+kn0bx}?m zw#ZzB#+yUD#s4Y5&pgtlw6vA%hsxt+RPD+u(VtkZu`-4XWi)G^;zOAgpkrMBPk$+m zHoJ^9xQqrCtVklcBIT$|p$fAiRxz^T`3j+lWeYl(a{Z?QH2NJ_*Ok%(S9A=7K;i{|e$ zA}u-?tJWExX2Eh%r*9@Qqfcb{FMlEn8j7RF7T|N3j&V0}miBnbdX1FfjkX6m&!@Rj!V~oE8iOqFxr{ ziVg<3T3nP%0?oK7t1M^XE2?#}gk2W!qS+gj7ZIk0`h7mHKai;4M9D#XUVkgIw~=dJ za&Xo2FHYTXapUT@m*e`$J8#}xlnq{e?Dn0{&Nh`m>5I|8Rfn%xShsfNitkqx-7?9nG`Vm3G-#0Z3)N4B-txT zGLbk=R(L`bN#;2~^K%2J%@v4h&Mx1V=3=5K*uGz3!1pUy5j7B|mhV@{#55e!W^3)* zI&G^aXtH8UYDLXsof5NBdJJgt|4m}^|5akE)aN^ZXBmb`Ag?AEa7%2nF!f%qI~;dVvb26~J-< zEET|F0jz@TOH@!NaBr#%fUO~nux_ZBLw-|9I#tmRY|?3#qEc*pg$pSkj4MsM%XOTS z_U`&%!vI z28asEma+x|y15*x!rV(LP4rLJ*VWbLZJY9dm7?QHG}gPuxaxfgD{4T@@bu=Z*3{g3 z>)w6)Jjtr!$A2C*FIxJ2viMFct?9q(&c1KXs0kx$E+AhGbS|JLn6%b0;kjY~$uS=D zJ>;o<65(vkmvangS+?fO{hzJGvtJ@0@vE#v=70v{5KN#h#Mw3-L&s!Z*@*k@f0_Ia z6pnvBm$#hxK6nEIx_*Q;pK+;cwUc${hHwoy!m-8W;+ zeQkg5e}A=qC%*B;?`5Wsy0!my;gG|Ny6S7VO}`;;-{LZfo<{O1I1RZ zL%t!R)sPd+TTKC0mVZ7i@>6JdF{Q20M4!)oLFnAWtZ#Yu;gNLVPH2U!{ z|0VuQDn8IZ8Yw6v@lEI^yoKK=cWCSNYmFNMcc4yuC%;|ZrroM3{H~-6L>8XAW`d4K;WNoO;C@UQ)0!-c6RHWI^p@LG9##zu%QkZg8ezpx8Mva+*%! z?7{bT*9G-2*}%53x{}JHQ6sH11%HsCrMcsKqOmYdn~%k6>27!oRMq2M!(xN! z`ZOa6b+omC(V|C2fI7BsO(Y_^t60*b+YsYSLlXttH3-@nm3f#s13?L6b}VdBq7*?% zVbU_^^$#B4RqNJ#@KW!`tJm(htN-jTWn=W*3glB2DhChG~`ZJlt!V+ zM~oI|_7XF~;FeY{9Mi863r28D3TT=lReXYmxd-r=6M$S z7KY|TR!gh3#hx|3)uHytW^$dlNxQ+hLwr!W-+VRrHhEKgQ~O_Mc%Vr`@cJvK+ybTs zHYh1TsF4arim4D~yjn0ni$O9NB^}Bs0jU-i8cubZZU;^$*;{4UG@7}a$?qe0|HM8S*;j@r6ISa({1s?(P2m{+~bh-~s&I{s%rijaT4Re_y#BUNlVS=7RiG zkwKyq$6f^GZD0bOVb8`B><$raK(PVU1_ZlX#R1LR22>l6>{-pS4M=tm%kn^-yiOs*^Rzjpe9V!i4N|^x!+YC<1*#@rUA0-emZ|WL_8Z zO!L`hJO6*j2)0;&(|_r-XZRSoclWqi@>NQ!Z{kXaH*tJX5sPcLf!L>xKChnAn)I!+ zoAgvglU^EyeLhF4?W-pHpk!pf&MP8k5YRafQ1nAOLp`P_)YsQBTQ%;AH{yDxV{$G` z?(e&meD|BLywcs@h_CzsxBvX5Kj?pq@Z{Tls}afdf&JJ9SbrrU2kB%sK@q|QZVWYd zz90-WSS}R6{NNmb0b~*R0j#kNQeiMzMHcOJ6n-$Rg$yLF;K4%C_83Zjo4$2>X$TwT zRoiS72JA551OBkVn=o*Si zI@s4bSlYDAN6WYvuY2JtrC1Q;Vv!Sj$hwq*Wc{NQsKVqB)0n?K6G z$8)===8thoo2~as@9Eqw9qaVibV^4hax>UbMEa)l&3`|z07gd$e((*RvJw(c0oF8! zPqmzArhyHXhnS>uq(=nVp5Zh#hRwr=9fuF^5Uf4m_CSK-z*Icm$;r~8+-d6mvLyNX zj?7n}@4#QAOBQ>$Q7L_m43;bpmaLvnJG6Y$fWrw)C$YsjPn@Hf8yuQ zk}V-6C2(nULX^&a5yyGxRG8`h>EVo=F2C+#4*kXR zN#K*vU)lVbP)w+G)_O+@EzXoM-8oxWCcItrF9QB^m&q0Z9y6GRO)aIhYg;wmiUw*- zE6{@ZT;sELq{o`brrF|Oqb!T!sKx@n6o<8q*hTE=Q5GfcPnQ}O0URl-vpV|il{f74 z4!t0~!#yOu<3C?a?+CK=4zHEoQ9O0%q80_|9jxpGmtPkFJAc0Gs^>dW{r~vMi>pb) z{ChY3=m*zs`jK#`?_c-Ky64v$`akV|^Sk)|m*(GbOYuXX> zb667`nFsX98-K4bg~mLbsiQxt<4sj`ToRq=nHZSsnHy;LvD8odEo#zE9b&?$dR@zFq$-;T)w`IE?s^1y(dSQztcPz{n}c31*9{6h210q_;uOcA_>oE5=kRr7GlkrqfX&k@9y5LSA~ zhP^RMh#e4PnAB3s6ytrEIbzs~2+SEH=RGn1uzgg2v0XCfZ5NCkH-kIM`yVQFSApzn zHv3LwJ}_DQ-pXbu(5@ogNESi=qh1$|SXp6tB`WTVyNIX1i0|x=2*z{Ieg04CiQ9n? zcYl&)P`^t1?jV>6y?cEDy7JTU6pL<@^NrkO?vTN=B3~fX5RhHE%gYI1q(=qGtEu`B z>7W;wO!~lVx5~6$uB7TmH{=xDt6)FN<@2Xlsz?>vdMQs(Xef!TETJ%_u7qhlg=SZ= z$&m_+eJNDgU_yeXnXu!t`glO=u!8h=JNWc{c8WSxJvKkLu=c|Y;mMC7%J$ZJ~% z-v2)5_VdALXP_Y3DYIy&?WX@cKjD_J@gvrRTi?(Kr#<18I_nFkQWe;6&6Y4|!ftEk zltXk#TIqkTgp0`o(SPUK z(f#$_O+TL2eeLSmcQu0;_{V*jCm!j$l03HK#<_Rj)b|2t96JFanrVC+Nksi}gu7>` z21{e!q5mH9W-9U^%>S2IxOGz-kZ5$=zUfy)$JtH$3RLy4j+*CncANRpIQn2qzZ6H$ zw{&pn6g$*8Catgl`z&RbvRlb2y?@Gk%4tPHN{O;g*{VF6FFLN|6tzSFMlbP%1B2#; zTyOpk)oJeQ7>R<&tD;mcApTK)H=pHu`QyCU%b(^6;$!?VIO2Ibu+9&l8qZLTXMuH| z1=e{h3e13hdpVPQNS&W4e-Yu^#6X~0~YTH)1}6Md61aLPm^#?r$TOTL(+rG zWRG9R9=|3c)fMG5RPP_cGW-QB!#}_>qG^A5FhF@mnDL1K;}Zcl?VP|s$T`5eDZtc5 zmYBmhL|}kJ1awN90m?xP+J8~G0PYRoz|1h4Awn5N_;i@83-1nR!?`d|wTb*XS~h#o zErzj|aGcT2~Ec$51AQZ+R6viNwnV})$mFEI1SUe=P z(3+;tbj4=NJZH=y%$p9wX%NxMV&g=U*CWV~T@geXMRoNyD-|9jf`5uR7x0PwYdFm> zs@AGadAVTCwXUpS6F&mXuQ-~*T+5c&Erq->wuDRp6eNn(C35yA^dDUa(cvt!Ea#9`$ zgdOF(T-e34)dkr6W)~w5wz_~fzlhSwRDg047h!7*uq8WOEPofnWe0x-R~qQSnY}?< zu^)81=M63+9W37!!4dYP$iR~#>`4*ozID+jMVNSuFnttC`z>;eFmjC8+D-%>wI7lC zg#D0&O@4`dQm8kbD96VTrm8xjD~rqm0Yz_TWgnT=oM}6jMIF$2sa&ughL?$FEA_}) zvLsYi-h;2-8-J%Xn4I~AoP29xjDkWI7$}g~eQeeWSZi#l%@#28PMLI`9HmZMcMCDXT!<14;B^MA^#S`R(Hzps*fcg>aKzWqes z17y$j*Ux_V-oCeN&F}-DYd`*gc^^CiCwj=!W{>$-?nBRM?yN`TDT^-!yxnZ#2hC%_ zxI35)_6GSNN9uik z8~6M+Hu`N{(a%)Gv)wLNKEV8yYN7fCwa`_d7V`OAxZafei)!Y~fH{QcTZ-bD<}V8a zYkoe{{hQhJ5L;LDi!Mc#RY?UwP~j3C5$sgmd4IH{xyl`&H+Do)ilO=exj4biP)e{T zjtrnvKL4ixmv(&r+IQL?n{BGy)vGVw@DyM1!1I&W&8WMnZv)wW_1f|Gz1H_4yo(1R zvQ>B;1@PCbXt-Cw&QNV=WGEF{7y6F=i1Caehm9&D8|n@5AvVn_T+&b^8=UTps@O-8 zUVjhIfqw9)7khIaYXA3`W6c}WvUszVBK|z$cYi~j z-8{<@Kby(WIT3U@&w-Tid_wr4BS((VyM?Lk2>PE&7F!-8dFX0~SaFf~ z2hv+nfd@q%^g7XbwuK(0fFL7P7O|)zL6HSagff<#RhxY0i22SDSA9KQ$A~4eA7}*Y zwA9t4(i|nj)uaGJYLMxMzyR-sJ%7&cRVsUx;&=n9f(@H1rj(X9AU|y2=fs7P7qG+89-m<#I*!DvAmeWUsU*s33e4S*8o?NvgsjEY#{)FO^fN6qr0j>A{hGDPgNX z1b|XXjHGa>_VMp1!knVZ<$v{M!ogD~GWpf<22#geO+(iPXk)83;-Q{(lo<>ZMfMBU zSX*n1QeAa$T~Ykw{xv^6QC<>EesZw?YQCcH*5%jC+eCIUeH5%%?mu*$an5b!5K>I^ zd}-#9TmbWfcq@G=;Fu3Vf`k&SrIEz6xIp2Y#y^F#BB$t-uZZ@{1%K5B6dRz~i76Jw zp3hyU61N!huo=du_qwfRC%a+q7Fa>tT8HvhC=q#H;Keb@R9+|-hpVmXb=uH)@iW=yR#k!HctRIB zS7bPV!7aCzHs^T0+<#i`Tm_?*r}<920Oo|OX-MUJuoIAuXThUVnI8FK%*)7v@ltq~ zfsMg+&27%C>G{BvR*nW1JSFy7GBD2v@C_8Y{4z9DnH%*bWzLeNZpM_|1uL0_bmPzQ zsmlu0woC`rz%0f~r*(ClrPI33Bu@?CA7EgBp&(zlbgpE|&3|$;$F_MoGo~rHM7fnC zO3DuEV^56jy5jjjCtHaEWUV#%Sj_eAiL*q? zJ$|}-caO=IK!+VW(%G&{vsbd9*iRqjeuu}h*AGwgdYjpX&K21cq!)kE6|t(*rSCF% zD6b;0gi5E%DSsl5co`^}7cIw-wS1?&N;;cDEP*|bpZ%zR6@Ka6{>N?>4xN7yXZttx zEg>a0^wYUL6Z$XXJ_h}-7*&&DOj@*Sn&7R`%DvOI$zD+@3Ki9872cXelQ!CWsW!!% zmRhwH+UM%Oe2x)`n#zk37gbKL+*Px?Mj9O-J*=f>ihnjGK6%)@_`G2&rN!~Z!`f@M z*1TPLGXD3(rCW4YY5d_jDWiL68 zI^T2VoP3G1#W~B#4XoJh%&*%vBJE^LsyoAMfu~ZIm`1HYds!#8PMb57tl1cE7;4I7 zI`T6c&BE2%*m7;mzBx-%LQl{Y)=$uVb3T2fgMTiZgs`7O$P*P<6bgD=FJ+UCq1Q#9 zr;54tJq44F>3Sbmek}tC7NB$9SiX3rm?$OIDsF+sdY83iQehs`vgftBi5qX)8Fb)H z+5bI#_5a-U;tfwO{oma`{eRng8~CV-vr&9z&Ys<~-)A?w$!2%6dqR>;LL$Kg2!Tj8 zkbeL%goGpnf<#!71p*-(vI&Tv(fTbF+NxD+g{oEjpoWBx0Jfk|saV=t)b`a{^{x7< zZ&BMztzX&PXXc!<*#-3NegD7vzxV!rW;18z%*>g2=9y=n`FiG=5AS>QnyYv1zVeD) zOWlidiaVB-4&H&w-?$g!t@jRmweGXuUVrfHyDSAq(B~r zn8*3KXc<=(5%=WIQFQLKVxv|=^e`|pL#t5~oEH@^&9_YbiRXaTj;#~Y@#XLFk&Wh@9)N4E4{d|u;YWxoW9iuikRnNI{C;Cz|=%MKM6QohzKVN(-=xWjH zQ|!Kp6Z7+td?wfL^VyK#N`I0jb|tVtSNu$_p~V%@s-u(lf;sFQ3)ADOWlFZS0z1LN z1VglJ6I+1F*aW8}N= zF<#sGM+@O;Az3)HSU$`f$jXGU(pf}y-$MesUW~3@bJMmZ1J7=a{QzHb{q##~=icyO z>~*~1^1z&BGn((-8r#huYCRaf{Lzx!X9m{nS$Q$nXiHsPR};zqa;MHTef`|VEf>=x z>j>#K(X+MWpE6gAFMm zxhZ-ZED37ntqD`RM1`oPv6mqmb#Fy+2TNM=QNWgO54g(EG+UW{nyUuQv(?z=xt5|O zwx#wZF7aOBUMsP==(Ri|dn%l18 za$0#O)d^=8kYFVO6}mr1Z@DMv<1|b^xghWc7^&*b7OU0dNU__Usc9~kb7ZW1m`5&& z+9tb=+QDT`K`&8NwQi;IPEr*)hdZLhbY?YdXwOC@>ZK@ zLIgnKIhSa)>VM@q6>-_^HfX=@v^2L^smF_u1Q=U{oM3{8$BXt$REJl3`Ut*bk9;(@ zjq1yByGBQWzskyTE~t)N+1^nFo&IZm|Dr;@a zfv|~*TH6p66;M>YS;hl~O+h|LB}_5X%+uS3F0k?wuBiU zSax~hr)a*x55}%K@n*Jrx&fzs`1|@S??vw&i*+B4y_l;@bHrYNv24W;@BYth?$4v{ z*kAw09ecT-eKA+lwp9wx`|@FESuJDlX;S&4r~rSOAl+mH)okmu5^s-5}T<=x&S+Y2GIjWR5tlk5&a>1Sw#h17p4OF z&x_C7%f#aa#njOG_hjCjYOXflY}QoUme@9VxW?2jah;1j<8prukZesQoBA{s=?Wws*#rhbofHL%hVvs_?X<#o#EANd3&pG5^F#bxK}`J__JrQ;(~s^_32fzu-x zv9|2>-@X32rysheWP!tOjE>yAu5+tnZ{~+TyW-^f)g3o%kG=ouvt#%>uKR8oyy5Df zI36TdT+@ETjWB z6(ZPd%<)lBPbVB^pM&?Yts5Evx_$`tc`Xp)W5a*n2ss=y5kp#<cjPa%GBIk5i&k2%TnVvfE`13_-osM$~-X3L5PxWU z0=ZFne3~E4?YJzlHItvIJ7jpw*uAx*cAxTkyI$Oa!-=Gy6nJ`1Lky8^Nx96i!3|8^*+BNFrK8(mYTl zT62pbnsyqHPYD+vCf5VQ74h_-$$z%5f~+7Bavjl-LnIsd@iv7>8HtvQXUB&UXBbtc z$z(|t9#|Ba(m06*^&ZhHW{5sf`-`zppwYa=)-m;9R7rbak!`rGx0MpFD4IPwotenP z4q|ec8NE81mPv<}O+(XNKyLVTXw;#Rs_`9pu|KNe!Q-1qzAMRfI#50fF8oHR?)HwDZ{2Tns z$bvjrzKyTeZN(1J;qat+JQ|ItaTwE#9?j!v`z_B~xU@8vhe#PgTYXA>TF||eU#eds zF1D>mS(diK)#6^_xg+g9B7dg)IL_`f>YYi0NM}O5IMqSq{uXCI(qY{%5)Mu1fX#^UjNLpy5GhY;kWSH&m6qx_GQ2Sa`d&2Vt?!S~AB&y^f_g_d3?r)(dLJ8B)G5r!*Pe;iV*}iD9Z0F_6 zc9yKaV>rVn+kY;%tj}YjS)3yA+xe$?j)S2o+J<(bL8K{SlC5u|PY`dHz;ip|c=>P$ zong6@nb%*{nb$|^%aZlv%zu*SYNqpU`-?BYb8*=w)nQg-ogjo;ZqPc8wuwy zX>7bvmmt}e#U$H|TAkNs-R{5+n9u-c%`q6Z8?oP5VXQZDRI_-nlx^V4n#LK48JUoV z(Z@FKnG}jqV4L)8Kx0f#aqK=K0sH0-@1x(Z;F4tx&DY-=yWYu04 zL9_-0(cr;)i%u4YqM{ec6OTPM-3T{1sPD2_jfnzp1&YKQmdAxuE=s4(nsD>p*xCux z{H4?OmQ?<*M)Sc-FMWR1eU_Ta zuz#J)&PJK`G?D|OKQ_H^G6`?2P4ii}OrKVdVQQ0;oz!(^Cv=_J@%0yTOExfc7`x}L zWGB7eiEAfTk9@thSJ6*=R_-H7??5ij%}^UshDt*j@jfzxDJ71pg*LD~9z%Md{jwyr z&~-|e*ruqhq>GV7@e|HeyMU_uUzLKX(tl)myl!v0m(!X8VvaN54+uG$Kvs^+oRNuA zttpuh*^wgY;B5k*lZm~?v`p-i{!L`UG@b)oFgrWbLiH9v zi^SMMPu3P6=+f%#DJhmT)-PMQq|W^u=q0Joec@s1(~^+%-Se$-SkS1^uKK|AJ9Tmyr~ZiLRNXmTWO; zE1VVSwdvb^JAM3=lqsGHUsXz#r++D>$q7fQD@OQyc#32q*+Q((q;)tI<_#rS%T;V zHdZWJgVvSS0jtKxHcNbLZfs?nCD!<6iIr`ZSW}gICt|WBg*BBnYpZ$<27imrn60(% z0{0y$CmGUOY}x1CQFG4ugA)9HmNaMv}U85X~8fiD_O-hmYTweKV1Ct*vFC6*Zy+j zL!+5bT@iis=}r9)$2y5HbAKV8gmpV(-+A=TFXnK&Pn|k;;^kLQ(9y^)=p}EW+HcTl zMjI1YFS!lI$!bRlo#X@1@{NSJjsG8e#&gs^#Lnv%4KtU2ZNKHq)*;QdEMpgCprK4tjr$nH?STS`0p?(`%NCvdov7OTUO;;>lFFij4o(5!=&oiK8?Sc6WifN{X8!Kdlk5lo?jHVTk! zg%}a96Ss*P@n2s1aj~@@7a&%25tq95t?pxeYV;ybqpvR*-yIL+RxpUh7-(u)c?<)Xq?CPLDxE+(1~@|sgD7PDF7 zlvjqFPODeL&LervRy!k0t7d$R#?B;Btyo3pEDOO@I|XQ!lP`{=BY?o-pF8jCx?%6` ztxLA%J$@(ow|~(C^*4U+C>Hv@d-hkOctE`Uj^hvAKU80lO8)j#Y}4}Cr!SrO-q2fs z_7Jq|RQ@r*dtv z3c^)@6~WhG4NkWx2xWKol-Hd-1I=<{0hNM~TP8h4!hbLa`0?!3n>f}%LX%`=FOkLi zGo4z*X?0oC?Do? z!lxS#;c|QvqKJEkN=+n+-vfiA9RgkFQFn>rhuZ))y*9}6dTi3GN7UV?WNm7hS6F<>}@h{(DiM=Bim&`+qj?XNr|uTMOtun0V^tQ{o%HjIRbJ05Q&o^ExN1qQs1X^Jcgg zq<;ppE=ygKwVLZn-QZr6b*1|n-&Xe>zWY-jcR%C)F!ep@Qz_+=)CW^{r*bp$JG3Ov zS8rKC`Iwhxf=@S4S|Drp(ro>ei5Ii^6F8PXLC)BZ%aAc4o-skSp)nzz5l;`=l9}7~ zLOg<}??d}?RMO5-DLO}0-H{Wu#kI=Vf`2w*+g^~QRpty6={*zQlN@JpXX5c z{w9XW8f_ox*WPNr)uz?6`OaP=o$icaH`Jh2uTPriBr61uE6ddf3++s! zefEZDPvM=eU02VEUd#RctMrkR>;6pf`Upbob{iv}E6W#{l{;-ToKJ7qX@B^gff#r~ zjDo=b3wYYsB1k%&mM;1z+J_aDhFUf+XG(~fW@9a8A^~j!W^5)#b&xqO>n9H~540F1 zg+t5A82^RArf|Mm!&GGvMlxf`++d~`IBTei!?ukHC)w14ld?~umcz0(MfDg}VrQV& z)0^osEEeb;P>Bi!i{g6RsDDa9cs}wR`Qo|fMz#E*(MQR$FXocr(K;B#y&db++#dT+ z7>DL7n(1K3>E=?S@9o*9#;Wi<5e-6PA*TB7x$otSh9^VI}g@55IU39h!OhjJq zWb!j{Ez6!^q{r&Yr<02FJ-$7*G@bwJ7Y@k32mQNdrsf#ZqO0W+Y~u!?gXOiHK={LC z83b@-*$@e858(zxa6{0Ep2SZ|8sZi-<*Z8kbxW2pR#r~O6)3&PU3UilTy9YwYRd{q zJwc-eD4y_IEf*wgynkI>bPDMGR2dsyU?;}T9`41jVjLmY@51*F$BxII9Yz;|ae!>o z5DmecjwcjGj5GivAH)KLvNUo2ChH(WhAC9f2ny~7i=XOHRO@C#4K1kRr_FJ(Z24fud zoqZ?n&$t=B_cnJj>U z)nFz7mLv=wQQwCmC|X`*_NLsaLfX^bJKF)olcLb8Vo;!3XM-QmQU zf_xpByhWVYjUJ>MZhLd3EGbjHGM&u`=n#%|q`bi_cYma2vxoJcd%GNF56?PM&-ooG z)=_0S_s9T(fvs3iGsf_iY^Sw1FL{#@`#@<%8Nt@Qf}rEIhsk9KK}DSnvh#Vm3Fe(JEw%(LD=h<-Pb@rBY@JPmEPcpu zEyjS4dK5upz#Lty-$=KLeVJ6;kgn~>BHz#xfa9G4cJf9XrFVFgi|@h&=v^l7rJOks zEq}+AYbupXLgy@Bx-TCG1UfR2u_sVm!rOBPC>ALyw14gD1Zt}h^dZt09JFTo#l^*D0$7--P zi|S~=x@jeu&OGu+bZM-f>ll4DvSl6q&wuZ8g7*8HM=!rh|0AH1UUWt?L$e=RYb)cP ze$=fep9@?!uhZ(g4I0DeJnpU_^@Nag6XlZZ-jlQCXUfHQ%F9vFS?Ds)Qkho7Bi^86 z>LT`Z0h+0dL~#kbqa=rFjIhaTHU!5u;%!ghZLy7K@b`C7dsnO*(bM$!q$UCt%YUJZ z7$jCamG+kG#ue6y(P`G#^q3k=6rcRw_khbffy=f+kLiIiyS#sROJ2tG45HWQGsqI_ zfs_N@6DcRWpJiw+*V@u7DV!tCl1iLu zmUQBDncc+cF?czL$B@A}TxOrv=6^E#wKk8z;PEK(ux6JlC(YtWOS3phjsu1eqAtg# z9l`s9)9G@8!JtPTmn$s|8JrG>O`K%`a_7h_|ww19V68Xun^!Qq3cJK}iB2@bR>=(3VkvVSH0(Gfx0 zM$f)fcZ!!*WKqya2S9qe-)e-x9=mZ{r_;Njglx04gx<5ww5t`{R0kE?PRW{Dk^)tp zQo>PF;>_ac@<&z*)moL3xn{}ZCoYYBjEk1svjopv^1~&&U#!Kcv0pE_rzQ6Ml72j+ zHulSO{KVaO{oQzXtcjYtV}Ezw9c#f)#9BxNUXPMSMs2K?voW6FC_`ZGg>eMa#Y500 zjyUL*bLyZ7Mnnf-V1(5%5xbT0n}NR8&+9lOLC$7tuIf zm6XUTeoAC5KM4{LbcZEvf`-WZD%tj_Q%H77T3iqPxmtk8r+>e|>rS4C-FN(W?7m;U zh}ZpMN9^IhSgrgnvN=?R)t`Rpp6#$(RNliV&=jG~4WQ<}(^RU%;8sToG@2p+jI-6v-|Xa5lyGHi>WG z$z*;dznwqIf5P+p2)T9GNNv+_-M0vL4PCw(SIEQO0dj1>3euF&K&*$9MB3W)Wg@{H3=`%u<}DlD%J`i7$9{?i8i zr+`@Z34UGN2lx{H1t757SPFsDy1(ei9^Ek=`G32Be<1usAW`84fh-on0wDqxU``}F zsiT)h`7rl6@LD4($B1y{NH<-`MTp5Dn|{1S9y!23i{4KN9n@&zby4UAm|*p8gg?A- zqi3&xbOUVD<=16p%BG7$C*K@(^|Sth%L=DW_X__C znwL9A0U>{xZ%NI8L7RSJMj(Hxds^9?oEBGW#$}l;`7JfAEn!zUXLbH2_mvsF**Cdv zbZ^bLBlDI(xY6ocWo5>WEaEn22db!^!2H97b8S`@<*w?kYAY<3TB}cByoZrfe=JK)b7J|T z%NfD3OB;6rlw8iE(QlkjPlv6Rc+liX92KvQNH_#u={JZXXrt4Kx#}J%Vl`i%`@PuVI~DzLI*(H|Z;}56HG3`1`%~l%=e};_nYIoKWG!quQv_f^ z3l4fdh6i+i#V^GW9&4Q=PA$}KbA6>LIURKD4mJY;)t?7XyiUW@6YBwNc3sebSslIY^ic%dwR(WT(8X>NOAFWYxD3;e;h;Qv+je?aV?_kUs4 z0SDhh5=PJ$iSvYZK)+=BKS5s2L*9uZA4pc^jj%$TALvbsjCK&LIjsH#|6Fj}?bPiD z{6ji)hWC$fRP7@O=5ky~%U_lA37LKk;ONrS#NfO&`8&SA?2U^_Q$ zV!VSm0(T`*{or**>N+AXMUPH@ETRho$QIA0Py~N{(JDbEpk)dN2%m)>%WSet)x9y- zai0Gi6=}fag!W?8*vt&&;&VxqkJg31yHVTZSrjHx+62ptrHj^$cQ{$>n#4l%?9};M zwd-V6An*E=jLss-@&CPlJPYw|8m*g*5+V8X9sa+`hRT~fZuOsv(Yitm8(VC-cqZ?Y8R*fUOVRH%Tej*sn`FZI=_5h5VaQ)7;&$kk4GiSi0{NanY zkk6zN93bW|#jbW8pgoa8pGF=M_h%I)D0bn7c4U-ELr5X0ABFT_?-7Ls*nW958ASO~ zcU40g;;kbyNgS9LdYzgboxbUKX|U=^S#fmL2BVzgo1{xI6lE)jQI`+7oJGufXc)Y; z;LUF%>;Rrw@&Y24`k5$$dUc4|LC}X4DBo+c_B?*R3rw1X&OwbPn{Tm(Y8apn+MAfkNlV=n^k9#KKNQkze;6$L zrI*j%iytthhy*lkkEm9J-2W`C+-DxD6S1xfNd;saH{|YlJ^^9^X5zUOV$|ubEh0A% z%?lSh@B(sVru2to@Ik9Q8(8SfNNxKg()h=z>Vl4zCPa8}FXe9uYK?UYW0Y|-k=%R* za;>hO!Rh#`7>;!Gr*^MQ)z-(Uq)oI|2dE@#&U(0>D(cG(Lz_uuOfgECLTW>XFO7DE$h7@XkxPFDPBklW2xyjaWrO_3v0Z#m`DZNMyAZkknMC09Y>nhaPrAz3nDN&M08 zmRy4o@)oAID3G)cTtftZduj7^cnamz$sMwBRfg2d((D$=y;cmJW{xE{E4lHd-YAKq zfO6gPjJw4ptod@IcHk38P8H${wY#h@b!vQ-MRj{js>-kni#m2gCM>gOgb4J>1K`S4 zSA^)I*NWRRpX@aZm$A^h%5sx?(uN%(07KN4BpgqpxVU{kyNATky8B?04DU}m+|-wh z<2&QCL()q&8&;XM6t1(40d#;1f4LgEMNu$Ai6+7CYsd3?^@@)-gREse(XJyg^f&DG z9&;KPTJLJ(rp@X_Us77v+WD;UE z3xnYMjuN&rLYUSXxlCTseLT|f0&-j8#;6w~RlPyAY(WMe=16U=uGo8hu`~RlM*vtH zj~3%X>X79$;{j*!pEgIzcZFa6#@8BNNS>mTA*L0VzB|z+pm<=Ho zO8~KPdsSR-1UgmdJ$-w4VZyo>GOcKLbU&JgPsKkk{h#+}?MN{xc<~Jy^OzCB_A6se zkF}=k^$|eXjMar73y)ksIav7|ULBW<*70YV9b3P-lfWPdFQp#{{!H*h&gIT}KKg?T zrf~zHX@k}erlj`wNu`(vxB$~(mZ-(^^;;*%GwLQh1zzSlVihNM+q|H`mecmOH=n?1YE_8boUSz4Mu$#JuB zIm0~R*~UD2+Oe3AFIjg%&$QIbkMf2}S({6}#ui2z_VA*;ZTY=8>7+G2!LAC8Okg9ysjedk!oQS1EX z8s3W(@9rT^ zss8K{-hnr0`_?JaO~YZqq$qutdVkKd;Y-f8@{#7`m{O|5V|{OiRbHbV2Tu}S+_SAD z=_Oj`*^Qz7`E>frm*t1XQ#gmGM{VM{W-XLdNlfv`XvMUHwXx; zuc(S@Bp{WOMqiJkn+quk^wB7CeH0eK1qlCb3S|)tDJazQ?mZM6n0PK;x<0g zQy6?n+_V+4QI`^&yQdU?cMi%rlJbC2M4^geo2RIyA@Pq^8~DMh=w1PsK$}x_!b)qT zutkg4_>hGK{IgTX8`>1At-jW6@wh=yQGfFW{%y<|F8@`yJ6^||zS7I4Wmy^Na#BFx*$7&dp z8YMho0X0VQ7%IrvpRRivz6HzAz7!*1cn56a4;?TmQH4Lds5c?$6AO6T^;Ok=Hrq9} z2Wcpzuwzqk=93^8R-Yk)TJXS?EOpPy0D^qhSHgW(gfgh(KsA+$Zrd@mA-{_ZkWmbA z#mHEG`A|~{6(MQZnN)~qmz!#;YEI@Mf12gzCO;oH)eugz?Pg|n6hgvVBUF-$l zeZQ{1ZMi2{J0{JcM1f{VCr^;VQJ1w&H;Zc+ffjio1zie<7!Qx8D(@u9`~os0AiBmJ z5i7}HNfF;M#fL@>jDjvWSaPthniNuJ1ul&NrebrKRJMJND&0cJ&H#Vy7SX(TD!;cC zKM=MNf`_ax7?3P6(5){E5)4Y@kn4vz8>rSHos+4y!=VwqKeT1Lsu z9!92_CVSCE6c+NTWKHrtFrDfJ5H>=~`RFJDV(<7+SyKAeJ|#@+{Q}KhVeD{ileH0C08u9 ztKuoDnt62d1L-04J7(l^Q{!>IJmiq}l3xBT}MP_Z4;LA-~ zUe&!oZB1)I43(v|o+#<}X(;2lHreggfDJchv<-E+1PPqK;ieitlB~M>5tvkER6sV4 zH(IPSnOzTt32U>u6+Fk_q$%N~o`6x~PxdP5j1#xIc4=r&!Pba(0JkT^r0_M$%i`CJ zuPT&9&sN^scOj5-z|Qt;D=*8=^{8Jb`2!$8QsQ`O=X(63x1E-JWxJJ^b?180uaouR zYI?GL^J8Nx<8TWXlXvHO)~{3a;R@UDK)3JihCG1i1XFkH=30zxL8Np@L_vi2n2FOX^^cV1g0F2)T6K(q_2uAo4W&lp$ zWS$|%IcX1iSk^b+lf@Cq(wHd1=AtqwhH!&PglISk++yzmyb04m|3`A{Y19N#5+5dG zL}ug>=p7U8gq!Utbsv-3uE*miD_&TwhxdjviGzc*D;J`-pv0e!Y19(51}ZVJubc;y ze$ht&`Tz?L>tZds!w9;%5Pog6eA`iD@{E^c2yCri*`ug#&~KG$skAw?Vm-9NR!r=s zoJzae1c(_7xg+y2bH`QTkPEJlanF(93|M34kysK>BVpWd z4OpWb!$cGWe40vf^O?0^YT}LJ{KZ*I%<~hQ9wj}TtQ*$oD!Ny>zd5yLlI(Lj+}V5K z@W$7dEDL5v&BgpSj2rB@)kpxUh{Cb|M*j8lyD}86_85K{j2z^*LI2Zj%EWUI#V0-x z`mvVWLEDFNPw5qX=uWkKaod!%()WbtjVQ#+uco8nm%t4djS(Rz>0IoM5y9W=75Wiy z`rwye2C~Sev}o)AmKi3~1}n;q4gR-1e4O`_{E%@_B?w@7WOmPj2O$cQ1SCBH0umug zK__LH_>G!&eK7TE3qhGS`Y$0^VW7$oa>;O_vLPO9nQ9BijDn5u`d|({MH8lA{$42= zwe+&2euQTWSqI*!9%@ehWB1NoV@xt+d55g?H_H1lgZ%fSY#wG0rU$hjtDhm*DVL8o z+x{h9eSH+QFNZi++=!-cF+>~G0)UT0&n@y3!Tt3rVbs`7MF_`GD;of2&18X4(S z+)%x+w-Y}y`Vr=6u_7PZ?G5an_I!5I<5LP3)r{5v9$7~C{l}kQY=CD))_KkIu^cme z^DVPZ6G!|v0xixSPb#NX!?PhlF#$vinHexH=*u&z@$KJawL(|aqq4aWonE6GV0qdR zU}676S4Dc)Z@xC)Srjp~r3 z>CS}#H*R0o$#myWC{AAqUph8dFNOy>Cx$oXpvK(0_f%bw)&EBNSibzEYR5ariDN}F z-#;<8Cq9o{s>&a;KL3q@hy-0`!}J~dYL{vY+^${Zb$B_S!5HAvEp8R*R#dLYFJNB4 zxo7b7GKikcaYJ;Hz+v_Oz=05+g~JPik#bT3Tya4@a;1n)OpjT!Kb9LiB+gPMDlVeZ zp}0}w$?e%l+b`JZ@p?58F4ZJK3EGe2^@od3K>e*+^O`k?vB|PTR$we6ocqO&l!mp4 zbdJZM+W~#RtK09Hu*nmw{4kHoC(-S2kFb8CL|CxuvEa$SINf>HSzSGv+EG5BZk%Th zpv}^C5Bei>l;O0zntC{$&hMpYzc61=uE>|j-05DI-u`E#PoMWSLOM_L zPJOxq_SPUFy}T@J-|x?zG@iz*&Y99vLp<$tF7ODnD5QPYb*%&0MjQoG>jF+!m~|Q36NdYG6@&!85y~v zUO==T!L9VR95kmF@z3O%?v|+^#9@NB=NG?WfO(K}weKNy=lw=yH0u#cSGz-{>;2K< z6KU6TXMLU&^z*w2OEXqgM7X>(z6xM7xQodL`>5rH+)oP^sgWlufL;?;fVmL(BUolW z@=JjgE$3;a{m(wsOrWqfkWtqM21=u;HnK$ow5Sb4a)}M2&O8-#vCv#Y-mrIH#cA&M z>OJsQT1{N~Bc801B(7c{jZW0E|Gp#@%K=Xl0v%G26ZbNvuLtJRq%NktFrR<-M5^47 zG3D)7I8CpD?vbXdJ-yHuB)Xp^87(kT01O={Z3GIB$i>73XYOF;Xkr7$%mx$|2Ezbj zV+Y3psIi*e%G_> z3ZB-9<}G3Y!Z!zwjhh7};sd+&8pl#ELI+jCDeBzL0Mi`n4xcocou?8!&W)qnyMUa7 zquJJwyGt8~A`iUA&-xG7oZp?^Z>tEuU;EkW^>+YSnOyFlYkZ&U#KeyAtdrDcSKRReyc9*6Y$eiiGRY(9)Y>vI^+FjB znn8G5q^EHmA@wTn#VEgVgQBM8AE~syV%_;Vg?&b>%UqUw@Q022mCAtIBQ=ZR-|Vk& zF~N*t7=9%t1K4gzJ$lW3C*=zRC(TfKni#KOf3tD4To<(muFFcOlot2PCdX{6$84`> zlx6z~xxe?M-|cLtdmY}p^@HDowlfNfIYC*^=h!|22bTFZjDoZ&A3s8Xd3C;jVM8MN zFS0+>iac2i*PrV$Ym$ zR#eX(u*(DuZvO|PSZv(?8-(nf{}-q1$x7?&K&>=T93X-PX!Z~0|1bzgLc%C2Ev9Pj zM#9X_@;{RQ2bAAaHn4#E7NBULtiWkVFzQw!OHe0dsY5V{A0Q-M9rv!cv%mQO) z`p*$`K{=A^bI?KAflQ{LRISA6pzNSn|2fZp9>&7W@_!X^e=A}G=4OCa;&U+lj~)My zWgP#@RsjLv+`s=^asvSvn)z)M%YQ~u0edq+p#aMO^(X&Hxf%bX^syhw37}|6u%sx` z@T3H&U@jC^KQwz zp8|OOd>Gt&>qSAsv*4U+|Laua4=rNi82Z}p>Y&5dd7q)UOk!p$ZuPO<-dH;Iu|du` z@QM4r$N7085@ecj82;gzFq7;1a20c|@E4hcO;r>Id)w_I)>>-o-Zr#~Q&=G2p-EhR zpXnb@sKuF*pxZ=KmL(*#pBwKXKFWD(=NVvm!$I+|ND*bdcP!IGVs{nIeek5uHD zxNVSXiqE5vjU##=U&SHx$tC`k_$OvR^Ws6zVKl+{=FbE zQd|(s+@r|h5yqte){5LC-|kQteNJjpBnPL1*in8n@fAuxEZ|qJ6v4pD50C!u10jIB z8O&N6ltfBU!>kUPEPoaTZ;1c<%w3$)rA}c_&9k?e?KKH{7UMF(7Q2*5avd^^rU^8PVCu$*5 zQXvJ}-bQR^7mY8IZ@40=KT2`Vy$rk?_OoP1;hD#S(lbXn(Tnbm#-&J9YlLx6vyJRJ z=(-aD3SR#_w3Z*5zYtx6Zs8VJ69`CBLD98Sa8>kU_d$ll^L*4IWfv| zEV_v8ql;XvAJ|gFfs;6RD((hh^&shn<{zcc6)CxQ_A2~=T$q$`$ZtX0nD;kw_>xuV z24)--Z!0cW1Ug7_NBqVZDc3Oa&%XDoMi;DLxMC8=J>lO(%r)ONcsovPb_G0aG*dJ| z5@NV~+gY?G0;_l3Cgdy0uU`NGi7%lqv6H%R=-u{L=gn}%{hLU!1}Q{qGW;>sLvIU& z2a*Rk-2o(HN3$Js!6OZqi%{!eXXl8!guAZ0fPJNX|6M{_KEddUz(P-OO};P#3F3hT z#r&CB>=eN6-;i4jKk%+2jxIdG*t|c0zMBKb0^sO}MWS#q+O`kv_YsF%M+Bc3QFv=F z^iI*C>OJmX{J%ka>2#%n3zK})P0Ux5%Ez=CrbTv!EyTKm3dZP6EaIC^4ty_cFLW<7 zp5crqmiO`>D+BxkaCf~O51n6jB&IcU7MI}Lm_f;DAQS;1xgb3|$fma(N{_t-!<2K{ zIAI`22YObXxHSVyUTlEe*xEmhxBOn1|Jb)fM1h^6B!#N&?18P}LP1PN?T)0t|3m=l|MFyAl}v;5Ae&0xS` zXUytnFap-;uI5|@Jo{hcU0b{g^mk9XyWF^9x5x19f&1YZ_RRne@{W5^yb5}mG_=V5 zetf9f4Onv#>kx?m{UeEwjiA=V^)6jDAtBGw#W_yB5k`#pCZhp`a3g`v_4Dtu9GrKJ z3U9IomckNA8+S2f5MQA5C$QiuDg05-`+|wdmp5bTr>2{MpO*^9Sl9Mw5;MVU>p})( zjQgZkg4C4BqZ|OJJ;u9*Q`y}Y@l#_z@*YI~n9f_FE)3xQ(JA@9@yG#Y8Q&d*aeb)d zJ}Zn);I)NiA^z;w-+uE`#Z&wP&Z@9(_(N6Rbr|wDf;R%ekbn`recl8I1gRox67y^5 zfL>(fa!uq1JMKwIL8*kS*O%FV$&ew&Bj`riS=>kTw{QS20s%Y3k2C9{#81Tc6*22V z^AVCn{ls+=6K9sf!M57N~X0SzJ3CRaSVI5E7NA~%d zz@U|JrIuxmdjq%Ev_wUnumaEmRzO%6qvw@zUo_>E98Kj+K&;K;0^_8GylJg!Njl&- zMQQD3;~fr1*vp36u-0!$Emk=`g4Uwx%`{9f8)w^KyF+q=nCI4bLuEV!e2IO9iCLV3 z4VVG175Bp66HqB>LoqRLm{^Zj3%qGNw2YjY$%JEY5iG3p3&EhhGZ)UC*+`uhjXG-L zX<|VqTH!{EzFNfEVgR;ERXsN`?6er9+Wa-CKA1@sW&>YB^e@a*YJBAUyK1;>|w z@^VVsbD)h0y;|J(`y&!!Oz8S{b!_PRT?)Xt+3B-#TuQ&JdZLTS-oTNmmfndFX%Jat z(9e}t)V__Bt*ZJwuH80*U2Wjtnd*LabXMCXZ`&%qs;>l0(|%iOf#{Mjb_#xWj?$M(i?W%e;Vorjghb^WQ?ezJ+@4apZ_TX*eP-(~zo7K`W_ zN2l}rzEjxZipkJ4(qg4XAJgW7K-2f{78C!yO_R#`c(9ZviAGPp>Flzcw{B zH^Og1G{dbFt!k4#voLWbWbux}){6n738S0M4|qmaV742x%`t<%;Go^)&S;R~Vap0- zzd|=?f)hKeQ>}gVtgf14Uj9BMe2w$^``pZ3N)KGuud85J9Noug1FthQE!=(7{jVs- zP1XxXrHmq5W@AyBiaEgi@q`o`q4Jwqp@i697mZ)lH{@^wpl26gO7=)dFq91mQY zq^z!xVYo=~{%?G7=+>-P`TF)*UWas|Iaru?MywmqAY z|0VvUhk#8${spHVva)=yp22yLgGUqnh)ErwWe=KU{CcMRpF=g?)C92_SsBXpRH>~q+Zuh zY$F493GU8!FREv`TG6BbD5pg;o9ygMSuw1TV{Nv<&jJT@jV-cn;26m?f<#oTH-)2) zx#kccef`;Kg5Nh6v{B41=w(SZ3`nyv-{@OS{VS|_SZkHjkrwpapsWJmHy&SazQ=s2 z5CW;z*TsZYueR6Xt>&L&;YXV%v*jICXmGV(s0+^pwO1rCz_zRG=tbgT#zuy)ps*Uv zg)!iFh>z2Z&r{g|eU`I&3SIApNG?DWJ%2VE>|RIeqwUa^v7)9g&On*Uw?Xjh0Y+MU z8bRa8(I4wC(=k1;t}_EzCWdlZVlA4I%`(#blo$OHk)&KDc(b~SUKTA1x5!i^7$ z!$>cnCfx{2jX@n?AjQCNltRbtkQ5V2j>10wmW2$^|CR7!8~Oq8MTOJadu0`|_5@9_ z6{b2+4rM_M9l%B%=ZRNxV%7aDqbP*5}N> znzcfr_Q)YJjOfHh5zo~{j?{aB{OnBKRfKSk=!aM!?4$>IgO6Ze9msL6q_`71yJW

    ;Gy8wkIbR*!oJj<`1dAu2K7dfAMZaY!UirOxai1dKepzxzA&fb|In`WaLUj)foT!1 z?9W=`86ML`6hC+cHUZL;$kc+3or?66;?9bV6VE%nnAoPRv%5g?>~ikqn=#^DH2Y;u zzl6Lr4Yg3FfQTm=D1^jr{cxY z1`&0bm-PFk7$e97aBFl-sO8?jbq$DGv`)@W=5z0nH)HM9-YjmBB~BJ}0VD}%D7yas zTT8#La7TD=_;EA5qV5pX7H&w&^6cUAZlHZ9QrM~0ul^GPFIZj}ttmWFP|3G>?P^Zn zwCNb&+BB$-sXx1R?4!5C*Q5c&YhH_6%atIGxwt^V;5mr|3KT6)Z*^p?q75}$+1b^o%{_Y(!>NnuwoA7WW zHz4zVOzhMV@^K~pUtxS@^AfiamHn?ci5V5Zj>*^025EnN->sJ@kI5IruV1gf9`Ikh zx4HYc`}oJ1$5oFiRq@r0^NSW$&rPvh;O#5hQd`*n{U+q)CyWar8k3RS!j4^d3>?I4 z#nQEk5+rvh{PMZ-~kzWoiFV;J%*=y-hbV3UY_|p$=>VFy}}}2^E6y_=)woQ&}eDUVL44J$aETl zUX6r^p9*id-I%=-yi3F-dI=gTj9)DQiq``&VK{j9Jv8n})~;N}&~Dtsw;UGZe9tuE ziN@n@o_9l`eZd4sBCp5B;~a0B-=vanV&na81_iXEWXQVBLprL-1jtS1ZmOv`-#7UL zB`n8r(y1RzPj+#()N3+W3iU#8O<7RuWZOcY+3@Itw6E@GdtQD6C)0x@@fjnAZ4U##oAM@vavhW`U?dh6@-LU!HWR`Z^6dafQeX&dQ07UtESYM;s@;N3yx0}T=bD4cNRl27 ze44~w>Rlu+-J3J6xVjD&4zdg(E~4#W?NNFhD|s&~KdPytl8F=K^o5Q9u1{0UC^9FR z7uH~GoaG9=Zf_=?MF{??yzL)pfhlRELOz247l#xVQsDa76nEbFfA2&@-8 zmX35)KKpNwiiaimzZYEpAZBi?aOEh^eVw=a$1d1C_FMjx%jPmZe@e~jyck{Fd88+< zcPJ8;T3V*=uGFwQ>w$3rT-xkT>EWa2qo zo(_&+wp`uCSlmsqsLco0(wswl;Y|R?17#;@VpbZHDJ=;qNw6>a)gj)UOS{nw`wNv&ZXsB?Bv&4U7;flNP5tcqi{gpBZdvK})9=Ea&CWVdUrQ+_B9OWPzovJfL6et28NFsbwkWx} zr>Xb#674OSC-tgTs+#FD9>N$Okb5x7_bY7#1Ehl8Va7T3u|DmG;PXtpAP z32g=GMNNac9~Dujfe#hsJmrZ9pb%p02rsGjNj{t4`%y(0dH$g8knJv|f)=Qkl!=yg zxipjhos^ZvJI?u8W1E&jJ-_970D{kNE!RewhV{YL>$O=I5WoAP5b#=D4^_G%DpY&| z@<$m0&`}32&18T{g6?izC8kr%QLf5ElDF z%sUQSD+4AU@hn|-?~>~RTeWD5wdrv~e@AcH36IdC&yi{1!4yN!go~(f7wvs5B%PhX z0B2Z2c+Kb#9OW7Fh4j{@(_k@g_^+K5{MyAT0E?Kif$=?Z!<3RC;*W#-dV+0iDd+v0@9s1)yu*#zY=PfS|JQmL9kD^@QwyJ{LKc+@oKIl~mrG>6^6 zfGCd5VJ0tQ-=WrOyNO`&R`Cd=o{GZ|V)6A z5GApdE7>GzQP*wOZwZ(3r15(2eg|Vl0KyB?3wA}n0~1@pu-~sR3AyOk#*Qa`p0)dY zotkkPdw`v!VJZti5QJ51boC8V^bPtR7J`CE4IayNFB)&h>7MZs`k0!FZXNDvB6hR{ z{&MK3Z#azT(Y#^#2i@lMGnp_E1v;RonHcLRU!01@)DHSy2O zZu&K!Dn~xunemWhLH+>S=V7}f{Zs|+W|WjE^)s9j+cWz!!IH+avuc#0l5MR$8pmR*|Ae>OkZj5v<^ALFH9i$%CS#k_ zJjoqG)_qKY^N~5#@i{Ijn1LGWgQ^dHj>0?+H5W1#JW3vg4=AS>uxqT1(g6Re8lnJD zm(cowOIk%|OV(+2)W|!L@K%TSB9BQWy_D}XkO%jzZcTg1=aDTeCmE(@*t(gemgfj6hEOi_vV&lmk< zjdSeEiszc#`^xjCY^C)!V?anOGJ$x{=Dl!obYaO_V<627_{I&V`Fu5DBzPg#@BsmZ z!;e35PjEoaIIbN0iDoBCZiZyr-OM&tf{g*tFEpsAP;SuMf?qPf72KduZB|vZhYNLA z12%lEe5GkJXn=+7Ch2J}2YlDJGwQQ()=>+ah$P-%r|AL~B~2sEBY;Li`{SJi0TTh0 z0Hb08YQ?F>N0+C2x?Lr&*$4COB4Mxp$<#8hN8+x#Wl#Ub9flu#fZ02u zz50WupY4a8-}}}GM$hgiQ!vflS@eL|aM?f|0!*e@3_}TGEMTG_C}dy7cmJ?QtwJzk z8Czy=NVf1p^NcscoI=|piA^J!ex|{a zw^MkXp_ieX0w5CC_@@~C-uj;Xz2vdlPMeB8>4xH^@kQok0zXoza+2&}m3vj50U@EnJJ5q9)wAYx1u33+00PP9wspl(_d`MI+& z@L3s~9;Ss7>db$ao>U{Hm@M3#89^39o52J|56ugq2+$B()<7p6oDOT$MyUHdSCT$x z7RYT~Q>mzcb@K}ATq89bc4S0Nq>WVMBnC@OV@(O}20e*JNJB7}*UnnKe>5RU>*KK}mR`>U3i(2aUt8j3Q zgT5pG2~fCJ76FG^RQ3o_oVO4$0_j&9Oy{X`!r34mVl=_6It9iu9IzhLj^wouV*5|7 z2x`{9=xIqH@0Ldxaae#W{{8+#3?zX|%J&wJXVW8KfK4uuUYD>>_HXp9wEXQc`VjRl z`;VYjOAV8p?2wB>9aek0NQ~^_k&d5>V->|L6A(-Op6Z#SM?>i~+ zch7GSih-k^-+xcelhKMMAj(T;oq|EHK8J&stA0R^5Dj=oyMF3V=zww6-d-Ot`Z<|o z&=UGQEhU1gI^;IqLH_iZW10qZ)H|#%M@>wVE{Mms-OUO@BF_`IHVS(a@QPdH9eKda z$N&^+07JyEAO7POA50Haty0b2f|=?6QnId zHD0yVCgChML7vxz9aa90`^fxQbXA57719%x#lvY?|%|tHZn#!0HR2{5e2HKR11~Y zDD}%G750kLpyymvUk)^?cTEg*_1bH5k67^>&RJaK{qg~((SCXgAzy`wcO^)92EW z(vsQ@-v#!D4YtmH`BsK)TID-hI8-i|qE_Pp92F7u^8m;yG$lTM zS473gWg*6uziZfe{2t0(tT~vaSaOh!hIG@iPfEkGAs=B%k)eDDU{00uMF}2+pe?u z`!ZuxhX8rd=6W{YDT(z+6u7ai>jVJnw)3+k7|bi-Z}YipdmYU$y2+Q0F|>O7yaaUF zTjwe#sbkGhr~5x7x=;LbBOgiWZRxxG+s)ldya-MfPl%))-ab?pSOG9f5YI>!lg8S( zBjx{j%OJdqn}+Dz5OW~Z$BMwnibhVOlx3yS=5-R2i6O>RgD?%p* zyLULNOj`P!br*y3penU1D-tZ*IE}oEs+t;-6ugOT3bd)sz$WWPX?D3|56_)YT~LOj zE=}_FtxcD;Bq@2E)d6e~AGwwwURv~|+MDuf8$*7vy|eqqnacAU`p_>u4tpJ1c_)y8ROBA8R;5`L ziGfzAs0>D=j)MztNSn%&tKK$)W1qyJ$*`{2HmGDhbB^kNkOwdfsG+VUgNfET_IsP5 z1?0bTm9t!PI0y_9UK6bu{z+pHx1U@BGmF%H;lmY%`5?`>xAFK* zIw8&M#W@cd&j9MRs;SD3`grZ79zQl{qA6LsnYu~4sk^u#?d=(aC`vUc|o-|?pv z+Y})@f;=K@!$!oEd)A2&RVruM&*?7_oa6j!e2uRwHs#Z%dMN2SEh^Ax~BJZ45HVb>Mj5u`Q?bd_l7>1f3O{8 zY^BMoK>#@mUXr;;l|N(5<_s<8l0at6iGWxmajINIRQJS| zSjPk}ukZe^Ha3ZSbg5xe)QqN8M0Q{47Mxf03`QpB??up0AIrccN_vLfYn(V0X$TE$ zC7t|73v%sJCl`YjuR%SJUy_@A2NTFUg8a3{V*r<;_hil+-^;kTa&}Yv(m31|6fG@I z?|)bBt`^MOJ@K4;-j}P%jjbmyzGu^(u_K*vjDFzpSx$qCuf~k#oo>Y#%+=;Pq31N$ z>LId44#ZYM;Ej$33#?42DRCs7Ynq;WJxM&lr`|Lev9`Q^lOps1oV8NoJ zmUoHonhleYs5fh^-@*Hkz|NPC85z@srY7d=^3Y3?K9GGgz$xDT3yCz}gEqQex(1vq zPiQ&(5%aVf@Ypl2+XZWZYsf~~ZzUR8*+ngdKUQPa9}4!C4YCaLXzA+g$#zLCn$(kb zN?*;Axs-L1)_g0|EH7H}T$)jvUJMEBR`y3Yl!s+#QQbjrF>R=Nw{}_aghs}*jNRgT zA$Zt`;ry`5CcyhuVcixmGi2-a<#ZF(mq^wD-|Ac=?@uoS7Umg{{aF#w6H-Lg+ zcxlzpuCxm-llA~EnY&tlR)5+L+QV1HG$WgvCpL`&B;RbTn*ruLjNW=lHjQzu40Sbi z0(PI(sg2=u6%!`P_7xlZPlsIW*bYw39g!1ZBE~f5LZ|JCRwYg>*xGDL&H!RaSKYrH zJ;{ROjUN@IFi7HXoek7*_mB-=p`_h=wg?_|I!tqqJKp9ILkB#D<<1@qV58 zmJZa7CTA8HSf-!JlA(5fVn^YuNjZFO{GqSynXhx(7C7MibFA2A?D3aaFjv!nmCX0c z`r2t~vxGdvfCAD5A zWPpvD9?n{w2NO*N4zrG+WKmS!-;PjFfB`eZ?E)fEo|@9o;x#=+_X)t?f_x~KV?2*Q zaRx;!bisf96UwL-!lMR9MyER`;)f0NU^#RJGP`Xvf!a4udddGs)jI$O(nM|B$;M7L z8{4++WMkX5%}KHw+qO2gwXtnyW83`myx;q)YR>dI-80iQHLkwrT>WRussUY&j=Y(i zgMB}kxck(Y9>Z|@)f}rm!vE0;4c{oaD z9yxrcylDFIV?Z)A8(bYG(FT|;a@gWb1EHvQQx%7Ha`+xb_yoO+=9Qphi2TKVLDp@_ zmihko1_riZgz=x^Kh1x5PJuqMa&Q7DWGQMRUr=3?D}Y}72w!Z*M$KLWp4D_4-kYI( z0eykh=EWsL0dC;x7)=r|a(3d<;8{RbGpLdw2ZeFq_2(S3@oQQ?NNM z9x0L|X0&zAQ%<2Oas^Tu{0#M=$Oi|aSMS*dEzdj+9`3x=CR6LvqwH{a=AP<>wds+KOIY}{lmocsIyChc6UkBqeNWV; ziA95IX!ze2mE7zaROn!o*K$d9*l1{Ih)v9oJMEP*TG87tj~WSqMsKl? zf(wb8NAvR-4XrD$%l9t2=c&Es`-4uy82spdJ})gZ-nm}_j|TF!nD`m>{2_ZsU3VUG zxy{EWwPR?;-oS3}W=k%|MHUMEe2rRUA7$fW=H26nS(&s8`;&3R>T#+Lcr=r5N2x1g z_}I}2rp6$Zqh7D^xG_?+**%ha5XCn4rOf&fUx?`$nGIp4*i9yS(jG){pjqXp@*t!` z?kE)qVt_#Ssdx0t0U_Ja=1NKm0a7!?9POOWMDcUNE5KZz;0gR4KNV_enF)L~S2eY5 z;?2C*T9nZA;goKyvGIS{^%uaD7?_`3KRj0sP>#qkQ`m3I4O}wph{1@6T3!SDKgLAw|8UQDMdK58_|)zMYn~CKQ{q zWQ-U#o(|u*ecY#&ireOyy;{|a+>V>Q6PXj4iB3Sq$>_$FQokGMn;jNAY@k7sBcv76 z-wWe9tTXQ(%;Kha7hbQsj`6?W%*EV95}Yer+XHm)MPk=9u8rp10y9x?MoV(z(lpSm zx(_Ek2~8Eg#{sVIkVqqUqRi`-^%APpZ}(8P^CWJT=XM>(6iePSNj!G65?U?nRS7(! zzBw+@42N2I{v?=Xqrbz9=Ig~n-p;x!XNuJJ!xLznsPtRD{j`L|fq-NG)85)4D5R51 zkqu;vdVQ1h^C2iaxY4I%Wc;9v@DxW8(^Oqwmc^A3gdNuW6LS;%4TREB{IM`(hk54* z#tjV@rG8jJz$i5-*@|CxBwg7vt=P?=CcIQURH~ZLdyy%56+PSuBE(1qvGjMM)DY{^ zNO6?ou7-{lqY-dtjpiEkYL46yG^eQX;d7u+L0vkctEMnR*~z{>kxc~>T^;W2aM=@b~H1*EaM7=_>AgoCj4&AoHT}Prhx)J zGx_#92b7m*`2#y5j$r2n10wwG-LVLBydvwtlc4~-RMQ1Hn1MyU%S70T5jv#VBpx8i z#@mLY8hLS|o{Al70*)^}z(`DOu;ikXC(pOdgLwqqHPQ8t=Y;1(02?_3GNc$mHfL{Z zlz(S!;NmChvmo^Tbx{DynZlOB=d^$7`PhRw6sCM)R)5ulEu5Y7T5=bSt$N#0uC-y_ zo!_y0VfD|gSU*}_R*X?zdUxI|i!y*N3lcV6nwnb-o-qP+1I)?8hoGmd5>I}XB+V*7 zN+tXYn;<90eQ~{wjm;Em$x}r~`VonLl*5`mdQ44Hb`;H)^UVYjH7S~@31cXpg_&=r zbxBWrt!BxLyiJwHSgbM<^*nZUtjYzNN@<#aREVOO$LlDaOGA$wx(Z+28ytW@rRc%r zg+b!u@^35p%0d~+x4vaaZ=EJ3JA9mz#XJt84_o2Iq;CCw;a(e1e`0kQKDgvZ+vT_O zb~Q6F*!mBD918<~aF*avMJC&$ufo&4*!TURnPGgHZ-w-I2Iug@?Yr{_P+UO->Pe7=(r5$_O@AIIr6XOd#(~-A8 z@z+Ex3GQ>#qdd;h)fyL#nv3ZI;K+kYmWP84!_J!3X3--dc^IJSj)LTl#LCz0TSu}i zPiKb_53uY?P6?e;d5&J@AJg>jTCS>jyKmxaY(NdqT)leJ&}AXu$N+71Yg&!w3aBI7 z9(=31W#%jhxt&6=vvrg`!Qb-Sxk3?PT*eW(sT*FuAjvPD4q|a)T0&fc-&rMbT_+9hK#Xe>HA&< zU$b;E@IEG^$=+T#0VAw(_^Lehd5^wp)!L48C+c=^T`pTRgJ}$<-T0T^GA`&-zhObe z|7$omIVKV{xWaE6!6*|1Di`co)KW7al{OtRccF_CdnePH)8&B0* z0nZKz#?n^15inViwEpjc1qr7lD(7$6+g)3mE$L@zdhz)bzRw#|xX0=DlGeHPkYeBz z#&?I@E}=zp!^TvMRzoafd+eSr9-aGl(1X}T(iyg6RNJgqj&YQAqj#fwqrIsh8m_@B z_Mn^CmhxbXf%sKM+C&GIP&vaHAFfJC zZyhcT6>~YHqW`@E{Rl(5DqG;qvQAY@BE@0Zy8;!5MwxKmh=bj4MkTFK^jbJB#*zJ? z6Y7R^-GKl@`f4TlT-39n^`TjqXXAVAJ38-hfQQVNCPxVn7N|Y^8t&&hrg+X=r6|e} zvuV64K4i*dmECa2J7O)l^1lLCc>lhzI6si7wIx$__Fhd*i(w@nxj8iUy>RJB3|>j( zibh-vyq>ymB%Cm;gAy9|-u0Ie#}a3pjzMM3cNz=lYbzM#5;S=`aai2kpc+>I`jxI4 zaNQPEQi6OdXb0=MxbW{@OPSwHS)^&4U$Q}dTN#U={867&oNobXjL16WC)S)O#4&Z* zm?*?B1%|BRl9ZeYN;pi4nq6f=$?9GQgRfhx!o(^$pH*U;tz9vt+0cVPBbJIuH-&C&R@HxoDEM9%1lZt zDT_-(Vh#5%1YEQLKa@+Ooz!2Nf5wmfV3hhB>8K1|j(|<>?X5|tI9JiIqF}%WB(WRL z0Q&krl`!D^-MSp! ztw~sAczM5V_~I_e^AhQd(C=rjevhXM&{=@l^u6 zjrj*&bZGAwI2-37EMn;!m zUa$b~ukE+=Y6;jv;U*v!hlWj(ZWdBv?unswEU0{UChk}gBok{U9hEFzIRkWwY8SxVK=0dW6A1NA zlS@Dc$5hPW$_3wdpYnTCW2yh@b4xK0SJ*%Bh_{h- z+g?{Vi-<I zYoa&)s>1J-f&voYiYl?gVMHH%Z7;^bEEn$u7B~XvtJ1M zu;}R^lv4hTu)+(TyHL+@KSGY1#I!zXL4kjgxyAX9m zwYGb6-5qla=8&x;)+n)drdS}97DXFI$9>QxZ)tFF@dJ%gi0yMIO%k||Tp%2V5fx?V zAsd@=TCOn*J9S!gh%0E!y3peq9X;@Mo$yxp%yDMZ`ArE_ZDh*yv0*Yor5$~y>CyD^ znZfHP^n(l0ISaaO-oV(Or-?k>dJw)!H z{{~p{di)x9CVz-cs9G_C`WV2bg0xZKu17NGpU&`=Pd8ZGUqJK+#B*m5H$C5vKzC&? ztg{)=#`G&kN`Mr0+P^t4$h0~?A?{XP;9Qwo!z)V!PFgVT%E4V>`o8qusUG*|X{EnZ zHZHgT)#P6CB`2}Z6E9IKOSQ#^RTXa}-|JO?_<>5{Tf zIz~6m=k2QB{KHkn8Y!R4$d*p7+g>$PszdHgcN4eZF*4lh7wqiNmrK6RNd^Hd6wDFH z;`f~q1eACli{J>k{Xa&N4g0hG)Q@>DnvQV%0y$gKVURv5T+)63VNDmo1r$q>E|8+= z=(hZip`);9s`!o-;goN|7}6z2_PN&EB4YgOjcSXg)X^D7g!!j`Ah{QGzM9`kG^=z$ z<;+4VOUcrihH=0#$AeSx8Oy}U_f4JkLL96PGD5sc((m8SoSu~qjeHtYvpJ5FXK_nR zH4kfx=9v7Rk?1rq*Y9?`b_w)#I)G-wNwD(6$+l){cYhv|vtx`_!&7IdMIaIl*W)8D zqEDZjx4L5&l&1ADZ3zE!Lb)=gN2h4kg?k)~LU3U7s70@qkzlHke)Kb(Z>UabneN)N zvyTg3qPTrf7Ive3qyOAZtj-Bnj%hDH1MOFui-096+M8tbWPU zWG}K++(qZhac6r@6a0eyK<2BfN%X@BJgF4 ziJ)~pc21J6R(7rY5Y%= z=(}`;DMyq)$Od0$+a@ zS&lJTKre4d%!_f%rL*_M6W2!N>TYThMDnUuY^Yfw!8+G+IUvt#2zit}Z<~F_iTY0V z!r=NE8F*ZD5ws?CR~IVCkB0KoZgUJnT4j=ErviJ-ZA=o**wBKBO3k8nSH?+MeYS_| z6hq#+9xHK=z?X{mcr^E~hV$3W?50fOyXP1^1au&AE}jfEI16#u&hEj%Gb^ow9}_zr zlgv3I8UqJ@KaimBg%`ujzRNZ^xtkLYZ5S!=&qn0Lk06E>aYnIo22D>fp<5>(6u$E_ zG0|f3qi6uUxV-O_s9tYnmfLtXe3H$%iaHE)S8f;Z`TGATB9r&qsMXEZ*EjVhcZQd& zh0f6!d0q1L0^ZM`xJyN|b(}d+C3`#OdXkw-x8nm-yD!TQ^y|Nr84{-soIRZaxIvPf z&`x++W5$iloSg@_Zk8f#f5cd(VAfP>{%!Y1gTPb_NU_+)y4>N^OS~6+F<1dt3b<>@ z6v3Q6V+B@~n{U2>p5l@yLI@%~Ii**b7~O6;H5x!RC)ntYCOQyp1H^dbE1^NyO3)77 z`mKiIG1_ZL(_x5yvroq}_XX`|W}-^84-6=I-~LXjRu?6wKT10~E4E(5tBf-~Dlowq zR}YVdph7B~?BHkPF%s?{%38HJqy{k5fb}^r-~ip$$NVkJ9$E0crqA}>(GobXAS4xJ zc^3(ZQ0SO=PgF9WDJlb?k}4jW7DHHGv0K4Vly$rW5hyW%?mXQwW^qMMeK#-H)+&!I31Wr z2%~f{0+NciZdh*;4A)C4BjoNO5%k;j_)lUiroo?d-*%?K9}ecGbr6gRE~rcGTt2vT zZ4D_+}$Dt8JoO%?JmPkY6X;T3qraGZ_ZuQ#eTi-1{X z90h!3yR!BAqFWE==Vne6gTu#``$z5TwdwBQxNSOPRTy3llcA0Eqwe5J%!g4Xs8AQA zz;E*|kLwJ!7+THd4}Pm-8A!fuFlh-P8Xjc0z`C3X{FEer=2$$!m~C>Z_QWl!OKOTA zT=4OUCKkytl>By3sKU;1A1N zVrgGsR^>>Lrc&AaAm6Fuex)2>p3<>y_q!N{I z|MXOAV?J_u+YgAjpP%N{_G@|r0{Aj5EuH+`K^`2`|KiWhvQEgjbGq{Os_A^?_Blgj z8d(2#I~XB=Js}``3K6S)dSr*1IW3!F`IAabqu*Ez2|n`nYTh@NIi<+^o$O0t)j+S< zdJvMZnjpeDq{yd!C>c{v#0kK}lipf^v=-@6N__8%lP?#L5*QIETgO?~zQH*uIU+g! z?IZJ!?n@;(RoA_ros^@bZ}RBKFbXx#p;^k1F@QEqVI}LSNJev? z-wPwvd@g-Kx>DSJ+!de3y0oiJ7T@Z=hp~0i-Kq|!e6c|M?scLk1`iMsMe1OZV5zvp z5x4&kOZE_g4vUxmL8hj-Fi)QFIfj<8ZWkze5=4j0bY-4LAM!*piqiS_&Ij$pDwG;D z@I4uyOJ2A3o=V@Qvsz;Q^V6rcyk#b3?1Lr&UxKdjMQMiPZr;XAM<_%oh6XxOa}c#< zo26n0GV(L$7Z4wRq%b9y8>fx*pnV zvWXA!hn(-NK>j)Yl}fo?^2EDoARWi2dKpLBeX#Aks*t6$!5qjLsjlfZnG1Zg1bb;E zZqg_vX*G1~3xc~m>*z7#>2u<`_D&`EBMwT|g9~O;_HX7D&%Tz>7!{^72hs_qPx5cR zj9>qusZtqyN=SKQOET+@c-=aY-z2_jx4C>-h0b4o`i`G=P|*q3;JWetL=FKjEihZQ z>A`#VXKt~}c@}`apaEx-etZO*Huc$nnRwuA3r2<++zPC1n{8`UGlUD&g$u4LatI-M z0lF*LMvWBM&^A`!Nf8(BTEsOFnyqLUzlyQ3g32diyYjN^gB)V8GAW=gFFl#;K5&qM zpGF|3IPz0@ecgCtr(bb{`gvzLcu)AJsZb&IFS|&Y8#Ex_CMe;1IO*h3ol>y{H7@#G zpMFTVw8N*8-nLY)9ef$t*<>%048sw}qDJ4k(YSUuA;RS=iC;MkImGh;Lcyb2PvoT^ zT<{(oCPLd%TQ$B3q(L^p-_cpS)NG$0AuYnlP3;5jIczAT8xOjE^o_&|^s$?LBPl7H09Y)_E*e=MkRcox& z1Jc+?*bb$@vCjq**(L2Hy;ie z432?~DaT;Pv)4m@Vh-9)w{cuSjOLwdtlgm|y$)2GA^ssZFj8C@=>FJ)H+M~X}AQ|t`gKebPEF}0|w8>$x7+84pa_;p8Nz;%zS zY6K3&FTay+MmuCNs%wzaBGOK3;A#Oh6}8nc74Wtw_^RxyIVgx;dS60?W$9my58`=> zdV%P0yuYf2mI^YEGtNgwCng@CL;p##R>nk)*itOvhAx`i$NfA3h~nIM^_rOoB$Zgx zkEh5M+HY$~_CuUV)!T0G--6$Y-;&=JefSdr@|->MgQl|74*`GSq`b*8-%jAewTNdC zo*dSIQN^)|rq~r{`!|a2^4EAs;DlY9VoTx)S=H7A z9S71vWeEEjyB^~OB#r}VRqvS8O=O>`DFqVl)0lqt+*t8^%;)_-Cf_Z0vEMv2S709W z*}s->CI*wYY+J+#UfOx0;4pypDA8U%$~PI5;RexfXPYpDa~?JuP=7QEYbF%U?5eUr z7^{?dgJa@Q&tRepa6B;_Gp@wzf;Pr&F~lILd;)%Qz;T4a)ox4RcVUFzkjyyvF%NI* zPb)ZOBw3y_pPRH*UHz01!nsqow2$OGyD(=*ADnC4)`J z0Tb0?kTFJ5g|=JYhmpX`clQ#<9?wt|BJ8=rfK`cHh`3sb5pI39vHxJYqN9(f3vFk(=KF4~5QK)Mw3 zF`V4sb}LL!Tq+0`OwJSvd5EzkrHo2*K=BBuYo9YoKRHaszXPt^<l-W?e%#tSqcC z*1z6W10z85b_xUkQi0d@&yQWOvgw4+!wZ_*b&(DgPOjolfCxy0=ZaEzl!)jQ9U^(E z^&sy`vhN*xMyHKe;AEgbQb00Witc>)9;C)F^LEW7+{yTh74~*S>7RNp=uJLh5vuHw zh_EmOmCV5J7_`NBbk9zw>`W9JD*JDE*ln`}G)=~BAYpxWNFr?%b?@|kgYcj@m($PH z^x}Vl>Ol9-KCOXEYyX0_D%MNy>LNRscOt=fh#g(z7tlsOWPu%fh2}!^crsG4?}S0u zT6C^(jVLE_pSeBRAS3;#HO5dw*O6H(Ow9DCMn-d1m0{2=GCPhtr2A|*msO5dN_p&N zX7$!7EMGbQD_L^iCq1jR>5!{Pwd>oJgSNYzH#-k`9y0;wsm@2&?u(`Nnp*~x5L``P z_8eJVLM04ShHsvrcS7Ifw*eO8z8IN zFR^;tC}9h9BGnhFfj?&Jt)n(-^U35v#0zJ;&r3(?cF5;KoApprpXQ10IfzD%@{tzD zsqra!R|(h=KTMHlot-PRL-&0?23VewswsiTwH!R(mt!0P1vP}pFKu$U231kZiN$6^ zhwZc7snA+aWQeW)s0Zh#wDg?Pt#Zo2!67}JzJ{KHo<`UDVx2*C5yyEw9DWV2iDwO= zjtBp#&mjU&w?R4t#M;eMI0SN{b$xU zHM~Z136JYBCw1!^W%NbC(kV%7Gz&?OiL!+>E5}}5QP6FRbFGunlkd=CTK3l*< zLiO9m1V*>-bFZ<0DmwM)83$0D!}s!j`guR=i-EIH)ZNPTzOuWe{LtyFd%eEp^*-cU zkn{NjtiPR4Y&BI4okpZ>jlbEBe#*2`Q?50KZgIMOJ`dVcn^&06cBQ@RnV#g`$3+b- zS#L&_Ggy+76Qk>g| z{c;><4m3Nxs-%>}>Z-9>HJLAAzHSd%R;%W)<-MiEAo)_9>S$RCT=WXMiqPM>Y}!;$T&29zyL5|EXRFRh1=WAm^-z;}m+iyIe0{kqo4K?BcB z4X@;`W`IQigB}!5o>Ds148N7K}HRzi(_$C4@`A>TrsF z+yenOtgH9~o(RWax(2hZlqCvo@$RwDPA6tFG4aJ+WY`Xy5lyrTJd~dS=m~Puos>h8 z!BYTLSMV+TavQX0(m&vDNA}W^{9cy4U;Wu)^u*E2FirDG_Ym#0yh+nU zKiBZ7NE#0U^ddC8N_#P8Sv_-uxG!x`euv)iRzBXZ>)}dN4;XAcw zn{V(hfjoy?Ct_BXf3A%F}qdX3q!>GkN1|{NPk;1}4v=+9js$P$P>i)0@ydUxwR= z5q#hkG$DlVAtY5l@MYkkL}rt?@LhZSu=XuRCVOwo^aDTn6DlFZ#}xKf+ERu_S_a=( zOLM{pCFZzrWG)rkxTL;gY)^7?I8BwcI7u&IC{+#nT1Vu%l-KSiRDK_IT)i$ELnixf zn!@>qw$hueo+mxK9D0G!{y$snH~t)@%70!%%fvmDi}sRsc8bXe*RI29Kt zH2!(UVR|{R0&P{w*4rJ6@))+WZ7d*uX)(n(BpeQziIg8?wSgPf%8%{!R$LPA9hU1F z`d(CTc!UqdKFo&l)05LF9w_L?j8R(_ow;VRi!^Rey4Fj+3I{t%AU^+Bz)BZBCRK%$ z7rcY^TKUlBV9_q_@Qkc^i=h{Y6pt<=N>sd)BGz#EzPw;f7mhjOjeOVEA^M zwyQSsye~2CdnOCj99i>jw=DI%G~f%-Ixy7qxXsDawKtjz+C;r zHs&6G2whWhAY(aFR)lxXPi99m1vL2&2+#J)o?s`+m@{lhTtshcIB;`j~kT#5rl=bH!`f6 zL%jPzRG`_*Aaa>=$TCzN2zfSQxAQvLbu~^@4}Fqyvp$1i8P(z1Q=dwUxwYo7c@iE&SF-FU+{QY?PYt* zsbQ68Z1H02VewYhgE}{oS??BLEs4Z86a!k(*zL2aT+H>WyDa^Hxj5JGX?M(_!!oY+ zYQfIwFyqvL;sZ1OeygVKVVon&CDZNpgX-&In!;o|#&avg(#FEN(tz*TVdKhJ!HGDY zm<0jpj_pG6l|n@z8a z9Fy&_f933>;hh`EPIBL@x7=&{&O(vcFo(HoYZ9<@W=(K-lgf4B_P}LvQ8c(JLh~zQ z*FMsjHzukBHkGDj2J9ScaFZ)}_(yYUr&+5j-zx@Ygy`?}v-YiZ=bh7#5NqPlC?*Lr zccGE?)jw%0`;Iu5yiI`*wOs0SnCAcG(SSeb{P9b#!{p zCE=ul%${^igEgzT*T_@xGrtk8J^46N{2u?1UtR^LjPOjXXJ$wBa}HhqCE$#{-te#T z7#bh;NT9s}uHs%YqsG8uF{M^{dUNXVacH_j_(Cr|v=1tF>@4nX!&yG9p?6;xy2i!_ zDY_CS1}Qyd(;{H6wG1N{a*rS1ysKUjNw8%+2zF@kjL!#YncX(`@ZOOo{GUD+iFMXj zsnQ*rpxRA2mKyS-tF+r^>Tt|u|JVx4Vv%}{e;sC^l&=G+QP2+K(lI#N4wuJabIMw$ zKGsLh+&1>opT4(El;H7SU=x1wnb`)9;u_+~*WZ{kq3ODu6n;?Ef#Lw3AXas#)&$>7 zO#{X~^S^&Ojgqjw9j1y5(}+7^++U2bm~_oE|B7KYYlyGzik z5Mz>`hz$=nh%+!IsNSo6GtOyDB=&31Hj6aIh1e@N;nV}UFmG~+cwx(~w=*?GJd$hc z%jf?dG^zRoN<3}$hFx#cn6RUK7RSECfo{$KZTm`$w)aL~+K~|zh4*^GaID#+Uf+v{ z8@8_txu(&bgmH$AG;jHS$vBFLbcb&W*KG4pQ2xbUYOF3_SoURM>xp(3-Dw zY%d8PBChlb^JRiWgsvXNtypiBbUxw17&+5n0vJS3q2RvVi(GZ_LEg>WFYIPzXWa(J z9v+-$^Jwf6O(zs&Cw|NliA0pv@pUi|9M}cIHSFurVc2ZXs zDT#LL7zg&Hw0ohwvj^Gmw9Lv%BR^iKGnkduWz1pv{2Jc@>QVahDytyl&mJZ_#Kvw{ zt#6&P2WIf7)XF)*UDOET)^sQ^k5@NF@6LIIbJJ=Xld*v?(wM=qKz{`NDm1xXOi$VR=43FC7=Pq z{pS4~N53Xi)6x=2`aWh91xoy}tlDv1LJZ0Q+PIZ#AxZ+65U{Td=$*!Z>TcJ2m;chP zv-igTZY^O9mw9?1?7L%+XBIh3rMzcv+RL=hj? zEoJjES!!8W+ASP}dCj*ugtsBA^rxeSJ0 zDed$$c03>2y@eoKMTi6dGsOLnA%P!U{wR((w_6f!X&r`2%dfrSYnF?8Z!=# z!Sec5{IwYa+st#|?J>K>9J#!{?q4%1Z1J83rZXCZ4BiW<`W~i9;l|CvSAJkS_LU1= zW~QCWIkEoS2_ioT;!}?{adsds4r;NcVm|}m*}>!KDVfoR@YZ=E-#UAa~oUC(mk)FpKo+yZMw9w zI$tKj@jpH4=bsNxgD&dKisxokZEW-Uxgw|TZVV@vg;053+4=6avc9?|#GR2hPt~5e z(ODVQzM8dBXRYq8ZXN@-!69TTVJ+dz;pKSl;(Di+r#>$UEZJoaXQP{Y^SJM?L!7p+ zbPY~ceOxT!(RJ1p)pw2rjY4A3IU@pMWZP1!&HJ1zw zs2)v)eMWUwX5sCL8=FhmbI6@v)wOegZp^Lj)=8VYTO&afwzi|5P-P0b)P3LP)(J+3 zZOmjLM$Wqhbor_@wbOMb-HuL(9O@j<=p8%W4#FK-h@NHNTImc?6q&D`4%D4Z%&bG6 zO_iN86z9gDt}@Z<<#>ua%ig0Nu%i=3&Ye#_FE_8G4xJv0m%6($^gf-MGcPueTD=Pn zFQT$PA33}t8=o&GvVklP@5q^U@4mwegq(H`pNmc4s@0XP+k4LZLN>?4de!|`{Ml{IbmD>iwHXqApC%{SP?*MXtfPqdSR zt{moOx@Z`f-A;_p4s3I6ca{`dFsTS~+I-mb+$vA6v@O6krF+_YSXpJ)3jquL^NAiN z+F7UYhEa*hBd6q@U$xNz>$t_dd9AhUjV(N$80K#Ou7&i@#Ufhm7X49*BN{i|n9`Wi z&pw?}tQ2uqFe!7swv#CygD~Esm@kuS(F^4HdXJ`So~A-h=#H}{t1EgN9x$iUowjt{ zoHj}@Sejo`o8pyC4Z11buTV)S)6KbRBWQIdTaKHtYGW*&ObY)kQ&fqwb;_dD7vl=M z!Iq+i=ce%sVE&bFY2Kh*Ylerd=bvwLXmk#d_jeSde~psm>$#@4{# zuZRgv_;lX;aVc)}1dH-YgcaT-?m5*=P=5HICS@IQ#k>cYzuCE4$P24RN!DDyZIzET z8@XbEAL0VABKTq{sGQV37lK635+wloe%y;dgeil`x=3yMJtRw#vcg&rv6# zr0UY3p(riT2ovixBfdYf^@CQfo{G*6S240hbcC9}mX(N_qZ&n_#379t4fya(IJT4~nY}#QZZ*J&NG?0BcfKtb4U2UyX((krL(e)y_#i7 z5!gS_nE1b4KvTSvY~%O*;R^)fyueBBp(DM(S11~GEw~WVtj>i{rUwUL5)-zmDwF$> zr{TscsH;13Hww@Q8M!b}q#zoDlr1$)G=*s0Q6kV}OidORyUT)N4~dRkEdG_g1!{eY z*&?(X?c z&#+)^Uz|fxz-YEv=H(KB+gY>INCx)4M6{YKrS$IT^K~I7B4JzQKL4fd59qe}39Dva zSBW0-eXhFW1!yNo8{%DiEt>tw+{xV!Xq(S+4KsYM@-F=HKkQhY{U$dl=`wbDmGz?U zT`zpK@YI_Sx)3jX_jP~<%uBjdcg3Ibl!Y1()H}2aEp4ICEXhytPEo2VKM6ht_5ito zy5Zjz<5GJ%v`NEbOoo}Rs;4RQDGT-a$oUKdQgDjiW@Ro(*}f<*KkgyeaA{_pvyM-k zrE+d!kkh|p58*oZDizU*nW4JzFA(>?y?PZD4k;Z~xaF+b$k>1+wh}f?Vj9ydUb-x< zlU)r#xw6#mlLF2~m{MYI1zbvs`CTSy-FZ(i{y6$+vZH z@E~L`L3j`*kbVG!1kxW9#0Vr5R6MrxtCBGvE|i|I&Hu@K z&?C?=zrlr>iJ?Mq37!0h4Mh==xditBkhs23T>KnpSfVOWM}$yoK^^0MHp2hoN47xz z=a=p#tfC#_`0Ie~!4j%tIzhzJ{EshE1@fO?d}@do9Nxec=>)z{32Vpz=8d&H_E*pB z%v7%WE4g5SplCqoHxyIRAEA`UiiG)*UjYR1XebOJxqm7MU=)agDkuZO1lkWyLeA+( zLizjYJ>ljNANw9oDhI*4(o02i;x6>b|Jcwm>G*JfbWQ-RB#oc6Yxqt`amYm{kvWLJ z*f4J`F;y?+e7x`bbeNMsIKe(CtS)J1u#xG7ZK8he_#Yfx8oHH5xl_qgu2bv9MCV0o zH8!3`mV1*EpmlypNqMqJPg=Fexio#`lrkCpT9qHa=bGe)4Ke2;i+XjHME7a!q}|`{ z`xCFBUZi~q>%`_I%IZqR$x6kpHgU(|Ql1}h)hid(ALhjP zh2S)0SZ1dBuGD<$R99gcmO;wsB|6@cy;f78kmrusx4uL48Z869(={oD@>~Am(MI%B z6;)a>?v^S?C9O+E?Z>KDZ`;zU!G;xGQ&j_fGrA_mnv*S86RmbI-G*6x(JC$b0=Z2D zaO_Eic1L$QQ2M6&sse}iH5&NGMJ0-1zdT$P?J@j_xKh^k)KXzJKP5zTEjQ9{U75GC z5ICrxN!)=XOJ5^j^}@-|pk6JmWZ9T$3vc?A(#}!!Ht?A zi6r4qCBecBBjH<>{t`6@LhsG8h*6Ct6`R*(iV?Mrl+P}(Qjv`%LOUjzRwai5q*x~K zHL?jsMYO84N&N9jFX3c3P3dUI+@jR3=sgjNS!lzjSBR0??7I?fe(Y%HvCJh%0&{m?$uIHh*uxR+nBKXslwKK012 z?y|k5ToWIC`BUw6$U7ltRaMfJIiHg7QszGItWQs{@2kF5D9bt>6VI5Xgi%Nm0+n2K zWIsFxV^i|GtHxEV=U>rQBlLcxhQbWN1f^Z5S-ygDQT?o>Y^wQuVi0g*Q(wA$wTLF& zU7pF5;iS-6(?WEu%p3T7H6Mq64qbQVc75mM&Tl%EmVP=SwP`>BWwH24pwZ-B8vun&R4=DDVR~;>sM@^zQA(tYjrZ_o5DLRxdP!f>Uwybz*An!%X z^C0{i{EA}Zr9u4SP2W@z%9Bl4oS)xKTu6#P&GjfB2E~W699v4u)_>A>4a#&PQv5yg z_l!3wVniz8m%x5l!6=gqu^#vbU7GJlRSg`Md? zT*^0gmXzxcP(3u3|0Sic{J#_!cGmx!$fEs4Rr!JuVWY9L|Hn)D|B+bO+5e*vk*DN- zf+8kye+x|sP64G9U}Iw^WM^U|N<8Ly2QSuD?{~xA7O%Pvh4hYabV3MC;iWf z$MH)RJGILMZK5B?lGVDY^wqOt#_s)m^RK!y*hfV->i?^-tAWX?3d7@OrfmMpv|@Ir z5$a6t`Mc*FBNTy8e*A|u&8eASAx=PKn#->%|Cs1d}YeW z&t_Kah{ZG4EgRWthpWrQfVtJ_IcutOr`6R@s@v<8jm#MoAAe#`TUGC|yS|uyS6VYY zF+09^LRt0Hme%UDmev}0EwpdeA3XQd{$Ve!-}btgGxw{8^Sg@wI8jqvbZTjFxroh_ z1IpgW$SoRO%$r8l4UZic4==u`=>4B*!PalaZr?N_W^h^Mn>%`cUbo@um~RhHzZrex z`GrU3-+$Aq-}A!IaMt!dC(GNbGjrdXa^{^wjZHC|7bfpK^qvhr&w6#+s+o0%9~r%& za?qEJ#aY{L-TV6w=bv)w+7D+u+PW>`HBl)`t z3!2gXW3?BOrX4+%nT?O_E}dPv_RQC9VoO2J^=rMvpV zj`y-^p!`G6mxEQs9$=_|%y+etU&Ww!<7f!T96pf@USDVIyY0Ft8ZGmlIxZfmeQeAwIzy1#JMUt;`cq5Y`q*6<%S2QSU7~7=!C5N+!A}956|Y2Z^9P=`K~0{vg2Fi zGvxT|q9AD_ObGGtx)kfaC=Til3D>4rnKAwiYQ@xy(v{2dm*(CuG|Rsqhu{RylT3(tLPz z85SuGl@%3VD1Su>Frf}z5~eOvZ;1>qNVA5+YPD5qR*ffML6o}e<#x3HgX2<1qrvJm zgbbPx7*5vibur)x&@jTvUjdrqagraII|OW~(U2hoBTCGB zNf1bZI)+oIw!s52f;CVY&EZU#g1L#9As76ht{zGYH~hgAYsf4UBg1NIS&&^I6QeK< zi5V>0i%PeKctKd|Fu_bzjGCx~YoY?*>gX|}Dh)I>TQKwaJbk7pmL@ltvJd9df-cB*;-iipB^k>b`>mRdov^B=2~Q&;C_B1fgt6*z!J8rV zbsECdK#U;#b-~ziWvAP{&hm*J9*j&7hanh@o2kTlF@d5ZbsuA-#=M}?7)jk)o`b0{ zObs-ty99&VjRP5{22BVx9tW&Nh@iSlAe$tCKcWQ~u_R3QF_zTuJC=-Vjm5!|8!jO# zT1{n~E^uB)_af9Wsu=-r#?71>mZ8XnjvNF+xHJ?5KD|Ra9;H}~4go7bWJ=wvz^C>< z2KX4FqBD>>cQBm(J1HoJPE3}LBL>tAaXrTfF{)QkGeYJ1BxxtGXnUeUMql4 z=xGczsW&z#Qdq!mlpf2r9`FEoPv2w6+qSEHSdtZRc0RRH*3@uRw z1W?)4ES#-P01Psc;#@#(Fi;F2>26?S4=r*uu{JSqG6C4yIh!~!qOyy+IGfu!0vHrE z9{|MI9sjVuhq6mE;?r37*d?PF&qb3SavNy2>sM*!wmsACVoWQ%c-<+J`RoqO3Jq^4sxx;I zelj{!D0*ZQG8L5!js%{4(;($12Bu6xY(zx0&lbkm589iRB_vHOE;wo-!XG|ZT3uVG zQ*3*?Y~{K2dAq!u(Kv*Orlc#zRn_-~!L7~{&@k)@lT1i<(vBw7c@WY($7j`(y$SGx(4Yj;Rch^fUsDNO~C&_QmqTdsE|-5JuDRfOt^} zjmI&9aT?CFZ3h=NdP}cB!+>`8VLChOYR-vGPzCnAjpsPM^N#K~d8Ay6v)0SPQNHVe zvX8t^uMh8BFA4>e?MKXL6{evCKR(s4)#ioi`2quwz3$2*h4rgL^6Q&vbc~^gS!F^L z*&||(NVsBsu9HGG2TL^IhZl|1B?7++Bd(9i;)h|6VB3Ut@)Wxmu~-CM8bKf$_QTWZ z3>Z9r6?vtZLBSA@%8)U~JiB{_ zK&?+D|8!X&qKReN@}Zc21IsQbYu=cLr0@B0Yn(m27xC7!qNd7uFCP4f>gj9{}!PALDp^6j-3fjmV}Vk|xVW96}`WGp2kI z!ynW3$#RL&n7)+4gMv3iN5n@m=0Pcg%}d^{d-uXarA#tVAKZIOr-rmnY>5YncoHR* zwPx;8&NzWc77!`Ek`Xp|e_(U9d)43o?+MP+W@&?Rj(9?Lc?7z9s(yJbsqH!~;reel zD2fr@!;NBpdxp{GSmH5;YK``RfIlFunQc+RA)m)7l^`;ryCrUp*g>$Ww#s4(^ccM; zvhw5k;^I#2Zrlmi1?1xHg*Kdjh6IrM1ltg$7CG)2_!-GFnU?!hfo~4R{FgU>_g zLlQ!wg6(8zVu?G0@ML9aH|ZfS3S(cz2n9cobe7bS9HXkHf>5PVQBxIl@{}@-$JYg> zDii|Jsb^{X!xkh)BsL|fCEP+sgI^Jl5$NJ&g%SmOgwTfG3#$m8#!Jc3XRyS(kD3yz z#H&~>o0LiQwoA>EDXixCgmk@B+MCxF>zo=|qy@o8)HcB%0E#%=xLA1octtpk41KxO ztCr3hPvGjG`NRuJUDHs~8!$J=jL{F$aZr`eFj8AkcgC>1v`!$6yP#={c6=F{zy}zi zJyauRETG+_PNVczJ4-&OLsw`Q3!+tJaC-Q)goWiD?KkEWYEK>42d>JBkNY06$rQ?N zC1e#HR4OiFuHIF48r^_A{x-dD5U>*9fu;7aq7#OaI%|FYK(tuJ$8 zO`e(5)6Rw(+_QD*3AHOQCo`DVznqd953(6H1{=MzATjftII2i%AnPw|we6gl@_Bw~ zg7oZZnfUA0XzDhOrjm)>q!+QO<*M(71IkLABKJ)QUWV0n!zMUr@M^?cm3<3H$(zKi z^l{6qP4}!gcfw-DdUZdFeq-&c$H0E4)JVisgzn>}?vZa9UsUZ}wvD&-yat43gdjrf zUKn1L=a?tTmmkh5PU?><_t|&Tkq3~a(N@uDkb)4okk}A;5#kVcpB@IdAw67r5?5Z&NTW{1#U6=AQgM>KQrc1!;jh9aBE9df z;A#+5;%(pa1^LiYGQ1YVNg;gY{z`7X-RZot)2@4pD>XY!E&8Jpmy*!Sx+bR;NR9|& zifWCj#XE|%X3mK6?IF71f+4FRVPgc-)NZ`)B~wgO3zN<6a8h{>*lDaYaLee zy?IjJUihZ|;Rjc}%^{qln3ycC`;+TS>!IsHWMP6w#WU3nWugVI7kJLx&vpqS{3GD$ z6X}yvvQt8n8&a2EEv4@Xj?7w5OifWustlo4zNzR-!{qngzWDHC$zT-p91Lup(^X^Wx zPy$P~P(7Blw&SnIg*sggbLcox?1=7su%bI$JAK@#vcs;( zCyyy~>?y?2mi**UyUQ*;cV931v04v94`)Ad-{VB5?r$+Xa?gnQV+r3@sZFV^`ue{6-3hf3xcgN2^9(T(HQe+FIo_)ID*sjeyPu6?VP~+=e8e3ILFRF^_gMlE5*8O> zPF7&%9FY9-Enz-q!T_Gl%`PJ@E(Y~wjR7}4qKfWM0mu&ls2>b<4b?pC zO#ti)Ce8-N2F?aIB~bs?`L<9~LH-wKlIupvFJ7a7ushU=wK8!A7@C+_*a}i@*EUiC zEQ|%IG$0B<1$%K5a|`JwjwY&46xEELSQ+seQwa+RxbwN&*xNu`1Gw8*+dA>N3sMR5gwziy=$#;yxwEr9A3M97n;V-On9a`7jGcp*mzNy~ zVh4d(p%Sc49=6T~?yR;>)Zdl-)FWZyWaMaJ?|kEBe{a>;=nr-FE{@i>%8ZTJp}xP3 ziLJ8}I|myFI~Cx@1_MJo7iU4LKNQ~RG&bTh{!`_xz0l(Ce{KyIwRZm3Qs}eW2i6uh z2Jl%M*qRAaxw9IZm>RfPJ5ve$5r^DF5(4Zu4O?6MtBUWX|EgJl{l7Kk#z>>@@rcmR z*yUFIXW6iEY6T@5de<}Yl4xoMfV<6Z%vE7bDHX}Qmo6bWA0r=kx0;mMv&v;Jg@54EP z8#R9l{nE4BatWy)p`Q>mM*SiCXW9R@5vj0WTttBSEqvaRLe+%08!2w-VgixWq__+>2Z~j=w zpsT7Nl_PYSLjCJfd$auAEVUdUPRP%dl>3jB6u_>oA_HLfWr?zNx4#`pKd1H$aqwO0 z&)A*km-^q=VNqKs@o-Bqd|!g)Eo`k&*;Q`n25kVCi-!%w3xF~fY!EIE04Fz?4GiGo z;9}$D1D`VW^XwQ z^JcaMb|A~61$-|roTylawUtO0yesdPh`4agZ#6~2uEL8Bql{DXkpqhTkwB*4WV7>U z9oaNm%CfxKfu>?0jPvqyjxMp<%0Y5`-={_f=Vr%6)4pym37I9IlXPDOb#0|LZunfo zgRW}9)7P%mvc8j-sMk_QpeNQv#g4W+xi~!3Vd9GKd9J7g5{Z3jw5uOvev8--nGtH4 z)6k2UMdNCxnsw{fbM@u7pK%!XKII~(QVcQe;zbJ4^KovxCXwGV20OoGlooCdFR_1>Ba>N-dO9uT{^d_Dsp8moZz5>~*cYSh= zgeXXIsJApVWX+lfzOW}X?=Nyvrz+|1agG2m?qhImQ)#@X<2MZNg>m^Pi{{Rkvhz`N z=hE|RifFtU$CN67&02CwMI<_OC#5?0vlB}M@;mn)^ixTu<5)>0k@P1UeUJJRDb=z# zL_P*a>KKW{336l{9Js3pV;*>C$&^vTIjk!rYsz`v)eJ?apy+KMD3$JUSkZ0`* zUnUB(<%tciQJ`MyKFGlG+y*<=WM+74udftYX!A%`#q@Pz z1|WA-&EwOkV~*F)hP%oPjnY~ubgc)vsGOBomF89XhzS7|8J^n*dMobHi+v^r5vTRi z8+{z+02nQ`j-BEKd=PGk>QI0CB7j#PE%H+5IV|UvQ7$QZ5+w7E?xuJ_Xhh`j3)pkz z@oZd+Ysjb*vW)k5D?_$$hrqdV#}Ts)&$A18^h5o6p;@6f!X13$oayY16o+KPCThZD zpI?l>jaJ${c4EmDCutD6w{CDg?k9%q(qaA%_;fetE}ZMfa#PeSUS zL@cY=v9INkMZ5|*OaUtG9EuPpcRgb09>+l?<~2(len^AozMeMC6-iD@9O=NZW8zXY zg)O;uEe=A|Ro#cA9aIxqXsM5PUFf*?kjt8RfB7TICtlK1fQT=sV?|QA_6dQ+XRQV;g&dDds zh)!33to;Hn{Wqz=#Jy=>P1j^iSTovz#u;HfkO6PPw#yO$HJ5Vf}{kvy{<*Gpka)X$U_?D1R@qwg0V z(L)Hia0QA=s>eP)3Xxu8^b(B9@J3zvD5Qt3Hh=V1n51^@5Dymyb;0^V9F3dw0`V3BWFt?l=4ld*QIZoU~dIwHy}xP zQSnRifqnc}Zl%GHqY?qKH255C%<~@JJ53*hce$m`hQ;a#{pmN{4O@-GJEQH3sJLF) z+9m;_vdRK_!UvcPSIWMiPKB&}%+$vkH|?y7eGvq7;_kaE&kGhMsc~_hgYqm^0#@bH zf!cu=jIUPr?M3|-$3Z+WzNhGF8w<-QSHx^6JFQE&YPJERtLF>{tV%=kTKjv>xhj3$ z?q25BURWfTeD}!3-sl-n!ts0C_kcO2`EpZNQBTJ;HD^rNL*o0bXascEjst{~5Lh~& zWbL#*IKd3AQ5>~e)_HN5KUSqXn#AAGuLdhjg4SKTF=8@Z7T;&$tvp2HO%d4OCNdNk z`ia z*w$pRwJ5)1^R$T+tGbh!mC$lh*Q+$o7^!Hcu0rS5iDzHO+!M|HGu>V?C%cxqtV zU8A2YG47h6*g1O>*2L2<%c2y;IVrTfGhB2~{A~$mW&yKA#s3;kMs7|2$P)usTbFa(&FP z!6)rknO2B5uTJ#l)gkJ3GHF=d*49Sh!#MY`;d_;{xT1oeP6!skgyFP#*| zZfr8(e%}>GdSjDoR`DXq2tnW__R%H0dAHemL|v5F%pRUD^D1H^ZN0$*36fi~!L>o!R$hZrVgxpLcn<=SOTk9mw^P|7gSm%k$BQbE%E>&FK@c%?V$+(S(p9OZytTL##hnUHf9c z!{%e3`WcJuXw0wVez4l)&6Ff9LKC(27jF(pG1AjV!Ex0-^0}GRWD?S?X(NLf2FHeB zbdWTzHS@vow=_t`1cT?ARt0?cTP-kJ-U4s3Vjr7q-4Rhd-L+3Zj8=80u#C+GgS|lc%C6)0HgjDqI-;{zm+o+2+NtI zku$~aS55E^AF762p-~&Atf+z+4UnuB6>O}>szxaC%42E42ezla>owFAr;t79wIE2F zP$ce=mUAP_S8`=0^o-7_)OtM_C%G0hc=H7IwOInc@f>#(Scwz+6Hmkh+DN4i@obPPCOtNLK z5mGCg+9b#8kdh#kLO?W*DJk2NCQYMvCb8*&H+x&cLWW+mS|@9mPr$+w4TdFy3aDA$*^H&!>AT)@uPc}VkY35 z44pX!+--bJoVIN9Jb{jr?VYRNng9k|AsigAZHZGs48BVrUd^S4Jr3pxtamPBJ9amv zs0y-itxPQF<2)5}rQHk&4ZHr_z0Dnq{Z}h0|)|3%3+ph=HGSTVreUE(yZAe z)4;MWB|-=(GWh3^?$msoVvzw!J_`UY__Zx&8-Iu=R(u7NI5GM7Vv!g|A0}?pe3Hfl zp;;2o^^=x9=nzxCg7AK(wI6Tf{i+tFd^``}UM7EzcOy`5PE0MBy-7x&yd^hd8^1&M zydvna#qRZ^;2>+C5{joBDZ8XE67@EbF1epE>9pkcAvN7K0Q<#XT~gQztYQB(LcWa| zZ$e}+ga`ODMCSOJb=O9{iFQ>`|DYi`{xx&0V&Y`y;%H>z1mO51ZT&qaegI{Ppn*M< zqcpZK5VLa!Xx{{<5MBryh#Lan;pAZB;o;T2kq~#Zv;VgOV%8=m#{X7A&C$Z<-wHf1 zaD-BX0FE1)^)|PD8?r;KzUk;~fw-Nm^9_p#xQVN88PgwZEythC>rdWQ)aZt5yQK#1 z+o{>1vP%H8Z-Ifv-kOiJ01zM>5WvL){TBiO*t%F->;4kAbNu8a1O)!j_wV?F@5;C# zY#bnN00hDY1ad-kgP~*s?{CouxAy-Hf57o85Aqv42DC{|Ae6`WVF`$bhm8vi`Old0 zHQ1K!@2ckZ^IZ@%| zpE;M`H03)aoX}zM3nUO|(&2X@xmig5W+H~NdD%_ z{O`V`KOy;H$sdsXCrtT=bNCh#2rqP4`~nFyL-4!HEBL=j3V{FSOZpp-{Iui`Nd6P1 z{KGl?9TKQlakISsSW6(h+`qlNa^5CC{`$p%W?BBxNBGAmf&8%KCnWz7Q~u!`{tgK@ zbXY(k`QiFOc({IhdFA}>lmBJnKwYMP1j&yt4&;X=e?an|Fy+@d{3DIUc{7=QBaJnp z(``%Bfm657{1s`c@EBf`MP!Q&)GW%} z7lMN$>PbXN%9r0>2=iVXBQ;bkC5)b}*)7( zxbsBT&8HQ~>wI})G|i{s)a7EizkJf??8{n_(zTDHTrlsetAm3@C7vTU(zfPnfu!8? z?qMvmb6=r)FW<98D`a{K#o22I@7FV|gCjGZySdwqsXiA=IwwII4s3iE`zO^4taFEm zjr)fI8m-KWBfC##gqSB+%8%21`Mj_9hby;59EAA}uWddD)Dl&U#%npmu(iD?3R{8H zx)TW}08I&t{Ej~nv;$iN4mMXlL^9eKF1e=|waK^(PfmGQ`xLz{ww<{qWQiOGHOwS# zRdTbnS5?KQDrrt6o>oUOzKWWvE}^O-e%u~qq<&K59_JDlj@8d>dTu>-`fkxfn{j3F z$kOA0EpLVP^O&}`Z<@}y<5;2Z>^b)|Uf}cnX#To_2L4}`Phu8WPkW%S6VIp=H-VDc)2q`t}_uJiFKMRuJ zdAHOdTYXmQbFAxM|ZKP-xc|?^JcPwb? z;m2HS_N9jL?-?n~vXV|leqM1j!a@2F~xUN!$L=o%ao$BaL zQ)5D3?Yjt{;IQqwTIHutc{GT(ZAXK^X~k4XqxM$=d?_P_d-h|AAKJ;`l1^!UjE7WhtPE9N zpisUi>&a}mFpe`0MoInmRO>=Q{$1Qycv{!VCWsDxBE zlGFY6I~u{&)Fxd9DB(`J-AHm&(#ckjgo?i?;|?^zc!re6Oo<^L>3F!J$RoP4!XvFq zl)hFtM*U2Z5aVc^VQS8-l{xJ9q=AdP*FVj_&fG&0{)iPM%?m0X9>iN)5~P%*{(_v$ zi}o~-KB2wD&DdO9>h7L9&r_Lhb3p{Lnvb;{6)`sK90UUm$A7)L5M60Xb1e} zp3P1@(v`B$T_@5}6N_wR(`{Jc)n(X$pf+&!Jq}S!zwJXfe=NW4160_zow58X@eD)t zgwbM@CNc{LAt;Q=k7;&F*b3Lx;VAqYqwRye*kOf{I75c%VSPu@5QSiUhnNv}l%-$m zFs` z#y|l0{3dAE?4Ej8^yLsVSG{lNSA-ObcyG1a-w;;Jwo9i@vUS)`D8|k_kS;1CWys%B z6#mLRL(8u?r3HG8bY%~{{)EPqr-8<|!(XqpGx$vKB!^V4SbSD)EGiwV$4sF%eL82B zGg$CkS#L@~PCt8D-!{T#Q%Pfb;=|Q6ZBgTxz#H2T91n*0&#V|Ey_AuR zA2HKRdr{PCx~O=3d5BBi=4lfv&Lo<)_SKY`Ev<$aD!Q$LV(59N&whg8yo6POFici{n#w3mqS83UvI z`WaXqQB7^6kv*|2$IJa}jC$$V&1?*G84cr?61sLZWDrX~JSeek8;^$Z{R9d~Ef2(X zQH+62chFkG`!p`rQiEYRj<-Yf=7na3R3Eu7 zjX}Aeq@sUzgpkfxJn2b+J9;-ivdnzBA`?LgeT>l`?kz2Xaz&cj1P6E#so3!2`xvnu zIdWVS{S&o;+$M_tZ@)dazQ2TrT>H)P^TMj9MT#z|3;lyxXP}MexZI?WeM8xY*EaWt z%lcpq=JLDvK&4KmD|QN8Nz<2lHd@);247va*pQ}r^a?HOc}N=w^^7@PRq~OD88Ic= z)*@a8;Fx^Tu*rTI&JwM~JV=c(7vkE6JagDg_$z<+G5=S(v)HsJ6o=f5Z1cUs z?rp+A>H}4S)vsU*kCpteEF2{$WLem5OoB5vO@Z?80m@^ z`oQ0}OBCIyDcvdhzUQ-Ao)til;9Ng%uz@h~GcdGz6y|*RQ-KNk56HRDDrQmk?NI5X z+Zzgn79u2%^8O9nKAvC$%!8ZOqD-OUghw0rir!mUf+XcPWo3Fi%YdLubHW?3{>b^4 zP<>yDPi`6?=S+3PZuN1-FoP=Jp2Jhc+%umY;f32v9ZI-i`Din_+uUKV*;AuA9i&Pa*-EZ*OztPrZ@ktv= z7jbJ6{YgPXUF@^=LPxS{5%&x0C8GDv4GlQx-|CKiJMPKLl=dHYpkH%sGZSrDRu&o8 zRHik=&MUf}$-Z%#Tw^9Ws`aKFuHHO|LpLj()BvSTD(ZC>&u%g&_1WYW8S}iDZiro* zf=UNn+Uj*W+lpUNw-5C-G^qtzo_!d5!=%>Q0O)v?_rMqYB}isk=XFl$nwO#$Cs%dV_0sv3)kI6LP_Bc%g=XnKPvJ?O{Py+zWA5jN))#I2U&kI-!u3o$ zTnYB`<+B*@E9s(fSALK}<Rh*Ba_GNLw)Y|TEEHQw=^BTzx_5zfucUk zl*{`7`siTjrcmytko~5x{lRmtNVh5b@wYK<{mui+B{vm-1qsUG)w~A zBn@D!^pLYf70OLYE^0UnbMxM98)fjV5@IDzUXGdqA$A&i1h(+^=v%4~gQK^Q7|0#07rB&)CjN zDN|Z?m}F`vC{v7@XFm@lmeBL#Ur0I)kyMSc#8W-D#zcD;9FvaV?`bPOtTT??$AO41 zevRGNTwz**FB4F_6*jUH4Op6IDQ^SOC~4N|zLzXarcX>Pr2!w%@YN2LeOqvdeWgw$ni?#+DV_G#aRv;b zGP`HA3eL~ALDDu0$!GB{>Nlscm9<^;<$%1j z$BG^bl!~&Q^{SdXp}a)P{+CTOFOfdLzff{qdHIDrSCp?aLRDdMU4+X+pB>n_D`>eS7tpV1>OR+JX&o9CBPqb6Qh>x0-7@ze@DhN7dW8n>!=O`oJ zRP80zPIgdk6=I7JL+-^W4X-tk-V>)L4#egnfQ`y{G8W_qwSC4_^kDolr$r5EED5en$dUnYp2QkL$Ff2=_|==JV1{lc6TXrx74;2 z*p)WxgqsBIqtgAw6%>oft9_1iboX>s(9#7tYcBT$dSBBVyz2tmZogX?5EOXl%z*dS z*!{9+#o;ixsE&B+T!17DxXdyKH@mgypi!WS+-N-!Qc5|!$`8RZJ8DFIH$7QK+28;4 z{Z^@OR8BLBnw#vr!`u0FdhvR4k6N0jS~vcIxd%qwj-IvQ&g$MgXaoI?D;QUFNMuY& zn`6b_NQSGJ9J4oLJ92b|fgkG9`Wt8NN%L4w8gbn(@%3EY2rhF@u<$;$Tlr!(m8$H_ z+r7*U+kJ958ESgwr?55XLHh80-gBjXcuyf$L2cXT@iA3jY#4w~Q2s6U+wW;{J=mTk86sb@;nN4jw3f&-+&kZ;9Xkl|nEGnh^MF z?iKPYng8GI{Wf(pF|jdlf*!s8yWQWAe@G{O?;)52nh@aO0f2aJ(nkO=2nbCG@cdT( z_SWXVNgF|aB@=%zXOP=z`a2uI-x?cc)dojvXG-U5UaU7$(Ow+^_{gt){V9qN_l2B=eLYVwh9%AB z%%WTPC$ptD6V?N-}kUTQ77cDeRBxrR4WAsV^7 zobO0qsK5SLyZe;_>&3|BxB2v!*I%A5cDK&`eaPn)!X`wB4XaA5*PW0eqFi}b1 zN~)gI`TBn4!pJArK>sy3?e>6BWVF86dR*Aej@Omk`Wmrdkgf5s zUbxbOMK@T*W zqTp=V($K%y3)LItwtBhqre{Gi^CNMH3f+Bd+`aTCo@#ZV6k22|G)8|EMy z>-#$$B0Ju5ob#A?YX*a}<20)cwUJ}FseJWi;*hMF0>1g!e>l$7`$fj&=GoUd_X=IN$)_urEt7H^fjbD_STl1T zBB3*;o!j5l+GK2FZ4RXhit!j0IHnfX(PIS)CSLQ-QpT-)=DOD-Vt z1W=)^)+fXvnHFngXwh=FdyY@PDHgw7z(^M<^$k{{Ys-20D#Zz+XzyJFaSi!p)o0Fl ztdQPTMV=$RV=DwYGIX5FH_gPK7aC)x zzwGOF<$7m=QTck#kch;aYjt3KUCbE$8xd^Q__E*7=>X<8?1*PCnzggvG}a}iiYkB) zkmbSe^XgY|bOfl-t>~VL^?p_ZGxM-x|=CbPr zNg3N!T4l*`TV04n`6Nh5>dG3?uDwB*X18C_nlvjy2#ff$*3}JWEltp zY4OSw9U81DWUqu~Ua}Kyme-W`Guf7*o)=&;8(Dac;w9LLM~PvzI529ysWM|ad5dU- zG!U+0PPL?$n%3jKb`)d+ZyB{K5q_@)T`XD1`~&<~3vqptFjU$LfTHxS=wJt;>c?Sy zJ+DnGqbAKvhKbPs6XxJsL z(bCM4!e(=mV?u5j(?hR7Z7U=Ji#8!5oCCxnA^3dI*~z$)%gA*&a^b*y(ry}3@!aWs zTO_{%xon6sx2LH}x-9=AwShPNADN$ee`F>X!Z^)ST-KFNwN!bY{}I+x>6pR4NDXy? z)1gm&cR$}vJ%dq02LW&v1=C;rk(aK}xKnMg)XGpj(4dbS_IOoUF6rq;SMzAEh&hhl zA*YfbZut2D9z+JV-cQTdF@Ettq=xGgSEKan1bIS+HzPTCPr5mqL{K;sD5pFXC&DxA zZEKxkK1idXk+1G9s4Per*Q)`y*K$4WF?xnx(IAu+BNsIl#aHEJcD&~pNn*Es;YSdlpZ< zqf}luCw*b)#TtK~Fz$@xgw{-A*$LR~Ax7|8$s^b_jA{TBvMQ+NA~(aY!Kv&eb_N$u zi9^+Xm%Z;^T4dDf#-xB_aygi~?}b*fR;3t|Me}khUS0SCfGBZ4F9%T!6F5%=Al39; z)Ng;}K-i$k@nBCRH~G=??mCpi{q@>Z2WEE4Af;G87zO@M6YmRXK{`WchzF}kY52f? zr?`i{i-uCL`+d@6ka)B{M{e#{=8f-SJ|OcS9f$JF<%hyS_5`EUCrbLALV_NXMvbjk zAD#rfx<5tr&inn`^hqbR+)(h*K%CViKQ3a{MsR%vSajVw4s4LH)I``@b=Qs&NlDJ} zfuJ1=5&<@t6=?-sY)q23pf+h-kk?y5Z^!4eET&s6{C>+;_dspuV(h!6HWJhKk&yH8 z-D6sxF|B?heK_m{8EPIDZRVluH=UjAs431ef@gFMgRW!#$5|GceQIiakEu|WD8-EA z(q7yNIM#ZmFN|i?eedo>J!Savln(_;`^bJfu)!};hVbdqn`K?tN9<*bG|IaSsMda2 z&TLsA=hwLK@1oIO(qM^=VdncfRr_7ub`SESng3jL?@014B8IH z!gwu-=gcrT?D>Z70t}kZH-m_+p2~P5P&Up2h_8zX$y6@#dZUy_a$ct-A|wsYrKK!g zV%hEVNnU?GALvttl)Vt^c+L2cOv0?WQBSjbd_~@h_g%LDaLyUTT^!9>ifv)e@mBP} zD9lp8Oe;O56UJ-)spg2)ZhLmKGJXDR;P#WJz{;!-H;zMGMFh=LG5-T4VT?gA-a8x`rZ2Mf<%59UWQxu@;zS{tj*JSp(7 z(f<_XR>uuGkk8*I8g_W5{wgZjM5_Jehn^q~PA(drQ4Z!|=Vm-EPCm#%DR=#eLZQp! z)+inx!ySl#tEh3W+FB*C!K!{X`Cy$MvBL2>;#J|$zEYVW4p!15L9T>`LA=2;KG=B? z-Edk)7afhXRXX##c)+R0_hC#q+q6`uo|G#@amh)Lb>TWMm4Bp6K)n}RqG3+_Rvwi4 z044UNp^xP98$lZ}SBtLbafhX^_d7pdbf%~oRG*^SJyT&3V@4?OTG4laDO#-0+Kqg` z7U?RFtnx1J0&fP}hPNO|njLonsBBwIkAHD}SH$B;@~;%hZ5(tp>g! zHi-L`ow$j?zXzKCKd=+GJ^fd9;x=0RbzAf84x;}#wuWwCzTJy)v#t4iD9a7qQ3l<* z{g>$fcK^-)Mj;n;8woED*IyO>%{H^Y;U|8z@Rpw7;^1WC1%m&g5Ay3Ct)Bt&E$8(c z0ke*r-4qvg!*@+9MbkPr>sy(>j@bNT^+jZf7?n=!<*n4zNk7sAj!Uj&5J&nE!3J~uT59IYIJ>` zJB@X}fFvg+x8FlN4o~U>B|=ehE_-iol*;;j_qvx-Ey9S6+U^$aTa@Abu&*%5k(B(* z`W;>^ax~VQ7kHdm9G@Vlg;x*zSm_Lx&S`xK`4A{2YehVQ8fX*$W+lD62|Sk&RN0K= zZVsr$yr>NmXcK4$G`_17!;T!JyK7h27OJ&U4=#;X3dk>Ns^8!-3Xe{U>k2T3-_r#I z*E&6kq=Czs7ZH|W`V221B#Ro?+Pc`<4vGLf6 zeN1>y<6Gd};;K8M(khDaaA_E%Y>ekHy4a9Y#>+8c18t0n!3uSS5JC|z()`O~%HY00 zP1R;*%KFFmMbt$}9+IN!=PAok8}mP8KxX&LazKYX=v#OH^0x4laD*E!=CixXeCXm4 z`qFdJ2)T5K{E=~fiGF80g8}>_^kKOdA-Hi&qP7}%9UJV@!y;sf#RNYdHEzkpCo1{TYFv9`#`bC*V~wA{&sbVwGx7*OFn#ql zN$e9VhY#E?nXO>`q!?X8(R@$AX;p>~^gv2PLS&erLpoAj+~V^mu6MAWo!Pi?d0*sq z$;3Z9X?3meur;6gTRA*>)e2Ang-CT*bo;*!WmJzMdS;VGw_XFkGVMf!RJcfKH{Ej_ zxu5fdfs~*1+v|*6K^m|qMhWe@(BfwY1RUOM4efbCx1h9Qnw?GEMbaZVbsY>M5|RK! z2lGIew*-pBdR@X}HH3JbL#*SxTu$cOT`dSn9W{1#XiYDIl(o=CGJ!{=3Vep2kRA29 z%`|DZR}evm^f@M5*L7X0cT!gdtC;+rh+P+2z0{gpi^h1A9Qe#Mavd>pV#a}?n}{Do z(S*4BM$wF!Pt9`^h40*2Vg;Kop)WI)BQ1I&|ATC95a$XDUr*RG)6-8%{Q+jNd`uQC zs>Zx?V80GBnYaVq!@#zN9Xy}DmM%1FFJuX6qEHWNWl?4LCvew+R6^xhSR6-&#{ z0&fNQE|?tr%JlBMgPVU;tyV2N9pmz8K$Zng>mtuvLWg#@9@9K&cM>6Lp)vimaYH3 z)XPoAObPGd>%yuYlFW2-O{uFC@B+=cU6f7oPHTyYMjJ;t+grZqK}?2nc>lp9Ngagc zy>oTVLQTjbDe@|!_4~1kp&nD({)Mx3%lEPiR2xO@Wp*%^ObU|Ols?_WSCk~4y;dGa zE_DQ^OYt^+9bdgzfK2I+wX?E^^^ZQm&(@Th<{FWaaet^5V0!Fe>M-^CW@ww z(!bZmbzV2VlCV&kUa24l?{5QQZ#YD=wg{O>Fa45+V_EhkrM@A#{+0G&)X@{D4$u1W zZ~67@tO8XZYg$Z;#3oG3xKl0-Q_@C39^IOad+|j*WXr_|>7#reHZr+H8oH6)=M`<` zi7Gxt*4W1hf3$})+U&58!$o1xe!t2}Ycd<7 zF;_59-F;FZuvhh@K`>Co68{2GA$;s25bYvR)SQ-xU#I(P;+I8@hewE+Vnj326%sG& zI+>b%nzJ-f#(J{|{hiIbX$>KLT{rEhPuhe_f+mGU?xxHOw^U*1j3q;%(!l$6=Wi|Cje zf1b^qFy^Lf$UF&a+ao0G!ZyQx&cqDnHl=vL8UOsayP?Z@#AY0+^R9<`<;J_ydFTW3 zipE6m`lqkFK@rt)nDnyJHE=abxdgzVx);cgg8Hn*9`&8!8{g&F&&vLW&6`?Q^|H6} z%?mSh+ul#sS=Z-Zm*8HX7Q43XT;@B*aW_A5mevJVvo!giZ}lF4h{sPrV&jTcn1YAx zVQndtrKy|~eF2$`&kaT(=+4^Wj{tgeKyvTe!RU{uWcCMuS}!F%VZXU%q|LV3{cUkl zV6M^08=p0}VbHwds)WF<7t}pfTu{WXi{|%0v8u{yR%!}E%6?ZYlbeEB<0#~hDZZcj zRJNm$nVTN3qj^}Tz4d}r(l?lo%&$N4DRh|kdSp}2SjfF0URH9V2FQ~toTVHc-?Mnj{5YD zU0GGs@i;anra%$iR&vHd^Kl|blyKHURM4=x(knjRw7CiiSv~ws(ks9F{nO*a5uAwb zqy;VG$!nbl3A#luZai2R!BN!_+mN^3!ey~r*K=Wn@}Hu6>SHlUVmSB6w6hUjymY*B zE|9C?G`KVFttxA3WiLlRn0GqzQB6PHJ%Ah4?jgtqdDK~fBuyVirKr4RwGY;0C!9%B z!bX8sxFig3;Dp~Quq8~Z94l@+^jv9Y+gDB4C*^Pa*q>QF5C??g=i$6thv)wTXZ4R0 zcejq&uf8ed_cBwz9=ZGOn?j(c8~)}z801g){*O%8zuOi6-HrTl(2X1FokDX{|K!Ns zt^I$Kvf=tQ{q!5>(s-d+ET}L4e{^N(~wr%^X?w)7nnR(~^&iQ?6)mrzx zGxy3XBVzBoBQqnf_&87WA?yF`G0Xq8FMrKCf1NV>$E<_pACs#8Lh$@=JWaEz!&a*- zaI43Z55R_EFhQ`LbQ|W{s0TDfzBJ#;?>`G2$~RYYK~H6c;2(4~?QC+w zl4g=xW4(DrtB0&5%8w50AKJg4K@VGA_kFK@M$oGdkuUcbIR@5u$(W*M^@v`+COaM} z95sL5C5<_j@lMe$>>x1)rvIKnYgv&9#FU zP-vUe)qO$T28$YH-(I*>vuMBZvEg{6@@o@#I-fS6b1W1GQE<>w=5U!p3_gM} zSj4B>2=uS&ao0U;_oAx(QMtPuExki51|`HD*ra}CMV20~2$UxUU#hz?tuCnnAo84- zm3#_oWNl!^tb%P)pC{w-&b~RFCfbkZltLUBz&zEF(J9Qif^=`xYOXJFE92 zVOxXdmQpTRzlUdwX1-}4w4+a^+F*5x#p7)I-hSk40CQwOD;>wZ%<;f{cj-NFpUuin zqO2Wnx&KPzHcy`AyZu1i-Bpd-T!ec+FEZKB{CSSAGp;c*Hc|XV6B-UzfKY^*pYj`B z@($?9HhIY)Sc8>_Gy5jdFC3?hvqan~vOlGBZVceneT5M5@72QN#CQaH00bdNo*D%9 z_JM0EiUae4#f>amw)U01ZY%3iNSESQ=Jbcz5jm?Oze`iAdWVe_-saS%HT4hDoDzNY zI-02k&9&dSE_!0+5{IqnqNr6MAow9FZ6jouE4VQen>ds`RN0IE2yh8mQ_>p-9no+A z1hSa~C9ZKt;XUYYfrn96a^ib1T>SH-GI0ct7uk{XHQ8*+@OdA?=$<0?^&%Ko9SRXb~rLq$F7H0!b zdgo8(^KVIN`I0Gh#lp&xXW2o!>_!Fz!@Uq9HEQlU2mv zioUAwW#&wI5)?V{bs6M83$iPOx+Z|&SMPqlMkx%d0DpmaA^()_&Tui@m6&kW(>cB= z^v#AE_62@Yy)|-z{sIwPamJ88yM-#qlBW4JXQ>|8-Nvka7-usq=a)TVLdvdXkTeq4 z(2psK;Iw{7m{OQLT7ifZ6H{y&Kq&xv$zQ1$>l<-#eR?8-rk0;l>6C$^D9ao08AoUv zQoG?;y>+IY&@?7MNeMY~^3P3+axu#Ppv4{Io7dDcp1SiBq(cp%i#sW$PUVwVgWfLH z#3U+t6E)AZAU#P>n6pMJ;yed;0%_Ul52uX?%x=RgNid*(d92{c+&fGD365Skt;<@f z-!ujDQ*3+A+I*j2v6ivbE8D3booR{But0eFfmce`6zzsY_lsX}Xi=un)yh{BsgUPa zbi-xEuj34_7Sm@vX{WBHUR1_7>$}qSj0CdUQP0+ALF_1xGrKE%g!-!6GnvnF#mrDg zKgNS}$FB1i3D)Os?X`~^C&l1{M%_*^*5x+xKFiOlwTraF_v{`};uAVIEwP#std2+Q z?SU0O7GWKg7q6{+UafBn+EpF4e>Sq;P$rjOnAFoUVNg_MFs(m@z zR2tW6s8(_cuGjF?s`qAVlx&1)&&JH22Y#hcy$~=HQ!AuwPV1uOWfjN+ek`bHDy}Xp zhA)K6)-A?)iJU69Xit3ud?P(H_@BPu?;nj{ZXZ1}BjaDbAj@BCS|4kgzvGA?fBP8n zkiUP9KR*7%ZT*wJ;UjA2|D}b_-{SxKLMO|gn6`g~VSR+z{3Y^-^Y@GM1Hy=hCurzoXkq=q$os)* z;qbxw`H}PDe@gHh>Yoz)k>^iv-Y=+s9MJz8)W11!f1sG~@Fc9Povl6uvUmI-`S?ha zVQOh(ZReo-0jzKGK?wUR-Nye0{g+&Sp#MCHzm{6<>_0U7$4nnC(MO~e-UlPdAFT^m zJASlG&HT~kM;HHx%Kz?5{lk<@G#|#45|jGMCWR+uYGsP2Yh{2Zt!ridw|;%Na(_4K zpXkNk2>(Ohf4xt?IRXBm1ey=CO3Dhj*w`7`+gr2Ix!_UbNeL)@{d0{cCMfjZu>Pq3 zFERh<;7^3*FXVq5^ZuLq|Mh16^D+G(q`<=ymKT(wmXQ1i(sWcd{hf0}!qC(L&)(7I zmm&Ulj#v)q0=9Y znwZ*uq=0e#n==174*W6Wzdt~KjS+l!|2Sd$FC@1AGR6BZ^QzzD@ZZ^DSm{4#VgJh} z2rMM@1Gc~3LO#6Tef#@7`yso#=q6_i;`lIBtGu5?_Qv9oq|2H=fHOt3u1NBEf9y|s*Iy$_M+&q8yhd$El7~6k@ zWd6EaK-Wgp(A3!EV@Udlt^O$Bv)BJk9Q1MXughP!zpj5Z^Xpp7LHC1to6pMlBMA}R zuL1=JLrdj9U!^_wEOh!~@zSLvDF8O%lZX=i#80v#&&k;WMUyKoH-^0|QZZK*_3^1Mn0vCULsE-U zR*$MLn!(szmN)Y?@E#v&IXB8ZeZPM(Q^oQr?SPEq`7)?{x zJkDJ5`obTj8og9yFg<&@ul=-vljR){?J|4isG`BX_v}l=FOSHtb`;7yPi?*Sa-=j1S-GY$hm-<1un*V0mr^HzLU{fi z9^943Pyan3jI|PRfWjTljX&t+vJ^$T$>QN>)aDAJ5_m+TGh!5#m&%OzVh&P<8h>bwE243J?ei;Ll zlh+5tHw@emDyMuwvaC;_kU1d-UreB$P?jPEYXRiX1noBnd7m0=*j6J-CiN$*qOu92 z?-3jjIl_V1;@>En(O0|L0996fKP!g!XRDe}H)Cq2wSS@(#Jy<9o;=WVKvoNCC{Uli z&pVgk32BdlvF#AOCxjN7KzP6s^QL*>eidQDs%XUJ3g_;;Cx4CsbzX#I?+9bb5@~e8 zDXbLD^u<8RHqVCPOo@!#(2Dh+O3Ie80k_^H;U$;JQ#e$?Q~6w%i+lj@fX2E3B^L98 zj*H6^1at!@HG3v#lG_1*o9kfUK(h>rbXulBCWo$sUtz|xdIyghKq_@KH1 z?aUtd9{wKs9{C9w_t80&hOnI_5k&_kvxRoA7<_n+AQ-4n`wMMT9z3 z-O||Uw{fpC84rJ+p~CER^8he^kpN?VTyR<_QX$P|CE=^eF|JAN#rHP=j0O6O&dzq< zvzts=oEu#bwQM+MzEjj5W8|BAV4p^=1L!h=Wg#2lb^z_q)HUFh-N%Rra-5tOzUT8Rw=Qg*W$kbr1J2t?+j;^YZFJsPVaD9abyJU=O`KEX{H?PXZmR(zBA4Y zbbycFnUlHJ6m6&eM9qo3(-p+n%;4EKdmy^!a7%gyka*EQfNc%+YmDL?9R)&qN$%h^ z5fWN)o``C^eGsnAl;juXqC5w|8t)tj)J_gEzf97=ur>IUWx{li>VT;x^pojBDtUBn7fO{tF?~RgC0iUEJeUZCt`{h3P0n`;t z(=YOh!Y%U|f98$s5uP=jhkT@qQU_!uxIX*#P<_IYpYmPZ19Zy@L zo6#mApmQ3g^ML!ahYl7iwmiR2S{NYewXXmtP-c*i%!N-@0$o2XAkCfm4D}cx*8yZ# zfbTJ0ZwEIW7_}%*0FJb_Z-w}JP#`eRLdF^pF}EK#|9y_}1vW5imSYis@YwT%o-PAB zg`_krY5EQ}LlP%lt#@{vge|xyWF~G`7ic$BQQSLrN?6MDmuYLjW=fwP?r<6rg-KhN zq47-^RNJ;9E1ge^sTm{Xe*3QgqtZO-G`$pRFP zq@K(T7COYWJ#7<^D7ACUo!)0V^VbcR@4{bnM|c2Uj99}{_jPtAs-nsy&Kupf18bO+W=oYT8ucElhnLl9O~3P4?}ghcUxV(mrnA=DjvNF&H7~_5&k_cz5K{&z#U~{s z5`~6s1%N(QnGj(?e!6<$t{iY^`K+mctcn1dL5QX#B`$duTsXub@1eSt6BanV-K!`1 zH7#x;CRAW*C)-v25+;$Fo2d|#J;|H6&~U%Dn!O6$nqR7?bSnNwF~nRUAY~> z)*~He8M~!3x?UfCFCY7UvWpGDYsZ>HVXGxhGwii$)X8evw}1rm;+>{tl$puGN^g1h zZSEm&EK+h=I+biT2clXN*`O@ZP*n}UP{88IO_q|(W&Q;+dQsAyC_`3k z{>H;j{Gx44o25cn=$p6JOdPjZrCv+dFvF~5gcJ-HxAo7<=%d4QEJd{5<62iJjxV$L z`v7Kl(1iw0J}yj^b!6Q50ed|^sRFEJnw>wt)(I(~FfD?l0A3iA8o~q_gc}{OJmel= zX)&XtX&UeE2zuBVi|lV@+{_Il+A>bM2DeITooTQZ_W(-EJY8r`&g`UHPAF4eENm)4 zxE0jcA8Pd`bX%4kXpICp~87?-C zC5&ea_r>)6G{DcbJdtAw!#v$slQ#vT7F=oxj64Yc+!f7H(b^+aCl7EtW{(>z0_*$7Sg!Sp1d z*AlVi9EWKvsBoe(CHgsLV~1>QbODIPLLs9@e=15=8Pkb3@ z;X74$kZ(5BR(@^EmF;fl5jA}-NQe4@3V;(P|9I2?-K{g5a;{(8Cxx@u?Av!6H6V^I zJC4My_(8x_Ny$|SBcer|8qfWi-n{&1zc=0k7G7iIzNGp|4G0bsvWs_flC-!GNCah< zLe~s?e@2nJi&IHW`WqXeI~#^q-$;3mZX?7CDvO^e`N~2H=1Z}QRAGmV&fN<*5?~Ks zoh}1z<^lEgyJzNz!?Hv1tP7~bc)JxvgB!H08t{;3(iQF1s?lh2%hQ?^j!Jf-34BR) zbmU~=Jkw_8R4*gzUBE8jI59E4V8}rHh@u6%C9g`picr0~9s$~eZRtz5-&7N9p-zeQ z$)wh9rQ-W;9=f$^<*6ouV-~bmUm#ms!w87>jUrJxa75NaRh%l7-}yo_Ns>xSn7L(F zu}a;j6iZffj-$5rAWu*Z(*Qrej5>Q$CreYBRf3~rB?aOvVI^D*yugayN zgc^rWW#8z-4%_ijpB&pa;bi`(r7XNJx$@$S-M1&|iOmd64Vo0#lDg}4Vqa=T#0|v- z%@X5;^tAEjNEL|KK1ojn4cMd5H347?VakxD1C5#kmgL-+p$T0x==HRFLAEg+IV5|c z=hdGf_P)?#t3k37 z#Qf!8aB42}{9$8w4v!H2iQQa@=e6FYG(jR4gbv6n-lv4%1-I8z2Pmy4VJgAHj|= z#Ky@3%OA1Uw>RxE%qCn`h0R#P*+)NPQerII19Mt!4baXOJ8(a?K(#oju!Ip{rsP`$ zbB?(dj;vy^_~V&Ir2+NQe5q%?**vX_3Si{M2nZz!HWW- znFpg=io-50An((!`(5(UM~X2Av1i@uV+C>C<;i?aF^zo`b!yRFj(c-&s9@Y0Uk&UE zwvQ%|*8`9z10)^-!zr*#H}F1{+9Q9s#kGE4uwckuOjV8BHn^i*iZF;FQ_lcYl4 zfVLV&d3sLs>dC_m;F8NwI6#rV@((XXPYN3b-Z@YwFnM1GA6U2!iv{fCdB&oP7KWmW zq$!xAI?19nv678}N%|XnfJRc!f>cKgIOXU$*eW&r?{koswZbPFK660ubKd@rYvHX> z#?W_IH>*zu3OpyNl3CKk_HP@FuugQrDbFcf5gEcKmRPEcn;gT$&3W=kMIu%BExBmK z*}2wygH8(~uqG7p>|LupvksN;L`C*RP3(&clI|^I3j63vDwyCqt_T@V)q+)Id#?4) z>oYBfBGb*4s$9osB%3zx>*wa)S6^Pke(Enc8weEX?{_T} z>F+agM-ANXMRBhTr;4;hVckzBDHI@q)M)llS{9}A2euB zci^VFtiQxavvf?-|KOz^KL5?9AK)(yC6%-rGsSboXm-JPHrQYWuZ5B0HW)Y9k zBwO6o&~vC$I1eteS$z2D@J)v=r@a6U^^=xpW=$B!1VRzx?q?%OFvbFC(&2f%L{Vii zL(l9Ps?m71LB6ECW%O8T`LrA%NVy$RdNuq(p%}tG6Qw+W^6yAAMYvK;S|}K&gNI18 z1NfGn46bfmQmIzJ`IQ~m(oFZRn5E09PxGw0Q4Liw-##@Evc>ftvkI1bM3b9fFNHec zXOjrr09EjvT^MBrmDYzdlQ5V|Zf#2@-1CXwV`wSl@}8&zrvr@SvL9M%IHliKW#T>^ccL!54>bIM%KVaj)}lW+ z_U1fj;VC(suAb3H-9_4Li@*0HlJe6U?n49my1ff%yZ5p`vW%S7Od5CBDJaO?1!#C2 zSo$0cyC7PyZLX@e3R^4a!4+0BRS?Z+wuIe*AdF_30P60LI^Q+ z-*9LH**#f966fwS-|TQ&HV9$1&S-5(gCBqV2x)IwpP5N^tE_4f%?!#BRD{wMg<(Ro zxO#piL-TO+@anE1@h)+XZ~~R=a&5k$1Z9P^hGuo$PU?~K%`*i~S#!sp*sbbo$Q3DN z^N^PoO3{H>rrrXzQ?S24JR8WcK$YSN97GVAZSYIR&2wTymaVUbR!OM`kyhe>IpGx{ z*A9Crn}!g)8k!Z%_-9(ka|m4MurnK77t04fI&HLeR>^LjPfkf-iP)G)iJ3;MRRJL( zQM%uLgg{^yYQFJuVOAClD@0ue2RxcziFr}UY?(422=RKXNP#gDQ{ft>dRX`?qjkl6 zB!PvRou3*uFAo14bV7|yw+VpFxyjbXfK{jxAoZlE%i?mdXbX8!+>4SS&b0ZHq%;-FmRz2qs2tVjASqv5m@thH zG74$5W=_e7tx!85oaB zhoHs}kr57Eg8^TV3_7!0#&Bw#Y$Oq&e(+Ac#~jM-qg z`AvlO5#Oftiw78!e07QF6X6N{B(zVKU&Q=VvD!m6zA8%TCT{4+2 ze6e^R4f=4OE$&^6UR2KtXJqJ>t$*--hdGHhN6KJQBB=-?{C6Y%1hG&-JZZyt#29kY z>hd%fT8~{Ga?*j(I|a;0Kdr@;eNzyrycjVQgEzaD<9-!hSnG9w&j;y3netrMoykZaD<7?B-qXhIODJU)ZMQ|x?SV|^?;Sq0?iQ~?`k6ExKbrIf-c#eZ z*FU9BW_jB^p!8pcq?kfUk50~v@0uL#V@ai(d>7vG`Dt`Ier3Hg5uGs3Ljop*srX6v&=I*p*FM}5c*F%x4Y<+JcYDMb4gwWhC|=a94!1Cma0(3R4H|PfZc9pJ4{d=NT#tF-L@@PyEhr*02fRsO#JA1ok1~1kr_Qy$OGlk zSqKt(!iR>0^%d6+4i<*U6HbNQcPaD@4Mn61t1K4?eXT_@n6D_v%=3|H3ln9MwMkoI z7PA~Xyqhp6_2l%L^wbgIP0E#fteMPODskjjC-gRvqiY@%qg!RBQgf^|pIcEY;WAS< za2xR9w?%X76YlGTNfb)X3w`IdbVe-)siD*e2W}DM(9tkNA8F+OZ2YXQQGtdE;<|`j zQoYz*O01Y)vb`U4c0ZtpFvJa&qF6E4&t|!xXHO5LdFue<(J|6x{K+A4?wcTqtauo& zmUlme5QNzlKwqaUzoS)X5Q2I2O0pBG38Gqh$rZwqGL(OvIgFbuXVW%NF8tZs z!e%E4lL0uP7@z(vg79MLxw<-%{>P38u5YV3H_R0bOtF9ISd&W|^(9u!fPWR5qH$zF4)>@E|uI zEu??RNHwJB+CtUu8rD{A`EfUtK#4;;++q2)gS$e%orvz01W!(`REgo`wfr>k)KZ`t zRv5Y9adm>dAJuiP)H@P-IE(72!Eya!jt%v$k2V1KLXX+U?ThHSgI+W60(Bp9PUO5q z`i;hEiefJO=~(h5+Lh`?mkfJryLx>W)}^a^K(A94I_V5UZ?DB}ghoYKDYC9noPVSQ zmL5u5zrL;C!kyZD+5GdA8vQU|5OmEcGs~yVhxK~PO8RB3+gFQcjfRFiY%~@o1gcRfqhH`GftzlueApBYb5Jh>~4iH zGxvsZRv1lJZAJ;Z%m~+Sup5uuQqsAnZ;Bfh zyXM~ybxuvxvB|)LZp&L`(8;tZYWGkhCKj7JFr#e~%ScYY05tFgKiX3~-L`a}qR(=yxM}r|Ie?YIeM42>f-l+f7{BA_{HWfT z3n)Tw<{gg@OS6j^8{(SyCM;Zp-uYGU8II`taolNP-07*nrI4yFZm`!xh1qoYhj-b8I^Ubjz`Uf0+2+J5lzv2S0Bk#L0h zJdpcl8ri0%ffvta>`d5$675XrgOvGl#p7owYgxs87BKq3j{`&5k?0cg)RBSlJXVe9 zDf$EF4@oL}pju0)gG1F@^Esp?u80ifgKDLhC{ANtK(IU1#l_mD=*8lT)`JF$K_wlZ^-VNLccyB77xA@P=_XrgW4!lsITXuTOEUR?@)kHjV30}Q&ctl_MunV6F7bk0 zwbsoV(BN?%z;orf^G@*4a3S)Di&6ju91t)ls*pv_KwVv7qms?^Egp>!NMFp4K0=a# z|NaoF<2qUQ;VnwC_F72Gv};6`&&H7biQ=oZC@1Y5CaYxfoUi7jQOir2gN5| zaf#M#C90pc_$YKM^oC-3kflhvY*45(<3qOi^1eM-fv@a(g`S>qgJ{Cu)rXCv_(jX; z6ML5o3BmMGovJvua3x44G^js&ibjcv8ct2DDy~@=e2|$J07~!WV-P_s!S^p9tVXI; zth|m>l&sh0E_oeQykCOmEuP*OSuMOQmhYW1Jh$XV9LI1(G>l0Q&nvZ_4@=MAj*v?# zM}T^7eL+H_`;e?@bF#LNPp#yzSTiDI*sr`z?%83-yIOe+=$ZP4+T9e2+BDy6?PPBy zxoTy|9IRXdTv7*h`U!fANIrVGJiCjdKkLZn@f}3VIjp6(Z^Tu_Hh!#p*GS-Syk=20 zB$2AOlYqWnLu^iXVW<;c{kw8cuEip95D_Gmh1}QeFtQ&u{%fEzA-n8kWA?IXN${>h z)UL!L#FCTZ3}coFm;42dVv(Z5Yy-t?5p%F^couEk1K3sgh+DS7ZkPkLU5n6#MS{zn zPr&hRvTdVx+ZXxKny_Ya>P84uW^(RxP$+>(u!b5-DM?~1)P##gP~_Xfb}NhMHymSx zkUABn)^DghZq6Ipm~U?T*WOesi0!Ujk^32~p0ivJksDpPY`CR7y*r6qQ9>$}kBgYr z9UhbyL)ZZ8BuB%%2yz`~qd&^=f})GO318Mp=y;Z7V0JX&HlK^Ml1pYZEU1=PEYFMA+6CUtBf!s>RV}no!Wkvm8Jo+{OqpNFh=PLLqFiTq*Ezot=sv= z+3!5?{p6YILG^)FaxZY3zpiCTn~W$}-vA}At$tA|e0-5-8&Mauo zEEa(pn5!7suwAG+Kk)#Qg<0ZUw&kpgy0qCyY7TvqXasWsv}xo}`C7*|GpkjpVF^?sTf%Sw(hT^9UK{z3 z&e*Q63#B3!GCp5F#WHu58>&5c{!G%>*~L&_!&-_H*xwJo{tAOVnoY>gGMsW)W0xNfaa@?tZaX zK`@ja$0!Yr?QxeUBu!usw--L~h8~wF^@1j>X|JGwcyV=Tl)1PMQ5^zJI>LYdJoM5` z8rmkDfoQZjlJ~A6Y+YP{A$O=4{E4t>51(hER%hH{1f(LxKIW0w}H9fmsofQo0IBVf|4|`5z(tt}{H-Zk9N)~UAx8{e6 zM$QlJ0}f_3<|PvC657u+;T5qe$CRU#exm#i=60c&BcayBd8ts6Zq95|vEVvOJC zJENFMuN6i?_e7S~ufQZnx3_@kS<;aKE`2pN@y}9hA^{+bSDC94Z3gL)Q%mxliqw_3 z$41K85D6*L;pWI>XJz*uJ|hxS)RmLTD~iMyIfOTo3(u5RRYuOdf)Vog4bq`Kg1;IM zZh(U@ir^&+fOH>LLV~bx0kY`=Wy660;Sj)A1K~rEyv`c{B7Vx~&&~m8;;aBjy>&4C zy3>osxFa->4L0Rd0te#-4c^3I10u})#&WMUo4gCeQ`63 zc@I;DyF?aDEy=ZF++wdq zRJ(!pm)YAC-hJ~k^P7dTE^9YIAq2yi;DW6P0-YYY&yv|!iRv~8pLd}rUqzuGOgdLD z&Y07Rn%x_hJk2{nbQ6U&%efv9p&g-bbHPZ3udj*-jn;Fco(LK&KOz7eBu~>qM564X zPBc22y{?GY&P(FH(5$;!vDXD^e;cK?@N!7Blw-q>NE3h~t&e%`=x0Ir{n^WcW6}jO z=s)Td$-bU(TUOd|-(!B_*+=J|`NLSCJowr^W*D2|ODO%+p0199lPl1z+ghu)?h{z>2fESum~yvw0JVA#6PWy9AXW znTQy1tJZJkB(58aZJDj$nDs6~rx8Pe-GvNKEt=Hg*}~q!jd6}^ufGH|JaC{KAo1qmZ?5ae*$i49LxjEo^1QJ(ldLiO$d%g z&g)p|yT7NZNapUb3@KeLoMoGRcW&FhvvS|K)6~$o9MCfk%k*y3>5`#mjD~#=G|2x{i#N~_k zj=RR1hm04u3c0cih5ltXR0PU9u`Bf^a`({-W~;L+?k0{C7lQjI9k223{?}k)|^QP!VwWqP!3e;4dj{;F64wh`u>>itZ7s~Dza%*Gl?HmH2Sg$ z3@I@q$*ov4U#sb+n@>m7`%~)96>;sET@E<$0gBo@xn{vGJ}q~2wn!=L=Z+u`Q#MCM zxTV|k@7cXVdE_+uGtIb59GN|a`}yuXw#B<%uhM4nT+~E8ee$|L<#OpB_U={MZ&SR# zV$FOV?xy5fyX(z_K!5C8%-kyVdWO&FxbK+{I&VL}^rdaT?C#Y5qMax2?e>JoEps6B zScLGNa3|5fu5{2J-~tccXd>imsMB)+1uB=_*lAq^ik6U~I1H(9^Bt5guABbnC*|nx zLVQOL9P;U$S9|c+`;j&I>294x*X9pLbQxr-u82K|+fc37Tcu6;Q}V6}&ZaMBk0}e- zSM>|aSE+zeNXAuJGi$@WrJg22 z&_}z3y6U=8{X8ZdF7!C`S$~okeWU88S`ZL#j(xXJ>akDGSOjw*abX2cdUImgU0M5Z z(}hU#%2dmPqCrMwab$YKvd}Nk9?Ww=WnZhQn{oB|m?@HpP0-}L>1#kLdik`)WmbhQp=G$edTz%r?KMly3g$H~9&wW#KEE6{q`_1cS5}Z^?*}IYovGUaeswt0HZ$#fLt)+*>*z@ zCyp}ME6j24t4uO5cY{ngMDIDa{}K)oTDyJr)gOQX9o8-si)uQhD{OfX#xm$RiH0wx z7Vi5_3!Al>3%^5#weDK?McRRxXn8p~VOwvHIfFStqujy#sGFSMDi z&u*)r%LN6CrSI*;!pzQ2KVAuaam+M4!2k z`hQ{?r?Jx{RIw@j)KVTzwr76Dv(~p~tTXbZziBgTKeA-f7Mq*G74nYI0SOoDXYtG2 zY3i*Z9~y-yqgQ!M`cy$*ac#}W@#-wxVO^AB)#w7z^J)X@S{+71?Fl%Y)PmLsI1|mu z?U2kF(jCtua0*hCv5Cz9*D8=E><8S{Vk_rinbxZs%V3PzP>V3~rg7b8&BqMc>GyX}lKQf#i*p zk>n1-c5H9dGM;k#)>2La&@fi!AW+?~b@4P3x<)`D2z^tQEYWxX2!cJkcsA>l)r*-U zAu?#v4@;H8Ih;){M;#m#>L*Ylkl4o>eY-QLR{DXAL5Fd}BcGP14V4u)=ewOor-qZHyWFeEBPB^CG%<%A4r z^{XKI+Qp1;Ge#s^_WDh|l|+nnO-HE1(UHElkT=U*Dl@dL9`_Y> zPA*?}6g03dg}TGUY7qH`nRWGAWJMCo?wN~d^IALs%HNF~Vrfx$}WpW#y{-|jo z_8d7Hw6DN~7*%AVOMOnG6@b68H;QN$SY%dO{LV*uGlaj=s{2CJgU7JR#AHNbKW78Z zmCA!Dy$u&A(5dx4DBBJkGEv-g-S$|rK5D8#b#fP)a!}KH)@XES%X;nG+%r4)(>-P) zMsy+X3(C=m8{X1xq%Nx_Q5F5%*G+5Nb2;|mA1l4sl|{v@hr(0H)}7$4!*zCTD`@#q z3>X@)?zNEC0p~fqsSTs^i49XNQwHBW)x311;=^5#3>Fr-$VT;F2Xj5oi zV4iuDcrM?k4_Mz%Wdy}X2hAs=eL#yiV6te@?zY@P@#04}ceJF!3`*9o_* zHXmNPDfI@ta%&f>-1Yzl!$)bA6njZ2crv9aBbow|8TE@gp5&v2+(z%cTA9EPuM6y{ zs?~g~sR^wmwe~Li4dB{r>oJj+OevI&mNjbSj?Xlyx~Y*)0B*OGtWNOfHGRQYujY*R zCppoE+n}zbYX0qUUBj4?Vb=+Z#KR_?77mMf zNhL@qq-2KmdA!uDne2cZvDTMT+ly9JBl$(*zB9#^m|0!z2&}?ou8b_C!fF2+`4v{% zemGIe;C4~_1bv+)X=&I>r~e%)x0gul%eO8xK}t=YySUFHqa=3PzBU<{<^(cGF~NbM zGoKwwi=nETzJJe~^s}OMLJh(c3SCg$><2vqdjK=|k+IswU%5cpcBZ_doJHUL7|%(l z$FfniME!sxRdJ`)-5_SER(j0$68#kI6n%5hF`;GuI^>b$F7X&~33g35dpz1HHytw% ztiOUp-odTgM7E0pPaj=^5Ytb?A}2@^hpt0|DkL3?3>ub0aDi3Wv|2Ds_hZ>I8RMY0 zcY0u zs%XW)*A$h@B&4RdoPhK$8X}Q$Y*jGSn15R4(n41k^t2El?)CK5N9vmJT5)~g^yjB7 zJgnu0^@kxRUVZmZ5fN7RPLIf)JCt`QP6)sz3aJsDRw`s2a=EAFyR1ijUBWP4H!j+8 zxnj&5%$4w{sLT|~Ws`t9m{1Fn96!U=ILx^Z6qlMlhm78=#b@hlpyt70K`VZv9I*0u z?(Fe)(-SN!sXcZEUhi~nyFXj-?TCfsyf}w4@1IY{o_O|-D6EIBRTv2YhX4b#*V?Q> z)dxf;W!xn?+X4_5PgXnBj8n!v8@xAPPA4Ji>)SV1Br>;srgZN9Y_Q;53>mZU_ zMmbbrJZZ%;sauPt6PiG!k`#GVU!)T3R}zj35~^lE?!Yl5l2t#5$}1dZMn{tYBDW%2 z&t8w`;76m_5H?5#luN7JDJ@52n`c{M9!X*at|Yg!OB=yN!nk}I+Rp<5%W zjx=G-j9M6!#F^`yiih4JggZ#iEg`8%jp}(p?lIcFoub5Z3ch^#{4|Hz+)t)u9vS8Y z&e1ZhGTe`uNAd~Eg#D~wL!M1k7JCZ$P|j)7I6mAox-%}e@b0SoS7X|xX2&avwf;c!tG==dt~Rs%OQdY zEg4FwC@mNOUK*&S0UT-1xIr>+I&$js-iz631c~J)7xm(c)|eR24}@aUPdc$}i7Pn? z8jj)3s`wdoSnB*^XV*{`MB`Iw1}Yobtv=#6p~__`{l}L=DPU(bCf*2OCLYV;%kLCg zrE6sfaBtVth6P}iw<{<3IBt30fzEWoQrG|)&IbxT3zHb_IXGVx-Q9~iJh?o`Yb;?s zIsFHxqUJM9EpMu44SuY0dkQl2xOCJ5E6VpvG|{}urMwm7L+*2w@HN2CZ}0To1cCRA zCveY2n621WZma2^)tMGQS-c5!0!E%Dn9)hl0&(S9$&P1{@~lwyoyKXgsYbgai1Tbj zPGb&n9qK>lVYsDwntW!rSm$;hIN9x58U6@7Y?)!h6&cCiBBQUkNd;?-D1!9r1ipJJ z$}_RwgZbVcD_LRf++9Uy!OUAT~HWZQzB&&o=XQ&mMZT z;rs)82D)E(cG&Ouvi@%$_q;}~>VN3v4}Ek*r?M?K4klwhBunf}m^EbMamh+6I0{ zl1GxLn&}MG%QIS)WVuvP{fYv%K$Z&#DpfFKnGSkMRe(nc)jHOTWy`XZtqM^NFD(ouKRYyV6#(@1E7s22M0T*)xCnhqfp8e9$RIHrm-?XE zU^qI6;FyM@LnscRI)vb;6JmCL&LLEXkem=2*&!sy*DA}|JQ?9HJA~j6qC+SSp=Kh} zMz?rd^%eRf`m_2kb>U*}V&hxDlrfP(mUEJzYMg|q>H8YT`#Fy13Yi^2RCP3FfYi-I&yHJxs9Rs?S!k>o*b zcDvmUUo$`r_BMj_eACkEKrh}j8BRsjke>L#B!@%&iqa%nzqnUp>M4Eu_H7!DG(amTN;&(%y-TY$@ zF%zPl&goFc0H&|Xqv4!XOK+&lJACBG5soX9pM zCtb*)`N1^|S?Gy;7K4sU_-iId1bp`?_s1&OKC%ujBeh|$4}KY^(tP{jF)xUzCl;z-?ZNb7q)=>PU(@8JJ^ zcye*9j>bIT7Y8Pi1^9tO*WP^>U5B4O!F@>InvW0Ke&YyzK~7$$X(rqHm+hzF2`y*Tt1%%7+TCIC11k_QeXB7g4Ef@{!(}g@P$;HK@W~_N2@R z4~ZSmOh9~n*{A)I1r`ufnsA*J;Z2v}HozW`MOlzJ(d6|gGGtE_(P+fV%3Dx7 zv)Q_lia8GpH{`T{sM>Nh6E1A8#3?Up@YH$yK{f+1iqc&3mbWf@Vy3BeYo4oS&%Wop z?(cM8bmh#3jpV-muDeHGID78BJ4ho)T}=N|0%;W90RFhtMga$G-QP&VFRZw~@j(s~ z?onFT*8;=BUd9&fLJG#2 z2%J1XDt>m?KxJgEEIP{;cC>`c^m;<|m9}uY_~n2p8Rp=*!@! zM!_`{vJCJg(eQD zxGcIH!pKPd(iUDQ70%=9gl&Qll)-Z2dBO`mgbj^wex3ISnv}E7A;H!;XrdJJxOe%n zKNyUFQc$X@yEI&=HET09PNVA@MzdwqEM8G#bAuWSq}2*oq&%oY<86cDB;^pxycTXl}&e|IBlZNK}*n7DJm{>0AA=Iv@jEh8Eh#mdC7g${ee5} z<_q1;?wM{bJM-estV0~p;%2Wdb4S=qi;CGxi)duK^Qt1Y?$8}hR$X0G|5cRPb6|aD z?&?Ff{E+d$({!2ZaTYN{_f45Fh4u7hKnMpognTi9&Amd4>&0yD6e$;Ea+feK-=oz|xcbH&As611`oqbqeslMW z*FUxF54(Tz>BCRmxaFDWuiyMkYh-q5?a~FKQ+MH}_a4G{=R;f1t@`rl<{xmCzv+GH zS3i68XEYA$5Y%@YNKX3x%oz-+anMplNg-kr&oyC@2Mx2DuI#20jgQGpy98~5#vcHW zblfAr)AobLz>0cS2qsIxW%j6*qwLpWOhe-5rX6T#^ADaR@cyD6}+NM(@QX z4r47D>M8J2YnjkU)U2zZI@d>#F@j5~1zd?LxKg!RuhBd7JLNl-U3#y6QrF`8EFF}8 zO(wPheo(=>#zH`wn=?}uC90ytWx+4Y0w{`cBKQdr6u8x2<0_JsWinnyWM)5AG|rOo zR(Y2U$Dk@2#HwgqMDV@jQ9=k!@x+B$0;v%?gk3_fa8eM29v$%V4AUMz4!QvS zg-lyEM#6m|TRvvAN2)y9I--A2N8E?pVA}ofKE;daPWFQ|{ygJ$g4`95dlXwLiP*c) z*+6Q~HNtZ&D%&ELS)=AyVXj2tg6*!)c3#uP%WJQ+ak_g6vr~-HhkBOv#vaE7M!w3xNv6j0= zz`KPM^wfs}FHDtbnpPv>I4J)qiIaNBwl2+y9MGJhps7y$s22Z!4IKFUU_kFPlm}#3 zB3q&j{DATV>St+cvKOns4;UMThs&8crAD_Tn@w^P^n3_oYz^HpQC32sP9_N-?x?Rk)F-zv5`40PTJt0A#?P zZ`8bvao>HsY1w2HiWEhjgRa0}w#dALKW4Mk(f1r= z;<0fNhOgv#;AQfAEcHK3ZcU^9(MXn@1}%6BMK`hrF-dV!L4%&Xtc7TxnSTERTJ5(Ys}2L z#xn<)!et`+4Tkos*PLEI*F7Y%k zOtF%n6k$Ou9D9!haSdA7s-AhX#Fx(cv@fkf7AqxSJ+6A|-E1T19KtT(c);>~B zJuQh|;&!=e1OmgD$e?G`2r`heKF2+WzHySsGPT7F!{st*KXrJwdWrWic?N{e$bCW_ znDfZ}x}rMHkBo=IQd-owrQfV!?JzvH3u}-U*tWLM&DPH6zN723Et(FtptyUTiOn9; zaxe~Toy~!eXSzJ+wb?BFRC`mXpE}8yUjU9GIfuvFDaMr&~-jOXpm14WIlsv_onJ21M@RXduI`-ekn z#>19uqpw|L6AN@~MlFMKL%}8MGI3`d2=rsGizu=MLXjuo!3pen2$$eXYXad0ya)>~ z47BVSXa&je_itP@>)YJ9Gn4t(&o=NMo{eWTlPNGNeOxQ^hiNGH5 zEfyNI=wMvkrS__v+Jk%cFUn{{J(enVTjnhDsL7d-$x`De?9Y3-h-yS&D@4N6``bew zh5Oq3+JRszHNP6CF=V=sg=w%r4LfoucW1|Jywb*4k{wW&Wm0UMXjE{88!^Z>S18)( zrGXpKMxVW-^Y90vAl0Ic)Gf3x*2vxvT^MWhS+PbAeugU$Z1Q;nK~Ow^;&`A~Fvtk7 zn~S}Tnx0>DK1TUPO`1w6LMh!Bgfw3e(kMlM8mf}zDrs$)zQ|&Tz7{=b$dt#CjJV8% zi8%Ti3{L9d!wMNapnrnZxrvbzaQPek{UmvE;NHTbk$D5V$T{+pfgRU0&$HDioBW&dq>3<6fT#qEaVjyXfLy^WM*DD+{^SD z!+x)@9ct;U__qmY**|xABlWO9*;QO#@1e)}<@IJ}@6PPu{O`N+%k1-z-^}dkd20#~ zrLL*5sqwkm!q}Cu4a#QMb?!UV9qtE>XWc#S6RuC(CJ4m1$L;rc+#a{Cc%!5!5>Q32 z$24>yq(C=C!Ue&fq^?R*6W>E)GQE>rNLqcx1OD-4^WLl784;45;ru$n8%3R+O zoiz@kqw0y2M}%4QDho0Y+v6qcO1748CB-2}eH>hvoeew<{a*u5Gm9L>%p$9QIcnsW zjvASuYUJ0~g_-Tl4;ell%0!;pj419cx20GmHJc6$v+bU@Up*c!Kd^fjuW2EzyUtRy&8`CT1Uz|Sm^8BkV8D2E) zPx!Gr9+>gq69YBE;hDdEew>N*oY=iWOrcis<}VHk#)I|2hZyaW|dqB9CA z3PJ!M7_AO4D2fa+pli}Z(&qg#$tG!&W)t(+JnIG_8lq{n(Z9A-1FUYzIz_u`Of=%-+3)NFL%}8#7;Wvnp@@> zb>oA4MdhAlTT!;)4VbKdq&ZFu)WSxL$^F5kctvtIkRDP zu{G({Ei}(7vgXP_jvXdYbLP&itl&O+Hgcx*EB{tHAY8HVi~fIFb{gfgQ1U0Bd5rit zrssGxEvdsw1=7@gC5H;6smFO808AT?qyBI+leqR;3_hpR>p-y%qg4=mUbBS~of`#u zky371D>ndmo(V-B6oItyleUu~ochmp$m>w-xze*I`=;!B(jHGcVS3H<^DI%H=C;iA z@M#7obaSUI)^tl+y2WZ9;~ovCVbo#EehX)@Si{hn$;o)mD$p}11u;h5VLK+szR4E1 z?X~T*3AWEH#o%UA3~ox?HaF*1r5N0|OO6-l9Ac$+1LnLD%b|-&Gx(=VGfb0b*jP=J z1v8W}vxBjnv%Pt}DA!@amP1rIAAOmo%!mh7cvb3*ZPT|U8G;b6In4rGC^%&q2dC5O z6`076*KBpLGv`)e>dZOQnuNMeOV1N<(CYHj0?q(0s|v)`-QenPIPdNG(z6F{S$j*t zlecj{9e=LznmdkDap2bXzB5jTY&YF}^5OeN8W*{^KYo2;*M^Dre{|}Ok+VSd4Zvx? z)0`n?e1wf3?Ib^CXDOHhC#3+PO;y#WWvYM&0A=~hoN|!QlmZ)5U~dYXb-^$arj#l` zm4Ri!nhw50Nbm!Kke5G)uktS8>vZ+mwf>sirTHyR^!Vm_JpxP+~=X5b1qWMWTV)G+(sqZ zL^snudOOw9F*+PJ75k*Lw8g72Vhwh?W_-h>Kn_;ur&UjVO7+yM%yM{*K1d2_AvP?y z&|b)P8Zoj$PkBIX%>rukA5g{YfJQXvK(TcE%Axksj^xg?qZJ$b%vl|>r1r*!H$x~N zs>lZ*%Z>nc=HxsLj{3c2&d3nzk1H}QEo@`Vi6=R%#$4Q_bOyy-hv#-D0w+OS}v=hE&i zSN-X(M?SbtbHsY!$>E2p=Fy+78@l|ukN)A*#Gmh_|7`2Mb?xFrP3@MPjA*Fxky!j& zo!#HN+H&)4S6|jxQL(*X!J%D)KS&I`jVt62z&LX~j5Dnyo60NX4#`iKh_dvM-R83r zF=N^QY`T1madRTogzdHsPL!!vsec}fvAD@_bGFpCM?yTCkAN%!RX8Q0< zU^O9v4#rA8E}uy-DyP?AVC_yz)5?Q?yPW}l2kB@cE zKJ4U8oFOAa^4shjC;71}c@>8srLT}uc9#XasNy7wFDe@V7@^7GkY^iVy%lD_N<3%7 zMn@XA+Lw-Q81@JREo&rD&J(cl)x~1N#W{=*V>>D9bX=fWpgpQNrah`VCBE#{)tTB% zt(NVkPRr$v%hPUjyx@4t^H$co9@8=7b7`Eo7a|Y^VziDoO~CB)7e=~ zJs4H`cU~K6r|EA)F-V)uoTby5IawHuGo_o^?pu0NFx_4uj|lRdfneoah54pL9kFpi zS#?48aV3|L_sD(kJaO+8U%H<@pZ3=uoq2!x<1aqE!FS+5q`KqyRVUx-+Wzc zyx$_sguH9WqsnOrPT+kSBC>1dxW^CaxTF@Co)#$2Q7Y&Sf@m-VvjejW4DeXaz=yCL`1_;igyYM^iVj9=*q;f$cZaV zi;UFhfys$Rdnw5@>?p95MZkjYUj!o-r3M|XWJhpUY@vtUh%>M8|!CXGjXHlh{X{*yyNJ^`1sd( zdduDoUv`>NHoFC8Hkr_)W{@0G#=SJ97vNbF$?}!3OAFJWM=L3D1bkXefzM&~>6u1i z9M&9W_YQj*`1N1emAQjXQm8BEhRzd{Wg5pX+1?g`6xzCsV`WoZ76Ku8;#W zevTbTP&Und@}85D5Ure$H<^A8t9pP~i67l~RF^gb9Wh$`(#eJZPL|WD{Hc*aT(ne4 zfNHQ?ZkXeug|0eRUGUeYx63s8GI}Msl73Mb5O*5;O@rpkGj1j~(OZP;#H)?hn65M5 zn(;mROKA=h@wtf!V%lFqQ;0dO3!c8fmz*5<636sZA8~M7NGJt6l!6^fndyfT*02OK zeJdqao0YSU(L0_kcbhI)^HXOL?!++O3Ce95%~g?dRis>1`A2TTsV@6S&ajhn-ahM; zx*ot50ocjH_mXP@Nfie$?_#8swbUln&&-%SJK~i*D7qp^rDzpPFrPeouuz&3nL(Yl zWGD3aqd>V5wj7X^rF9grn&6hWITz}2SlMS~wJ~s5Fr=B2+UK*@fcv5Wr)M)iNqu)(Q zp4}}sCt6%hl682xvDGL*zI59eW7^r;o84_M3K4X`s|DxSMJr4RQ%JcHQ&|?9QoVGP zIlS+H>xdOdG7|5@|Cme;G=Io?xs zZ_0pP1$dm~v1RJj{B8s1&`N1+pY+{Phb$cWEZ7bWa|p=NqSt_nRuD9Two<=L(Bx^0 z4eJcM`9Z_a_+M#tk7;R+HmJ)JtF-gWtQrM%pSO1ds$HHscH??o; z{-XUrbUF+M4bKZ49{JJ3P*0;5#XR|-4=)IL^5GwY9$s){DrmGil;0r+VT@YCdX2!= zu4ah%=9HMWdRF;$p4-jTJax)PUH3Pd6wwD`9!VY~P96Z}k}C>a9;LUdmo=iw+gTT= zyp``1aOq2PSfn{B(rzhDFT}3S=E+FlE9~Y3`3!O`D<}9kl-5ZT8hLcpP zptFh9Vl~g6%COnIQcwN*Yj{rYhH*7s9;ApOh7J0n>?*yOon4J*dPcJGXwR!760;5l z6u}&JW?&~#wotW`$43HHJZ_M>(0YBu#tsC5#okQJI%rhS9N-WbqjLO0pklfUVoOi2 zW)Ivw8*$^uzdx8&r7U%|$ro}>Eqbw&3RVNJ*9rv2^-zjh`La4$$W}cw#5O{8_8Xq1 zPrW^{jUIb_;-S5oBOkv&hbMN8cXIyACoY3}bUz^))V8kYIJq9V)w77As`Cb>AV#)- zljfW)x=k*b+n-f{_rf`L`{LE2i9I=@yA-HAXRYR;$c&CHaC# zGbH)54WWfk+)p$tXiFVJGie39yGjuRV65*`j1Z zM#DMGtTJ54Le&Xkcq!wX!%M5gaJdYYSLtB7gR%vOGXX4@L3GWLB@T=^RXR&Lm^6HU z?{FG`*)o_7pcBEr9!zdG(y2w#kbKC+#EXRL&OdOb@C^ml$)Wc9Px0K5Qy))gj(l{r zu=m5I!q7)UxRgtXZWr#2bAOR%u1U4yQ0=*-S_4bUiCSyQZ3_G}jHv@K$+IqkHaTBU zIb^FGbU9xJ0oxg6Kf{uuy1<%p7B}^R8`I>g5RG~>g93*u))bPUz0eVKSCP5)D#u)R z9a(0tb1ZYOBWvyJ9BbXSuZmx_a&|W!skE`D(uh>ps3$YzoUNH{s?pS#RytcXt)|PI zotjS5cISX*z;uPvs&V33GY1gc%CYmM=gGong?+rhWZq!{Zva-*n=MwWDLu{MaJn+w zZW#4ek3zRAp>1;5(GIV7ih2pf+a+1B)vXalpVOW0bh;fTz24_^0O+urtX9cpPq*3Z z4!ucqJ2h4q(h&}lq~YB*t5q+{!g6kh!)_;{CnLjSi|Fa4L;{LkN}OQAL_;q@eUURz3}u2YxeCPlK^jzseCZ%aq5cDXa;j;5lWdVfr_ciwKfuh0P|1sKFwmoKsZf z$nYRoili=B4q0GVIc-88n7HE98@Zl&2Ac8PA2;TBi+_D$qW9>;ck^`_=@TzQ%e&|+ zcmFPze|_9D@%umD{4D=ZP^&iHBE^<{^av54nfQa|H<~lVO8jIl3Cr?k;koxY?sDDb zxzG1=$E&VaJwNw}$BLdW=7RZ!#f4>|S&#Ei7@ssB4!sn5Eo6v^WwS~lbc|~c`%8+_OG=80i$XICH6>-U%K5ovvkEHkT`VmuoC7$ADl4TxcoAZI17ya& z8QhG!NJ(kw+(wC7rMR?LdPfqZyWD^vZ#j;Ip@8Nc*_@VXD82N z;Hqs&D72F;+rli)y<|^#MfF0Omz#G<(2-{ea(TX>D`?2m20eKTa~2d)yEQ!kgK=+w zX6OOR)B#kTx2TAwnQZ}@&BMcKbO%V51|B4}olO2+&8`*Pm=$);EiHA23+K$KtQ5n6 z0C9_Y8;V&WL#Rq^*(P7s zlR*Hv_rFQEojx^j@5z%B_kQQQblW!{oH+B;-*i9rDat z*0F#2>e;!q4G;FW6fE0(x8}@=iMzjjV&bc(PSLF=zD>8Exbl&SA3gfW#7`c7oQ58G zl$Jj7(c64cao?i0YeYw8+0F-tz7q6KJWgM(nU`DTotz~5C%X9$g&?OTO9+m$ADaAk z%?xPq)9C`nk%pjkov==PSrlAMx)3_p*}?*Gsjx!aWqnNZ8>R4Ypq&4e`+h^R?>B68V&9*l%ATz&G}tz7+?l$5c1{-53E|3_ z);`8p9PZKT31^f$S6nWm6?T;;P}qyj{q4f$7dt0DdiDDgANIYt?7)?;9fmgI;4daV ze&jZ4ew%Onc;wij_7|BvAt`{_&Z|O@Sm+tV}UT3&oJWO8iIhpQ#S@Iz4A|&gP07xJLVGILA>%x%qkn2Xl`+%0Z{Z zQ50C_qMDFSt2IQSLuQ**OodFyV&Gt^@vJE7G}@!wWx!}UypWq+kTt`+-$IEcY}srX zvb<~2uxp5O(aSPG3|CS5455uAP9_OY+-%U6X|)XmP{9>W734_*jLHCbGsHC6+s1K! zx!U&DqU!Otn07(stg+2t z)^4noFDt~0GUUZmB23+#g z#Ow6S1<1@^6)E7Ys;(V@~^zI^X6bfX7n;BoYWp|K8?vT zV0S@(_BGGfe2+oT^@REPvR9#B`#JP$KdE?auBA_u#<-_P1J~!4qFq)(OOA4zNr=pY zp2^mjORc%_+`YN)m3cF%zwm;7r()(DKdH=v$Hh9I*#+f( zIyX4aPcGI2O&h7F^gy`J9ZnBO(!AVU5jx~@Xl>5#ek$Z1s6y7P{$KPyX&K4;n9sl2 z?Z51ITCeb(xOwiSZ-KuY!fciZxf(J60R`ZB-~`MJ6xG2 z-pGMv)pCY(ug++;a21((cnhuE!e^z-?%q^S8U9*znNWB4{=jw$0Qh(Lws4YVkuS*@ zSFe&!?xy@M%%nSsXLHt27B`%AJd68b*4ZpB%WBFqo3VjXFHDyuUo5J|F^halkm7>z z0q_pHmczo-MOYay9%ee#&sykl%MUFau}GF-3vbEvjM2FV<-034PF-TF$SH$M>b)J+ z4dZXhcd1mf(YCVS4PDZ6Kp<48l0L?lAMVi^Gqn~)K)$Mqot>ukoH<$@(^#Ev&q?-A zbLZIk4E5;`@-i-b4L>BGCzsjG9_G{O?`TGx_ z8Q)?f;-xHP%DzCJBf5nXtH=@?`S6(!FSilJed@193r<`WA>30WBK(S!2?=sNn3Vz{ zi@@z#dWw7*-d8B@HGrE7_EPX~0>2vozE1lT^Sd7W(!d3xKhLzZPr{xO?E6Bbqgn;{bmd(;_4$e@RbGJ`C@R;r)5|PLJP0JYQw-De@5b zy@6q4Ccte2xIF;x)sTlLz`h6ULU2d77M2D=ZUCJ7b+?jC&0B;Q?kD?MID`~H98alk zj6yZsAyQ3F1I;;NgYvl!;>gha9!i)4-}&4(vCJ`M&LfvUqqTv(Aq+gtFYXSc@1=f*H?tTRpNg1&yu%6t+t8tkv0)LZQmeg#&Em__g$JZ2?BRa=^ z1?I^N$Kwhtq~K_X$?>uRYXSc!3alfu9RI4o&;#1VY4MY+sj}>O8SkMwon;^O)2gcghOEl+c0gRdIqNf>9 zlZDg6U{{KJCi_;VLl(oiiNV<^IQ|qkm*L;X;F%15g2BZMK8&!Kl72BIE>nuTNzKcX z(4Le7MP`vofLh5`5(B#d#znng2FM=L$6S`cTR*_~jDmYN!zclt2LK(!5cVL1BSE-v2(z1X0Nep^ zANchrArl*V1LL!Udy&ZheHOeS4J&$rYaV4h`i)9deJ6L}4tqa254d3=NmqEblWR#P@ zT`i9~NE1@0n|;^IXuE)YA7dCXvIF8oN_VoSq@+m2m6&@1T#$Z!$r7B(2l@{{D!Spz z1W+NuFfm71mRlfQJuHpq^TzxXOzAva31)5$EFJyug__qwn73j663H}FLVQ?mQz11# zepAC$=@?~HK_2X6dB#|_Gx~P@P5gTy<`gg1Fx=gM+Y5O@Zta3!w^DM&EVpr>dN<3% zD(15lTrlqm#(_;Nu72jz&C=cil|9L*wCWFGMCg>=Hw9fY*>v9gp)wTq>9fN^OX z<0OeuVGrXRxuge@+^b@vTb$J)R|1|#b~x$$!RxhIeJ(dp|)k`(;mO+VNe0!7f!2@7Y|)d!{18V z)cUUSXFsdqD!-{bez6>=v0s?31t~m$Ig#@+z~WPDPd{rT_Avg51C@GNyBPh%oXWfx zoyJvi`xaN8a;{_;TiQOQy~Wh3rKyI4nAoa+8js5TQm;}nQ=zLhq+6kKKkEUySsflw z$`*SmwH@hVwYi7oQl;{At}bFF6J>Cx!Uq?&+vnGW0@ilAE`In_NyR z*TmSUphxKyr?~smdTf;|Ke^Y&l50xVoJ30hBA2(!1u-SAEsU3Ym2xj)dG1$wQ@QQI zmLbaMFPF2*$1-2_DJ{4h1NH-Q@7bH=lqi`RwVdCc{STByl0s3I_qfvMsO?85a~TBM z$aQ3@7nE49)}!!DfttooE?a{A*tBsAly+eXjXGH`(=)A|xiF8P5*}-PyV-Xt_QhMb zqVrlemG6#i-PSu*Jo=7djBG%r=Su9=2_gD&tV7n!kPy#}m>S5(n zx3jdwl%8rZS(d47iCl)IN{J^}E$K<7L9N%*dFiuLHMNJAbD7%HOy}t-O6+EI-0?S- zquLwbn73DV05JP9Rr`z9?LC%C(D7_ZF-;~{<4C_Y$5 zn7(@WP30=WXt126W{Q4Gz;1$+WBAL!x0Uq}jqqg+%V`UvUadki%xyh;o6413QcGBF zkg7<78h{(XEKAa^nLXvSG^d0%opx)P{}h&-zlic&!YJ3s-sI9)!roe0S)p%{QkKmu zALqxqmT_V&!-}vRw66X>oUZPj^SOM^a)0 zoH#@(CE|nq9Wk)F26jjLW71%6XRKcuz%fs}~Fq}Y!3SZ8OfQ|ggj zrOsHQqrbZkGsxoTj15G)dlDs){_bc`b8O3CPqbg9#(d@|DXvmMLw84i9Ahn96YEc4 z2$dyeWelmIRmO!RMx}xNXlHCkw12x4@A_o&CLI|OYx<+RyL-1tja^-kQmI&Kj<J>mIi{dP2%nZ(x!94O{jmhn4Jkeq0e+qgYXPG`K{v!Q5Zi&p z)87rTcE)%2_Qa!|)2SDgNd@c%*@;6`@EjcI1GepqVKxzGYpkblIz^!>_3ly7u|Oa| zpwHIs_HIaXiP?-i(iQLNi8B^ZNL?hgM-!0NcyE%m)j}xPIxx^TzqB;gTe7=*dv{-~ zvpZT6@8432Z>4~_NvQ;dP`r#S5|}Uy=Hj*XVzuqZ3PwF*oIx^gi$k7}G_hT=9;k_o z+|%ndl5$$jHk+HUa1yM#K)!(lG5Bgre-tRwStND!LoEgF>DU_W-vW6?8UwAMMB#HO z-VU|17l{&OEsM%$pFJ0tplBiyhnj=@(HZX;+yNyLl^cNW9-vGChBz&^Qj5~GoGD}} z>WpF4mdoLyc+&3ffvxDA!fr(hyJ6~8@1AbpQ#o!7t6y$hAqG~7Fo#9bj(BHx7uqpK zkiJ34P+}{qun=_nAXeT4Ix1WOIV^>YCt}cALkL*b3Y9LJRJp=Klyap}D9sYFdux2h zr{ocQ*h27Q=U|8lfYqgPI6|wl+!_p%PSGZ3_n0P}{s@IlM*| z*VWgxwiQWB>snV~m`fqhh}0BmZmnCgx<1k@HLY%LYHX>6=xZR@RduVDHbX464YjLU zOCVNolWNz%i`23_QeV%aimZmzH?#CDX>4k1u3NUeRa)LyUsDT?i)$fek;V13a#WD1 zCH0ZIh9apZ(hylz%f4!aP?{Ns=CKWCE^o70lj_%^%yT-~+@zDgk3YzNgFgo5dHx9h_5aIz zQwC-}-=F&5w?Fkiw>R|pj?CvfGN13r{J-Ckk=y3acV+%}?#jre_xaAu=Q}f>@63F@ zGjo1x{`v0A^xYYiI-l>*e7-~T`3}whXFD`0Ya3D4N2u?=gtydnkJz-;4Ys~9%^yZ8 zf=`$wtQ3|Bm%y`XT1dU{{VKrQ#m4Y*Teg)B(}#G%+E$$V_OqEd#-W@&CuA}owZmQb zcd+%^h>S;74qc?QfeFg*8f@cSkA zOR|?k1<5veE&}r)m}6jm0OlPqTJ9|T-hkih-0Q^3{eqN&Sp;Sim_<~33VCJd$tjL7|P1fm(^ zelQYT?2ov(?{lX}22lJJ?mNu>9`_Qnzsr4_*)N0b1N$`h(umJbB1Z5h@Qn>@8`!1b zui?Hmn(OdSM(o@%pq(F{rC=6;X#}$g%swz$?ie>?q|@(!K#r2rA_2@1@;0*{BM*xt zyv-jDE&&FV@DZGU2|)08PCjO+4@faY z!_Q88tqQy8&}lk!fDR?-P>>Gg(V<*AB+<(77#A3+t6)|wvqmFW>A=2ZA+$bLE&vn_ z0M7@YO*jV6AAp%;Z(%@^X2{5yKD1|y&RitFm&`AZL-jcUAD)0>I6>Y3BR~P205&=S zft-KVBP^U2}TRpGa%vn*wYHnQZS3aYyz_v%sXJTEIIFh;YeIb;xjB^ znCMa^;f-Jf?gad1z%RfB!r3;jEo58H@AFctk2d-yeOx7RxuD5**hTvoH6Q+q`LBO5 z6MaO_-Nx<1rklH6vGPYaYKjNfcAwGdJ1zbUz2lhOYVDEE?S44X@@p4at zT|VMn1D{z(f<^u#)Pi3f_J81g)Bm=2j05mD-lP71mc|4+;{OS_Jbl>zs`p0!%cWx? zxV#V?qhKA880z!hdHw^Z8S2&Gao>plDzpy!ukCm_tj{zcv|_^V~CIrz{v4vh1X^szEp7qaJy}ELYZVead?$NE& zHR|T-%5_D$fX=VW)@A9^MTck;@d6`*D2iH95IK>E=_p7aLUE{cEt7deNi#|W2HQA% za*C>gp_OC0 z$>!n8(8^(5(`D-pQhHk(xDInSj8W3MZj4T%)3sT{jwN`<0kvOyYZlrC*WTLJM%=Dl zi`B65y4?f8Qc)%)MiD zX5F$j+#TDtZQC|FPRF)w+fF*R*|BYQY^P&8d6Rzjv-dvd>=)m^Z;Y(5)~Z=|&6;!8 ztTpc3b*)SFp6eCSv+?J?>yv2x@;vt>ZN7J@rHHeauC&I=2z^V?7JTR%{DqI>+t7v3 zVF7%!Sg!0qtL(t2|M^#1RO+tTV{x3`(b;44Og{K?9fA)-I#x8j!#<&iz2XL9C2U34 zUr1@Ax0-^6OoQx~nFH8*A<@GYAjx=W1#@t=4au~A>ynul%1Iz6V8)ZN)~2{{1nwl- zju|UqU8{AmYu^u(zV)L73phCNYYYZ0tIC}2XDp+ojQ6shFaO5DF-y+($F@AghraF} zKgHIVjv5GBvD0Jj#b#%o=?2E6*>3;Zj=DRCMTBXlv4Fh_7xgQG3XHaRJaoQ3lEysk zJ3DnHX1wjVRg0L8G}@v?gt^+Da2w^cJNkf9sI8Ko=4j8Ts%Aiq+iZ(Jf!gX%1AmKX zI}yO@)BSS36}{vNYy6!p;IH>eYN9%aS3vYZ%R$oY;lblNQ)O%lSp2lb>W7A3jmx(8 zI?VX-j=?+yE^B@3xQ*$-p`l1XLR){fioD5o;j%$P5p?evlU<5?Z>n%&Ma>g|fT^)_ z)fw(x^b*Q&zPQhoCe8&AN2 z$^kg9j)sX5!sI>CSQ_CEpK8ImYSDddA*8GB=^&T}L6@3>lP=aJ8&<9wgoGcfGXnuZ z7R%}P(Hqt7Aq7t)8s;TZCKIpuib6$bY|`5j5|}lb+#}RIne6)=%87n)Pbc}hKv#5X z(mv6vUG<0+!|a8_GEy75fm-MdajgAF6T;BSz}~^mP}lPF>XU5y51sbs1tUE(9s56} z|ISwbH}Uo#O8fsvyN&lj2>%bWE*a@v5AU$=N5|SX@80jdUjRU$@&Qod93ueWY`%Q4 zRRJ6P4^DiV{^JDmKRx)QxBnL#_`j&o|FDib>N)(OZ~qkkAsQFZwKx1E8~;y~=)zX| z)&?KUAU-+Izgdfl3rXwR{L70Ex#Hgg`B3=m@N+y2f7BIS z?LVm1#jT92@wm9~XcY{NO+RMX6_1SnW7!PJ@n~i33=Hi)M@6P2sQ7WO=xAeOVQBfu z)=u}i9)DQkKl$73Ol=&j?eN$>x#blgXoXZh=-O#j@H9SdHCUPH@EBNG@faBy@mT2T z@R*rd{yIJg<3D8#3=IF0eYAb*{?Ykp|GSOtL+?}e+4gy`u(05<{-8!SUTcLeN^Hs&wN(g*qUYNGOqq z^UT%bep$>HgT@m;d3^SpWKy9}zyTd#w6{1py}UV^bFN$R$_m=YGM;tvOxd<Xf>>3PC7pEE&y&A#dMU~5RZ%%@p_sX#sOs19c-aEw9sTf# zmS-DE&CMhj(pY1*{p~in$#pd8SZAGwc0qUiZR1)1O}TOW+{`Vganw=F0Cj{RnI8rs zhUkhtft122CYb9R5d|;>KImzPMK-rVPLNmyoJ%1R{`iu>vk;4av5qa-;qtbeo`EeH z1X!?U-Db>=j_pv1u`L{Su)m26R(=45*x)aN>4`bn<1G~if0Ryzx=j^@$t}{cfLZ1+ zI4^d_!wX7Vn+Nv!`K^P*3rY&GPQTwWuQ%vkTJGJnRrt61mDpZ5guz*ZYg?qtq+SA9 zwNK4dghiVNsr>T1tH8YYMTbw*sfSOOM&MpJpxu*odVYdAw{yOaNRB!rK*17O#GoY& z05CYg8O#(V$;TM*sUI~%vq^L92XCi+_dg^x&|i_%6&D1wYkw5#Oto|``2TiwW4bq0 zhlz5KDL~ZcrflKifo-!zTaZ7xMr{M&DEpsD?oa%D#uPIH-9K{)!$(a0r!4ocjr*CY zK2w8?uBG8WvYP-Uzn!VB1+{>+g@L$(u7#<-^tZp&1O%*I@HD8Inc4BE89(Bjp7A4J z(bLhhe*dFkp=)f9$IkM3EvRcFW@u_`;(*6W&-^Lix7Yv79-qpex1TP2-hZ0;y#K2w zek)@OLp*AFhEI{=M~YJZNGBhc(x&$IAK6I7)WE^ybBlkMorUSs*58}(^TDL>$GEA5gW(4ejfjP=gQ1Y& zXCD7d(*Jx6oq9mJX!Ivuq&BOx#A(OvCBKAK60X?2L|xn9kR;*Soh;U1uZW){FeO>C zqu5f3#_2<;fdwMa(Kb4mLRt=6`3B}&8esax%OR+vkOl53kyTwS23h(P<}-C&h1_PP zx*Lw-4`1Cs-@m`V@(@jO8&71g+fSr28t<=T0)u@K>b8RGTAx$@b|t?)P2@8t8N0mH zK1*xurMvPR!V8?uy~x?*_#EyTUG!CKL8sl^{9Ww)7MI5x2oJ<`I{is=B9r%ZG}iRw zo#9SxIXECiPZteuJmhw)QGGqHa_s zM1$O~)G0a8cir(qelRIe9gz1uA`f+9o17OY!@6 zH<5m;@Fh==cb{$sP;SBJB9}5Xf?Vr<>42+*enVo8%|;$v15oL@x1CekMdQvs@?|0m zR#yc~*X3LVMcE0>gysu<*BPT}sD(jg)`F_lo}+68qJ4oMAjP+uHSt?x^U7JpWbH~jf*8x0J&>Ql4Fl=ZNQk>ouwOt68C^&Xb7PuXpA1psic@RnyTF@2+nm=w25Ldvu zM?fWVX@D+1UI(og&nub8G0EJKT}r@4S2&wBNt{UCQC1+-)=H0TU{OHyR2KtDfLulOvbFU>^uD;ngy&D@{84l z*o8_rs-O2)ZlOF7N;TQ1 z(BxGqEBp;YUc7gSw@8sG)il0z6UH@(ssh<5V3pe;ocyP2-~E!J)aH@JTA-6@-GI<`~TFJMe`u*Q?%8q=iC z*|KLnhY#pj{-U-Gyr7+er&__hU6>anW)G|netq#mdIQ!J!-I=vM9DFd!%A%7ym-zr zS#kabVO&C9(wQWaaR)=!yM|XRkIq*(kC5!bz-dy{a}w8wD9fT%edi4~%1?rBoSq0f zyXa3;o}ro9q`-M}+GGexTa8l1a1?>^x}6v0DR?9~jp=>(mkc)#+}re*@R#hD(HK)` z%(iJ#(jA3b_Wt`R`?gm05%cBa^Drh=^ICcB8l1$T3c2;)o{}8e9fEIUXU-iiIm)c6 z&cM`T1>?ja0zAbyU7=vWp!5At@GXhbBH~~|id-*bw_#dDUf|mU_h()s6k9c+=*U(< zSs?>3`slYfcik_(;8!D5;+N^9c%T|T(KCchC&D&DiUyjh3(`@?v<@*msJejI&x9XV z^c&!-L7N{UZg-mEbh^Nf=Wh^Ig>20I?jPJ#b`v^5N)7??eT!UY=eL}1irJEHEuyBKalygwH zzo8XFRFm3(M?t{7jh`GQ8tw*ji>oX^CJI~+ta*ojLDxToe4vyHX@p<}-hjK4t;&Pt zFWlF2!C_28nV;_6wY~t@pADv`a7eNDrlAQ04fx7N>@Y*_7UUto>zma@O9zRY&2oj& z&?|n5a!Gv^MH)1Q=tf^8--KCbmwbU*4AudSvJK0F$R(j*+m1ERcB3+0RRY=({ zMy8^JW3R__FNlQRjST0%As+DxOV3NRbz?#o47176j(xqSJBCWDH_zsKTGcz))1{qiadkj(e@a~D2I}IwN3 zQ(Bv^{Gv(;7{oOJpyZL_^OFMaQrCc$5!5PX@H#qjoy{bGAVq;1SfXpSjs3}sPKXR&~T^9{j`M%Ct`pd(!!Ol5`k^&r6= zv98ma0bz8vspMhuG-dws9JH~3Zsr9I);Do788HddU+Ne%u*Q?N8sK2SE-EgAvtaw8Yvufhx03wI$WXP&=lB z0tpp7#OLnhrM82-uY8)N0fk zhcZ&mBqPIO`8u6pFikm-QjK<3zAyheBvd6Gbf>VL)ePWwCxWdB)07j6z8Zu?*9$!FuFr` zg3(LUsjz(7rN!bjm4Qrj^#NE51;x0}sLyCCFxyajsHcYZ1mgkA;1UgoK08!X5_X2u zCZXSna*i3?#oB=uj%$1ooH!Zm9sq5N%)`f%7sdQ)i7Y)jYpHFA4at(HxtfheaHuI0 zrJU^=pk4pTGocYY5JU`MWVDu){|6lZc|YQGJHt8@mhd)hA4V3%4qHN&Jdj6penkq8 z41(Mji6*WG(o2jQtaE(W<>Z3GxYc5qWqga?_}RT1yDf%sohQc<&`q8e*$1@|&l1Gl zuTMG_c-%C!dgv7e0OCPt@m~uMJ=_gyr6cOmhU5Y1tKFK1QONm8$VqXyShru1pb-=T zevxpUZ-_^2x5wsS=ta=mMZm?!Wu}0~$;TBax`PJ;gd|YHxxVQQa;WKsO5WFOCB>#JNgddT3R?yy9Qb~lw+8E zt%MU`=)^HT3y(`n2AAcu63J%-=Am1jx#>J?uWy#5Z34p=tgO+@=TG|+Mzrf$%R@;= zj8)RIvf9o^$N3%ib{poX?p2O!e!bRRO7=kQu~I*m&ZA>4u!?6T;wJFY9Mstx)JTaG zuON4hKVIM7Dsl;wLDy!Cl*z=C`Ox&poaf>_=RYkg7A+cfPb75+BeIH1P9t49y^d%r zTc48n4MQHIok?rVZ!F}*WNR;EL$m{d@PsiYOZ@)mJRDvme-xTfB!@)L@n!(bmn3x@ zDB4dR#8W7r#y7<=Hr6fy9|ye8A}Jo9haH_DFL!JhzECq#h|fI4&p_Wm5-Huk{+HxH z?hvSaHyMQ}H=;1Kys-S9ydRdMAyQ;oF7X|iy`&<8cn3Q%1v$HE7!HQ0_Q53@SG(&V zjKke9mwsw&#m!axo?*!8G}8X7|K&7y;C>rg01%;_>{wp(Stvb4G6POl9j?x-|MM{Z z6tJu`S~6y#A1z@>%65YZ(9Xaf(BRlHUIe?KdJ7UD2fh{p!}dk-SfRp<9QXax= zG-mbzl$S6{+20VDPnu)$f0>VmGRY&BeLT7c`W6ve8Y9k$vt|Z5QlC)RX*w#9Hxjg_ z+tHsTY9EU4ORU?L@f z7#1K9(*)^Tunr>K1}a*&qsjg&^+e)?!OWnxs;YTY+|U?1Di zT@T{g0gX?GMlaOT6{i*LqAoZPIXu7F7bxY14lfkdrXiVzVjKZQT$%s-Zd_7P&rH+G zwRQbR;Q;6rV0yg0Tsei!bI({Sd(E^+Dv5CMz%q*CMz@35x!v1B_ zAOuGYUL0fs3?DpiW(dBGZYZ@#2?WHilgdQyT0=){u%s_E7DR9tM_|*4r+D*>xQw#u z*+C_#eWvu72X2wC3Z;xR+9jQ2 zUKL)JFW)hwHH9pQex;7bSWNh0)nzD~<4CQf-&v>w<;Q}B;Boj*q)3eq>?V{KmoFNU zH;^CeFYCGIx$C>>1s};p@ZK#iiZaWh;YzxNcBDQ$Cw!D%&`;YIHo3&}!!i5O%SeQT z)2Ha+!gztutM+YfbYXEBRz)yl82Xd1>(If=Cyc{IOKigT>dXzK5#+B1h}oM(bpsjc zpIjss8cWUTQLr+n0vRMrtEBPJfftfr)p84t0WqR8o->1v4USEwG1oRPN{zcI#<34) zVANOv+FPL;l@UOhGeI`5+A)kjEL5o`Nm3n zVMXG4=s=vJGCfmJaMGnv3c^fIlvFnUOR{!>sZt_RvMoS*@W|EIxU$2tBgJz+2-`F; z@rV*r8Tl@DlE>Teq+_L$tUdg6zn4wYzsZ}}H^RyDfMd2ekVGxy2;|jqdZ904F5H$Q zkB44BY_>p1m0-MP7~B>mel=${660RgtArQrI^m7^71vQAA4#`omoL&!o@s(ny_6b; zT~E-#`o?gkpiq)}LGqpK{hPP*jo^&@8e@x!!=y+X#$)A;?-}Ef;#%-!R?&~2MEm_( z#<`f(8r1Jt_(L4m@~Nu?h;9DQUnZ?wfGkMrQ{htKa+wQOwuxR9IZ$5I*u&B5Nr@&k zR?7*cIRH5FWahccI16SERV&pEW|nga99wT>3(~C_W*r#mRVW|D#8$brC)zNCjr_Vz zVxWtla<_g&OkJ7{iaXt&v5ikCdsxp<9H3BRHsOi;x_E3&nQhzXW#({2pDPwU;_tdV zil3Ot${3SoJ8<4f7!Q7&u8LNSQ}ma}E5Q^q8zYK?8^<{p3&DQ>G=X|M5bCqz#>Cfa zmigLNsAA?;fYNKeLqCcy`&hhEkoJ|HUG)G^WON4Vr>jw*lg#BdW50pH4)x*I)1>#! z%*|13tN3u$yZ#fSow(?r^zg1t`CFW|C+&F@XUNvL%Khu0w)Rmg8;#zBO86b!l;~N`w$PxAGs^vkS3S7aG%0?8$zP!fsy4dJ|;ls|qdU%4T--??vGePxQ3?Wi9YrP5A# zTE@69UuifC^S=9~()Q{W^J0QK{`8`Mer8$K>cJ|T<n(@hsVD5EbZt1RIzXxL}ym+ zE5to~HYW|{H83UkXP(Zxx+F2kDB|&HE{RbsTmQsHbVYFjjlvlb7<6Yz;ZZu!@Qv_c2l_E~U(2NX=w0Eq%5?p|p~1 z0H!Hq3Ys1dE)}vSkg*$eZ^n4wR4=_!5o~kr({PW#nwZQ3i;Mn_$LjM89dmMm1p| zM<|ZxalaK(f)$cNWZ- zusu;YLJm4g$^2HLh_X{S-)zE(%t(F;38Q>dvFU$@sC>}wMt-Mk+V%!kd8yh&a46_3 z*$#V0*1YWW6CLcEEZcMes^j)<56e4!E5|*s7z51@QZN_Y_ z_hu9D(S9y&Srb^QFsuz%{E^yC*ryV%Em!g-YEc>66)NqP%h$TbCc*ycbv@W#N8PuD zz(;LVqhy+~%3`{=&%bKdP>AtS&y;kD2hJshzU6FKO|S(&P>|{edKD-;3FP^nQb+vW%}g=RBN)t6r?{36s%}VM88vGs zb4Po2V>bkAc56B?$PeDngK^Ybx4rfPTy3Ou^+r9g>2|`3cWnvQHdM-ks~S7v$)|gV zo*3IK$1Y!sR zy?Zippy%%J~eu8kJ_rzol?tq$49LmE~%Fx;*8ck zy1xtVH^Y!;?wBX-!os9}v;ScFSUX+Mj$|n4yHrGQ6Z&|s3P!k4VSM=ULhmEUuZEb( zSI(|M^j&pI&sHUJnufF8TF_?TmDthA71b)5N>Q?(lTnJD%Ytgm>$LK2#uh_aC6kr$ zwC=_+3+~mjtn=5un0v3{7_8pL*o-x@#}?`C6ptSbx+E)Li?g-@0unvMx-#1hUa=Z~z~%}3SneQ&Q@>S5ifiD7x?biYzr1>CEz zGhhlZ7&>B{_5hzraD@<#49~)T`qggF(n4Eb6`XtZ;?9}gyq}u51G(au@Ti>IRS?yX zIC$m5asIe!RMm1o(Hv|DLVDlHFqy-qylo~ozBvMBfh%{n=$k5L+jFwCf8uesL5IqS zV`sO*{W}wJ1eZI5A)rHke&~dT?D~6!c4TTsOw>V^hR*Y1#w_&2ogW?U?Zx*(Z<)-; z+DO!x!MdvSdq~?X&epxG#5I8q-d5J@v^d$`Q>-xL0 z8cf$!G8G?JB7IF4xuUg+hQ_f7BQ16GLNj+vHWFQszO zIg`D<+dN|LYV^wcge%l3$cX`0w%Y)5AwO(qExqxp9k`uC@G15i_|q;6Xxj`)BI6|5dQ;dB(lqnu&fpp?ba}&(T#t zSthpR+UtDN$qFFwtU=A@VvabcV@Y_HM4h1!%;aQc*_{NfS|(z~tY9%TUHWt7LCSLX zS&sUu;=nzFAP=}IQuTb3y%NMg%ecX9*IG!eyb5sn5e+6`euQ14>7+r-lJ8LNQ8k(( zzkHCRSLK?jIAS5BJ%O``!%_Adlcit_m;RP!#qWm@{PB6c3gEqk(h6j<)~Hear@BjX zwsp2VV)pn>42_isnCSrS5AM>6Rd<+Q#$(GMTImfE zsU;Lv(AN~#{LI_tHRYL?-yu6GTIvJD(~nyt+dPvbYpMj=m2D@PFVh$>mD=V94#FDt z%j}nWPTAb&BDoN-Gs^rlRyh#ynYrAMPX(t-BBqfFLm6<=S8Tou$Wv?UM3N~8oF4y5 zeXG?@S)go^P0^}gB+Qbv+w!y%zwA+Hu9zgQx`lFiA@rwd9@)XZarxoZXq+uqd3c_z zv8wU>!qeQpXYcCV;s&vIc&M(DyueJw3f%&-teORK5Mn$;d++|UrFGA0ax*0PHa7KP zTiA{Tu;9@yyw1B?w$c&C!M&vs-!Zbtny(W3_hn7&^3y6$Gq0vh)gYxxOY76x+Ju)H zHoPkrTk**?lxypEFt^uUnJP&fj6+*jL*BZ)N@*(_=T;Dp%Ea2qy}0iyt(x52l{n4X zIV0RO+Kmg`Lr}Z=H%%`x&Ye}&J;zRWDsE5iyBwW}se(9a-I%-8DD1`+tt-d6d9;h0 zS5GPVGdaAkPF7tM9(sBg|%+XTnqd6hldx^{Y> z*Hj6Ab`m4`y6D5W1C8Hq5#Ryxm@rn%czAmQ<@kJUh$6Abnso~f?GprjpJS+-2ECJg z=6m**gM;FXf65K`N@y45?#s}idqTD)uuKu#^>XC+n6i;FXbh^eW^7Qgi!)@qL*}*M z#KNt`8nwKGj&pd==DzPXS<(bS2seJYDd&QH1*=DN-;|OXQ8f9Bmu(@hsRcyjn-dE@ z8Qkma!I@p&MBwx^m53Yn>njcpKxx_a9!(Lf<1N&fkh=+j-P6lkH?pvBrEib!J+sJemo0g7MN1s0n{X&yd%ag6G zo%7`P-(!jPDDDmpjOkdo+UzE*EnR`_Kiz&Dre=CHFN8y)<`uP09<7D3FyiJ_*@)D% zo*eZ6b9QBH?+%k78EdaW6voURTyKu(UQX{E;VefnZhsK9wc>Ke_YzIiC|fDjjYC2< ziEz{WtWR`hBhBJExx1&gQ*GKS+Zw2cWxj8vmr1NirK*avwgu`4NeO(sa*~a1P3A{+ z9@>P%*gf8XL=kp|uIWG47h;x}>LFlSJ?H_7LRi!+)8Z&99q}8)PZZ8`OQWP;Jy`Pk zin+vqb_J8oKtq!~=&zP~Y!_O#f(uc-#5S;bZhIZrC;)D2oNQb~X))XNGMEIl5KLX$ zy(A`|?%^p@UpmTcyvNZCbz8gT=>fD zHmJo+w85gxq`!q)dtG%wd8NKXS|lndY~UwMbBRc$$w|!dF-F<7p{TokcwU2&m09fZ zJPYd_dyMje>aXgKmP*?O&CpkjbQ|l^-oy}rl{5pEY>Lu}>5+g6$NF!-^cr$&D|0F< z2(>Fq%*_oL%;ii1S2d?+lvNr!a&m>Ov^Hi|=YDr>mC0M^f7h3WjM`Q`HnE`WlaD3g zWYH0}s;DqA*%VYN7d_Qz@=}r>$EJ2HGhf+VE(|gQMWDnkRueY#7yMu*C^aiC)<~yS zQ7NxbJ>6_ilaqinR%}>NJ>De3sseZ~UH+Yhk&3P)9cf9eIxb47v24_0Rc`LMJBm#0 zSTTmrOf5~8*^KDUWQ)I8HJu}-TbXn)23A}B^rSpkPV*}&3?$*#2uCm@>UPawKW-Bl zErG!so`5TLHRACW>=W(SQ7}G2WFz0X-YCnu9eTz~T*S5gZE2(4N>6^!J(r3*^g{on zweG~)aRye8V-IbhXkeZTHmKSnB^JCFi4;U|HOl-wfabfi_NMT~)vaXPyDRPG+p|`r z=c~Z)V^jt0&X_0fcL9mvaqZ58r>sW>iPZKgZ7I)Jy^mH!?amYq&UEirJF~OVk{IU> zFaFzG$kHlpxmWKyKePR#2n|mT#anHjL3UPBPSVF6b-1!*!1Qo#q=h z-g}UBgfn(jqfs05gZ<*Y3eLy6a!Brm7C3Fj$r-K8%fz;ceY%@(76Ha5Hfq{|yj%oa zrSBWcvKX1y0~5(?!r@I4n&ir%lKqCc-`m8>QIq|t3i0}Bl<_KvH0M<|trW9RI-pVA z3SGP^)82a~56r5JEe-Hj?8Psou&mN&&po(qJ*u#Tq}WMaL*h4Dp)&URAupjXt^+aG z6(=Z&P~N^*Z<7mZ@dA?>etk~t6Yp`g^`&cveeLi zhd9#t;Ph}J6I|pek#0o7g`fZWwRMWA#v|5K!X%A}BmvJNGlGG>$*I-^J{YkK<+3zS zriA7CcOMCG6UwPkWJ!qxu3{SiVV)!uGI)=A6T&E{DiGPAIbxDVHBe26j@(Mg6rK3K z28TEKoPIkFnVAx+nv#;;o_r3>S>IJ*mltSXald9s%P_Gdl4`x2FH1VWf$>(C{E$}E zo&?oo@fvemD^F#9F@d0}zqGkchQ@^((kP?qdf(Mo6zJa#AYs)^x<`F>2T-@t>!UQ~ zshZV(k&PnEF5?FIHTlgwWOKQj>L+sHziTPGX&T6WCOMb=n8TEm3ica3JVv|=LjYFO z8i-{j8Ksc$Qi+w?=#uHbaje!i9heE-Q=_uhWe*ev=sHGAYF7my+_3D($ z(y<@0dy2YOJ>wzbU>e7J@Z_6}yo+{efUP z!y-PH&0NeR*l(1Bfc-5Lrc{WM^6{H;?jWTuWD=lilrFg5v;aKFMxrVi+J#wn580k- zTJ@^mo(z>}&Ee)3E${=#OAC2-&>E9&v1A=f@Z<&{*Q9LyMOZ(y$cuS9D(MK4@^0$_ zIdRF$R-{hJLxh_vMMU)Q=2mY^flMg;PH=o#x`0$ZWB#F7Tk?NOHM8fe>WMe6av_$klvb*&&AH_~HN%kYloaT!8z?yYhWZfQ88M z;(UiSmC)03Bc$aA3d!^p6Kcpu7Lg1s!WdYD|L93fhW zIZ^2X0WMeJD{Y70GFDyhz2ZvJr2K$z|ST{ zO6F5QFtSoCpYRGGsm)TIIVN4TC42Y%;HEJ7+Kg)_1c1UI{?i8S3v2Lmw@5AAnPAh` zEneB(H=x$F(COgKK{Q=3O+@x1FgFrA1E4iT_I|JoM0Vt{NyML7suVW{go_SRn;=8P zjf9%KvF_X~jpW&m1dR~B!m)JL1P98J!bIYrtZUJ2B|1BF=ypeM;G@Pr$z!Edc^agr zUqPv}c3ITcM}N%3`X@Qti&D|YY7aig|J?&9v#)OnDi`sct6tKtq+a@yZ(fXE}k9MssH`9_f2asISwTr;!X(=t4& z*L}@4l(ece)ZaoHPOGlpq#IiFX@CZ$zGZXy!Ey0>l}nNtMyvlOqx##fO{h}>-$_YQ zI75_%axP3kpeU83or*dN3QnV{J$BNg71B+%p=Ujhx;6)kaQR)q%pz_+eHGJBEes8+ zNMvz+*BRBHOi9F%b)-&8r6eA2fQ8NroN$$u9~F6OAM?5P~dv|_4_sJ@*sF~v%fsxU`tMi zB#g(Z*$)EvV-{ehc?kr%UxFW)aCW|`bb_h*DESEv56;BbPM=_(m|g3Qgwmd05T1AHSKc@AP4MuYaeD!sxoJa+0ehNvK-(|3)i|swrjX zw$bo3ch}K&6U`35@4kt8>bkAC>OT!GP9@;aG+Zjh{O)(RHw`ZOO~SDN4EC zIx`aC88Z0tsONyk$P=UQkP&^R@<7EDdbvmE^X+`{Jm>X>By#0Iqqry&KCQd)3@*CT|zsX6gcS9!)0eO z+)$H{=Yj@WqIf;(=ppsn1KL&-j7hP%vHm-*TH17_{XqB^pfD=cC&c+;TpEHW~qzE7uOD(9`@#r zWzaDg=Y}g|Wymnx?f&!Yy68#yNN=xV*xjG=ph*=gb38QSQ9kW;Er6zh_-S}H%S~F* zQ)+8lioC9G_`EQEZ;g?GPF&23mqR*(*M8WrkWO6Wi}J7*Sy*MZoLj+{7jC(R#} z8b2>Teko>`*$xZz zGF)7rWzhd0fieHP(((U0Muz#qfwg>sO+Jo4aG6i|%RgW=pXdJyD)}>me^1Ik>e=Z(ASnM& zq4GU;#jKYOD(L(fspW)5B13QmSwhDF%B}`*^zKVkMSV~|eUyD{V-}$yxl~MV(R*@i z!L9{4DWl4_8OH%FYVvV8qpK4I>9VH*;wk*8@pE&Yw0I&2pq;L zM&jw5q3PUS(y+t=IV~|UJZ@(61hxq zaH%>JAu#N-nWnZlDKST44pb>PYMk^xR96_q=TXd3Ak3VOC|-{*y^10%2oBC}wv9YH z_Sz~O1I%uCWTKOV_0vI(s46v_+tbSqAAxCKvd{nL-uY{4Kj)i){hzz_1KjY>$o#L{ z=l_Vf5d1g9#s9!surRRxg|%Ry`&dnSI%ej7!&)%@0ZRPuu@=mKpcnrqti>NF#s4$X zf}ZZL!2K80f}ZX#@WsEd7Jupd6^Z}CR?y=yva>V)ard9W`4>v#!`6SuWd8+iQQrpc zf+W1mrlsV0f3tc|gwL32U?(Lez_9BhmiF)8k*l}vQDV*iDNJ8rI`WQt_CREjaFI-n#?GWS*AFy&FE}5PC@}bbi&19>@!Wa-mx)2>h z>-Q1}9BwqucXc%gS4-vd(r<2DZjv{iQ z=r|v4_qj7&ko#2oA?t%mDCATb)L#Ga)-=uk#6A(;d~eKP^=tqvC?*Ng=OK!mJp(?c*fzyo}QohlV#KPn=JnYKf|W zL3x)`*B>v5hS>(wc_G{;WsOa5x|jbt_7g{>73!4Fk}o#z(DjC3b078)=?S3K1_CG4 zczgT-SBS7~xOLTk_3IfR&b2H+M=u$qO+6BK6wKGE4*&IjfO_qK!Oce(`1LT{o+2^K z#~_c%(U*!BS&t&P(5$fc1hgLyFpqLyzK2$dmVIgL6nUQhdb4pTcmsPQ>wvRj!=4$8 z+im%P^cF-$UR}mtpnVh5BT>w$_8v3B_Qc{ z*c2BuZU_(WFD4f#IyarVeMM93*#cjJZCD~&0aSJ{xFBQ)RJKGsAhp8J2UafpALL)C z#=h+H5uv#!KoRW>L(PaO$2aWC*nRPej$<7b-32=GiFI$LL*09_A#5sHWwOE_(k&4{lS&gQ*q99D%OD93+J}KQ?N{^#}{Q!HplZp(Jt(rx*5PT z1he|X2Wq5s0{j~!lRW8N;43bi0GJpFhER(GxHkY^-;OU{+P-J~3!B7;z>Xh)Jy{7OW`<$o0Quz(gB7$BlzDLZ8jLNC1 zfi>RJiwTC=;?Rw+7G=YG5pfYc0bJCI!~u$WW3;J*U`z@0itZ5E&__4f5q%^B*`W@u zk>!UJ!@eiLhyW}`H(&w1dC1GS|IZNbm(dm%57w{KYd#?dk1vqpolH6sZ{x1(jaPf<8(Y9;*U-l zj2_y3>mBbIQ0a_p73ikiTJO%VtJ;p5xNRQcK;ZW4JlAISrP%T@8xgXUbxLYx;>~+k zZmZ;3-9|NPqw#!-S|SK8QMwUaj7lr8pTZc+4`MD47wb&8nO}h!-H@2-fpdzVt~fp_ zoRz6vT&1ziCLYzK*NRJ=7y5qc5SO0(z{SFV`Pt4$gk>?PI4JY=VFG`vMMneFUzFYj z(SQ6)u)u|YO|kWQj1Hz17>s3Eht>L14zyr)b32Knjf+j*GAHh-lJu9fFJ{+4>Gyi) zU&g*{V=r6N^4Fq+{6YbPMPJ^Bh0P%}*{KbhNLgbsI!VY8R1nB%S%0*2xhTIFV?n~1 zGm5OGFrhmxl*U>msj>2tc3?3Pw6T7xxpl5znHmc!X5r*=A5cpf;UEzIO`nd6Nl&x8-~nWyGJI=Wq&(h0RSRe~!^Yt1u^oz8S8m zBs~kg5jS6mKU=`;=^6%wyS-Vnw99{HRAjfitkaAUWHcU&+ZMamsAY~}bTpReRSPOtvZdJz& z#d_vwMs{PpVVb;)L)$<)G1I7Ochs;;w(=xQJ9*zp<-K%Re~T57937JeuDDR8LhG&! z4Po;7^xLM|&9nCj{WNqwbt{{??G0>6+NsJKXL(9^>aK4Zn%wf2^ISL4{+3r)IS}+R z=D_j5*{{o%OT{9r8h*AqtDXc;p!jZR|KE2;J$2-wUE0%XX zlnLh`^}23$d^AF|1>ua1Uf^@+G}Tn_k-+g1>fmx#N#RB`g5`Lk<+?2b!XWVGH33oE zRG?z@PMEu)Ui|KfbNE5QqQVt8OJ;tEZSG(x5c5REYNG_QZE1DE=krZ%33e2pdKen- znXELA$p1(zhR|6NZn`ChSQ5OWYSPV~?ZAPHo>kjfO2E%!N97KJ{&9f{z7F~K%kA~p<{fxw(vqww>s_Ewa0%ZwJ z)*~FCFUBA5u!ms>xWvcS)3~$xItxryA72JL8s-yQ$Y;R;tO_3Qwnl}=^8@zEl56t$ zSDp*2i)($J{cU32VT2BaQsTjHPKqa_oF};<8VOHH#!-nTv6nHxPVEr!X;YpyQxk&g zab^dg{{>D!vA=h~?Eu8@99nb)35jF-i(@gN9nx?XOZ6(3H--dV7$dX_rvxEgXb_r( zvjX2Lz|;wcWWt>U3bcWb#2o`?iO}gEha8U<@_lv~BWqclL~<427eh80jg|m1?!Y<1 z(I2Z}9Gihh>-Yf>aqiH4lGE#)D$kSob{@y%m~DtW#QK#@5ZlR8JOQksqcyDX%1#ZR)v)IG zR#mbw!k8*Y**a{LCFlUpvjR5gN*j#3s)OPaw@{SEB9U;0D7s+=A$Ms>aWCTL>*8lJ z$5xD38jYX9!iM+jAE-?yy`F9?AG3O2Je`khKQMUS>KiD=(-U8k&B8o%ScO|Rr0%$kMp>Z*Wq+wuYsI95>233J4Uio3a^7~#?{i}p zU}ZmYQ=VU{TQrkWs1_nrh^GU6poX5~<04+iM0b^IhwC{P*WhY(ZE_uVoplMwWpf2x zMK0du53JbPMX8#$vhg)-{XxkbL9WEH^18}VR4#zMZ0G!^k*}(Q$qH0GZFf}IORVri ziHhA}yUXJ#DK7Jh;fzQmJJQ!4?px9~%TBI8X39>@9_gF;t1GXsFex|Rj01e+RD8lM z(bSYr@=C@I?Q;4hNa;Smk)maooW>Y$$>` zU7gj#yA!1;RrC^}t6d%#OYF`Xr&aUVaGcu7Yx2;NJHJ^VOR7YSI9@UUNfZccLf9Y#QzR*JTCDi{{YO<3|z2P zAO0miBY*Gw-p#*Gz7&YVFZdNwXPe}lZ=~`H=iU_*D5&J0qMCzckx+ z%Wsqa5`QbdrU}dB+r`x~X9o`0r<%N!5nR0Fs*nN=Da|P)#S%jPz?6Y(ilaJ4+gi?# z>Oeq8%F-?MAdiEm=t`4qj$@9e$;ab*m=dmc#vCvs90Zx4v`x z-*GJd<~Mi8zh94oTNW<7@4kf#w~&lGu(&?{`nP|N|8{laiHDzfV(0cJzz7gD0p>dc zw2T#{qD^{lN1a%w);T75Ci&`8w@LRa7ZjzrS8FfQkBe#j+%f-1ZiqJ0J;Z;%qEPnU zCzt|^yG@eC3M`^}b1miwrew5QQD9>)+{+e{{b^GwdrYx%R7Yp!Uy(t-Zs~=Ynw!KX zwF%}r$Jdl9){fu?1 z=gwZceOevPhVa&c{r3{v#pXR%E`0p4!;kEM0p1Do9nVKVn{C&4faO$%9n4%cUx!(-m>M5uKC8!*rSlCp_5mA9R``cPld)pOvwExiagi z$wqZl+J2$S>WzskBU+!sV=xwG6o(lOFg?W@z8G^wAX%v0v$mJ7?RYlSt|+x<^Cc6*LE4tW#{HAB9X z7)=j4Tro?DnU?r_#aL>!$IM4D4_08Q?y#GX4pos3^-#$sjPX$n_8T4ry%MISID`t# z6flQsE!YxBkwUJ^gyMYtsE+8ljwq_?JXe1@8igbpg_NSI1vm!_OzXRuAe0G7mO&-O z9==cfZv2_H?}R1^K3U{DjSWUfe!yQQ>c1!4b@331{u5 zGI0+e@M&gwCA9nqQmC!9t5v!(Mj@?An{rG!u6(Nq=}LpLO4$ho0w+q~(r_RFI!Y%cSqtqe6aNR>4671&> zVLpEGr;&UF$cs@4*kld7lQdkVPe1586gZOd7XP~MxbL|Cct9SUGB|Z`+9dyj{C&PX z{H|143?n#p!D9x4@OzV?& zUE1ojO=%yc$!UzqUG7*Kv1!&c>O?U|LWfCGVmmxB)J`7RPq1cf$CLDMx>g8kUx#vS zms3zqcs#h4dI0Ix6Sn2V-|PKX48oqHlx?Y`dL0NGZ8;5ihyo(ObYXi*v>u|JBPcC# zY@fY?j$xmbCA!5{!OOM^LAFC`uZXgry1gQG!F7|8;s>qj#B|k?+CWD|eH}FpHDjl| zh*BULPlYQjajLw$ybkbOUk^Agb(EEsC6$VlRhkKW<93OX$OHAXi`llF-~1-pud!~* zJURZQAIq7^~*4NL@+COvPxiJwcgA#c3 z8-R~AoX{OnE-D61B_seZU=T{%Q^;P#!; z>pW>OJ_t8SQB+Y2Ah(i>vJ~kn^^Eqt@`L)lCcG}ZslKj#f<91Asvl`zqc0TYDgM{O zQ|jZ|^Zb6{dG(<72Co$G8A72N)E?yT5gt_U(_{mQ2S9I{MM_#rhz<%E7XXtEHz?h{ zUpCXy?YbKP(`=eEi5$WbkBI_N66I&0p*Kkx3?!&XIq-^!7lQ4HqWz+(Kw7L{#UU+- zI6{ILxj-SPq97ETRF}yF3RjYXvf`3ug*RzhF~_?&j)zV-4MGZD;{??tDY7U_k|6Lr z0cTfJRRub?c)fu_(6;TkNLPd6i>4R#LXJ8WMYEi21<|!PzPh%+_sfKGBIP#tX)&gc=nXtm z9CG3ic0vjYurdB9F8mB@xB=ro;k@|v_-pY`Lhgr*`0=yQ%LD z9OOa!^r#i5;|g3tN^FDhAp0Nj4_J`|kB~{G*yq^=jEU1_cQ`p0!OViCagw5{F1PAI z$fQPOg_$k|ClsvwJJW?+5s$mubh+_aH+KJf)77oPD9$#Flo@lBfyFk zfE5d)V%(z7lLB(8kmd=DOc|a!BI^&f&+SU7zuG@JGRHqRvL#p-JCu_(q@u2vcsJ#?BX(MTw#eMAg=1e_W5X;mva^U7qi!I8$m`O6L znVu=KSYubDVX62y$rh#3tz^IOMEgkhhi}O(>Gi`P3&N!k;1H*dekjUJO*^#x5wXQW8w`MA3k)dL>X{5Q2czb_N9V3;%UMk^UJu4S((5}^+&V-5g zP7LUv{;UB!CXfh_LA7VRa7K_Ni?*O?1rUR)0S@SYimP(Y@!_y5V zDsV+O;8-~8+OjN{dqn){X*YfH#U~%+#J{&spR%YZm>R(^*G)P1?a5AD7#%k;C$%u> zcG+tNOuB#l3wLekGhk4Zy zz`Vuwgs@wEUU}Z!9*|`h9!`dd)oN|8C(MV$LxI=TH?)t`6WRsod$T#!n(Ed;f4Fsv zJ!W;kVhw7u41~frn5E{`SF2tfir@&WC z@E*aX_*J*2g;5TlE%a7$)NHK}Dia1M)o2(QCJdKGD9h0bez~whS)nf1)}nR%T49~C zPF<^=L?`(Vg%81V{SZ9Yuldu$Y2|D6wDtq~fj=)?kj{gPdtU4Pb6-_S`l@b)`l>Y7 z<&}yGQZMsLFLy~tC0)`h^@!!uEH8j^G3gpDISa~# zGZM(1+GnDAte>lW(xGvs??XMrk*1d0Icl@dNKMo(@Bb~!ZJH3AT zggn(b5qKjXe9t4`hDm6#9xhah_#$D9(yX*9n-odJ0?FbzA|Y7;Jn*aNnmF#QtD*#M zHd+PpO0#y(GKMshR(PeUQRO_bsFwReaIf_8*+oU z_<4L3e`kC&|H_36KMjCp*Mj!CH@RN*5S7#mdLL6oS<0{!;+P~(QjL|X?-{0Kd z**9e3xohDz!t6~x_+fQO(rW+y&*Z!OxLP%2^X=_l^zW@qgLq8 zpH?-nYXOq3T6Hbav6U;jX3m!B21DpMN1US?D^~(tremw zx9oc*9`8IdZLjW#jaXTK>*~3UYrqvgdvE+q{D=72_$Slqwv)WaYnyjGb?A|YsI*>M zml)4>1oT|GDj|#!NUP8$921TU-x}KhtAw3UAiz{Pq{56a>RNw5e*PEh56KmW5@WR? zx$4jQ!hUEVQ+JbcXeL7aUK;uH(8%2D3{sBjL{+0Y`V=K!?%F-+@zaO;@%JJm&{ako zG_guA$q{nYVctf*Q4{jK72e^VI?p_hP~k02S(|ddu*D>#+p{ntPDhs2Ci}CWld!~O z+!T}A$sM}0HH3qqq7Vt$9YGYd71@Zboov`2>@&V=yQQ;o6kRB$ei7S1s$%;`)Tga? zhKju&kHhVfMEV;Jfvzns8$f_C;NphwC25BnZf`k0_N%ht(<>_% zj301NIGP%HC4T0=58k?SR!v?y|H{R_7RRLD?b&l^j>AG{ZUU*93L4dfQ*^hG6DW*H z^d{1qOm85cC-y_imV|;3_dftCiZjWws+l0#A`UK~1k?=F+w{6g1G=5nJzytQB$!;t zZ^}Y>rWopHT8osV22`EZ9lD!!KI_@kr<;Y6!$)%qeF1jmfSe(lwr zzNnvKJL((NQQu+ftoIxGjpi9+QQhXKz%T^nFh%RBHDgMN>LV`=B0wYI!|@3?`;C5H z(PDcGhvI;}&OaX<>gnB^^fJ~mHNa^D!|67CLyq(YPqs-%@Td4g>8x3hr2y{}bHp-K zE)U0b_*ZzDq(*R5D#iVzYCKZfX1X9=kd!PwBIT(uzMndnAFckDm#)^L{$ zF>Sm=qZS|afBYa6=NW_wjrC@@trZHQ(Uz`N3_Mf2@@{5oxjH;;)f|!Zvx+A z8~CBwxc?E93+z}A+6;Uh%`JMg7#EXczF2q&87dF;4GC*Ot}u7J(vaJlyCe58aksQf zJ18F1+H#NQp31eL+``;3@ZhD~&vV6G>gQKMzLh-`q!2F!(mZSjMxs%=-iw!Pc6)Y8 zYHB1~#YnW;A`ZJgwQqwRFS28MJE_*Kfs{yEDwHis#SN)A70M1|fqtMn8~YH-PDW@n z)k|UA*-$lG9|%_^T$$OiY`xzVvBK(~tl8<=t=SyP4rUi+C$jl$f6iYjy8`*7 znNDtRod=T-s_J}8eYEn@;tF#Yz}`@CrpuZjO^c&6M8{Dl+vfCmS)>jD16^#%?p|!U zwC-{f<~AIgv!$r|(W{m{nv)hkla@WU|Ga|unO;?;1LyUQpW!2$pPVpp;)Ll}4cXRN zN2WhqP&s_VmN+5R4^GXmUVVS(MbP6L;tTk#YzHtEJ)jqqJBK@oBgR#jE1a>EA>0V_ z2t834-SgaA7jcps5er|V-4HDbwUsztY z#zc3GsO9akYlP~Z#-1NanqInbbjkE?z+%a>^N(N>zj$QI#@hH9?73^s%v;vXn!ApV zY#%c_{>OM{{Cxam^~BDvxFh?YdT9UdM|U8Scr-p1?_$>1jW+0`JW@modP}84ve4?q z94{cZ%WTtZ%FlNJc(*tm;`+N3D|SVQ4Lqg5M!Kehz2*`d-RJgryhq73C`9J(1+HLh z;U9I{XY6zuR=T6UYny;=>|VB4Kz9n13dD{PIHIgAa2 zO+Wqg_U@~#*0a2j6}yG|4&*SA04*~C<|Sgwe(+yqx(w~0Ze*)I0nF1e`6K)hImw?C zgzLykeuc0VZz1>d+k_pm%psFlD9$#&k{a}mg&((tg>ge{dJE(fQv_jjp{KbL%+Ok>5a8NPFCU6*R zQ!=ivuSZ~}n9~gU3=>o5IXn-bimAoDNzh}&Z)E{Df%aaxhh;>5^CT#9Tp;pwJ?iI}J$s3NvojqOCHnQYK5 z)#0Mkr79&=a;-c@=Hwh(p?$iY*y*7Gv&9)9uIXr}=a`3hXhf}OF^}K7;s|LnB93T_ zaW3FuJ-F6>W1}26hjI^H)2Jv;o(rG~#92aD^SZXj-R=^17}zQ7+g@@1vK31rg9lvE z_r3SxXSVZ^F>6+j&wSNZF}CKji-)-pbpDq3SbiMXWhaguaO4QsNsX6JgXr4>A-F_u zBE1P7C?ix=rUOHPis#KHi)bUrDe_LjLqtL++yFw-j-LZ(#cD3Jq5S9amqB#eyKzeFvjM_oaC9YSKXDFfulTe?f zlQ}D3?-q};ojXlMtkB>Y@K@|i%d&_}ve>BOnDkrfYuaq%YufsaojPS7Z$n2DK&!;r zy_^k~(;X$Y79b?P)GcOvmDA?*!_DEV5~!m98Jg~cywO&j5s*n1E}W5_&9*Kr5Tjqf z;rQ)?vj$IIHD>H+|De7zul9pOWFh~!NRHIcyduN?sd-5q#r}QZ6`cjSHK9%V6(CKL zoFzKa1zaRNClEnUI6e!op(BuioqM}|Y3j!l6e^Y=J2uLQAyAL=`6J$+}kU;@!u z<+;jk@7Ye*l9LlWwlA$hpn4*}lD$qAutFuKm{>I64kfSq_^OLvkyD*Pu0%L`A^!aL z@s{t6Q$?bm?u`+jZZdHZIbs4K$4u=gsr_PVuwVa}LKha3ss31M0hGc`RAlup$~P*{ zE2`=wsk}`|SHmQq4=RN!RYr}Kp%N-i2ZKeMG51;Ki-K|Ph(>Ld|6{8R_-&mnoh_9CpRF?* zg#z2@mTn#Fpxb6WwJ=k(HEquEz)dn;t_afY*n0M3cti-?8{i_)zjVt8LbT2Jd8}dy zzYy<;e-{5s{Ex!Xi$3nlAFKIWe!7WXz*934XN0Rk9|zD!`sg*v?XKHBJJ2@qb>#!@ z1Jie$lBMKmIp$nfu4kFBOj!e=gH!T)onCJ)$>XvFDMz?p*s8qA{mvv*;aW`aI2*#J z=*c-_CEjN&PpW1}@l@UG>&?p+-QtK@YNlIptrc5!w=ZUG$2oe2qqoXgzqL$4-y+sj zpa{H3clJ&RTcvcVNaAQDyFcZoq|~)swo2Ja*PTxr=Ntg2H_n9A*JDBC!$IoSgo57W zTCr%iQQg5;;q*cAcfN^#8efO6$1!YvVs>%-4}r(8`}Nyz?7VIdNtt%`E4&d;#S8I$ zJFaf4UcCD2_>b|gzuCf8765aZAdP;sUN4tqNs(+`*`o}ThbhuzWs+@+ZL58o`$5lc z+hNbg?k~jiqRFgj5TZ+2PDL{X&F@n7A{+D!J!MQvLkicN(wahoDMcweQ;wzZDVRW< z?l1Bm^K*WxZ32{idPeGtyFDG|@UpcpXUOjIUOM|?vykNBwR>=m$+_`YH?;8wK{d8WnxBMc%C-LF*nqdGdF*E+M2X2AI<1ybmPw{=502g0( zdSl)iDLWDgllzd zOWnL~<=EJW6h}e-Nh_ai{_UK9yk%~g)i2jB8)+Ht8AKksQQq?VWen&;2v6Nz%Kwp%<(e^3>WD3Z( zO8Hfe-Yb*hs02;SJ$P%MoZYp~0wJzHB4#U__-*1&zKuV|ORL2v_%HeM0?$08vT@Wy zI;Lj=kR@KBF6Gv6_jC6v52$;%quiUE`Z9N%yQp$ksDn7NxP>mlwbUEVs+jn4zr$1| zQjTz1O;vo6*#kGtRmBHQjw+6_mep^h7O#;)4VFSBmL}`%vpB1gyAHT(=b^-~-tZ^c z@5ec0627bR1gVbS9KRM^*Un{RL+9@<-b~uQiw^}#j!K;2Q-otE7oF6L*Sg^3SlfrJ-A?~Pf$n}ZuGr#O{;X6HddPzt%gQMhidP3Q`c(EST}D3TA3lD z!bG>GI}4q}NspsBcclop9Zx!FTqG=x6iB;(v(|4plm;O?^ zkbX)!QJaY~15wWj?{a(s`SZHYu5}oP*O<6c$2fU_joz4_%vNfQC?a_Z&4?^f50L>0 zDf4t6I~zbC2m?`(sJzf~y`!+rym;*7<%`Fa)}$|9F=fQ?Ii`4L%C)~;`RV z{IBo59{&;F7Mizkb@TjRxxe6=CXbvlyCMI!9n)4XSoiXhloxJ$IezvF!0RCVG}+Ak z1d&483rNA&A`axH_@L<5=3kSX4=U_{5xSDcJ4%W@Wb-rE-|);cH(dV=`QV0Up1uD1 zXPySOk3h^Z1Sq5;Gx}Vw&_IDGnqP}*D!&*F2;!_CvoyUmBqubCB0 zWTc5^Nl;B@32BW2s1BS~=9cYL~2(KbZHy<+}hs^Uh2Yg?G4;(@~4=;ebHRXV? zQN=1Hslz7ikX`~0DqyW5s|X=}%TatK9?qiQ(=GJ4DBD*;RaLfg5cabDHMX%v6KV;m z6n2|H-PBvVLK~&MqkX0c$T%)YSE}h26fUvTh#1>3xvBFB@~dwS9g3fgKZmo=bHBcL z_4o0Uq!*Yz6Fo-_v2X)BsZ@wZ>ob?9txY2i&D`8)jk&cCAH-o2=8EXyEl$US$zX1p zwa!(SH7R#ew65?P>jnD-r=!2Q#M3{gBp*zcrzU4e{#mWltKJ0;w@EXb@-%a{#p8AN zHfx|%eVI6UmI9YBpVVS!+s*q;nvv$@8NO;b%Sijgj4gG=ox%A9t+K~ycXN}q$<>rKH+N37sgSMac?FNR>wHmP z;Lzk&xVJC(hu{+ifn%LL*7sK8fpS{Tq=jW!PV-I2KDu!x#xMP@6-xt}pWhh&$Dc3W z+A#O7b@Li;tIXgs*<^>4O_T#3meg=Dv%=UN}0x^2l8la_f^1J@WA5J0GIE zZQ#Lpf$M!J6BS|e!6G>=Jr-$CT+kN)@VfnV=f}dw62HuLools?i=aHM6!q7t(Usal zewIAfKF_^8du{es^ETgO<|lnm26pw@oxLmn$)Y2H!@a!Aook$HTx+xWtw8CmK$_Ho zZ2(0T3TJTzRC84o)E1C}qvS4>3N*BNe6i-#)>N_+LW)$;k;4cJ)rxZT9Fo&c?$XT; zb5%xd2FajrXV8*>D5RfImPb#}FeOmzKfx`}I^ps6`K3mqrzE2E7*N#4bXl~cp6w&m z(~DBAKB>)sWT5IJNrgd=%u?OOGXlj*dy<6f0psD<&idm0-=CS^aQ&)y=f`i{_Rw`l zrq_;Xm_B-JLtuH`x7*m6ZYc{o-$WGHS6A7A%Ob}fw z$wrywwTvGhQ;L~yaEzXd2Jb-a5#q4r{ravb2Xbs-pKZ<|xYia>iMecb@|3PvvSV`4 zSQ$8WSfOhL^aNPaDId&gwH`9{m0L}H*~G306vX85a1%HWSIp@gU(MYnZ<2S)`{dJ{ z_$v3V{E5s3xk5R{^_OeqySW|mPVPCmjeAKpNsNa|`o@S3H;H=K=EC9_3DTS7>I)@X zbtO~~BNO1pYFGCPLJn>+kt84Cyiz{Nmim(tX*AKLtH>k?Vw@Cd6d5W#AU!3$O-_<8 z$rRJE*xu@|z{f-OUx8Wd}f}Qb?JNH7E*PDC) z$7=3{i$f^&9|DW?xbQ3z&=q=Mj6}bD;~|zuGA{%q!u9mm#6CxQE^);v6-IR?KYS}; zUyMBjKFTTK*`G#yPj{{93fl#C)*uBf*Rw?7i0g=t8z$hn!bbve*t5(Q3rexkWi~64 zJ-^te_N1p3r8T5Ar?sXDX*O%mv7}zURo%T)O;_5$X}q*s4da4PpGp_qs}B1K3x}!0 z9lj6$0b9o1v}fkl(evMY`O)XD8+`TfzB`4Zp3rB{t!;0zyE{MTUx_yq%p5poo>_&C zCxL%u0X;^KtlXp5tWejfyYU`rkFwixSb0N{C)w*fb%9Cgps_sj0&~;l3Q{4KDy8NT zWP~_WsW$Ic-X?E~uPU#aPm(`~A1EJ~?KWQ!;v~usS)gisyJT~^wa`keRPk7MAtCKV zEyP2C4A%*hKh&*k3{^uFO$)t@y_|Xs9+2H88J};Im3qN1qN^KhX|&XCi$qBAhbuO2 zTK?fj@gLz{GRBh@t1U4S;n=nV@#*n~LtF3&ybC{kXvJV!cWhcu~lbf^w1klKi>M7lODDSw_avse)vwN~{%$IF18ngai!9zkJ

    *(xHW_NCCZ3}3!7hr5|6hasDTPmz0tdphr zruiDB(9ZB7%LzplWl{CY9<|g`ZK<|`?Nq=Bx~wi+sio99%(~37()PY;TA{4)UzfH{ zS?6DqCMq76qFF8DEz2ycE%#b}Z4oR%v*t3JHLK<}dp%iBn+rF%cDjhmg@PeEbzp2a zk}Y(ZAsd-(;7)&#lD$)G6OW0|~>kM%PBpkNeFL8Z%3t9BH4_jY(x#7n7`{NIPw0OeR zb1MJv;rzhgXBHiahLQwdKX^j zvE*~uQi3TYh4Oqa;PRARR&#o&FhoL>=R>=y6s#-9m4w16?YMUTa~OmF6w))&`9g>~ zbQW>A1qdgW_c~DvmOhZ>JK^wW{!BK`86U-*1BPlzN=eu5Z+&v>(^&qK=oupxG9bcj+TjOWd34`lyur+OO`ze0m-9G|W^8RP{A37hoY3%5E%@f8p^W%F>7_+nUSMhTn z{3(7G*WugoJ$Ux>7r(mwzUwz^yloZ8Oa?;SM|=d?@TdchH+h&(BBA%O*<&h(n}lVR ze2*`vq|7u-9i~meQ%DnTBC8zo=lpT)TmF>BtA+d{((_~~c=altpeu?3fqJ3#2>VdM zYO^7ABfkT%6O0tZ_;wsUpr|D_YjTs_%CrkT2WT%~8qgj1nB%yEI3&3Q zwQ8H_$EMV9LK~9ir8ykkhw;BTO|8X{-@f98uG7@ikFAd`hJXRQTCmf!gF+&gHBTe^%iP8cXsfc-+Bh4nYNLHQtyT+fwLu7d+>3QD_6E`|R16&E<@kl;bccKg zdX9dK-|PJ&co^zl1wVJ1e(y(tN``s*MHm!ByW{Kn7P}F|_0S#0k%*SdgX?T3s9MSR zP?p-1%xT!CT{mjV^($wrY&gA%oay|Z{Htd^kNKvJ@wXEQuS}c1Xyc}}Yp)5BpW;9K zP#8aZ^59*s(68+#gU#>(#!YC=5yS(F^|1o)n*yeOe7QPYm}J^x`i$KBi4>ZuOtmJ?L{VZoO8+qde)=#Wn3rTthJbc|A$1o>gKi+V-YjU!mqnkg5f1^?VCR9|Q7mO)rC}=KdE!b4Bvp^0O6hWZk$_S#M zv&c!D)F|}+m#6X!yYTa^MR%fgUs?s-=iL{eNsq0?9%o-_+DzkX+N|s=?_fDQ;OY#~ zFO8BR2z8YN<5*vp)!@LY7?}tdkde1#ICKXTp3_!n_A&UxjTyelWyjLiT2 z9_-i|9W-8F`Ic}r?W+5y&wVdwe?HMpZaj<#$lyH-d{Md)X8flKJ4m|>wcmRWG)QOS4Cybt4{w$0 zX$a#HgmV$X83#A<-$+2<{)YUlg)ZBWbQ7rrfB^Im{X*@<2r|E+;D|x6HzY+Q~ipkAwM7 zvP7_*Y}2*!p{p`+=Ci(avZ(+n=*s7XxKM}3h4gVw@zCZdjy`K!hj8H+1qHz`szN(L zpNF{6$EoXDsiRog_lx7%IJ+H34!hQA{{HvGjH!2?uQ$E~ra&e8Wb}MJ-6uQU4Rn2Z zhm4IxT+D-ABoL2glD;uVrZb26RY?ys5X(J86NH^k(--{JZ1z8$~s zjW^==z5Onp|GS6dpY8fJ&fK{ZXa0Iu{Ik?YB5l_{b)w;5^9_#|Jepc}#k8f1#|)k+ zoOmt1`Az!H+xVI{-o%Su+xl?)S@4kd!!~D`gciF$Zo&aF)|ICh9pXI6I+_31!1GxaFce@Mm(= zLaiK?302yBG@mqbbA);FT=h%NI#R?$Rxqb33NI-bd^HJJL=u(m^Ek4q2hs+pOu5sq zPO~6x7J0hwq+3LZ2t1FGta-fw@bG5nrgY5y$z&_=Tsz6sm2?FcDXj`oj*?8o(^EM? zh@AbV9;b3Zoznw|on4<;GG}m9hn>YaU}rDio07m&Ui)e?6h5HDSkPP7E%m+Ff4|@o z@qIF{9!}qcus!jDf)kD=(?h<@_h1N zG<1EW{igAeHfN^k#eW*7pfCU7xDUt+5T(k(140S(m|~>d@6jCMkOg9rctU^pQ>Y+u z46T?3?NB>gE{^RRMtG`J3@yCmuHY6`*-+kcZDQ&n*1LC96U>o}J#~-h^{p|EM{0tDG zh*SWo_Mj#F67(p*ckq8fn<1ahrJ=O|kArIhTzA6riSYa$NK2$UkpyLT13Ut*BDe;M z6-a@ye7M%qdda#-cpcpfsR=GkN<%ln^9`gT@u)Bq5H%=XfxEE0oOf{ zM{tb<_zfP{1IT-*65WeL;1nAwM9`;HLtX(&QkfmkeNAag(L@3Uof%G5mZLC1G6;qN zbI@9T66TSM3`oKP@{##TSOoZ%BrKuXor4cNfH0UP)?Um`ADk3WMoKaE|<}Bn<83moeB$ahN|b2?GxEr!m+?$CH0;5{B{Q-@srul)Lip zOTs(~=0C|`53B!X5~lS(X0V^t|9cXK`n?4Pr%;;q&Pu{S)82&)PGdCPn}jJ%4ceu% z`a_d2t#9y5CZ%cb#v}|h?QP&QkK(8I?MWE$(|a?6d$V>PPr|ev8b?47dI|q>5B$qL z<|X%-m)4`c)}y}GgDzSZU7iFTTMQh~2bBRd0nI~=kd8u&&_cMDqLrwbl?;a5Vu0y= z29!6k8U^rVAX)&wK{O7^=ED0+(Gr$xgtQTAUkCTutfm=$heK{Als2N}P*TfUUkLqn z^>Zb(z7pDA2JHl)-9^x56Pg9^EP$Kg+2Zbgg59GpLL~r2x^ra^5zsNrfEJpeZV>vN z0sYW6W}$16bw@&O9+c9j%h-Q{?V1zCLlc|Ff`2=nISdy;GzjuD;R!9B!7y?89F2As zC1)CBy)1*Lv)FuTZVt4)9Nt~bN|r&b*$i_*_P=-ydW?WEQS3Ca_ZBkT_Gj-mvKo!( zTIiEvdN#WUlOyV?8)W56poHSDxtoHQ=0Tq?W&bUF0o0@aznj*iGa3Xn7QpkLb49-% zb%Ncsf+z=SHnE--b+U~;Q42BcRfwS3+DbB88 z*q!r#;@)4@yu^t^Sl#7NcOlT6^6DJ;ZA#LuH{-8Gfb%9co6+paJU0FrFjmTcV_08{ z*^?$V_VIAPL|;0iKBxkf1K0i%W0bbbU@Xmyk_JuZu<(-4i{9kd~Q`)=ub1{?e zE`ICc@qaaguHJt+uKqoEfX>92%Tm@~m-H-V8e%2mpGAPBg-k2X__s4PcyGpKTxDpt zMajD{D+8u_wmGS7>1eyC+0_oMNp<>v;!(pYElg78QtMq3(v-yVVy6F_m<%sX(w5q& zEj z*EBMpU_sI*UgGZmWUsrp^55HRO1UxJlCz|Tr7`Gja6x0Tuepqu7bfYR&*r>1X-f^= zLp8$;hJS<3U3_ftRdZ5<8$D1vVA#%u-JCK5UGiCeuFw8|phY)^X0W+0O4^(*{V|)B zECbvaGIGfZ2ANe`kmQ-1t}*_5+9GPlF7sM|+POV&G@DtO1()fWU(WGAw8yk>6ML_# z_P^F{`9ITcUAX`G+Z1btKJ7X0u5n%RC@)FPB}>#r!+fUi7qMREbmtp;a0t~~28EVD zYnLpnG3uFYER9J^wXB<#J#~pe!@?xRmoO<=&^?AOxxSp2{`XkzY2l4o_OvvY^YkT5 zEN6JU_Wwx7E^9zN-i1lbH1-(5Y<8!8Uc$?Ks4=UD?O6IB^s%A&XR{e}S-gIiY4I7* z;v%MT{&fst_UCAvYPUp!!-%eAIa)dq(lJnPJnMfTo3k<6(QFRY@Z6Zo zP&NYEb3t;hMm>t3Ny#UaMs$2v!tbS7{lE6EKDMpvy5IL6Bg%?p(T=02wv~5ng_5jK znz17%&MZEOl*LFE8Iq2rrbR@FPZn#LkKl(jC#~&d2oT$dqpsVqu2`)kh?mVtL_IF9 z6x*;mw?MI>v(R9uJ7B0VMWx44RkwW86M!diyJJnr{;TvVb@ zXlY``;gt&M5!Gu&U#w2cN1!AU%O9<6X)ML6=3lZ$EGxzFV}+Ie?YvOdHIWmFE4ZDN9l;wQ#4X&%zkdg`pX7n0o`?{pKYGTOqwy#YN5yp0eBYA?-79hNbT*ls zh-0E-Mk3S3hm0&g6+OeVm~w`aOJbfe_-IOxX7m;wi>H%FcngmvVmz6OV{{ZMI(RhA zlX~h@Jd=UBkuxH(#q?z`fK^BpJ7eUbg}6xnN;t`s5zCHdS~!&ruq}isI>5vx$1$^# z$R^=5o*13T#;C@M(Hn`0GrTdr*ILFBby)baXsuPJe5Uj?<&84F>VV)YwhoC5G{)g2 zqo1PXNyXtcW=tj~jA(3a_M%p*P;How0as|VnIx(uq|#7bos7ol-HlSw|+-mWLwCgUgLNj(;i zwi&4t?R3=+%@+!LU@w+eltr2XBQxu7+VwWu&k7p-r13GO`5Ol2M5)nF>l4_BBHe4Z zHKlUR#;&Xk(&D7Wp25740v)ImsVFiNYvE%lY*JLu=y)`B0&}JuBUe~az_~Gk&6%L2 zM8&~Uth4|6EGVF8I&EO*P<_OV(d;R#NYvW@@d;$6ku0v6Ee{t?mXG&}h+;ZzZEGFY z)#H=#%s7cl%B`hPZWMiScp{EEwS1GUly$bkgV;ng!xnzZh{eZ<&0Tpol;7L8mL+?Q zLYYL7ZT2O}t`yml5Sow_CdQH_QnpH^Y+15YC`*dSu24xdWi4dMZtSve?=vHPzvk)s z{od>S>z&KFp67h#oO7T1KIh!$#&z8virDUtGJC^!2?|3}4rjmes27(x!G7-Bog1mH zB26!pFPc}?6(*Sq?~=>ZF|2yUcGkHKH!VSW;t;AM!5bpCrE*K$8?i{@z~kt%ewj`1 zn@SKt;W4T7%Ex=&+m-aNGWCl(?BL^Z$nLq2yfv_{TfYX#$CX2REFqwCZ_#DbJM~m~ zOjhpKG8x8K9iCSXiVWNkX;wWn$}@FFay+hsGBvqLHiQxg@o5tsAe3dzbamy zUzZ%t1kcWIE|zV>Zr?IrPT0lfwEFc-S;yvR-^8NT2hB>C{M{L`yl*I>q6&PPdl-*% zbEYhn!geSOak|d_G@t-%^?TH0i$93&fW|7q(k2oiH|L7(PG8);>mY<!?EDfSOq8sPZMr9;G_rt`a|=ES_>XQL*~M zg)5QL+G$0WQ=$%C79&sHD);Fzo?>UuRdxB`bw!oMS7?QDXO5W}b$j%Uvbg^zNDX4E ziQlf-pewScIp-_FL*w-r`lKEzv-tCMZt{-Yb`))yBc_)`u_AO_kg-T;;xQvIMeTpx zC3{D%^z=(0Cib~e<|bZ|4P?Lg>|Lm7Yjj}mAxy*_ll!~;$Qe7Ns=}MY&8qK)x1P5> z_My3I)tz|Rh^-}maC*_bp5i+iS^xfa{B2=HVXWuM81dIB+o|`p@g3w?#ik*Lw(;-z zT{%t_w%vi`<<$B2ItjVhD>uJ?&!5O~>bC8EPhQOtR+8=rsRT%h1TOlwZ?20bnHGPl zJ~I|Iv@$cmHxa+DL^EBILP+?zAil`;ODVv9WLE0phno*jIi+6krri7k3vmMxL#OUr zIJug7hvm3=j~vm9J16CYFzxS%Mz>IIN1dylD;E*MG`f9rt7>xaDtG(R_j1`UlRRVn zY^JdLo@-gUX~fJu^_C!?!MU_ox^Wd+)(!c(RvK~+Hmhk3UE5Nvy)~LFFAMUQ5KC?u zP-aov%^c4tm8~|D1`fB@h*fV{4&!-YnzCP1ax5qHZ3j83F|9xMXs;sX@fWL5k@NWT zLQi0KCoS4724`ygh^=z@CO?MbEiIJ$pM3}@p4M&aC+=?^m+Ey2&WiqU#9MZ3qL9^h zDfC_IEW2Z*S;fqVqfsm4DTO2Fi~=keer+1y7{EN;!f0+)#v*%tYp;2)Y|i0;0QWOb zkG4K9t`JwB=Pu$jJvrd}u>ZWRa)O{ZMt$1Nr~aJtYM%1QiS|ut9GT26yHL!9oSs*A zoX@%%slwq&Bn->$l_p9NW4IfWj3hg3`<1gN1v8F5QpzY!T=Hn&?L76vyHU;YZlB&t zII9ZclhonwOh*F06PafmJU=JZ+2)N3NDY57ws%rU^krAERE0)$ItyirKUaj-m4*90 zjj36^XOe5wX@M|b%sk$%-_0$?>02ePVa%VweasWGq(82I$#jTMBCy%#W_?(eb3VDk za$kc&M9>7p!U&ZdQ}DK=nmf<%sZFhHWJ1RGZ$0lriXw!P43bY|FL(Cd{Na+m6!??x zqpxh)pvuiLbp6DO#mLDt;NSI0uDeX{J~etB&XHjs@IXtv>{*>+vcpNS2j^Jq^np~G zGW-0K{Mpr7PQFVWKHIom;aNj=&|9zY!>p^)y*hE)X_Ha&3+6-RT)hfR(;uw2j`El# zyW%Vh-jyUe7;symQcu5UIt}@pIyt@hhjzNLP&B9bo)7(B6!zU*5%Uy$c|q`{0riKk zLF0s|q1>4sCYP27K@)>$Yr{n80Yei-d$FXcM^mRBds)oiG`L=gbA(3lKT(*B&C|0+ z_vHooo9g&XLEQUgC2k+ktw=fiu}AcolQ1@XFFYdByY@JfxK21lwwLds9YaQnL_zYf zs+c4^xB78k#f9UBPC5Y@2(1+_k9+sUePvb!WM6v=Hdn4Dz40~eTs=S0%j)s9W$Pq4 zSl+J4+B30*bF%D(^uWhSu6b?}<2ZRzL}T}=YP{{k&e=;lnG-hqiY)CR&FVJbJ>+-- zzm?gZJXvqut8k9wmGt-k=flHpkOQr0KVl456y}5M1+|w%mf+)})tvS`a}Lq*N0O^K zodcIymek@*gWjy>z3~8sTs^)Lp zPfLkSV^@Fu@LsmM(8%>ItjE14#3bFZet!=(S!J*z2~|7FXWQEIx`rEpuxalZDn2No z>v7@0!Q;B={b@g)3r)?9w~h8>7GJmQjZrHNVeYvZvMg<>+WIy>WQfs2*>IAzzUf~5 z3Nz`E$H(D=Ep}gpHDk9b1~$D!EL{9b3AeOi4BaAZtJ1IBuOg2WZV^ zHu)lcJWQNd3wmE!oK=inoj(|;_#NRGGvVDG=(l~-+3mrE-w$XY>$RUdH=R8Ny{63K z$Hv6BH5kgPLanfhv8nS0Cn{gQI?m9=SiXmM7xW;t!sfr3jfZ!a-zCN_rgB#QW6;ye z`B#AoKbs)09P~8PTfa`_OVE6v;CMyYBFMIxmm4agjAJQh^k=44{4NDgf4s)_ zlBJTL_n5K_``Ux?r8u<)Jaj+rztwV=(S*gCFI40ALm9$Kttmq|4avdQRg$qeiKN6S zXt^9i5yLRk3r?mYXtgw_m_2u}2rnM$%yf@gY_mFdFu+KDwTkhcK(HM&#qYtUv!-hg zptYTA@V)KUkOfU&)A$55F|}&O9SM zvt!X=kr_E7QFPh}B3WdBF?1^$_KVI=Mnqp!=soIm=jV9>F?dF#g{*R!Po-7L^Wmh= z+>g7Y8HSaSD1dlq<)&}D_z#Esj#w?EPMxsM{XDHhV&5yZK9~fByh&Ce_bpTmDe4`* zQbCB*j>__#3BJ&zl=N8(E1TC`zhz~|d5FulUmQ=Fdp6}>i-Q_f#Uv^Xd^d!72sALX zaQ*DLW@Ox5+ntx$8}CtIP#Cl7B=NW-9xE*5S46<%zv-(9WcbEY6Ub-ODsFDe_3QbO z1zu@)F7Njzl-`anLcGW)h*wK4rY*iLb;P{#QzT-RPBiXMw$je!Lm6}uYGN-}ok+Oe zl9N(soZR1zY6x=^5W&L>jfJcet}1Xo{UD?3n&Qc3fA`rTt(M*lKZwPCt4y)t&B~P# z2bmVUEreca#cyu3eAc0_#JtoIdMf;b{9GK@@bj>hIrjk1oasfqrW1zg&@07I z%mob@^|MZUy^z3XrFR&$Hhjt?_41b8$(LsnOj+Pa2+Q)=Wk61sL)S0dh&h>{|M)v(*E_9t6l zuX{^a=N+6s>)#foJP6D4j2SM=)BT?C+WkAvcgnEW@ZFY+BdwoaM<`Uk4kyZGNy^L1 zOTUiz)SKb0pm_diw9h9`ujsjmd4zB-=Bs;ELv@pU^CyFXns`D%Q23z&`8J8^UWlei z2OD{L?`iBlql1f$ZOpFb299Q;lv^&n+?d(g?@?+6clt`>6z7&YGsMkzT|@0F!|Z!m z)fx9n`;oDGU!JQ~XvxCDXOF#FmLAj7NxM%PUMb8;A+>g8>dstlwhQER_(+Psc(f?D zK#9W1)3=i1CfT3z*?C0IaW7ct z6q88gk4Ihf;BP!_DU^VdJEJyf9TUpv7JE@r9-5|_Y_x6v94w7PEloVGx5d~fjx~Z= zdjDpBc@5J>ec6X2w>5zB#cqYTGGJb7;A1&6yfeX~U-d9<;MkY((gR~MMcucyd~Tl@uplMaCS=JC?W}e` zr1&&*!j%Bz@2sb6_lgPOx}SC2;UVF6pu)!}%~I54MTxsx<})6yYUiQcUNWAB2Q2IB$+0`82}q>l%7gqW{M8vU7F|d==;#!P%cm zr)^ofET8Q?mbDmWGPW<8czy`%c)tTDOS_llYo3 zr@H0I-s^ex5lA=! zzMc(#Ex9!)sX8@N|Nkog{`e~A>L5jQZq;YCf`KK332NX+*!o521p@;V&SvtrX{?g~ zAW{F!VLeO#|0yLuIJfwJPsR_2QCR@~o{b+4qh;#5bjrsH2v!;i$_Y46lJwXAi0_D|k+8X?19v$k*GpD+*ql-3I4Ri2&akR=KVnh7a6 zcJfO~1;{KU+9@gL^)!6>_TC9Hzu0m>jRW1|l=1b5ZI6@N!7B&2md|F7O?`{+K3nL+ zMk>BNTW~w&MwHJt6I;~|xub(cB@1>@nPKF+t^8T3Ny4{zw~dBr3WY77T5XG*Cs-T` ze0f7uJNSp&YOBc4HXgyLNy=rPcEyg=LEYl_1h_|`U{I(}PPd@amFed5+2Q@uTbmMn zJc^&!uWBrLYk$qJj8uGO75w_+Yp0nCiP83{=>rdD$rRUb*{fI!ddGVH|&hcB8?>r%8F*1;{`wvZ~trTxrHmc6y+eZ5OF@x%J+hR19_p_Cm z&OY;LX!&VO;)aw}tIb7NI}N2i@j3Ca%eb?DT>8}8!Fhf5Aj@a><|2k`x{NP~_Zy>l zeI8jIDo{x485d}KxS%hX+Mg=jX{@IbWU1oHT6X^^o6n;sKKYzJ66u^k%elrAdgB82 ztV#siM?Nt&2_Z7v<@5M>^S5Nfi*K_!@dDFR&)9QY^W54jq{$YRVpv2h_-1I?i{N$Wkd31@BWNl-YP%I!dNin^{Y_I8doBq)Y9 zv-O5LL~9$Uyb;M}{d+2h!Eas_IF%*gA5H{KBB1A*Ki5RSf#bhEv?c-x#sBfXnzUm| zqkEx_MODF>Xk}>Q0yUG@Q`dybYdHXhQ~mu^1FUIt*@Z?Ap+>YPIvZXhSlIw#pz`WA z=LoL$E(V8Hp)~EOR{PiFXsWFr^W&}#fbC(leuh9-pe+>sPfgy?1&W|zsErsJ!2Z1& zG~29?scGj-a)Dxgt0}Kd0BX23d;T+x%g#3EH~@+ZID!LkO}{@-3>u9@L(f6~U~ph{ zs2`}~9}Iy27GfiYz~TVA(P22)Uoa%7FA9zYmTO~Q6dVu65NKej=-MHv+%6k291I0& z2TTR927Nm`usRzsJQjnc9}ka3A;5i+fEVA`7luQ@!5A7%KOPK+!2@ftK`so3#ey+B zH89xN4i7`o%YXwn45%+2jswZXBZ0lYaXdI0gQCY^cof*qcr+d?7YiB>hQ}hoa&aKt z;Ak9`+GK-n)C-bcUm#rgi@rD<{oVjbP(b>^VJOi2;CLKpKT|ngz;ZEQeepOj2E&2$ zg#$*Qw<#Qt2f`3Kec`nGexnWGh`;CyM}qpI0SnTPhepCd7#GwSx14Dx5 zjDdsB3>@H;0rkbBQS?3nfk47Q7z_roGmrreM?W3{0VqPJ8v=o$I?WBXLLji9a}Ep# z@&y zQJ{4L%mUhzz-R!65uFSS;9=-7U~7Qqj04*M*e~=x8-YQB&KrP%&NKqJPCzm+C@dJm zfcz-}Lk-h6>Vd|g;q-c-aVR|fcxW6303^Wa z<>E0g484B_FwotN$H1}ldH@(mH#`Q1q@Ob$g9Pn+;I0MT0eCEMkm&TqV{!kEL0p^( zcJ?;T9BUz-0g>negf%oSHfj*3<#>(=gjBzyNiB7#*+De~LIbXW1BOP!Fu-qfs;U|& y4U7g3IPEAEBoclI`rn(-0zX4LPa9eY1;kY(7lN}3Evf>JIR?ccA)%qG$?-qLCl%ZP diff --git a/docs/safety/IEC60730B_Example_User_Guide_LPC_CM0_v4_3.pdf b/docs/safety/IEC60730B_Example_User_Guide_LPC_CM0_v4_3.pdf deleted file mode 100644 index f3b036cf6c3d0a7d7a637d2cbf8e4a02dca0698e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5423984 zcmb5V1z1#F*Dy?jbV^G}=L`(P&@CV(pdj7d-AE&n(hW*TgOqehcXxM*bi+T~@x9Nj z_w#?>d#;O%z1LZL^;&!FbI#Bzic7Kr*tjrgSJ#HdFi?RY03`>djlMYsKR>&ynYEFg zy_vg_AtiuamJ-AT8*MW>GlKRE$7xG_*U{<@$m{uohO{|(~=K)}DjI5~m8 z!9bwj*McBWO&+iRpaiHXAE>1A$S3D30$8S799Gt(=>gDbOS?peuMDcc1N{pO z!u=~>AUDTve7S+Z-~7f6nIXNCH!sGQ< z9sxKx03fb^$_Ir3f7K1Z3FQFz6%WJ-eIZ$#W1?Gwxq}^az81{wWKz%0Z3)Pa8bKIJy66zegCf zaQwqof55=M9~pu5jM zWA_mc^h@sl0sA#Jpm^NB)PqMn@Gr6U2;=!BKcFyf4vydWLSyZB81R=|eOwFtCHEg; zoc{s?{mWWzuHS40E%(3qjr-UA1Ih#HqTkknp|#^z+k=6C-*N%W`Fjk3xqhh!k32xX z%L3;9CHEikz`y4knCF*0>Jbl`)&GzWT9N+fGX76(a`N^*#RL^!#@+!D|m z9NZ#;{G1R`h!})RQk;`V99l&|JWvxu#RG!@65J3;Zb?Bcc13$5=RcbtP5`vsL3MD{ zvv>Tn$Kk+0rKOcnki_?AawP^&E}FjSOrIjo76rfzT9( zHd{ad5XdfTWNqSTO3BRwd~CX)8xp%RB>)0|2nsql+8gOvVW65W7RBjEDZe7?YTj}; zVSVYcHTjgT+p#iO?~}6TD=ldXrq5MbYHAFxD4(lIO}|Vd4!YJ&6qFcF3)Mw3lA@B4 zRlD~!{}8%eoY_()ER#qiVxp|nC7^*e)R^GGzBF{TczD=VO9lg%iD7_7c^~k^qCG&x zIb_;>CNsv%h>HO7PA5!Cyt7su_3SOa83T&|OZj0$4ty zk?xu|ZRZNZ!T+LJAW0{&n!U+JQ(tXyxELs=aHJ!9Ilfc*)N>)^%6K5X|D!tL(19ZU zSPWzPTEt>Y-`mee<8fLT3KgYnl4brlEZ@uW3HKH*XY5rgMw;Gko~w6Q(P}XGO$gPT zsR@Oo>wD+e_^?Zm>gNn)_qy19y;HM0$^V83=6W8Ak?-ZG3wg?i4W2`Nv;9LVth{L= z`wU6tc#JB+ReS} z%0&K73dG!4@GJ+r@KFT?JTkA)Y%oK|LAg>&ZZAJNJpVz~GurlYAUaX$dkTCBY0H5; zqz3uvOTHT9`NLTH13KxRsrEyShH?H5{gs^fZ-uDR%qicFis!n|OSOgB!iL3jL`)6C z{O3EOBP}!7UhC$P2q=l!DguSiH!=fc`ze>$xAl~wrgp!Dq=jbsD(YGznMpcher-S^ z><2P-Vv+*46UoiI1M;2MS7ga|MxB{$uiy!n&nKNn(9NBxH$Ig3-2U`D<2WOsXs{Pa z#U@BHT&ciNZz+#Yj9twxi4&NHZA@Bdw_Sn|;!yVmYxUV;QD}MTT+_6`+zJM8VW2_} z0)K_=Uq^yJ^YZV*hMu(vgON4sYh^}uIU`3sLp?`5=s5yf=%LA~;N)ltJ&ZU|asvKL zWko#`BUwFtBTEM;4zw^paUMZ(k2&<(!RT-NKY))Y(ES#QqT*(2#4ZLUY-wZiKPQtv zI^Dl>QpDQY#u2l=j2D?}}gj0{C>Ts0nx2DCR|1454hJX`=aXcMW$E@orxXk`6} z{)`|%pWQ~n&Ln8d(`F8%o@PQVoRw6rTJKfl(hanoc#&^%M&6{EB{#|MQ!X2jqLx> zodc@v-vcRjX?7(e14j)`2#^f`q2vaF*dAK}5CFi&P07v4#RlO5Xt66h={r6e9D0nj z_|x=%823K~`;TJy$n{Sn{$IHQfNao43j_xI!4=xUut8UXcsTx_**+TdFJ;ASEN$$S zZS@R{pxcJHk+GhWrK8ddQK(1%FoB>TyCl@f(0h^q04EgshuzpEfdJ_E&rpLAfS|B11y7`ZxXv8yTQ zn?r31e44_3s&)V@3#X$r3co>AnGrNQ}bQ?9ZHer`Ggl3bOqZ_N#|B1uL)PQD> z&1-A3$GsNnv_EzKBV``x{HG+3xBimkU$*JLHf9hA^gmm%YpPakU+5eMzVO8rDr??{ z*2#7yII+xZ{IA>oxpy#KAC<)nNW0#yA6o3qOJ@ZcZmNwoUP$$_VsCzw0vH%H)G~)&5e1HJ!D(#n!Mh0$&b9UvAa}?)Y-F8l888Q z59`ae_HJP7dl_gt+_e-v}EPOQshwkq3 zHVGyf((EenxdG_-R4F~?-A49&U;LQ5#h#)ToeV$yh|b3#40^sdC=O7J$^TfM*z=P0 z$wzcnan`zie6j$YO!M#z8_L^;u$8Tzk|Q+H%RttE43h*dZ&;ylohbF6XgsF3=s!q= z*q?hVfxP8+zn4<`w+=-wEUQKjEpLUG{VcTQxI{efUpB!F$cSSo%y2D+qvD8(L&clwRlQ3Wt4gSI~I=B=2$&{2iGP%Zn@W|_$q zRi&f_Q4^`#FJr4F*-vj9#;LdHXO1f7X_>d^0UePHm_mJ5kgGepQOsskLwcNIvrNrK^{bFAm7nSs z@ahE0J#`Uhx8vp8SLLKf$1nOPE~c((c1ooS6LE=N1LG0zuf4DD@D_dg?e0Bh=ML9S zVSUmHvK3xe^;kJI?%M9e7N<6p-zw#rGYTaqD-I2Qun$x_RSwYU<2F)pilx=b-MiVz z`wXbCJGt9h?91i(0*bAfQY%Jt61L9?0cyYIXR4?0K!07ZQM!h0m0h$)SgFv}*8r^@n%Y z+Ya`WX(j~wtFY-wN?s)DT+WpB+ z*u$yY#g1R2cg4x+j};TOr&dT3XVhu4Ne?>8Dj8ZXt9O3h4`yYNz56_een6p4r|gpy zP1Q*j#nR6;=HMR}cAtA|f!|7aNsolIN3h^_GJ(B(R^*lys&sX!3$m|>2a&FllDFTS z+w<3DnRgu3&Q8l1!JfmpHAU(%|SSZE@L<#$h%zds6qbp7nXC773gyDdQly_F7bLzM$x@zFE z3{LUB788XvNIGp#L=WcaTE*>Cnh$I>$MY&_LMAIpSWtu(@3q>kUt1Fvo@lfkR z1T=rr3+qQ**(=7~OA5Y;2fFxKqe0|xmYR#OiA?ZsaEl}6#M(m+Yaakv?e!~jSAHI! zMyCvk(L_5kaPuZYb5_(k)=EBg+tq{)(lD#8ejJnHIJpqo8rt|aj&5(SZ%O&uUNlpS z)LFn07j1gIs=OtUuy6e|X>TH9A8jm~d=lj47_YW_%P(Gx)9ie&{Yl%f8qd?-e8AmZ zO?&2Zc#Ylu)YZpo$0|D>r!KZ1=_3{`YgaLZtiutIV8bQ%<9MRJv}~W~b{PcaZR{a4;RJpSh{ssQsLpHOH$xh>p%tli*poz9GTO%XScz z*xG6SJT6lrf?c+s?xW~QM$C)CdW(R?Mi2L{@a)PpicT!`=>45wwo_T>J_}8iR>>?+ z|MbHTCG(L;}}ZIK6}6xg3!VYz*4H z0yra6Pv>w6X4NnyDqe39CEbh)eY&gwh_FOa{Azdx9o{Q)#*J7R#(?0>uAY|X6tS#T zf{5uOw>yz{siSR@Gw~ua%^hH;cVB)(F+~D5ZvTB_n`;m=+fevY>OUiH{Y5B zONl2_nzpsB!joX%-~f?IkUu`p_zbb$hM{Otmh%dtM>?bA#P=W#M^l%;6g&ocAwe1^-xW|UW7fF^b? zB$TLdmhGgx(NXivE5GadBLgzNQdat}!)pdO6xe#0WF$kL8^KeC#p^wj0@(RSETJIo zXUZa(zUqP;(VOV5>lTWw4F5EOi-KRt((M^z+~$gU_5#-$IoW_kVi1c1d5f~`c`xZl zn$n^dBt0Rk>Eq*=Z2fF@q01~1?Vd0&->cZltl@L~mtRw*?$M`z>4TMOJx?+Px2A5= zET^Q|Bn5NYuq^rSCVr?E38D(W^-C0A2Y5cKra$Ks2bRV^q~K$47w8nPaStYmpCxk# zf2rJ(AJdV4XEJJxSbTQ}?|m*-`mcNIWBdBAeWl2sedWKkl;A&l*#Biq`S{=p+I9b1 zOZmsG|Lfk(4dDFGty?Q@&U{W7JLvEZ-y=yI!NSFvmeemR8{cyT-suL0w}?!H^~u|& zpL43SHmkyj(zg~7OM}J@UIN~b^99Rlp}Z`?j+siWCD|k1`CQ&)ru2GmgEX@`_cw9g zQwjF-mI=#acf4JFVleEJJ#ufU@-!rB)aOo8wzE-yb__5JfYIO zuDLNQvWL!>`zA3tF6B0(O9y*3_P5&FpJsCThq{dIb~kTMaPL=+ZUiOQK31P9V#zf` z7?J0F73vyzStCrU!Pi5P`uQ;v$DuGM8#PDg~frmH;W|p`G!NR0@KvD zD3ujONcB4=#iv#6%8DMA9xCsTzo04u%Wq>usq zGkJ`CpyEZKM}7Tf4UXJWRxoYBv6MH%#$(6PX7t94)Gsq|FMjgn%6>4iz=9< z;H&UW04`&=s)ar^vxVM~)g2i`@2MR(DCN+G&4q^EMurg7!isG)yvyNw$&W5VfS&%| zjfg*QUC(y>gd=wRgqP+_lX%|U3>=Ub6Wq#4lV={8XY9*vJJ}>t9hUF9p`3DTM&|iR ztDSy6J@di9@JSs#PeP`LUkuhy0+Kw=(Qk1>GXnd*#E?rkPk8|+1&+@xwp zH*7c4UNDA^>0`e8i}dE-=CUbGwW9Y5eD;^Fx#jwH=AGu8y*xdQ!rH${=4AMY z6T5HzYr_2f!~l96{pUeU^gj+_($EJfW(NP3JCEdKzsd4g7yeZi31%_qGaEA_dwC-lMdg393;2&S_5V_q$Co1iO_u+7 zlJVbHlJU|giq2|iUIxvMi=%8HY{Q$jT)nLy0`qjE(oD& z+2+U_UD)r&i@eqS2SiDB1ev_ufRin9NuJB*-s7$rAv&$w2p(qS4J2YfTCe!Hvi>T zhV-x|=vQ$PzNlW3_9R66O4V8e0@FVQLn-snn@`pr zJ!`OL3i&cD@$ftRDCAyY_-z<5T~wBL7`6!3Eohhi*ky=i!st>6{86yg{tKBf_`XWE zC@TKE!zjrjq&#SKEr_;=n#eV+0vxDxFch->s=BZZ$bHxddX%{0f!UOZQUs$x=u~uu zh!D|dQSA6|fnp=rgfjlsVjh{)U(gGJcC(DP>9_eE5$m2{h(%^fn_^z$T_e!wQp@^p z=z`R+jN7Wq`1Y}EfB!h4eZ2q5!@v9 zUI0dieYx8-swG-AA)jAbE8Cj5T>+0nDq%!;Ut8QViJic=`fq0QKyCEWh??&=YimF2 zkK!)5Z$YQUkXI0Hxnq}yIl2Y!*Lqz}Rw3%Pku|32Y;WQdLQ z^N%DwLHIHBxV@(hCHPPkvVfnGqsw59{{l7TqXI;}Rwn5a z^_N~152g=w!Lw40l4-eFX1iRf>SVWs6L={nXwOtg7>j8S zXws;>RIZax8_?xCMT2OS864hhmb0)F((W;*(0FJ%D>}cD*B*S!CS4-)qhP*)Uu#iP zP`*jCN!454w?H=syHK&jR(ge2ELE>hN>>GVO^g=$HIyyXEc7f?EVNH%R|ZzbP3AyG zA?~PGc7tKVenW5rkpPiEA?|>wm?`qLU4iTb(L{Rze8EfeU2_q0kBPc*;|bact%-^W zjfo7?D6S&VM-U0uGt*C?q~xRI7hH#Ct?HfX0CkYMOO>7#-htWy&20Og;$Hux-4v*Z zbc$+sdN+Hoa#wbD)Jeec#IfE{XD@#{aF=|Tboi#grYIwG>5Gt_Fu<0xn{yj*Ii}bb zWu#_ssViXCICedMwy?KEWJYQi7C|Dwl}qA5atQjtd9SZ;-o|OhnZ)@TfB`NBk(-Pr zF81qhVsOSLrM)egL9B?}q}$BjG#Pj(8!iv2F)dGA$ZYbh$g*pX&G~2)VAM#v6skvH z?bIJ?Q*27Ex1f7BFF6xrHD<_ZP-sSK;xT((mDWT)RMKwUvpDY^d}o9l;9nt@)gDFD z0ca_oJxYrCs9dRBIObPT?htWeL>T*_z7ICRP8FgWZ&9)5my$n+S>x^UsXoJ_>c#n!*rrYpIkK{!7e7Kf&OW(v^=9IFH)1l#^j_0u8q990+-4nyJ<_7cf)m`;< z)n(&F%?bNa2Ffss6xuf0bL1dIZe%t@2tpj1pG$fus~0>*&RgWgDw+jweHkx7uETliuJwz*m5h@ZkkpW*2uuG^9+4lC6j>En9N~qxg{w+fgMUci1N5e) zV#pG}Ng+yiO@F!4>2OoiW79X!otmAd67^kyTR||kp~Ybflq1ZTqFkqJR!Fha$`xLD zI7&BGJZdp2WQbs#+K1n_Zj5PcW^~Y(+~eFU813J$w{dEvI^X1RvyM4Oe#V!T7R`5K zALnr5kmmw9v~{h`rmiKaR7 z&f9e9+UxaA)6=hLHUv9_ukpRuj0u*mTbF}-Dc+pFIG|txFhbNr**4-M$jbz63uKE} z>MeIOw^|47CEfI{>cup$7K%6uzVL+!r8+0-?7W)M%29f)epbty>t5MelH2&E+F9pd z6z4oT`ZM>7x!v{M&|N|D4+7_9i?vM^BE?y&JlC$*M}*OO~_q^VD-nqo_5xRfB1md|rnyf+_0f9A|gub`B&=T9PU`*?mwS%=U|JW||!O z9jt^3?=|N{4jA?Wcq@1b>-6g`7pNB6w-yF3&eTpFZ$I7i^`M0knzM!Kup!KvHFFJ{vT)PHbg(j%b(TSFRk34A(voTM_QASKSLw(;CaS9 z=+o`ZbHlJQlG3#knLzI>AR@r%$#h-0nzUaLqyzG?+DiG!F3&5ADR|)_2fFnCD7!MSrE=A~#yA}4 z&FHYnSxomdIW4gn@i>~f`F_#&GLRx$F!j3omggtq_E^7tr%C@-FMG0%dsCf{*Vm8V zzEV5FW}%^I32(!R5@OfA8%7LZqx=8wQFfPCQXMrIr(?|)_bY9wrQ#4MNDj68?XB=K+9z<_Y~8^p7tSpl_qVTww11Jg^=#qPeO} z)N(XT&U%z2^=NWV_4lXC8rvuJ1O^1a_{w$%1_xCF``6n!`YIg>7wU5XQx9loTdyCkk}m|3L~Z^ z@qC@vS`AG;3gaBk$A`Je`vnbkHdif_9ZbsgJ8c`TQ3vaqbB3@7-Y~`k#CO-om#tSM`}X4GhTV&Wqsl{MT3t%7{Ri>HlV0{ zf!%v!$idAIBoMZES0yql#Dh=H@7`I5GaHPp^bp`fN_B71{Uj%4E^Ho!Ry$#oBCo_( za62Pe_IY<7N1dF^ssbs$OIZU(DelX0e{J!(5vy$-m^WcQ@1Ke4Wy4>72x&*D`E2jV zHyh~vVe(GMPsC>Db=o=EEW8$f9_A!;k!gixX-=AHj4P<)Ek;nK7@L)AJA%p zkDM5=zEo!MMH2{M;XB}&n^MrTDPew|9UiKc>s9>3aRl}Z^5HHg_99J)Nw}nV$33`) z{!C6QV>*K;^&4T%C$vKcN19C9csISx0iBjJ)2vn_qf?~d3Q2$)>4Y#@9-41E~0uyyBci zYh>H+iZc|bN%x5La61;tU6lIf>r6WPzC>L0v;Q`>J5ghpcd&OP>}`6U@ZJIY>$N=B{<0LpFGk*;!d(*# z-!gZ{wmXx3xA-Y}OVEI|6xtj?(Gs~#vF!3btf9?rzUo%t$|X-*<_q4%^Jji;X$<5~ z7?8P?gWmWFW{4fOT-)2Bs4nZoP(HCsoRXE7BcP9`KYyMs-w@`>fM%PJX|8s{REha* zF}&nO-iU(UzQcCHw!*fLZ!Awyr({&%JFjnuHLI_dS6|%((E; zir3&%k;30KQ+-XJ7p@!jzkIQcr-U3|9pe!}MwRld{dVdy=hCPWc~e~cgOF(47hHD4 zg*&7J7>o_O7gst;p+H)fSJi2zc;I83K9mcK5dW7PEB6?sU0ZgXvjLxvgEsw@qJH`_yNh~T@ht7vYJpG`2*3UE6G{R*yUVi0`O-S)qL3D#%7kqPt2%J z(aLa7a-%4bkFe(rNOy!yn0dZi-f(q2&o!`z%%s8Y(T?vXby_4pMwY_zEkvBJ0r1;m zF43eyv8;QC;8?V9Hfq8Y z<)ZgUq3k!~Q7_9FQc|q4$9RtiAFkfx2?;a3!V9%;&wjcDIiu3)A@Kh$FT$1okzIxQ zZEb(Y5OR2Pc)TCTI`FPI!AHxPyx5_6h3z>n?qa^&*YW(R;n$RDydl;%tqHrsh0}he zErnMIX3Kc*tG4y*V|`flI!N{Tj#_!`*hr|gg+VP2K z>wM~d(L15BgcSmQYt_0jy_&bIX2vlinNvCDAV%{zC8~rYvQ`F_wZa43Ro@aGik}k` z8za^1aPPWVgjF?R6c030zYTW`2h=9&wanW;F;@GIu3(_ws2I_EA}SiL5F(^{fJgW9 zdg4H_IcIi?>ibZ|HPaiR@4M<>JQ_KKzm%W7L9?5u9sh3Oavm|^_!_>}fN_^e=e0^2 zp=j4QqoSOz`ur{_e^BvDKq0opz$XI)#;O*T`IW+w_j6tovHm<;rSDI_r>NFUsqi%} zMl>jrsXEozz$mqh(L#=G+pa+e7j8we!*~ry)aBpmYRv}1ks#Q{ch&5jrFF|n z!^<85e<93-Im$AQvdT7i1sk%omxH<}gd>@fg|k#&r)7y|TH!zzh~`IbH!9&V_}pW3 zayY%QCw*r{OR#JmFGL${bhWqTR48pxzhFlTzbk%dCnEF?qqr$(Vs9=mxtvY98pFy# zUEgQMF+=4;O2I6IKHB_yPq{WnZ}?bubfI~%( z#)tLH_ur8n@tKW-q%AuM5x1%dhs=ib6gWF!+dbcLRTfi_Di7@x2BL6rzuChx@2cyh z#(UqG;^nspcE*jM^et~qF3Fap$IUzETdP9m)x~La#ZhAx>5I7fDOVU7cqCW6H>a?> za_pe2al=DqT~HVAMAjG3bRGjIs)t02FXLNDHH>85qPx9j>zI8x7^4?({#832R;}Ix zjs{7YPLIe*0UIZmkRG+{eVeW8LHcq>x-D)Ba-vee0YP~MttIX0w%HIP&qYggkaMUI zm$^z+)lfC^rXh>2umai5s_IS2=`(+kBdR6qH^d*%ur*+q7tYz$0)!;>A~mwC+7 zg%d0zq8DjksLHKng~q+h9$T8sVl(ce(j+7FHiD^_zRxUKRn77z$*s1(3v(nJ>U`1% zJ>qMGC%43khm4<=F4*{re(lS&-#u{iFc2-?_(wR#U zFcs)aRxrLAnL?`aZS5-ZA$Ni&UW1=EKSz9)@32=P{5ho>L>O=^10D~Sqdkip(%_F= zM$*|t&FS5i0b8j7qIo#eU$uqGmfM!E+FA63b``7d0U%XROkCgZqm&5Z4njWCll6Qj zB^eH?`x%5tK-wG6mZ$@6)@ypz*qS-3t7eYAwFp@v8e{+jv3|(>#5`m8z4OT^*`P*T zbJlYkv3wsfugxF=+Ltf4riN^^eO3FRztBmc@$leJwk)qLRMMMx{yr%xsMo<=Bb8+3XIU?b`;y7{6>zC&8Ujpu>|QT?%W2jxeoPqLAqd*?C)Q7K`-Qimdup2MG=SBpdj@!l{pZ3bqya&gC@YV@C4* zzl=%~hqA_vt6&KWg(0~Nh2DAQRAvFvv^@cUN%I!T7VOr-j!V4{!&tbwd``y3 zAW4Rt&m^m=pp`8OT-)^Wu{uG+RMaOk2NprUpOoJ}Jh^={olM2j`5`jVuKzan%I##& zc+v7=Q;=GQ;uE&i{jFQUyOS-&j;qiUN?jB&ca-N90T63IpI(K)3YW*cA@z~AQWzh{ z?TPxA#HX>q_e?E_K*b(U70m#ASSAU?43{I1_?l;Rs|j(rDrL28SfyS@yG}(On+a-- zgZFcDHa;W1&2|q%@lW^mk^GxX$(;pn#8@(}OD!%QmZLRV{Fb>xFVA$7PDX~>@5^%E zR;xNUZqB;B;95(@YxTisB2v+aweI4V$?K3>^kY^Iz+KR8*MZ5H)GE}GCTh`5_3ryBC$94 znvl0IKm7iQz7rw>Y{*p17Dv^qY#}VVEB#tEXF6tf(bO-qNd}K9@Sg!e`(as;?D}0PNSQZuGLx*`Ebg z=FvT(1uSjKUFKfw>r^qzs(aPXq-Mogs2l*_26cY4Zl+;nrAhNhmR&9tN@trgA4kdP zOwr|UwFyvm)27P?C6&+oP;Y#JPr9(7>u;c;+3{j)E5y7yTbSCc33rTE>4+{z6w}KM zOIw88W>k_eMAdWKnU^2fe&`LZx?Wu}E}k&``l@gzIIb8zgSj0XM0oq=UV|3GEL8aX zg1KvBO~yJ{ztqo>**#W3{zusfETneUfh>bkv(6+Xn6e*l^$kgfTHec(?C45$5B21M zbiIDY%$C^U&Njs_nH)4-QPiE?Dyiy)@^W&oOo+a6PV=l!-MshtJastq)7UV9;8kXI zwzIah^hiV4rw$`~Pt;~#gpfqKgap-fLle?)q$(L!9_<=~8-!Y+BAtMSwg$1l7pT%% zUMt7lEWSVug!iIF2%HTt-X1eNZZnfPTC0NIxGUe2)&~2pT&a&bzqJA=5dF#fqXq^Y zla#VzncwqkZT{5F8>LNl_)#?_T4YOS5ZHw-_F&s@W(?5piXcxTQlj6kQ33AnET?dJ zJ+&r~{+aX4cdBY>c4R`OS`RXDn=+1KUOKnvJ`o{j34e<=04^7_K1q+*CA+x4?zzZg z_1pVaXTG$qv*iw7S! zA4Wb8(#O6J?==T;5<=H!wU`O+{yw=UDU881_hZ7CPVqSX7>c`69@45`kXh{6UlE|=kTG0tNGtjwlU zNo|9i3Q!GOc=Nihf==M+4+FX%T&bQA9m91hyD|db)nV+S!VAdnz^akOK@71ri@tA;99ksrgb53`%OM2b#(tb`Cunrkr$2;w^4K9h~o&F z(uop6nrCx2<<1#@_CEpKffF~I({eAmvJz3 zbYdSfV)@*@R7f=~DKd~tNAP^l?~12=yXOTqRSSz>Z7mnJquSXa3eg0C-5GG$VNydrVAE@daj@59U63k@x#l(}?>x(yVDwB);LJ1S zOYr$uxw$uI;hHx!XMJCQo!*`uTH!Bwlf}-k&n{Y|EodJYn8ZqhOZz$3;8b97R}JI2 z`qVAP=M8rHD7dgRkgVv7b`f`S0-0HuWx#6SSj&p@`2Cm7^}<^G3iLdj9ILVUPxt(& zTel|7a7<*6(ojh5(3H+ktbJ@@yJ9k#K$-i;NN^DngYT@gmPMsiE9FL(?ASVj5=ZjCdvwRS9s)jeBwJU+ zO6RHaIE=&)^3ieB`eo-+dJ&^SykG*@AW=pe4wP0j!rxTCpcK>j$8}FecnW;wCR2-# zi#Vgdrm*JDCT4Ao-@4}3eC5(HAaS$UbcDEAkyx-IB!HPxQT&x=yT@W)WzPF1GIz02 zUpcu$mvwr2$6->ybFMD9Ijr{Xlyz+Vc_s`hn%sQn~u(|ho0 zrMTQsHTiLbh5X_Kvj8jk!sOX0^VIg|Yk^&nyG*@d9#f?v>^E+EoTPr>?A}nv4}lVk z68E9=9jzn__KixfbqPls<(oOD-Y$=AAjQ?63H9E-g;ix9zi~kYqZkCbpkSdyKIx4D zF6d)XuYYZk28OiKqXnuACZwr9H>|aXi!XXNdSE}q%=DGvy~NJR9@jHD-(1nL?3wKM zX86fZJiU16ha%D4;YWxui3f?aIU4jXTPTCAK+JO(tD`i>uptB54f^pj`-yf-J(0Zm zN6)b~#@$XA`_~-?#&@znio2==&_=x-%Xc8ywD!0_Tiihdg-+@_mu0P-ZX!%n!|HL2^$Up z_g;P23#F@#Qn5&;CNCxfC)IC5p1zvr3LhRVF(1-7uHruuw~F=E3*TsMKULA$nPdI9 z&@Jc_!zMmzx@nkhpYg<2E0?nA*9Py%l!qlE~Eies%w486jOkTl7pB{(^@7>H7 zmGmU*vY;z%^WB(;u1OP9Q75B0=uO?|0?|0WGc`Wy%}d9u+~HhB7DtX#cd2Lg>v)4! zj!KR(b}@{`yr$PJ-}hvv4Tm&NbIi_uyxW$oku6x(y<)n{_=sG4;>et1r;a*-X48-L z#>x_FuWU3`%Xc8ivHNrowV)NVx?Gz(-e-{U@MGeT{`;w5c9(OePKPk~K}-IfjD|Ii z1^PVi!3h0+Ty2`W;04TjRf?G8jlQYDrS2KY`7pI!MXSV|>t>9Nw$7WbiQffxB-z`teOb|sn%*i1VmyE04q zfN?hiiEdH~KgC`%dXL5s>x#S~+$-O3qLxeBzWTD$1LcyB*Q^CRES0X1Eo^OM!(zND z#3wQ~Jv26L6Lrd9AQN+fsHI?4cT}s9VtwD|n?a$drj&1t{)XjYVuB`5H9`M$vv+S& zu5plirL>;ho%2~nU14_R)$rxOMVQ`(4dLKN@aSDW1%@!ZM5n z71I?goR{rHI;7vYvq_hrh|(LF(i|4B}_OioPHfYjW{s9#Ve*XLFvG-7yX(j%&xr7wL& z-OrPfxZ{+P)~0gS9AAh$b0$4Kzl}7eHV3AUjwwO}@~JmflUDEzB#hN^{8=PaMHXb- z(QbwyPX&DAD4=B6v6P+U#%%H>BCiMuUEgd-U<`bil)odl z;61dtymwM=?y!*!??3yjGv9E{>yy+zKIy(O`ojjO09RY%Ki~L8NYhwKniU{wdCI#$ zTV@A=#NA#XSd$wESA9o-jY3eO%*|nNVk)w~T)x%?&T>AR7T60s&EVB*hqX}9o;cfz zR?~FX1G{3Z;(Y%(V{yK+^wIO2spvz=VUo!-(~>^v!{~>n^HOUa;{=>94YFWAJF6+c z7Udz3wnxaNCwfMv`Wqqcd zYyF6;>iy*k)4bk3C|;VAO_hcHTRF)b2ry zLIoE_e9=~H%(@n)RgOb|yJAqtcyUa(Y;1IN!ztok@Ko9N$ZHK*a?q4r3+LtH@X%OI z$11JXQtcYQ<<2OZpftRI2bJiC6z$xV{*|Lq^>_bP!!9l|QDiSG6?;fNsTuO0BB${&k-mq7;<9y$#+JoCpLH?I9I-(K_U!wNDkEBWa%G&nwdi{@>0ap ziRYy+ezj=jXf^fp#&z;N;?$Cr4^}~QaMKpGNQz9eC5ApEN$U10ptsBtBdFJ)@{``2 zLxHwVYVK6Zm}WB9OG!4woX;(~?=s&B4imUiwX_?NI3|!f8X>$wtyi4WM=erz#Rnkn zpF+g5-W58bwrcYo6uH!fTCpW8Y#z@RnIRZ}r)kJ6EN$cc(^@U7W{cwIg@Xi^LC9R1 zl(g-86Q)Wieth_3QE-9P#v1eIzTq{mUgxPvXHr@z&nlZ~l2k_07frjP>O``v2d+^$ zgMawe9|a0IX>Vs|u%{jxvZI_?z9h`>gL9`1V!f#-`JSNd#^-YD1Iw10W5V;Zg*>gy zL7}+`sT5DaT2S-$t>SUZw#ti~iK2<*V%MyJY&p=|=0KaMHH*mU{^7C}U^)89%hzTk za>+9N?CRfKjBCvLA;H)eCie#G;$5BLGF#%SYh{C!FJ$64_bs`(`P|}u;Jcxi{ah&v zMLeUY`l1|yPAU0xGDAhCS?Uu9??7I6U$=1eJ$8?ZOn6$NLX1T;?AsppcJs;!-4>1L zXL}ix{ zXNLUZIL-(wWK2|<4{p0MEM<}On{12ct%uFxm;#O*-V50i-4R&x@7T(aspkGH&7M}S z$@p%4)Cj2e9b!Hma@&xYG>iv9fAC$=%pJ#Sp8cft71z;=MXH!qO!bgviph18M9wXf zZ~oS$e6~zSICoXkw&qWo)!uf18K!>j#o0fIuVS5QrBTKa7o83arAjpry@97hmNa3i z!sD>V8ak2Tw0Yyl$LJvUK^7wyBiAZ-AvZ<#2B?totj`m)@p`RZT^Ti=k-(2%cghIW z+eT&k{Kxr^!DnnlEd1$qyce_s6caw9L{rROyrqk}__D?d$#bh;XV18;kb1|>Zy8}h zY%G*lRDv2wpHVh@i;h*SHu!|q7(x?7ubapY8J!|A`H*5cf zLk8tg7Io}<&gdZi%l0tZz!1vRI8II>JW`VK6XZThEMGap=!7>u>L ztwne4qn0=1+|xu%#_jCGxg}n%Qwf5@5S2R-yq~8A!R_{Q9Ge(3!xF`A1eU9AWeG4& zmkywQCPOp~sUyMM?VCZv{08TyKbYI)+lRR_1 z<8!&c3%ZNEzfJ+4Ef5Y2&xF6Ja@(DrThtNoJ{*Cc5*n?8X*gn;#@Jkvq&f|@l?+vm zOV8>pXaCxBZ2xA{vX{8KmU-*#&7sxdZ0tFD*%LG(>1hOlyk+I&Gtu0RwU%cIgaW=` zN}eP$xR?DLSdn7*|& zF?%7u(wLdu?cJ)?j`2Kb6YjookjM0qc4)AqdKTan% zfuVdYbJ&55g_?U6Ld^t_VVl@ha{R6AZm}$NT?E>lHTf4E3HuvZLc=bpm?XW3} zy4MLub3^>!F0S_wi$_G?l=*j0<$iiLMDfgHa}DEchL z98lIZ^Xi$-f{IAL+H|{d+_a{SCF9jH6~D4#9EsgHhBRrq45w}ko}2YE;=R9UJ9i1& zVtwF{S8wt9>JVOSWZ}o65HvL9%^;yLgLg@$l!afE!^D@Rln;t{a(jUnOYca+BjX$L zbtT|ft{vAWC;t1giZ$XF(x1xR&fkodf;M`DQSVQ2oR~-ox9^ZD5no^eoT*9p01GzQ zPRt6qBgRK)zFeiOVX}f^L>dDBA8q#-WJ}OK2)=FGw{6?DZTD^4w(aiQwr<(Q-C#y0G5q09^^UEW8Rue(iPZxnZ7!UR#t^i!W{URjUQ14Es74jNmnTrCt#yflwXt$N>Sbo&p-7lZ_Yq6<6?1}i<< z+5&&m8t7B&FiUKm6AqV;3Zga>3qZmzyPIx0u zu2cFX&RT;AYqP(F1ExP#UXN!~#r^zc1vp_(vBW(II$=&B#XsX<;<_NsV}sh^6uW|4 zwJFyLP6@Jr&AGuxAs*}F@J#C0TC4LtoFGPbNlU~)OMz8iVytlY@}g%5?}(F$-lI)J z$(4q>gB#7Va+MXy7dcQ!rHVNDpv6M04zS8-#ZC`o%c}T+e{27xQXn7`cSe;wPA_U> zRhfDuI}B_L$uq|+#&{NY4nP@VD4Qbab<0pbHr*YG8*-EWJFf8e7V@VubQE9endEOK z#Ih>EGSX1xWlDA>R{0@ra7S|-0o7E6a1OMZw#?qt`nG^xEe)N~v&{;)6p@V>gEHDN z`HDzJl=FO;!GBFk%2P)-CSMZ4jBr*6G1U3_xLmw8XKUpANd2WmZrci9+h&5soxJ2I zSJ#$(m-5bBo{?)a@d;a=VY*wwz-e3CW{kEmHRLmLCL4i#puWihJ|EpZ!2syc1# zOjmwf>j79vWqMnk$&zYtra~S9h98}~X%;8&Hc#>|D#ru=ot8~y{V%rud-qh44<9@-xp8o$l#I|M+eKtHOU4#Yp9 zb0?yD33h7y<>ZU?jhB_yEbB4B z4BoP7(p_5vYH;mcAppY!t-vGY0Nj!AUI3O;=JdAO07$$vWaS;B&o|?mkRaLYcM#Yk z52vDk`T2+X1FVFFy&i6j4@mo=cf=r0FnPcKa)t>VnNjNk2HCzp5fj09bet` z_f;6@h=`6NE;D^vj9){(v}UTL-mVh$`)c6mjXkNEX9_Q^&GnPE8xFdZyF%9dl%K4t9Mh*H`gmD6BzO5bk#oi(9YE zGu|suiA}$m^&(AI0d&G!QV?17=F&seQC21|Lf7sABE90rtCSl$M{vrKI+;ih_x=uW zMi&Jt3KwmVHl`H{F_>?Babu&pW&=tvF)bwG&5=pChYh~)J~fLGCD@$uCOufTh4?hJ z>C7u;TP_k5r$LlIi@V&Mj(C-k{jSH2sB%QiM2A+Fqm%uW?&spIdySL37%;ou`t6ZoxG?aT+YCxQ!p>V%33-7cg`2MXNnbw)D5~>7Xn&m5S@11KgWuY{n1{8r9%PZ% z2-OFkN%_DUY*9tN)2TUKIKudge->p$ZMM zLPiVLZyTcFWCd$s>ZlaZq7tS)cvcs5CRu(-+|5ZCm4B(G8`MU4TmuE=*fL0Aud+mU z4Ov8X9e`H4+KyK3(Q1g;v^;ka=;6F@OEOny`b6RD^rNw2i+$3xa=@G<5wS?xeD0b> zeN+>r4Q$M$$I|#-t&SyfgSC!jL9n|e1#1W}i$hPdJWTAcUiB=GGykJ9$kaq7SsKha zDg*OXMPL;sQ|WDdl}!o)#*}0}Kddz>y2P@uTxV31sT_$#Wl&Y9NYYpy_E9A+MQ*$* z_>ho}roMDCd6RRAFOa0FT5+uW&q2Dn)Pg9gWg~;m>{eXiI9gMTl&Q`zb!~`&N*!vm ztd=-Uy>9$_p>u>I^W>gzX6%^@E1g6+8t<@}Fy-hMOF}Up4LaqcBq{Qk+WEsoY5`2w zYn;3?yRHAs5;m&pAebRhJ9vShij^5XD+=amB_Z)b`R=yg?obCl2l!=H)1Q z!&=+PQQiq{o;f||jOcJh)J05m48&(`rT3ZX?G|93O@ry5sfDF=HtL7z;(8KRV(RntjqPRJQ}jYiKr*mIsQ%j7yeo1P zEm0Sdko>+AemN&`jJ)$wTV&NIXEE@Qg2>=qGvzRwZJf)~@F$2*LgkZiI9K>b*g4QT z4v&1I_^z+r56awCaAmB5cFlY(v3DXNi8Si;MUvmuXXkhkvuTb?qM;!a$#WmUB1lmB z%*|C689V@PF%U#T@!2O&BQvQ%D=FjS?+&=m4C=$T+TO)HOEpMZV__ez(dynEgeNBj!J%@7D@#wh{44w9o!owxR%b}s zm;iBlr7szh`d{$=F(z)yif}K5H@OVI#5#!;@i>yCBaDDrfE*9+RgQ~|feFZa5v>YZ*GNmn_9Lgt7;y2dpBw)A5SP1>N^^tzrn)(!+KPR zROcxs3Wkn~A`E`#`siI|OTRXcJ{k*aO_N&*)U!dH<_%Yxnl?yp9F_2D#rH}5{ni*% zn_&1665*}|vm`8nyoq@UzHPLZeLkw3iBcH!UZlT|F53>DD)Puq;r8St?v0#I&{r$a1cCeMA zl7Jzz4`w3#1WKsyNnLImO;a?DA56?Zpucm%!2jV$;jE({gKnrgzbzm>et!tK&BKzXV_;^eU*#?M{YJo%RC{cw_QZ~VqSSf_Ue<9 z95R42TW1zQOC{^|wy_kfye<_p8*@!9Jsl;Q-zrUlTvWsr<+kOPn)9RpqEg02TA?$_ zRLZO_5U`KYiv)SaovlU1jVfjqh-Ydn)fLr%>fT0+V=Rl0(c{6!^i{QxP1znd!uV@T z#50g2ijORnNh^QTQ~q+AsnV9~X>2bcB3YcTV^K_M;%ibct2H$&s#R)etP(O;o15EM zRQjKm`&$`#8(Bk7DAxZiuBG{90{Tb39t}&-Qs;0i+3N2YOOH47e)Zq7)`B7*cbyFR z<%YU8Fu!pNzxpv_D$9W~eFYUNs&lpAptCWRhgb6js@4stj z8Ca)`f77wnHFGfoRGJ!O;C0ltHIb1hwa&E4_${>3{kT!dqRb41^|g(n#e*X>{}dqd z=UuW?vM_ZhFDqApXjVGiSRHuL;8+=6B%l~9*H4CF&$6cvsFH7K?eo zPHpz%?q~002RJ2g4f5Riz7UbINa=Z9JbaH0%j$WF-wlQm|4V%PLx~L!KK23=zS;Q5 z5gVTeLrL_!)PIWqB@X7y-+RD`t-t;d7u^qrlInSx{gjY?FMFE-b#dH35%fKU|9+P- zoAhBq%)0*)_z>w>{j}5E-TdJ0^4FW9^Y_}tkp!miLcDRQbL_yF_OQqCjTEBpQUy9f zXs4Rz7}$OlH5(i5;=gX|-KKR}Hmf51QI>&p_g7dOT41PB+D1w26E|Idc91v04hmqTo< zsfYd<^6+Jv$h8`0%RV_^xLvdTdpx?C9zk+O;T?Wbt2|!9m{!Z@ek4zuB}7`=l{Mu@*ZH6;(@&w`2G6XfbmIp>q3gV zI}$4@9&XuZi3IQ$DNZ`uSt=mLnWc_7Z>-%CPAetrY+&JgCmo8lu#Ujjc_EKysCR`v zUKu=Mln<+S1}st)a!x{<9O9*%n@u&^RoZpj+m*MXR#+Wb#Qhp0HJh)CFE>4;wBevq z1KI#H2HwHU)9ziYbKwyast+v>WTi=UY}{YW?i&*@vkl+{x>s;xxsiwERtbzFKfo^} ziqkcXlZm_bf8ZvLF)*yc%BFOqY?Z`71j8~ofh3B7?pFewR}4*XJKgS4si7;{~}T? zJaG_dGH4#qpL?QOC?9AV$l$j>eVx9)20d@2!6@d|dmK_6JAFQNE+4wskfO{U^ z-YURoh$?H;DAR+6sCrxI z6}}ksQ%WlSE19_5#0{Xi4$uP^XjqaU&f1tmP{mJx1-RUUu)w$&!34)2gvp-_I@`*P zap$&=s0SG9a3gn8=msa~Sk=wO{}_P#U4ZMTptmI8w~|D~Z@JOlgm=l96mFG#b{WDO zr}(NGEDdS|!f3Ff0!2Bu21N5)KJ_p1@lbUG^+*Kok;^r`S0Z+3#ov$ySs6!FzY5i8{ zYV2jg#n_XaM~YrxyCul$#_Z+}WNy4??dvRgLHn$gHGH&4iW_^mJlAqEVnHCo_*3ry za40ia9}o&VdK^XW@|8jeDm2PFRQCugk(9oY8}bRs0>x@+6^kT`B1HQK2`$iv3tU)d`yKbJwM*7V%!ib ziM4TI@j2c$&&VV&DJsi&CD3N_3qA`y=qSp~^XwkC&SEgRi2*v^i0|eCb_x9V2zHUU z*);fXKN=8jH(;iDz^_-3Y-Ju9s@cNpgLbLYBI})Lr&L4gRr+>?ajvOx2C+xR?K&dZ zc!T%9$m~9H!kMJfXN`NOUwg@Bp+4qn|CXPi&%3@_1vARBfpU7HE`-4mZQZ~ww%p>-j#Bo9ZS19I!nUM(-2z} zt>uq8Ahw_y>n*(0i(F(C*@m{>KbyNTQk@y{QIz58guU3=riH(ODJn$uqbu~rw zu&zqULQUz3&x?$fW-eT;kb#YNg<;@pjEjROlC%XeX)+?WEb7mQmu(GZ_A>YDX?RUs zn%~9^1sF{PCmF^RLx?O+AW0&8>>KQLo;>z{7rV#y&#U-vD22d38_@}$fu50`PDn!d zN1gnE|QjpLs}Z2IG?vi!%p6#VCl()@_<|0(+c zJ{kYBJDvX&{sbKw|Im}H|8X_`lOtY z{$Y)Orca<#ax%77{b!o~gF^oqjQ?au2Xi|oTZjKiWBd=B@qb2c{Ohp02>CxtP^4-IK&XJu^t?{v}sAe}#zm2Jf&gk=ou z{%=5%PFU@yegA9Ie!?FA%Ln=I#OY7Pf8Y4m3&#J^7dka9daWNI9RL64Rp)=2W`prR zTF8GpSO4$fp+8jp{~WcclQ3@4Pmdt-Pp(`SsUhK;oiMohtZ0*D zt5tU>{B5iOcszHmK3Z}p0sfmw*2cg!*2C;1+uUOLldWXb`DpB_i}tZeYkKKRUe3hO ze1)i>pRKh+{GHO%P$I0px&hsJzV7*oZSdGIJiG^oqf}tttcZ|oGTEhMp_++{=iOPi z;JY3gu(?=ed(Qf9soD|$ib6&N3JD)}j34s^p_DrSj~|2+Y>Y!Zz(F23LP0r~FuTeC z86Rly56uZeSjml0Hjc(IR8Yk|P*6oAQWE%B944I|QD%?SNRLN%6H|js3GT%iYPQ}&M<4b7_A&j(L;ZhW z9QObD+GOZ{e}BJzZ-1Ztq}Bd_5Fq?P$iP_g{OA~^8F z*b9bI0W45yc(gj#5z!h40|IGC*0h8#n(=5@^JoweStqaNlT;bGdiTy=m0(@_+J650 zs836~=DwepV4A+~?A|^Wz##Z7q=gu&$aJ)@;89#?D|&K6N^Y%J=dSdyioVqjyrYJQ zRIT3SGk93wdm=?h%tBCTtXk1&^7_2>GK&<%T?xU1so82ZJLfBH%>nh?4fh<+ zK#jW1YjpNW4&6rA_C*qqJa%Y!Mf8As370)YVCY@hwDI#0}z7@eP z1A<7O!s57g%qIVY4eod8>I|41lsYwT?F3ECA)%Q;$M z0V6Zor11Gn^X*_O)hy5ho-Z<1Fs-Os?xUM!6^pCb)zt>_N$3f}^SSn~Ie7>g|Lxh0 zlD-@VUjd=r7|$8t6CSVTx?e>*G+KE_@H1c!_^C(sHX>J4W&#v#P-{H}<|pL5f(bVd z#wSELJz6cdH%Y^eX&X}VQ~`5lBpwL3L$Gd)6sTwi#fLG3zdH6U+|Gc_=r{qo@g9^O zSeqbA9OMpU^YH(QqW3;K6>RSkX*bzwHDP*4`{`l@VC{}^J}^B{IpGZ7OpkK*k}g0| z?5=H`j|7V5y3eeY>`cMmc8|i|w8wj<b%^rJ{6_Rur5@|bsqYUXo_U^upP8YM%@*Z|S>#^}WH#`=c%#^$m+>xR~aQazw`1LuYA1Mq8b_*Q~3 zWrDC^^gj^;KPZIE4IUFlMHbq}|8zlO4Qr+Vpz%e^4= zhR9xs`O@@8^hWsxdct@mv!fb}eqX9q_VaWN#r) z&QnMG4`4WAGeS!CILufu4R~c9Zl-(k!oNY!4s$|n-*LHLEoMV(@pHmi8_V zZAU1(5?k!q2tVd;oTFQC90R~NqvkgP_e>9HoJcr_%1?BzhG*W44#+l`zmn*&t3jYz z@T|pfTdhy9@{+%Sb^X~l0`@-Mdp4Ww8oelf)P|}$0Jk;3+^hZ`@F9o!Tw;Jfjto14 z+&c*Heb+ua$lUrfl)1N3evzMW&XJB1@R|fG40gCdFKTu5vmYCYC;l5yP9j$}%qTCt zF&k)Zdo~Y%ZOE5H&A+RK&J`Hsr`lf(4UjlUrN>}NvJPk%LpQkGyz-j&+5LF^x8-&Y zfOa_+M!yj!1twe99c=+z)PO?00cb>(W*}e2m!ve0CbJm4t^U3S z+-FQ-&$D|d3wi5YhV8QShp3X3hbXHO?;Y0G&6!EqD-h#Afqn2+4_`W9!L{4WD~qHE z`yWGyE+QKxt`(#Sdxppj(0167^Tg5w1c-owUZ#_l)&NYbLXnGLk~4F`KlDTsz^)lyJKaiuztd_V-tEYq9h?kLfEnsB$#a zS4qltak&aJ!Ao1zbZbnyT3_42w4)1`v$)O#sqVt%v_H!v?}*-*TT1V3?x8ApkUCLI z+is?2jZbN)jxkAEX;~SYTD+uZ&YOZs_m(-`k|%d2f98dfiE^2;j!rg7@2_nVK_=9} zTi|$4_wH3@W`7x*Iiu}2cd<&{j0d;!AgHPM#tKw-hLXwJ+^+xAMDBu!FHG7-3`Jue z-OCnT+-_W;aGWqJ5Bs%7zmco~MN~&w5M_JKJT&9r;VT-3U2AsSjiAq8@thMVg?1=4 z&~i&BED%BVSqipH!=5N@^7k)!g!sOJbY3)i&3#DTVuq(Ub<JF4MFWN`qn2r7`msU-dk1=vMS?ZvoNP5tZI#>cER|Lz)n{|_FhF8EqDput zCdcgeHNDqWIMwj)n(OcVJI4FB1&-RX`lDu1GMA>~cbBsb0n?+$+0W%W`5~UJWavb0 z;Xa&pDDl?ZZblySM&lRuk4TuZ)F*L9eG;nPSqaR7PeI4+;*^vxy{&~rE|F`)Q2+J2 z$%!ZlI9@*6nb+K-RZb2w`mp6P$75HQzkyYNf79qX$bA8##2mGx3ig@I@Z?Q!zC0bo zMCU7MG9?63qf1Z$z9;fOPIDu6xi`RkQMf`?<$y$&S2-xqvKCgiT1&~Ao$aYD1~}p4 z(MKb*&dHjz!sZjXytLSvPM=s$%9GtDX3j7==T=)ApzZD5WGFX+G3hNntgX{9N1N15 z>={+DEOo%P=UBj2C6Ak8!NYRxbr?DvRn?%bGnu*{;{a7hr&=pLAxZbwhZ~)f`x}>p z6cA7=l4cY&#pUuJKx77ISUkfg&O+gf5Z1=3?kfDU=uUgXl1EiBMWsB#G)(@`N!Fkj z@z-k4^=GIls#@cX{jRqv*Jx+d(+Z&i>vX{I9}u|6e_aM8bJS8gD_!`r;9dqT&g74m zC*qlRK)=8PU*L_tV}f%PU^-|S<5@Km_^ny!^cO0&ME2S!t}2!#i7&BW)m z)q_5fvE6nJ1Tt;vS;`Rer63C(O zDu@>}(dyj9nVpHXR$ccP;oD>u|GE*mf2;wUT(K5cSk`D-r4*U~vcQ&h12Gt=QZD{l z+scIiWhqzLQNwS<4N*^y@8Sv6QGr;HY(IaI-oTUN-%V3dP0b?f{-HY>GpoC1qJBnB z9Hnx#B1yIr%-yD>^uFxWXPLmygq&8pQ6L{yuldFdW7i)5kqRd1<&ARM)^%#4{gBoNy4< z4BNEkBp!#HJdr&5R!`5OSY3d6OZ?St!%1i^dzSM>ofMN`AM@!m1<168r`L7_Ohiaz zsro)I_~Ki+SCdorfJrWVb>=Fl>q{6(2$|;p64v?xa3)~x{ene59o}EPPt*4E&(9(o zpbNN?0aOh>-Q*W>yf^Ic_$KtQT*0EhXC*hU2LageRKvN>)EI$8|E;ZLs)rR4gPYDq zzI4=#-rMwo=@bA?P_rEOz$&BJ z5j`PjdEN!C>8-~DeW^``;HkyUBfFH&#Rv2W6GFmTmbrmcI3s{B+z{W9vlCB#LB;?z zR$v!sIqn5ey8(8?fFh1&lJSKWo#iN?@9qjnxL2o=c9igXa0Dgtpr z6L}?~k20gaA}Aj{ys5fpY9`iF>V5ppBUZd?9<+l&NMOLD40U(jjVmt8CR-Q{uQ1uL zh1jnEE~|u#SimoHa&m)ceHEg3t>}RP6>G9~aa<#^)2z)~~65Gm&dm1`r&e%oqi#4w_a1c8xR=eT>F1qeD=eWc+am zV;MtFNI#!pk!6vMp@v^QcY<}Us=?M}$6?pOxXixw++lmJM`fivEWn3?P3=#)u7%b( z4RT7ErS)IFKB=j&V)r4e*6H{V=1f7FkwmU&tC5t@Za=WInSRm867I}=Z%kQptTeL6 z16~OZb22GFO-jtyIi<~pKumtENq|ry_!(O89q}<;yy{=H2E>?(%6o;!J6RVz;F^Vq z*TMFGmS!W29{T~C#@nVu*KNjQyEk#Z7go?bIqFtV#oJft*H;k4%#`A?U>mZcD<|po zuZ@VZ1JqJ;O)!A8zFJ2-XhmZ1)*zS(mH8qPpaugAAD5vsX5*!EB#ObIG$<^ttihay zam^P1kN73ih$a-!pd(T7O4bo7QLpAQd9-DoHD&fc0WNgLrC>D3i(8@!~k#USG171;d#q_C(EnYDl5rH{_uK;Lw~ zdnY_>SO?r&bqllN_Ha0ETcv+EkS*~-A&DCS6E+cI-eh?#@`}wkSdO>Ke{k&+&)MQ1 zt!`ajU4i&sIkm779K^erP=Jdb$Df<3^6(~^jtpvo@M(Q(=bO6%A91O4AK$4$}lTJI$ z)S0`dp93>;&u)yjIOvHX_rs_W%@|-Q01e_|_mvR_ndCp3QLP0B?Yi$k=vP404c`Eg z6hAbAF@|6dOkz@An+T6tTKqvQOD9uQ+wU8nW05e`k!b^tgL=m-;)DhHef}U`#4B0X z!mJ<=AJu+g_gE6jJLMC?=7Xs33J&uzObiR{IW zp-71A(jztU3KV;j?crccZZcOsyqh?#qUx{h0d_gv9$ke^{CdLpp~~oF6K>P?O4mZS zG^g0&I)m*(((=2d6pw$f&=M?x5FyKx$$QpQ=p{${O^tW#Cli;cFYR-3csjU$s8X6i z350m}_*o62l5I+Ausl^%^`5U*D_e2-7>zBI$W^Gss^R=Z%gYo@{Yp z!O!ggQq|H%L|N>*5%nNH-l>Oq5TNuLGf)ljtU1lN5n-{8h7Pi4xg~O_LS{|PDGYUv zBFBh!fJ_q}=pH>qENd4IOFIr@n}^3ko`bohPQqX$QNnbL?3F|WEdTU23O4DV9y9y< z*f&>`Fa+qzohEHy#WE*|Vc8e_(shjE-LOs2k~F^^1~z6vqpGSkD<`D`&!S;DqzfAs zRwQJN6n;1`S9&UUC4*d}do{#Wf0n0#^#W!j>w% zX7xnCvoRBBX;49>T$R$BiFWf&geMw_m{_^I04Tt@yBj^l=|(7Tl!;`NpXYNhqHwV+ zKRqJ0)5KkV<(kJq1s*^Z0cXo6bu8{s~H(&Hgxg8O0b# z4X4}rn28B?Q18iWp!=oB8QOwQuko%{cvj|~^z$U;biLT~!lRVCN|)i`qbY`e*jh;` zvKXfQZDCbw>R0r3SrkU{yalaQx6{z8nnGnOhik$)5GURgx)SBqadV4F4^RL=6z-s1 zT^;}RUvfWWP`eHQS~@=?P&*AkZA}0%8urGbV7mLHXoX^OH3^9@Lya`h z0qWchAa&e`Kw~t7dOrtf^0U#-3x)?|1QWL;fsRTLn+cuHthrA%5#R&Y}g zbg*ZTSWpqM8sZk(7u`5I$Sq9b-W;GoPya>6*YvxYGcZ{L!=3%y0V7~!O5105uSe-C zEEiLrp?)-!N*Y2D#Oi^JD8C8d9iieydHloT4!>BKc}YQbWjyIt;K#;?CrwGGUcc6o zLi7iPIcU+-tX}d?s*y0-ks$m|PE~_IG~!gkQKXA^&B8lMx2-Sn{aZ?H5j~k-N+Pd3 z-mJhUMt{jYi{$=#Okq8E)2I+Er6|TdT{enYNH|_DX(~!3hdT^l1;Z4FiA);c-(&D= ziTejO#Lsef-!=-5<4W(k*1+2fn|p(^)NwZuz;Rgz2iiM@n%&`Mv_{V}me9Mekx!(o$dR+na66)^VZ%gICcAMUCB32D4dbs7enL3m&3J)n07WBN*0 z{%dGvFMXp-mKic`hn=ZjEY>exmei+?0o85@pP8~|WT1>TZ+(Zr5=BLYq9T1~plpZ| z%s_r6nmGIWir(8>#bLe4y3~(i?*VM)^&Q%*$WBrO1Km7ac$S_X+7hk`w-{82z!uTS za0`(1s1T;2wm1e0punqmN-w??L)0%ZI-ioNhL%ErBW-yfoc4&0v^Y4X9$&dSZpOYOQYVxEyl(qtBbBT(|3MMeF5bBpr5~H1ikqfF<1; ztM?sCGRY`(wHD%^PW`7+^}>6jQ)_ zP;r1LSVo*dd};8Y3jk`Ao(@pgW&|3#PIhPn8h)VM?>ukNIS&9dDE+N~-8?Vd1$#7n zO+OHR0jabN8fCycnnwLDJr<0`{HQ{9UZK?@?)=|LL}Q*~6e5C>;dQ#Jf4MX1JoH8> zEW!g2Q{4I}2%ojD#J?a_Xf|Lm!UIOi^HIRHVvfdSl-hBZ)UZ1L$Sy zleMO$w21`Uhn+vZf#{gsFbmTXI4-VcbHtWr6>;II!0e%M0p8oiT z@R5J;k*FF_Q%rzic}*8o5;~W1Nfz%HZ{?j}3t>J{8Wr|VIL;p-v54#v{O11{c}C^6 zW~)i9w+sOeCQ3gL{)>*%)uX=1=%J4SoKgo~*92E^OpOM`>a%eQ&}oK8CAySDIrzODoF@^4>Fv{#V$%$ne-`gY4K%CLt~ZnNflK8;5f6tplUuq(mhx zr?4#N(1ftbVs4zZl1*7`3F^0-b7OCu7}IUO3?-NA zb(ei^rF(nN{?coG4Q;P(f1AC8-}HI94P{v7u%xc_WeIQ5{k)vk^LbxPE#*ZO4C5Ll zi<3-|_wvLW1>Tn|B{hzJBKs_$(xP7g*j>{z(w{IM4++7VSr0WcKsVUs=*A~fL8~n# z6o?0v4szgJ6O_5jDj4Dt%W`&JpcCLC^QsZ(DV z!t#}*7%X}$MRK8RoK3287MMs(gvj`QWamVTBYc^gZrDywY)*;O4-NnO6^L~HwsV5Hv&#l=gfA7Zn1e5 z`;2dPd$IncK@&Eo04z?fQW(-Xi4(X;Swy_m>k;UO`OqPJcL{!D5jn%iSHH?_DI^S0 zY@jnsi_D(UH7F8Bu)T5F({mI+jES}vG`hqIM~)C46AKwcy)0hMZ=^Wk6Dwk2Ofx0wnD z>LFJsGvAHK!lqy@6YmmAPu;rzmg)inJtKsTZ@0CB@gj7}x-tC*2XUmXL~K(m*ov~; z>ird8u;GmHP2B!uO17tu@O>};1jRe+dLQBJ$d;JZ1J2L}Des7qoZ+_4R%C*=`&AJ2 zkxqS4{q~D^dzo0fSE-v|8mB=_`$1yj^0?Bn)E8ry=maIq@B85Wol-@4=iO)zn&CG1 zpyPcyNeAG7`j?k(Sl;P}KEcpKdm+Ve=}ErncAUn0+}MH2*7|WrnHKxUBJr8nYP`;1 zYQX2A;NS}^X&&opRNm0*kaD1Hj7feWG3Njp)!)Y@v}h{LUz@1O!!N@@B`+mG>jzTS z&>zr!<%cXyDno}~EW1Rr%iPx>TacZqUVV=FFP~<#XOXwL)gIU{3`4{|5e(Ze{O@84 zOEZ}+Q|Jb=DtZG|1fF(ro;>P39s!?MkK$4_A1x8EEJaP_S}MF_K{82@*vaW=SjOBI z_`YALN*^ke8fMdqNXD`*LozrGREshzI$~#FL6OGxM@7<g$ z8MiPK2Anun5VZn&p|EeX6ARuG#L&eCsGKPkl#YjnN8Ei;Bs=<}2MU1NCj&-nBg}!4 z8S`XOUH*#m@!*Of9zV@-^@>G)Z0vNe!D$gSfj_D za*gxYKNP8Lxg9q9f_QBC$Nd4Q2)92cpNi_lZiODIwxiYot*Hl~rOX`{2gITE69T2L zwA~dh1b{>D$piWs>;Kg60cb_%hYLz?-3^KjT2l_-g4S~d^jIpd;(*#S1*ATWeN|`N zOQk#{18jhFEO$ee)cwX624xFjnm<4%W+aJ^qry(Bii6mR*h7Y&q)u*DI=t_u_7Tq> z{{Bhe>6MDi4a2lS&I;x=)Rvx>W^Zf&BNYFr_WLS z-bn|TSW^6u!tbSq5xSbu_h}1N&(`}|LP6%O^ryrYs{BDlKD~%n(9h~2%U~QBBSa0C zzmV3Xlh`C)pIXj6J4GGmmzW#62M8iocsd{{x_9O%8|*wPy zc^G@p@qM0`Gw-4#f6@})=(`DiNdW@KBh*qK?|CxXj2i+3T}LG)>ZBTzHk<4v$Cgk~S}wLem$KG%fm{uI*Z>x*gUqIW4AdeS?*u z&p0RL_oWn8d%hPGQ{H%eNV zY~o9HcNlxtEn&R6?YVD!@X>b)>V~fXWn^=4bkv;NCwWKwYS87oI=4sB%xhD@6;b?w zqf#xCQI@!!qF5pfWjUv?5)(8r%LTwwFyXN7!}?!SNh8cDac$W75)G?7XjIthcuNdk zmW#nlmEjg+E2cqYK}X(ti2S8@#Qosy3a8HN?WRo)3Z@wuy`-yhPF*-<%6Ra*KDQCJ zz3>3L^OxZGgLZLqQuo9ZtExkRO5UTHPJx&bIl?kz*%*dpJ!(EB6cCkJd$>tK?C>_d z@|6^fRTMd9#r?cdhu{{I#o8%*+TlP-VWIM>-T+$Jdk5tuYX*e)I1hPxKwBF2YpJ%) zeVvN)T+}LC?e>+t_PThGm*vVkyKIS8e@4C-UHdd%yT7E|Z5$U$x&gf2qDn&vgWKy= z0;E9emjR$b>!UK4s^{zGb*jMiEa8i;6qo-I;uVtMRGvX)pEO9yW32cF&#O$YD1ZrS zf;}d7&u6UF(WJ9Zc9Gyt$e$xp6y+quO%)D5192iJQ6kgtIi|kAPurk}r+1viab;qc zQ-Sdt@QT`!7L0>STqO4sbD{Z8*90@{SjJJ=A2=m7p?YFbK!g*Gzs3Gru7Q&q2~458 zE;A_t!Y`>2siNb$rVoL4uC@E5nzvgU_9-bH$QZ5eIsNcs4vxWp%IP$0$8hs15E_6~0?`&X!RX92NW-0arA|IYmjJ*9|gO@!Yry0C>=pI{(+1E zbPxY@eXn#+>?~4ecbJk=@qB8eS$S;(kN~DA|AxO!*8LLn_iRDWM2p8q5K)LQS*4u% zC;Bxtm~fTlET_;RrFlLJ{BE1)Mg5J>yP=zT8LWC8_}pzSPeDe*E#S7->Pmd4NXzgG z5|@#-e9aqsLrz1v;NKxJa|8#0y1^ZX9#Ds^|CPhs z?0@?^`1ZHQCjc&@aa$~0bIzT?HpMTEYtMny+e5F8ST}0zTlJ1*qrCXz?qkId^bl&_ zXo7br3`PcIiVi(jkfT*P<1SFf936&7+p{BkR=6A8cC*wymszx-wu>5Jo*OOp5O_2E zNe;jp12?uBt@)py*c)|S(#9R~`mWn{zwhOWUG!Q_j+!%q`n_|uvwZg6y`e`7xT-Q7 zhabJCdri9mAZnvEW|(B4*CZeIsQtuKWN1)V7WB}5)~EG_02*6z;2FI~YW+ZC^5b1# z8s_dOdQZ29*J2%QHCwz;IJVeip$VgjhSX7G$S3 zm_18*s7c{#|7hx#eEAk=`wC8I$^w3$-{0mWW!@GfWmytw9(qa9*G3;1*1$w*c-^_q z*Q!DGZP9Hm@3@%m>n;4pYoWhW!cAaDq3IX{F$#te_9!OJOH=Jd>BgJS<(ia|4Pi&A z`NoY}&Wc($l1i}_!?EVReUG*n%=-aEPU$c+y-m5U=f2auUEhQgj46+lZorIpE=@7r z@TJb$mVI~-=}td9NOjAe8M;0;gtYHAus0@|v8!K)H9j@QVpEQJkyry4$op6tY@g@| z$|KDXW~7Vw7dF(z^T_`$rsh7HDb1=nInL5Pd+x#3eo<(&v(t6tfV23ldKA}Ah$ z(lzKF86F#x5b7-Ns7je0t_WM+&kteW{@iI=oy=;m#o`^RrT%HqtOMaf<7pouCu1<&6_8o3aLF-AhfZO=3OFR*@|{ zcO{?At`4atv&2$W%CN37XNraVz6zO2nxWVDht%?`sx)VszEePl)wS^7@iUgw&Dkq zZ^3WQ@Ba%vK*7I_e%^0b_+nVJ>t{)keinE3_mJ{^$zR=O@f>C)_5z$26nS*%OS9yT zbnl4a88SYTnhC;Nf^MjY#-gRr4R|#Cwb2Jq>#!}nA7eq)D|@(_{cCkS>?vdM0*@XR zSVS}|4A5#VJeOjW#1g3_QIx1|;mRjQI$4^e4|{wCVT7~$0@W9-X&F0jF&X>(itfIv zj^F&(?1>-Tdh*$K`bN#TWBP_C9=q<^XZShx)x)O^zu?oqENRdF`wyMHH{hvw3x4|N zyI(x_PWqX)o`>$=vj^~P9^ji3@XY`|kS)MBHB`(Co?>11D^|2!VcAtoVQ?ar)r5Se z(ayMD9>hRBD!G%E8(P^_GLSU-wS3Zj zEzXTh^RdB`qKHl>=ug6x^Hq^q^*{^SRosu1X)_D=Oo8@drruKCl}E>JF&Z=?Kqh-h zRQp-(eNZjmM=sbLuuKi$Z(5{|A z7Ls*@BO!;pQTYd&0i16k;hN#F4&Mmx3)*||-CeikGb);tqu0z^r@9@B z!{Cl|xLdJt)D)v~l4r(Ob3QX)M4TwaM!H<17IFJ;&-P9o={UrF>wj+Lzk2red$O+V z*`7Z?i$B4?`aXO`OYTGXiX)&Ko++RcEf`=v>p%oCIhM3h5Au+hsyl3sVpSdDEspTT zLn6WuJ*L|NUWLc0oNMS0xj?g|z9?V}y)PRtyBdv`lC^ZB*gss* zefmUwyUtH^UgF#w=4SiW8mm1^eOKz&c(&`Eo?F8|)K$%<+j$AY`*06=VjrE}_Z+4R zZ0NWF)DSNpI82^ELCT+@bpkX`cMT#0*C3bg03ougNnn~IND?%+hTfmpH8en_Lhv@=m6|}{E+SpZ{A7BvMNf6rwJlW*ZCSzuN zxz{imbUqO#PZN8EC};BKHp-Q8M_V|hfHKL)Y`Q~byxHN13^5Z{hUmdSAmTN%?u3Gb zl^U@88o!>iLg``D07sT8EQh=((LDldzNNe5o;5e_dF=YSi#;xFW6$>0t8Vvnmwxh- ztAD#@`O8uLY}9TNZqmdGpTY$y zs)~I@3XIdq{&AuV>l@4~6=+cux2w3SYRC{2DWb}8NpZ0g$pN@`z^fA|7~??hjA>SY z5*A2^HmVGZG;$MX8JBE+f+(_#OPS?bvWN*y(S-fn_@L(w)Q8ZGgJEkd7bQ|Z%e zRLNoboh?)iZTyB7(668u|34_`U)Rf%bXH8i4bo&O<;i>kL1FgR*L8H30LrolFhfP= zEDrBgTvbeOceyYsw)FO*Z|Lofrs7_JNp=#$rM06*QbbF{K$)){bpbKqdr4yVD;t-u zxaH1Ew*LI~?04|_+eS~BI_X;vW&eyXzbr9+{+PM<+@5__INWw<*=0}GRXo3SMOXU> zZno36eA-3phMavwvW;FdY4$ZEpqpmqPIA5c1t>%onOO$@feZoFiXkW#;OI|@w*aR+ zIE$d(exn0B=xEYJbPn;Zh$aOhyoT*wNoJloo0+!3d~)VQ8kReI^!1k+VS{E`OE=2% zCMz~v5*hCv@1NtI<8ODj`@cuN$33V&VLTDC$$C&-O;&NMg)43A^{x7ow*AThb-&H# zvu(HiopAQDg^qQO8y%bj(?rR{aAv2rL$hcw;(f4J736SeXrPS|XgU+{-%OElv^dJ` zZ1RS^EKKK!6;?yJPy4H(axGbcF>o84vL{&|;Z)uyf>Zfk!z0by!YCB6AY+O}7%yaT z#Sn`tUKH_Kt`x7OEqL=OiKQ*x@?#RFIWZ(Zt$@X8B<3)etf@ZvX$f?iQMi6!zKI!# zEby6Z$WMVjMDs&Ox53p7rzwtau*?O(P$PW;{%Odv1GEn-lfbl{Ty}@U#Ru|}2{adD zrJB@_-37K2(`@rOGFG}D<)&OySJ7ubc{}^>4Ikh7>|aXu1aF+b^O+}ZUVR6?#eeV^ zF2d@MG1<1~(eRqJzj*D<7rzA~@J#kyd=ol~RJ4@Yuqub7ABjD9W-5WXCPJ`^n`lTM zPLMcS8Z!eeMC;IvF!Ta?M0@mp=#SHB=GmqGc)ADC+t+KV4&nWhi0Pbmni*C@C-c=c z)KSs|en0BK(V3UjHjdp~yk&da|90?i z?tl9K8T>oT8w*B-8b^(1xX|oK2^TwN3d@DJi~hxbW!Ma_ofioT(=fd1jo7uoAWvbS z08{&!c&e-=P<~v)hL+OWwXGU&=6Y#Nx7S$corYi-tJJKCZknaUYt#~C!5J3DVPTt1 z*h#GEQ*s{hoOQ@$DY(2fcP8)LGb1W>oQ2$6esWE)g^LsNpJVk|Yj%(ow6_%m?OlU{ z_FsHGXdht2zzulPqEc@mU@Vzh0yY1*J$6r1Q*k78R#eK>rfN%ejc@Z6fe!pu!*RaB27T|y` z|5;wKJ8dP3*{!R(1=#xQ_fJ8z0Nn!MX#3eYBGu#IG%gZ!xI|5Kr(BMx zma;`1Eb{CK)+9skgaSuHL4zLHq&Frx!}}Z&?4YS-8zYUCo)*U*l}qU<2XRCzhu0f) zC)pI2PY<{%v|EdzJSZakGAn^IFff-dpre z=k2cB-M4z~S9fdA8_zipdp=P=^88EhGrsiXBE>Fuz-}KmFK@Z}+*%~eJ0>`82Kf=} z{|Iwxo~tpt&2h>)7d z3lS3OAtwr0f-4t?%e~U z8r8BaEviT+Ch|lP ziKwec&7*D&P2*{9X0F8WL5B-F%7Tp|#n_p_#`%tW`FrID><>DQ2uH*t(kl+dk@7W$ zIJe@}Lq-E0qiw@?XmX9~6247p(^~EK;rrG5wS%O`_G|68_E(L!xi^&G>wh*rP+hJ( zI%+oLasrJ5OB1Op#!exJh=`6lDUV2#1OQp&BVZNd!VRMqy4QFHQLJjjT~(Bd~a!^ zK`n9B+lb3b8EvlSohI{Oc-2-i8OnYDdHH{`6&vH0w~5+USd%XuV2~7s~U%o9z9B*HXPK`!=P74*w6U9Xjwjz z1&CI(NculUi$p70B>f+vMPNn=q?qUEk_4i_D?Mc6z9^6R9*hr)QA}z;s{(jGW~LTJ zNR63Wa^BYZ+%mELW*J@QEL+RUhlD4}2OcQ2wm=;NL+V8OLxX8#&1|8D!cb2)l0_P% z1>1=uX6geKtL+va_`b}K$;TFxOl0od!WCsDVr z-a+lYpvzOAbmMZ_?XzLG4_K1wjBpyN^9@p=d<8bDuOREi7Z3Ppv^B&ctbP_@^}DEM z;_shU>1XPWzdzr_&tj|o{@ALYrBwN8JWQv3l=I^we(awfqKNFHA=c2T5Lq93B$Nr| zLOiv5@^ad;eQl;x-bZ7<{Q*|$u#76lmG>21u@Y95{>ke3u&>J8uk_VSM^ad5Rbio3 zWqNQ>Xf^MG`DRj!IdPq7M@@}+&)fKGQXwArjYB7*B#W{jbE3i9!bq2$VMIf$RaM(S zg)&D~#hjC+OL3Y{R83mUkFA+iUy*14^$AoaDkDZxm}}nh#$}JsFtl#XdBv<*cbwP# z{q74dpV6?9+}*eD+ao5=nset)(#U3Ri~~*S6R>cLQ56}&D6(DC1W&aV_gt(^^oUAP zu&7!~c&cNK+DOk7ZIY)&YSmV1U#b7{+K0ueD=vs#P;qg^uIfjsrIDp0hcs7D(k7Ko z95T0b?vPc|lF}tZ+N-x#zg=;%^wZd96;8iT^!AXh?#hT;Vs@ty1tM-|ldf#ZH`_*4FN|5iU=4RV3Zt7e+J zpUu+n_s`PsvsoH6*1<|Y$s?aDk9_7V4L>DJhJ;|xepTQM|0@4ZTZ?~_1IJNWiG^$> z7W$RslR^9~CFL(Tjyc|Qtlv7Qo%1@c9<=h&WSdx7m`n(z~&5Md@7$8xU- zn>?v7_n>OaXJdU~<=1|ZDq-GY0o$UgtKPwOf{jDit|3{*q%bMm#Ax+70yYUKd@U(G zzx<7umptZU5vJdAy5_W*3)0kNeoVSR)S$d?<3>arvWJ9aezR@6?bpziwu@{RIk+Kw zT(7pba+mU(^{eeWbXg;U+^CPV&mdE|2~tX)rjN6$_mc;>d!>8j-P}`>=pqigeYik8 zfurq2$F>wD- zC>@$t>e}dZ)DAGj+vu`0;gqh)NEYm}O(qWJT&-h2Gc%+VQ;iOnI%7^8!_ND0Ilgqb zFW7(=V&S=L%bskjaQN)!cU?I1d)&FNCh@PFZQ$QOOSPLva~}z1EO+ZXCOy=Iqm^%! zegg@@B`A!byi@L&Vubp4%%boIGkQUG;}HS4Ai{6LGIh^km+iZHZJWv+15 za)qmwD_regCrah>H1uzihA8DRkGPulQnV;sp@j0{X+}ET!4v~)aT1g5CQ%x=0m$;Y z18YSE5sjF#ocjKNa}qOif{Jn`$(?-ri%YX-U;kb9tMxBVe)jq|4+w|Pb-j~4_xK%H z|CpO`Ztn~G7r%%-ls75}2^YaAxVcuAp{k+6tB8M=PWCG4=-6D7Wfz zM_r}$F1iB)`Tc!x)bmFrf0XISt_6UnwK%%F3SXs>1V2Qo)T-OFjqe9-kW{~P&_{Ga5v z>~Fe0;!nya?H{_TMYe{O4Mc_4`9nTZ*|l z79NbMyVN5p2c~Av{)OaWLV&^bq*SpxWy~~=8Jq!?Qfd?hJ>C~f+jleFArzd}mkxXo z>`nKk0bu$5U$;A_6>=o) zLp0pzHV-^>x>y(2{xBL7}ZkNx0zN`Sm@c=R7c9;vE z>1xz$MWyFsR8-oesT3j5IY)k$-Fap6%uDbsebdf; zNwlF2GmEnrsC7Z^BmN)4YiKw(mQkw{RTNlLi2}Gk_ourhU`_uGT0jVZu_c2klcl>3 zz*+$uDS*S@AX-qPECA=pxUyvlw}juwZQ}WOMFZCu8P8oLU0gJ=WJ39*iaA`Hw4msc z%3Iy`7`15gi{+R=+yW97P+y0bRH^#Sfo!YH>r{mkkE#)`G@7C{i-0LmQQ|{2x zge#l~DTyICfzXhUi?1D#K+C`Y(uZCXzBNq3ai2c4xFU|@f=^)4RJIUO@ld6>7_?*> z>Pb@1rn$02I`8E4H`er;zi?`3yxgv9LTRL^I4nyd&k+&F%gdll6pF(`Ln$gt?hN5j zuMZ7n84*kgHEo` z$a0`XNuVKk2u&KNX3h|1UDKBh3DuTb#z(0o7)!0>8t5aOa8YSX?{Zm^&_xBFgWm3% zOGSQg#1b<Q1KMNd#Wm2A4 za8O_P>+9D&GiT<4^RjDaty*!z=ihz&%k9Ep$Fomo9&H?r-)h}@?e?=j_*M3Q9>9Mz zuK4yP<2Fv1xFY6XlpOW=vUNXSy6V+!_S^2*cIk||x;2&O?caRmv5lKPrum5vfefAx zGT4EN@N}jNxuPX_ylmzSIE`WllKq1;p21mFR&2k7CT=R&j5sMwJD~~YuxD{6r5`z! zP)X6i;%jQ&@HFy;iHGv`y7niWnQNg1>DTG$UmE=LCR!?4MekF0Y5! zNi1GUEl+dEW2Fz_FMl-uhPF)`r(b*5(Oa@zxbdzZj+i*@zO~bz&Auue_7+{dID71+ zr?T0n7u7yHa>T@spZxIORmD_mx)(-lHqC)1bIsWyT-m5bSs7EIHi#qD$?7HCcJ7ay zv{`+Ndka2=c03z`O5t|CQ+S5|L>5#YH}G%rgeop6#nM$ckWc$;jjlpR+{%&e~5Z)P&gLn%D&*!TGfpPOhQBqVzRt1jdqk;;y5SbO!eb&) zeO~1V=n;Gm8Iy7h7w{uOMmQq8FYv+?nU-n8B^;HuN*RfhddT*Dnxz$M7T##8l^?7{ z|6dbBJ|9Tf-c94h1v+qFx&w@(j$W!vG&Px131I`GrM8gWim>niNV3r+H-Xs`0JA4t zX!h{AKaFlP88|&T1*#bB+EXb%03uz8NjB_8y+R??(2e^1>N{=80dtiu!Rb^$_mHcD zjXd2z)c~&g?g1ZwJ~J+%xj+N)H1a7=BOQ|caR9xI0~{&I7Vg9y8`3O%1ICn75rMZ))1B!X$@O74;$TROgg`82FdErR6-CVrqNUDZH&J;UWog79sQ4+D}P?4(JSOS>M zi3zXrPBlM~*_@T@PuQR_ALoBvd-hlQRPWc=jT*VJj$rR_M&O4l(lVzK$ zRvJ2Q0ZvLXN=a$G5h<#mCf2Fc!IJWNUbHE0F{}h#0*`o6Q#8BmGQfoNND(=#71_&C zT&j|j_IlJHjgil@Pv9ntDQTKKRU7Y^?407d)G^z&Mp`Pba9tx_D{YbwiH98rT>lc! zDwUeE5>@II_DV;EtHv`LjdEQjZmmg1c8W>Bq*vXgKni7PN$!~=|e#aogaPR@Jk{W|F8c8<_(`{;|WRAtu zyTPoDlIL^pBMh58cf1=7cSK#*Q~@?!U}~m*&VUe*bH}@+;h2?|f`q6mln`?6{VpkL zUUZorwSkN9^aGA4eIYRQ<9nsy^fi0YXmZ$meg7N#Z}%78?gUw_M)@dW3F208-=2H@ zfU6Nz!v;K{%iYN24U>CpMG{J(%;`3GCAk0gM;Df1(R{a`hV)}x1&60*pF8|?Ghg@g zp@$pJKd>j;{oK<-{>Uf#zIVd;TXIF;{jVG)%g??|w(LK5jA}9SxqY|-v={+3nKQYN zz+vH2g1Bu_uqg;$Ep|M`!l_g*$L7*s;r%>DDPpee#lpI7jxO%CbJ)@0@I2SQ{+0a{ zp1l(x?hC3RaV%?VA58!l>;8u2As4{>fE?&w_*b+5A+MZ9T10b6DzQbJuW%0ie}pq4 zr&yV?r>!+jOhB;!j&fTz8DbuHl}cP<)XkKuQ~O*dW9x>aOJD`1Ca1d@N<^L)cyW|6 znHS>XP_$W_iDHUiovq!(-XwgSUA+O>75uo!J|p zH~+IM+?Y2&+stKX2TW1sGk2(*B7$_3K}7JPIlOwqMpY4I83;Qxjh`= zHY4WIB^c_e+(|_KcYALF7u9t(jGuGvy)$?AeSsNf?g$JZ2nZ;mBn%2FQG@`Z7&nAb zPy}Qk)+`NaHnVE>rAakQo7Dutg=kuBw6QU@X=|G9P1>eu)3+vRU%$LrWcZ$Q?!CjH zreDAJ_xt|;@B95SaL#$|x#yncInUXj=Q)4HqxjkV2=OCVd8zuzvuCIz2UG&%4FSZ< z0`|TUq{CQ|^mNf|J-ai$JVp5X=T3R0!%t;>#8a$~Fv6^Z3kXPLYzyS+{aTWcujN!y z;}T5c+`qk&{N#d_q~>BPNw(bx3rH#pg*bKH$L7$v3T8ttm^1%OIQ02{?on3P{1}wL zguFOkUaduKo1A2kb&>N5>lIGkU<}aF(c_ixim*>wXVwB zv?>R3XJri=Vycvi;Xl>;rDqE8t?7UF(uoV%>r;|3HNjuMWmWQ7g343RxIb3W69u!8 zT|Qwp)5|Bk7r%U>h$##up^y#W#KM{6+*8|iPs@k#*W=sq)vtVO)#B+l#%~o4neEX7 zn-9mw#$Mp?9oMbA!DXT~@G_9)&9FkDcZ|sU8xk)d=n9FZoaf51hS!tw0(zSQI~Nia z9^x%j?g(gBokq~HN8m;EB9Mwxs$NMjFP}PfifcJ_>fF<(C>JM{zzYfAK>@;UFc@(p zv?8j2!d|if?@*OPwuIu5hZ|vK1$Fe)JpS_Fx zYV2U$jdvf#V*j0Ie>jE*t+(BN{E0_~>nhyjA1}nOTp9o5rzh?nhPfbm2MGKN;V9B$ zGov*9#5EuapjVqbQUSd>5-Y-qkx(-ifpKmVe;wID9v1l*c&tMjfp9tj8wozCXHt%O zT1QCX40~5)D^M;_L4MXpn3X=`<46kA>7Yn{vTh>PC`3#aS>=G4#wB1$hzLYTF&x6> z_$DM@yR#*C5_6J#!%qdB&8yNk2_Xf@n@$rE1RXZ&sDu~oH&Rc@`qV?|Hkj?qm^rhw zn0&FX@^sUKe=O+dufFt};EM}Ru7SRw5!z@9V9tZmQ6YJ4LjG@`5%~i7Oc92|L6+v{ z+d~13kR7m_0y?8Qt2@AUG;=NT%`i-F^k11WZ3?iL7BAiLVQAviP+UT!o6B%9y;v?5 zql@voyCzsNCJWxu?(hujfaLkf!GO$Jp=3lOXXHJ`!cPI_r=(B)ILa+Qg?iFt>6b3n z{#~r36XjDAgS2pgk^$Aw&ZBmQ8|S!d+%@6%jUN;Wx1P`i4MK-w>EM4hY1K=&-KRPBx(2 zq|muZp>vaZlH8ajA^~MtFtS)J#4?KS-dE&RTf&6OH1*uT8ylh=k7UD0h8ieC4V0k@ z`DVmiD#=Q&h>N&(PCudcH>{IkbI!AgJ|`i{XfIpEP$@555@P04U@hmDQt6UTk_xCZ zO$vjvH%FRSN>UBSXiG~A%#Mt{eAeCgd>VT;>u&5OO^ES0X_Caw*;)v*V+5*qY(j7m zflo4D6PGM{jyLvpy?^BB-!^T&<<9sgzxq}Dle^d5ys6`+Ti0*cKD%aTs35rZ9C zcECyfXxzgPwc3CghbQaDE|wZ?k~BS<+HqNyZH_QUb67Z{IjlV)zV6r77+Z`@=1s+1+l4v`EL z#51&~)xmK&mr`4K>EFejYP7|YAXM$>N#q#Kw=?aBQXDUY{6XLh@=!@!4;I-#U zi6`^PM?QMy;j6##D1O=T=}%98vhe9wpI8~#v!}AW?daE!|9SnUdmp{c@#e4owrBZs zuROKAbvjHAQtACTF!$CY6Y+6gV3TI@;y!7)uS<-ci46d?U(h6U$0Wv670{~E zlcEY}RXqTrmY}zTDVhe8l*y@pYrHm|JYRkLT<_I>@Nu zw1VEiX%Q9gImz)(Sm$#lM2se0%N-_%k%$Q19nmA6hal)Atm(=6gRtC>qyOiiS zwKpj0SmoVVTpBTHBU92#w1c4;+MO06EB|qpAYvsFEW6}wTE@*J4>@GNc@*El=Ab&I?Zw^%Id9+e3emv+Vw7BLi;aZ{$1aXc)wl|?mG z9u#pJBZe~LV11b}5-u}N@q?@IjkR2Cy9(v{4j6fzhBJ{-uIM0Dl7Ni7I$6jqE-sR1 z3%1YX3WT~ska#)GY5-!0Nt^y{7CNi6OAyb&c7YjEFf=3ht zEzc8Pa3E|l5YB1jZGu6Yq{ly<>G3ycw0@gqrxQCpo>U;2Ouc@m0S65ghB^aBIY%R< zOu3`XNy_$M3~Zue2rx-OrlHgzG8sYEH5*bK&OPTV>||Rp^nymFkP}tZQW3jcriL@2 z#otz3e2Z0-k73QC)e;u1dLP!AwK5|)Rm(Z4CVhKt+EK1BqU*9*49V*KbCq=%mgV;; z8ycNc-@Gs0F=b}3bmqR|$_H!s4}SX7&#r#hTyqb<@{8TaYujO*Djoj|*Gi@EaSB@% zTBBrxrk@OGwwt!wG&**B%02^?E*!cY(+U+Tz!zpBES@d#6JV^}3Qu;hq1>K$txyaR`kd{>G*4_8;^zg1S{ zi|hwf#am~r3R|C|r&5`roXM@A>IdIf>)A7i^E+!G@)=Pheb<_lf9qALc(6t-3pe!^Bon3Nv~b6|@?c z72|jA|8eb#SFYcdbxAtVO#Jd!@Tb`P;jhQO_({uc4;=n(JQ$ZI=jcj#7b%-$TZv9@ z#mKIsdD8FVppuLCp*?(wrK^j?&3RxwrNX(eOV1Bp+$BTsi&aA1Ouh0QBQ99 zi9<}1V5Y@-xvDF|7Ex4J~TRRLx*8epAr|Cbja)Rn#`g`tA#ZqFKUcb`(J`j2uWI_6J8OHqkb;7cQ5fqCw!#~2!a@30&%?& z9TJTnL*jF+}V}2}QMBLp}c{{|?XXl*OtdhI->s?K?(prx6?Jv(=(~Q%kPX z4r+<^Ud!9Rkhhvrz`(!vjLeRG2FRv7^-RTkk+iV<9>QA{m%fkG0FY_E%zv5GXjtjr?C;Zk z&AiQWlYYD9LDRFAQOjS=f3aABMkSlYX|q{u7NgGYBcW8cUSp@L48g0@xjm^V0nhhf zyq(~TIvQ~?=^PIVO<}vcUa!Ss76X$;hsjId#4r*Fn;+Gvdb%{~eyoPBUQ(C_7Iv_# zStVukW(;O<8B@IEf^}|Ulh^z2Zt`lBP2LL`tI{c}%!RrQt@0*s%6ndAAt4{Nu)SXB z6XBt(fUPOyC54dK_n$OLlQly|^kT$PX0gt;*=Mr}-4^BK^7oO{lrr0tlrlTz#f|vO ztW%s|f?yKdE-k)cU5bY)=QVVtq#$eo%EeQdzCUGGS*G9fRI+&79!ENtPqM=4>1>ag zEkQz$liQB}=&F;aYqOUw9zXl)((Yx`LW}-@AHV5=B@aFsFBA^d{czi(Z>MEuEE$Mz z!P9TNW0pZXHoz5^Zd=fCv!a8I?k-X3v=tMp;Dd&s7o$v@SFQsM-LaLm&3oylSvEzw z*TyDRHnw+W^V;%q4cP%Y-qe{nv)PI2F=kAdCM&FxG2L>VLYIql$KiPHsv@S>deRGNFm3caQs; z*2Zh=XX2TMHy=2MwXf|sbM;mKc;ba$lQ+KI|Fz*~uetVd+-SY3d+~L@>@|9uH(~LY z?_ldA@jt<2q(K}vxNtN4}PgmAZD!Kv^^3>(A%8bnepfGuhljgz` z>{uv?UrKwiQ~9I?RnpZ+x{F_pq<5-nFwpq>swd7+Pn}gjpQ=uIXfS1FgfrBISH@($ zM|OIH5IdJkT%S~sd~cF+F;GTmA%EHth)-YVXz+i5&%{McxajP*uXIvPZx zbOAbSG_W(niV8*8B15m!NuuBsMFFM~5)qt)2s%jW14)lWT~x$TBC^$Yc3HiM2gRKt zJi}zdL?YQ`YY5(<$WP#iO%mz_QYfqyb_z#@j|D*(CEG^~RDOaAfo-At>(qFy@@Z^p z${DYGBCE_V==4IKMK~vB5yQx$A07Y4u+EODBRZ*MqPkPLXeb*(&t!^*5LGnP!bCM! zC0|T5sjH{A6p5nBd8ph{q)I4|%CR5*3}2fcoH7mH@!Hs{!l5sIG1&XHukkrd`|ndg zcT{T2falBS(I!P!i{}D5{cinF^h7TZz_uv-8*8xr&7!2$YUrMaGRi7qS{k784U%#`B8zDK8w0L*;ehK_cvB@4mQ3{~$}q)KajjKbl9W4@ zCy&0lvdk>If1tcpO5FQywl(@`1of%2h6XTIgiKd zBT`z#R_CbmL{gUv%XQ1FOKoc$D?Dqw&8f?Lw|gEY)|3Fp*#ichYf>$_l4{AN*3yAy zS6I?w?e`{i7k^c62>4LF58z|I~5(oj%Hl13w_Qk6oYxeBsWG_)dFs!~`b8L(uiH>@@E8U_u5K}^>8t*k;Nxt*FI%m3co znE%qbv3^cVG6MwDoj_I9pFO{Avdg1k;l?vwM3qCF8-Mqp(N-c#M#^kNkDR9$Qtmsd z5=D&6XS_oP=9h_)A{i_y)51KM^57gu0kB90sc*W>nPW&V)0&-N9Q66@0SAC-GMEOS zi-Mo-O-!BfMBkh%i`*JHSo>+uXAoC-hcN9fu-pT~*BWNj8+EjqBB%g8_>yN6_=0NY=qOKh0MVvQ$Y=0X9D4&!iE zX2zsWAtQ+vG7{1zrsfO@D2Yf}YuR4(dkINfbyND+`xIH*vvTVveS=vzD@|?vX(~yi zC0c(P6R=Gv9@n!exIQJ^cEu#cJ>b*l%e7et{>QC`= z8e`a+=?VwKVkRF>&-9woLdb1#gdnifA!*@hijWz?euF23oi?xnx=;vZa9|;&uGm$+ z>TfE$zQ}iwi)3Vk%uFTj{w|Eo^n|eJV3$T`cR0)**5jDDNm@{C?6jP2tIf!?P11hu z_Gt47z4$;2lSeyG)g{=t#boo2_)m8KGQMly2(JIlE{yL9zZ6<`py#Gnw}xijg2~-q z|M*f;@d6%uw=ecGUj56ractj)(fbN}2Wv0Cv2OdWd+yLY9#^=Cv@d(-0BKiruWoJrgXY#mwGWbZXk~ zrZeVJ&&MCfKag#z#g!ZXQ1~lQx&;N%Y#fz2i|(`E=l*8uHv`|{o-sUQdb#i|`&;hc zr2Z!G^WwK>ntMfQlsrEYx+SB4x`p{T|1epLa?vcZE@G|Az?O`jjO#K!%HT8Z6N^T{ z(|~e!tcgZ@GMcScp_`!!yEqB73{l=6z zl=}`)QcKk-*PfwVcxP3e`7j|o!x){Ywq5JkdyG_@ic2%6;$TkLo@ow~%s|*3)@N$M zshP!7X9Q7cCRjF$GX!fbe+YYY0HtUFD#AQ z@w@(dDnAu*h9qfLMuwPQP*4QDkXO`M=}1I{{Hah%v8-saSk^U}G)_`#^-ST3Orsh0 z86_mc!E{lovUHPlQIb!-hc}))5r6pj@%Y0(d;@R%-mduR=bpxSPd@=+xC~Y-ZUg_4Gh%9?!U8l#dhKJvH1NzI2M2C#0lJS><4($v1^}<|MaOR<3E4; zX`K7yQ@G&CF>A)P_rG`Y#$#>QZBDza?x}|#eyoG8l2Q=TUP<@7$bZSFkBJNIV5LD4 zA}Z#jHIXIhwoGnPhzX(i#QrO@&SJXo0Q9zQDU2Ewe4RFY{U-5+AY;9UU|!G6_s00^^T3Dri{r#>lYPQP!GnG z&E5aCyaJPBKdwT_7A(pvuqd-7E#d5Xy6Ge@*fQbW)MSwXwr*3DdzdF)j2I(AgbER0 zCL!Oq3SC4fz!xzY?XYr%D#OGjDtpDW^(Ngv3yxh@{-;Qw<8Q^UKJiXQ>MT9>{OxCT z>HcZ&AB%S%j=zzm^*H0N3y02CJb3?qWN^P9OO5~iKX2d1y$CamRd-0y1z$W#?~KJK z`5tlw^up6vziYuW=&Y7W`SNm?_ui^6nc4Du44=IFZt%;7Zu~7ijLi5$HnYVS&TJ#* zX8S04T_ko~WcN^>{U1kk0N_gljD!Ex$YlWJkZfInwG5Z(Yw&zBU#!v9Sy$pF(j>0X z)myu88)*|a>aNE9;?=s_@lE2by3g=g;!6?3I7iIYm5JXLe}T1B$K64ztAym)sZxQr zBIz)RAhUIPBI@;-m;mt-Ob;(etB|YJX!Nbna2az86C27k>&Ym#>=Q+;pg9c4f!+sR zvYQvRQ%t*Ic3_T}*O~{-ADaa;{Vs#PGWR3>*D-zx;X2fV#sQ^XHqlM7So=d%*&jXF zm6_}5kh-P(y!Tv0yuXQn3E}^m!!=5sx@=Wh8BTg`> z?g@2(box4?$H+L!74Gk%w;{_nbZF!&+UQk{Ocfv2q*MKJSUa;gxHj^080Wo+-SQQ=%zzDgANJ{az0PR1SZ!L30lEdZ z%jwV}o)bNGlUAz{ydJm9VYgW=CZoa0YdI0?HG%;ltK`JaBN~|dd|NY$?~j;-Z=;CK zQG!xZdhd{@FSTdK-lIG@tIEp?XxE@J-eMK3 zhKOFE!zWDcima!KY{dnqY;+8z!kx@g&UHQEtYVFJW~qnnf@^7`%$ivmj^yuJ=)iY# z&J8!^Uw73dJztw$ciF5f`-`sU_uMrrXMa`O{Uv#KO*PNhzO-)potG`$k)Hw>>f%1Y zmk6)J7;!7ZQ24a=Pg=59drV9IDdKy@$3+qozaf&PVidYi5sL;6iO*}PQs)5XK7(G> zfXXo>tTu zK~%A`R@Ur%&G&=3SLDr{!SSE|^xHSjxjbiqdrjjc>4sG--x(NBah4%44*@orK5>Gs z&*>R*dB2u!RYzU*%Nj4apyY4@T(@^koONQ17C*X297 zL4k0Bh7*Z!n5=*o99c0;BAP?E9uYv53q6mYmw1vY^5txsX+XPd1>1fur;|IBQjl7E z27caDtIW2pQi_3x(hxzT;Ua|bt``AGlogzk(S%(XyL!1d&c&gS*FS?F8Hpc{e}4oi zvb}Vd*GSf~{?Q<)+$e%6S<&Q#uqHZ?F&w)PUFC%gv{+Eau06qmQj#~7t-w`kC(0>`30|1{5 zmOaeph*R|iX1)V=XgUnP*YH#yjz-k#G#Z_T)9H;=$AP3bIQ4pihSTUcrgM?{!pamo zsqCZCpuw0g#&7;H{(Tx3bbfrPlH;l%%ZQHhuiJeTiW1ACAY}>ZY ziEZ2F#L1Vr-{=0Gcb#+oID75Bx^{PU{iBqC6Oy9-~CB|7Go|T4J1kYUobW znaDyc?_L)sz!qv`Ju@@F?UT|c6wkK6#6UL`z+MMwP3knX^~{T~s4=Y<_2GxdE5+f| zbo;Wbi@F|Wz{APndM&;_O|;sFnr)~+i?rs;w3qh*g)FxoL)1bzd5lYt2p(tJMr4bx~)EhvqpMe?DSyY#&*Tx4j`^e_-@v z>Vo=gsXkzh%U^=PPBrUTlLu*Q*YxSe10ct<4kfr%N^k2v!u@tg1)#I5tK}hBrl1VUzSbH>2BL zz12g#wqVTApt-yw3X^sj%)Dwmf~^RttSPxMp?&=(9$WsLvF?me=OOC(croL(| zIqQy6arziwmNbN{@=ca+OlkLlaa)H0U^l^(vZ)4YLpII8$KU6mquqchDOfcR$wG>H zAdRCu@&A-1=jc6#;&OI9{9NDQB=p*mdKav9)gIgJ4>{Rsp1kc9dQ1mruR1+#TO(*c+P&-nTy$7nMGZ^Te4YB-c8$L^#OOQSqw^j)ajLng(rGueTaM+I z8MEFDj9=9NxN(ZuR9OY0+$?DFqU0qTq>u~pCageG87DHh8w`Cd0HxiAixW}0I(=#z zOG=eHXK5`#5U^M2fGmWpQ(;jpp?DUVyOUFu>_q)~`MfhbT8(Hzj`HCtVd6rl2N;qbiI=8H# z)fwwNqSwt}3SfPwl14-5Vc{-8H_?1^X&SsM<<2w_EGaEI$g+5O3lcLO*bPnFe+m((uUKP%Ehd=v)P-U!MtLw?`ixme(Cd9*{o4ex>P6dwtmXkyJjjVIRl(nLv60yv%1vq9jD}16@Ve}T`zA4dfg{5nT*G}i4 zUa4$0E-o#bn>=BDWxy-7Mrn#BsGFHo;MI5< z(2g1wL}7ihCnpC<*tIc-rPlXjlVEnA>8JIpwy)Fc+>I6e?#nMVBCWlx50kb;mrm|3 zJ>+r61>UcQrfhJlw^lr?&NoY*R+GCthZ8$0mT*SMtH=6}+oNr(S~xj%ESLGOw_E=R z{9Q$pJR0&!Civ8K6H2hz?6y2)PcyciFQ{|TfjxQF0os=$#FfleB@YzD3qU3_S9LvA zvmj-P{;fJz00*Sl40&j19nT`l;Z!_|98cD8D(-b=?Pr$k)XcAvz|Q+7J~6%2`TOja zsM4ADzT1P+IEFR{OL42ikgtwB|#p6c1;`&X@yRS{r=K2#d;k5+MXO?vYR zvUak(%D=|Oa$#{SDb%7D68H4AhIjaECzR0j7~cmcDHsO0i*!Q^#+u4g_JlT<^M)Hm zbusII$WPeD$cox+1cqRMtSxZadN#FP&OA0%aC-j*oy`1m=+s)>K{%1A?*28k+>u{Z zQ3KZ5I`q}w0(AMTgfx!V)?!jJDMQMmpCXa0g}{UGs>r4AEGR#3xF^Ds?L?B5TSuH# z+C-8iZ1s2=rCqUXGONvR)-iO%%*$0Wd_lnw!yVk(S**HuclwbzU!u65sjnhre4qXc zCquF3=_T-0X4F9E5KL+wNuc1MUk$vDj zkTiwl+J|D=r&!KuP+i@8%ar0MAof!r=Stu=X8ZIOi(NJyXlX1Z%#}3lDxC{CGCMgVsB#ZtX>YsryttWXh$7 zF|zBhU*ko<(X-V!#Lb2HEn(r#@zrp~)v(uI)WQZ~&)26rt1rWr9L)`d45iNr+=LHe zFH2?cq9c;a`G`5mGQ9c4nk+6}hQsyLc=n(=Q7!IBz}9^}Xo%7VWA#KHo8X*0}NF z7A0Pv(S&`Wz*&5vH;&e2waKCgzF#LL4VziBr#E|(UAU#EcIBHq2BMsXq@%SrNA7uy z!eYEN8lt>qxReT4RM^@bjD19F=D3KCOzKGFW9 z{SW7`h$l&JDIF>aF%o^VN+v_ma_j*n2W}bWSud`WS;Eb#q7>7V_i0atJTPQW zJdP?OFAbfOqpJMZ6Rs;OBhD%oH8LI+a@CM7;%4Fw!J9}j~pCIZ3Sf{IXtSGCt zR=4&k5^ckz8mrc(fq}4AqSbhJ${+nsf=4RTU0eP94KZHbANBlRQvl8j;o}c3Tiz|` zOMNr~qMzbg1eG$KkC10TWjit+Mw%B=JU+46&HTQ)N zj%!V%`UsbS0~n(ih3_j*?o$MM#=_CQ!nR@H-Q!qJT(d=!-g9PHz2Ve=y-`~udUc0L zRRa`rFM*~ZxzKlTfx5--@!EsiZ)_m2LO}?T(`Qlpp&JRZt@`M|=V6Mm-wFM%&xt3T zZoFB0iJ36m1;9=*ei25wF$}&Td4F*QEeB{p-?W^x>{#B=TY!5vWF2Al3jlp?gFKs( zXSwyV{i22heZlhs=}#8Xs?L+JARsKbovWq0LOr3D$F|%z-uDA~R`%yiFvwl(&p|Hf zLM`(D;(iv$kPU1bhF%b#FBJR)Ux3}VDupeM>GU7l@!%fR0ksFFCtOg#=j%A}vd0v8 zal|^(i2W$V%L-~Io9U38nPif_gYttM3pnIUm+zJYgcXbYFm3`)fEeWegnyfLO6G>M@+ zxN)vTBYN#)!NOh^1XIoLhKz|RHo?G0=@-tK&O;2AmDB^fVtd=5KK1mLjX!X%K-SZG zeTL>g+%Y3cBKoe#zR>^K(mUd;3ZsO2CZud{rHpt6F(1>Mr+>tXnEeV=>`MFWR*&>@Q;g!$mlK53 zYX|DR9rN>o8pak)!~oir7Z%zHsSzoADZmcR20Vuc!;7=9qPPL;=Qe}`q7Wa-;5w*- zYd(knI?z?Hn@^Z?q1Y9yGPBU`pbGVvv4=%4mM3u~*lDu}4_h=xqcpS^HlK?>qYp2T zM`BohrnR4K6LCk2a!%U9jC- z>NHT0rFm*XuR&D|&Isxs1zgrDtMtMT!q?3IJ>#yg_7%NeD8 zVU9CTAF&Y%&nys{r6$CHFbh3fe{YvMzHCL@h6E9r7Y(Ow7WG) z5Q}07s#?NQE%Q1@Z_C}SO*Qk_R;I?}R8|LXIzD^EdoV*XbN|p_xY7n)hOj7G>LL1N z$xO-{7hM8Zy4ADL8QJmlh9>h5QPpM_Ee>xv*LQOM;#VGM6q{GZP6v1PBF?tBL=e*V z)Y2PkYR^7w$x#Nt7LbJ*8Q~V-wH3diE>wgR`|?-9E8`S+gx9|}HQ0B-BpoxqyEZs` zYE>WFttV>ck2%psbfhF1vId=*U!Q24YYM2A^XGuPB{3fSopB48eig&MLzzaU-x0ZZ zs6TdnE{4y(Ph1l6!sFiT6yrHg5vs1Mf>X4q^lQbMYNjE-{7yH9c}}{}ec`<^Z`Y2M z2*2w@(KHe<%y7Kh6S02qw|dT;&}x^atBlRJ4yClrAhm{DnoUTSB&8^)sH8btU`f*2 z_+cJY^UUny=Xpx9YH`<+v?}?N9e+4|icAPValPW9g{{TXru=p$wo&6tgN-Iec2j0j zZm_yJRsnq6#~SR!#HQ7tOovCN>|?}pDZN9JT8&Pz_>51TwF=Hw<7B7b_w7A7c5;YO zdup{5=rg~PF&|+4v&$)Q(USIA8P3KZ>8t~Ue2V=z3Y=T!JnMx1Cv;?4+sih#&DZ3t z{9O*_@K1IGYI@;G+&;x>$|TEtYxS9;$Z*t!j~WT3fXQ%S_+M%Z8C-jz?6Cfj9sj9VRtS<4+|t%6@x;p}aK@(eBMHDTO`VijpvVGBGH#veY2 zvZg+J-05P(joa~7R1kIYk+qK1%XOV%NpY4$$|<8hVY;E6c&%dG`V@y)RnQD)|Vcwm@^BZTOMUC z8yS^fu?psFF*-KF58^mI#J;Y7`15qri!EN*;HM)hjvF>w8w#-RNFGV zPF@LjzuTpoY?%z2?%4f9og43uV#8g?67uBs&*uy(HS&Qzk>m{M_esze0!M{?10cJM z6)0s$1NFLi4wefwTCRd3y@_lJ8S>=?K6RXMweUb1|L>n)sh#S8RY!fC_@5b(9i|r_ zVpMJ3N#Xo4M%B^$qfN{){Oon@`F8h-?be2eT!M?Hkth{fr84S!Ltw!Z<_omP`b7%d zQSF79Bd4?N@>3Bqi*r2|q>glFZ$&cl2EQ@GA7w&N(`$yr#tbl=J{x{0?>_t}D@ z{OkPmV0RuRbMN`;>Gi7p#_QF7Uo=+DP?qN;D(NR@`5he9M?+%$o;(3y(Tkr<#`-q@|JjAB6IMRGR13(8El zTBTucuD=^9JT+|yN70J^3EI`Am!&lK$iMmV@3FgAK^^s$`{T>xW;=!V;#< zWz3QEWmcVjuY2~w+9t!}Uzh%M4k#4x7Iun9i8qe#c(tO==grI$<a7=E zOyRW=B>WQn4v*8~*rek9SKJuQ4s9P5dS)8cVbTa||58{>EgE`X7FB2g>;4IeRNokCh{^N;*oU01yH`2}gY+E(zU8Wt2 zGLF{v{Nmz(l#sAXc4IX=gvaHnLTs}(^eX0Xa68k93-nH*6SidVYC;7h+PpzjMO`7g81%WzGhPVxOg z{_to92A3|w&kW%fWWyv0LBrH0mTsG+N;OMcS(|NmF-VN# z2n^`99g#~EpV8`N2T)Ww#^AmbkmyhxYU;to)oj0d07eYTaxhZAF;K_Q+i<_V)H6;o5$lp?LDvQ2G4BA`89 zqN@g2jwT!}v(e`{Ood9(7QK#z6sLR0Vrpvon0j(9qbPQ))0YN@%RLbx>n>pQ;MURGZS%rKbcB zPH$1(r80i(5f?_d`vu4QtP` zkdP8`DwJCRQHQaqK531o5kAB4unt+Bfv{FB;k8;>>$tI@Nt*WwswL%(!3}I;e1rHZ z_$y|*_+i4^Md0yk>t*4qts$>Q!K9lf?&VDE=<5p=yUp(NO!eq%QU$y1Z#Pfc%bCeh zMM7GQg4xvdWDiePnm13u&*O^kFK7jeZl1iCo{Jy~fL%dS6!O_U;SROOHYpFlE%4G# z&J&RpU7%O-81okPS+KSeo*|oAw3DSXAC28rxc*|<#(`Q2ZfSKXJLB2c)l@q8!n!%^y8@dL} z*4i(WKu)+AM*F{{c7@qz?|Ow3UuW;Gr?J-8Rs7~}9*d#Xs2h$mrLWiVYP(Qh9O*L~ z?<-Cj*?Ms@<)l?~y-X7iTv*Hqk5+Hz=0uCX(|-CDHR@o}hXp=sI~5$#i=Itxhq-;?J3wp<{;Hae%r1|Z3C!^h zqwpOgzIP!|3$_?D5+b(+*b^82*DACbXDTt1kg1_gpVR?aDq(;JF8Z%9|1wC@_#8M< zmV$%H-J1==qKx;{Od*2a@9<8dN1wkthc-Az5L4fHT7m5qC+soT)F@nru+RAScDuqyxK=Lbg-~{x@7m}Yi ze`Lszj1Lh?DPP_FFF8;^lk6HgMcfT2;s9w=zuP7#Qd2+Y7V!5~Ll5!$s;2=WR%QPt z;a~b4Ll1(;GWa|l2*fJU``l1My|&R7HJOIqgw_!7KkvO!_192=eZW>XH=hfQ;YFO3 z?bO1~4l&;|41slTpyEy+6~Q|XK_>xX@_yf#ZRWqQuRP5g)7)^Ka5O3jSpA zPWKx!f{j2(V|S)VNY-0J2!cd=fJtIdh*fx+%4BAo%-TtB*TIhyA38EHEO_9_&|F0> zkiQv*L#rxaAkr!az0|;G3fO7d#Dd4u3}*pHPH+Nz5R3M3U7#VMFgy?@&Tu5$5XhRn z)j$mp6Ut140oatP_v5@Xrazyf*Dz{fdsY3lK#zF7J7#Myyum_p3CJTD>mq06FsZO> zKnD_xZ#cm}Maa;k8(yp0mV!4B1e?L^^$huhWa8H0^_n*+)+Fjqd;QV`J{VEw)Xqlq zOdv1YM-~2TGQVRxZ$9Vk-s~C{!3zEc;WJA?4RWApZBUBjpBD0sYbPI3%!X{SSlNcl z(Fz&x=o4L$>)}CqpZLds5@`(P^-8+#*va*s6l4Oc+{;qA!3cM;h zg_H9kjlwqROC>JhuCp}h;svFc;>x6VB*<|mh1v{`Wn76W116_zd`%t@I?zpFbS{#$ zQZuF&BYpHF5je+t;-Zr4Gx;uaGn7%M1)6>@;l#u~3gt&;Z{A%qF{CztzC7IXXRbk*mRMQ7z%W96Qty!+-cB8EUa2sPeeSU{h7{) zb4;Qs^+djcV9?K6NSWd9biWBp>WL;%g<%qjS}1;PjQ!ZV5yR@i8+xe58G2v{Y7+`w zNcqTja&o#I$xLoeTAY12YdT$2X0i&k?WrH&WouQ4|J&R&gE@zUsozmu71&-io0t4x zv8bfunbhbuH5SpSFKsX_U9%RUuFgrn-NAxVg_Dh%rjoU#y~t9Z+g<4VL%W4yj;5?f z%H)x)9wmM0*IYy=Ls1RpOrs1yyNIm{JPw?Weo(5*_eCFh(k89thpXgoVMLRTB}~h- z^X9+$V;1fTBX2I-#HsCkfpBf~qC*dDq7+OIi;ZJ|qz801`qweIEsVpYr*kKfZqaA# z!zA=hn^Pxc+b(ii0n#_udLWe-hnhCu4)Zfo_YUaZBZzf+7(i#T*Pc<*nX- z?z*(;pR0?+anh?^vEddA_9acHy>Bw*`MDX8V_%b01hW*N-HkpFsTfr7xTP57qrmJ1 z!<+0y1H|RQJcEkSm6nodlL>!?q2ts3q*v<2n)<`cjzGR1j^b=@k)}2;m@5Rs@QSYS zi6RhIMkW1DRqu$3b#6Y(;(S!Cs}DFba|Ewdf^rPrQ2=$rX%*kWZ~#a4;u?vY%T=I* z$9Yf_t}3P}9a@Wz_@o?hrox7YF|{>ua&|N|vVs4m?0#Cpvv9H#F%kWv`1lxwos3Ow zor##4Sh*QRrNvZCorzdDS-<;#Fp3)4OPK=9Eu4usnb{e|O#crjJu3?vqlC4Qxf2m9 z3!{Xct+R-Tox2V_2L~I`zdj-sCMKr;$kY2zuLQu_l;v9{+ka&K<5w`UF=Z4N{`aC4 zb_5t%%d0XB@txbuT807&@P5@hT4S3^jD z)5Z~C?`-ENAn?C-as01cB1TT8|G@q~f&SU(;q)y|+SbhO+kQny6H~`;EGjio6>7#G zrsjZeXL(Qwe|yT5no-5Y-rm~O=AVa{1O)y$NYzeKT1?)^o>AK5yA|NHjt=K=P z|JCW++h^FNip2ma&ur#{R78o{Wc!=%H>&h*XBNyN&^O2om;M8wX{@gMqM z{h#vfO%@iG|1X;BoBv<`zp?+ye`yX54kFI~^8Z)Hcm1#Z+m-(>??3v#pZ|;dPsTsF z|Hl90|F5k7*38`hJLVtnzxMxP|NH!Zm;dPejq%T}|Je3_>GfZEod2WuKfHf5D?1wz zCo2aLH{1WQ?VoMl*W0j`~B_Ef&=R2P!@V+YCusFK1CX5S^BK&Sk@GW#WS-2*TT2Q-l z=XU8l*nq%hQj;EnvoKr=#&lYElxhi!F^LnxHitX-`GGEhPVlo!sK*WNr{WAdX^esx zWKRo>4neoAhc;c&!+Rxzu-JRZPo%NhXd9})qwjQY@su41POf)k9m6tr^qusqE`@>} zvkfQ4y1z*+5I?bBX1VbO`Dc~HW@CWTvPx0;Bl#@gv}5$BFkfag+0ax@P$i%ChT~!5 zw{yEsG)}?}fW0*wAvX*n^hJ*i!Tj>E)bfyL{OHo7yLY?AqDCd-g4Bu7AB8oH2-72@ z`<@`N1_DorA9%gJ?(jMn1FwD^FXqzDppT{`U@9@Ur&RC9jK9r*o`BPll~9-$&?N?{ zC%E5f-oYlu;LO_1HZT=)35bN|Qne#&26F5XJ>lr`M`nvrEJ>kq`ujm|v7tqYa^?qH zu&)Jd^)v9r3r^)IY$fvrgB?f&GZn9%;OYj1CX|#WU!=UF=|v&ra~gJEcm?Upx7=!E zG>sZi8VKt<1JOQ?1@th^&<2^suEMA$cShw%ma zO0Y1&@ksHCTp#z5V)nt=!58%aD$toxu@Kxj<2})v7`T_cmEwck4&vM~*hejl@|N^1 zMCr=NF3Ixl_UPx!!Hatb23La83&SWV0$ShB=eJ;dHF!XNrF_NYiE!C~o$im>=kNyc zlQfAJ#Tn79&*l@)-j}dPv}c@$S) zi8%wxcLP!93)UAQ?41`B&MD|&dJi~$>O;M4x=GHsm3c?6#G5{}Ptt{b;#wYRa*}MTDOI=!Ag(2F%!IK) z)2a+19!cJ>znDL3JkN_nG0CUWded)_GbDVLG_>9;Qm~k(*&Gq{>OnIBn1%K@PoR6> zx@sZpfW^KrCA>kh0@R>(sTlknH1>IPV?y_DpKt?uvw{7tWhYjH+_rpEhiSF9E9d4!h_` zQ5li78CvHd9oxRj2p$L$L)Nl`sRaHBs!fB0&`QN0;JQCO0{!YWhv^fP;Sg)PZ+oy! zqWl17U*K{eeg|?D^EV!cCE9uq=@Z3H(g38JQ3P@K{ATh5dn3SWpVuB;KU{!Jz?Mxv z#4=tHDcqC~Ek}Y0#F;WHq+0yz7*eBPdWQ4Jt~6>3;k^vMDiqxZ!zUm|LeN;?1>c?% zp>@_|hvf;Rdpi(o*$RY52j&3_48gJMhG8uNeCJtBM6ewzOF}Tu?pf4d`6D19o5g&7 zd!~n?B7QTV-+yezHMc{{f=Ov2#h*MhZ_08RsED>#cFezAGC#}$ryaC)3v{&kp!i^O zR40KmuVuGIaNqXXyc3)%AKzCwaLfN%`vF=X)S2t~EBn~*Ep>hd`GFo`hff#cVWy99 zXZe=*x$FJ<*)1p==+g9rx%Z?8h=Z0Xu^`!jyDow z2PqwvO$W-m)6bKFb3o+^mT{$wnX_$f=qi z=Z;y~>#GW%=|c1*2z0GmS@6jPDG1$T1p(7kAg4O{g^s!~i5GVvZsrs!JVch~11nX- zbQYmwh=!0VNqM&ip=zWLZu|`7)Ck{W7qy4X!r%5w$3j15OG0rV9m_d@D|V{jY35$y ztIjxb&DY23^`ZJoO)ZX!@mx!LuE49s-9gz%*jL|{juK~dYHR{3^cQf?^tnSvX8VBc zysCJzSU?G0U+H?Trk+zFeNS7|(QpXd>C{=>=nT_Ke8^EV6x*WSRtf!_wM&HV*1Uf< z>t|I-+!;XkBJ5ELzWj8Ho`nhn;AL(|Hy2GAoes481Ru#RvBpz*zPt3ubG`<#JWKUX z#6rbnWmpK$DGAZENT1r|sdO*ozQLe|jfBgjce(|C`9rl;b+`Qc7)J-}+ndq(uV=`| zE%8{BK;fp~pP-OU;Krb97XVdUR${L)G^t5 zJ>@f)a^)NKQPU>P0++;GU3lwXw_Ne%0nt|TKj$e7So5MXHb%B~BL}=7n_n<<;NE`( zhju{7X*!&4GPQUr8M+3kY1HT=19(|1`-|KPE;d%6rv10$JKK*IU2e|2fPX(0klt`O zD((kycq4YQ^qcjYC8cjatPm~D@lnoE48IPWRb|h^$)aj+hE%t+xAUU0AW$gg&g71q zF{A#RZCi&1ny`dBCGgGq@v{SFWVcy;7vs{pq8Xz{Q!8f;&H1o>!Ukr zdfqs0QIM$DUvt`=0Z5dICFI1~V!W1b_Bm=D!VR=$jq% z7L-YKZJuB-K!iBZwOJc09bmnLQT5>Z^R~IvN&qW-c4D=dThkHKL}e&O0Je;q8DS*xrkXTT%2&4 zAB`C*imsm8-5Grrj|i9oU=tqDp`DiWgvP8GT+Nc8wQ(l{1^YzK05*6;MT`EVE;gR` zu?ua=VG>{Gc5C9N@q|s3iVX%@e;5U2W9qBi-tRnf#?Mls6m%y0+yMe*gscvamWTCT zF1`NhHPsQ~XX>0a*&Er`Stt=Fb&HUr9Q8Jj8^V)%uxr_TjT9T|Zg!+{KQXT0M`V<~ z^q4yx7Z^Zm@c142h4ClSl8h-XC{p1puC`lgF|XvgpkBj`noyR;aJ0z#X-Ww|v#%-Q z7X`g%gi06NWvd`61e)+kE9B2C2P`akrJGL6Fb{PYS%l^@*A!ITBCEI1)(Ta1tjgVt z+FQWfoL!HRK(eDNGs+N+aX^RUTG8<7aI7wTm4ys)1P}tMe}E2G-1v7O?dgNzpRtL& zW6#tI^~93}9kfA}Ng~&OKwFD(IoEFJ!id<`K5fiQHxStW)qr}zAVOZ`-e!|J5G#u9mXL#FZf6`zDczYgBdfpW=!7%qT%1e!_ewUga- z59xL^37Gf<+6A_WRu2ipNUg#N0B(Z7El~b&0XJ z%p9ifo>wZTT>yzNFUK0E+HWyPh9})KxqWw(GeLw2{MQln-$u%vl9zX6vI2(?Z~V5X zN@Bdc%T|kNGJ^ZdgEi3j3FE?1!+8ZDw86sB+S}h|^H4Sgi4aMm?zk4A7vkpWmLUz; zeX5pLQ*17(Pjuq)v!yCXv@}i?V{P8|3kBDkzok#5adbGT(%z07IevNVHez1*B5XP% z-HwuajiEqye_<`I)2*%qTD&BZ=Y)?!dD&99Hg_fZrNC&-vsprBw(_TSQ zX$8rDQ7url$Nk;N#L*h>WQ(-|?#3#=b??OUcVJ{VK>W~!+;>mi<%kp&KacE2Q52_E zv^TwPP23(u%}(J?hpbUK;6o26SDlU{hWSy46TTv26B5;+%>8RM1KN_(>aPXAq+37` zy~Dg#E0@am7Lo zx&`eW+RM@X{o!FJS-W`8_T>|1+)suWOxPF6XZX8}4MNp!3{D`!nmJ^FzTB`CZ7xvg z3RP>Ry|56$nJgLCfh(Dnb4qUe@9>{+c0{`t!@&~K6&?ND-7PVq+ob2pd2?`?yYwq0 zKSNXLlf&_lhP%A_W#^DAvA2wXE!>CG5LDMaD7F?HS*B!MX9w+}IN8~a^mFT=9M7n5 zZr|R$eSPU9?a#XJ>SeSe8s0Llz0G~U()j$u}8Pu5qD%9rLqi?b|EUCchn;lfb=}iO)*VNKKy7N?`3l*;DoCxKIlR<}e zP2icBA4>&}YB_D-Y|7%C?s}uVXKa1GUjJNqd5%{mHJij|wPe80VmCWTo?4$|CH!3F zi^9F7c_+&L+aGMF&fn=ix#pGJUpNdraRJ22Tbm9U^(sClV~V>)d==ZWia267GRI|J zP{Yi|;8pCDY^QEv)~qUD9xT%~$B_t!4l(M|Y;(7MAit~jqP9+X5Yr!e7s^pW@Ec6Z zzmEx#2xWz4wH}`wVbAc!!CKt==oTUzKsD^73or2n&r!+&ABRSiZlAV&ydd5OBCJ+K z=-+Q29FSK&S9W}yP#=R)rPp#(V0<)f&p>!OF2|Nw0`oaC)OAGG;PK_jblS4FePQr5 ziEeDmw0mFg@Vz@3d)ng#Cb#vrml5P$eFt+KktChZ=)su_H5})M*S!81l5b|a=ttIT z^lMnTSb)4e$(XvV>W}=Ta(J4OdabfhEZpH~0wOTbj@d$2J|o4j-vNB-@VtN>Cd~SP zote3Ys(>~SOu$*=O6t}uG}w>8jwL~a&P`gRy_j}gwf(jf%8#)7gxzDXWJW|lH z6t%^s7|EC`YmKBhvuruO-hjVr7n7^J4F+RJ4T`^qaUC6Osjhgh44{IL=(<~b&D&>s z$^z@;A|MpBDI)@1YhQTjX{0`wH5=K0QCjc4F=zEF?}}~>Ce9_t##2veU%fHn4iJXh zZMI5pVUP9Hv~u|EW`puX%}UM8pF_5{U$5|41vTsY-p@XkCv$H3XSFyPGI)<&uTwTI zZU-pjtgc+Ak1A61dhQN01{k;Q>pfm&V-0(io~emMH-s=ZXB-M#jhM4d4OHLJ`DM%S z9>+wCS}d}slP+y;;(uH#Fy4Cd*Jbjh=!Co9F_G;4Y7?mt3VQATmDLN4HJ-E>1o4hy z;)7T)JVG$ZfArVlvaWhKnczpEBmi-4AOTev*^Syido=4RWh`sgQ)PVB9mf3&v2Pzd zEJ5osIK_YGR-eMXoeLJIp<5nbZ~F*H%^?NlbaCWl9G`xiL_fwbKnZAVuV6(>UtMj~ zUpJO;KXcZZT(CJC&g(M`9n~(q(OYkRA2uY-Ae}?^dCcjLMsy9~(q$L^N)!2(U!%jh z&inUyD1tP$`&IY82-y;R+dAo>ZZm=5@qI`{Jlgv#$Vu_~CAR!8_CIpwSjq3Fxltp z1t_)i-j{aF@qp_D-wV!-&!8TCx)H?35r&ogmQ%5s;cZ@(cFEeeHvm{EA-~agrXY6h z3Pd(AQ*VLb#a*x{J-fE41qnAg$ikYOQ9>D%3$PYVA)`6!#edwD3b(TISZ(<5Ym{@hov;RWR4f z`LYUfizO&dbZ*E^bPRoHQIe7lk<(G0^LHMfeHKJ`i{ar0;%1J}tq4!NO!s!SGc@Qv zJ^>efzO#H+-TTqJp~=qY!RuRDes(BbCcah#13Bh=wRcO(VR=RxF#`LxKE7Ai=I{6P zI^zrBnP+19qmj4>HClJ8E~%?B78Z9_Yk_}3qjKR|uo?zq6Z_0xMF#psSwfCJl)w6^ zH6YG^?cbY&nIADuf2rN{%`DCI-JIuf_NNoAbP5ok&?1F*M)d`oaIex_pz5PGrl91U zkg>K@mNaD0FaLg$I>6x$wudpJupR4)m32I4!Ny2j!w>6D!!kWfvq=*)otv1jZNHlG^rXyX}zreUmfmJ-v3BQpl7BK`AKyxhjzN?bXfSlcKmWPe&1bKAV5v`S|k zv@fuANJC(@j)ql$HISv`oN;?TC= z)1SYd44LM>6=x)z2cyN5h%sIl8h)*g2l9``H%&>~N9yue?uWVGSqJ=1Z%;&{DiBgH zpSHf2Q`D5oE7rRl_k13)J>nvj17B0GJH|l3*?oqR4mA!HAWQv&rMf|E_eC!uEHYYC zB_4h^#5F^>hjpV7>E|T4>k5=?UJA8uM#U-c)gLI`lChVeBn22C*Us+_NE438l$xFP z{!thx0B@0u8@DQg02B^N2YZG&#V2sBGqYQ}x(VFMiRgGsdJjU%wizs;bm#ib3bXK< z+WZm5HvGF6Ou^Lri&7a#9SH500+;2ks@{>mB2Mv@V?^}WI|*p^vd&dhz%44Qb-Ay} zXMV;#3B#);=o{@B4Vo!o1R+1hxWS=S2^zLEL6Y7RTeyKeNgo+ ztCcM1&?oZi4*lq`Z2ssrP)6K*t?g%sP z{CFcLvO+^MobmmXRZ{$340y#}s)q%LNIJ+&|3;8V2>NREbwHgwCLD-o>xyA+0$mdvVd;eM~9(_Bg~lM45CPZLAgh&;8j zCv2@96i+#tz4SP4^78OQ8cBSw&)tv$kgKSi2Aqi7b!JfAzPEG0ptff5oV+jW9{ykK zF!^qgBuaeta@39w&4>-0JcGHI;9NPFFM75+{S143zzP`X%CL>b)?BfE5j~UB?4k}} ztuRC{wv2yk$wl;!7~8-PLTOT{$f1&Uq;t(#S*YObUwoG}?W)Wh%u7P8{x*6pc|?0E zc)1_U@*^vjg?j$V6W3{fR`Jn6x1jYA(&7HiH%i)tqrwLA8tXfhABQkL4Wg&W;#0>b zPb!ZE)jt5Fc4*!i0!?6Encqn=Esa5_49fx&0$#k~d$?z*)_t#lm;XXJ!R+>jwLb?V zB?f2V-st@*3^AKc1K9iGh{_XvQqnea<(%fAE`u5a82)A)Qi`HJp;w_3aSdu<^+M?y zj1H@*%vG53d+QHp9$qN6lf_cqRh**<%VVek;SN*pY@%A@|pk&#ll!bh;_3P9?gP&bHOIKO}?65Ag&PJ4k^)>_Su}=`O*Tp(Tcq^Grwww4t3A9S%EtHDa0Wh>~qWq7Q5^f8Z(LZOW{y4(G&^b*aXu2Z|PpE<3 zK|~txI4=A){0gUDh5-1MWWC>maIdfx*+t*8u1`k6@e&4o$EjolG#+X&hiA&r-{Zxp zcSi~K6CU;`a>pj$>Es4bVztu*jSpkI#TK+dqZKx>Qw!La4VQwek{>a8B0#Dl_3_6rx4X95bq6e~w! zCY-Nkp2>Y6G%jtz>NrH2vuc+Z-0|x{y>VH31FG2 z!H)S3&!JjT(H}T4$gY4@5_um5%VzdY1%uugQx(%e!uNY={cJO^A2BTEJDDORiWu>C z@{i7ukp=sTa!~5eQnd7p;D!eh|BM2BOl+L&2yJWy)1_iADyZ)*&*}dU0DnM$zpqB< zEoYf+UIRqe!Jvp*nsC#A35GgDn4!$@%?QlS;F}tOV=>4wuZ2?wgDk(m>jo|FqRV0h z4&Jr<71QiIc=ayBl^A+!)7{HvPG8O+>OB3BdCL6BJZ*k@b>k*d{8Yo-&Cl$A{MQT< zRv^Sxfy~m;cB60uk7YX^&ClTH^IVB*f_;{Ku8S(NL(A64S}m!OTCD-h(sr`YC=x}C z6pjc=A(C~uRGuqSIkeQZ*+nL~mb!Mjj=HEzNBNAziVT&IR#4Rm4mxWO;tbR^eZ51s z+jqZ*(Bq07=09ML8bY)gc#VoT8fyy0Hz?UC9>kyFkHph9UJ^qzAQTE! zsFySZH{#pzd{N2Aaj_Ej7VGdZaie-pI48<^G+!)M612B6fR0dpPo*oBF|<*cMsH9S z;X9Ov=z8&x@)7+^xuDoMDvGk=1EaoJDW|nc9hJQ_sPtAwDAy_5=mGksa+b;>BW+h8 z0qA^ukJr!26NXoFCNNb*iilN6NR~Jb?(8q>o=7r=1)Ute+ys|TWDg-SFQ{tz_GuNf zOT!Ph)qKQzz{(bQ9@a>bWfk%5YM$64b ztwo!Qo)or;TeW?{KCP|jXwiuxJ1QzE8VNUED*B>GC^AABiCU<)S~qzyO2tqnQ%83z zV${+~si-@h1>ua0`~n3SlS9vUIgN=G%}#t1u$gvJXE;LP{LBn!yD0-VXW$HI+nWc{ z5@dDJZiEUfg-)(zrBMmvE`Y8D#uaeXz>!;!C@^~WO_UV8Tku5z=P1Z7Xf5DSL8PFx zAXz{Qf`xyr=@R5=voTFdXF+!YxqY^!Dc%)eumqEC@s>-x74zau-NkV?kP_Gse#?^Z z`@Fzl5(O4nS(o`;mrF3W=E#iorFBnSGyjRgO!HJ`!Kgm7dYGrOYAdgp)!jTr^B;J6 z%-FGGCS5adV`n3o^qU?vL)NS}38{N*Vz;_wk91xD&bP+Ao^G(XO$K_@=+VnP#7$fY zuG&`ZPJ{nyU{9r?PBEl%_A zJa%|T4FEmIwJ|O`+m+(80^_sp6n{Z!HtURD>KR^xh4Sa;9K^)DaB#xf z24FqD`)5qKYx&ffD{20wk<-jSnVsfY^OL%nx4ETBVg?wm} z;UDju>0HlqvJez%NR6|e)H_cR(ZS~Cq^b`oUJn>NVD;pCy@*ZA?lU5}iJd9aC}g>R zeL1;_b%8AbGBPm4%Em2`{+uFk@d0t~86QF3iZ zDuNKLu#8Ah>!K+|udlo_*bpD{(OUs@fs*ig9rB*eTcUSWmW?ffLjFG|WGV zkAN_Rd6vRFby(wK@``apa0)T0!0+_OHo7)?HWWNuEQ_8x4{^O*8!qjJ zOS<81Sa6 z&0`Pg=^RZ-Gx!lciqgCf_t<)m7^#gcHEwyZyFm&7)Pb z%iS9D&3(H|t+otC(6bvs4NH01s(|zh@(Xe;FB{{Nmpa*)kDO6VDGs@AoO(l5p2s`X zeD>-kpMLr2hlS>k&Pfw)Dvf01T+ z|7>3@%bPJ{SpD)}z262@bPcx)-w!$|kNO$D<@}F45kM_lz;lQPlZQV;5a?Bsp|Z?i zg8wWGACM9V!#h#!@Q!N6=`A+j;^r!%UhaVkT-E~~={$GN{GRzL#(@3RYeNzyrtt?rKM)r!j9_(Ks-ruXCJpo^!kU*vfr< z3d_6I+XnjT3kP;Pt#$g9`!%eonoTX%Yz20o-`m}$0gM8XFCd5VOP2{MyYzmKu{=FZ=XVL<+%kPG)!C5cgEo%wOz0jr2RI|8AwJ7Ob zDtZf(9@J+t#>7R`vyF0rJCpZEE@{B0G08EFTDzXBMlox~!5MD9!E$*uSbDYJ0g zja7MW+ma*4?wEq{OMhs^V!ydBtTq4nmkW0{&%A%-tm${x74-6EMSVSE*FN^_zO^4= z6^EXC_`={956!7Lc)y+8{q(ON|IJfde$CbvIPm1OJ+sc}?rIboFG|ymV6vQ(<+)j{ zS)@0Y(0Y3l!C~A$ZJ2jp@DW+|SVC3}SzZQJw1W)>mQ0b|mTw7HhXaMyGMgqU1v4)~ zHU(E^$)qf>je-E0@ijwqn!Ojko|y*p7n_5&gp49arA?)RPAuXOa~R8Tu6eJkUyCBQ#QEkw?nq z@kpY4NF>~)=>|g2gO{com`wZ)?@T8j5B-tR3CngkXTdUz78-d1 zf6#L8T+Te3-HyUKOL=f0$yczGg(XwbC>FgpeA$_$na!DVGg~wHOxP>Dn~7| zqScTydMA`f?>-5ciHdY-Jeko0THz$flJZyiJB3nNQQRbh>TUKZS#-KQ zM_EV~(I@2{%0Bs!a#sFX@olE-a-6;skX%nL}sFvy=toRw@o8^>m29f`rOW(6q_W*@Y@`7$g-D z6(ljGsJ4cYeTq9Y;gSyh!w%LEZcp|xy2D!{6s)Q;j(Rwb5>-*kI0Bah9>Zyr5KU2J zS(LKv*xrt9dsx!&A=1lA+_}0bm9XOm4;J*I3j^1^C2|JiKDS3*|1)K_^)kZH-5@k{MOFnt9G*}kL%j5L*`UdAl?_<7g z`T^g^-YO@ycjbe)P<5}peMxHw7na_6 z{Q37kS>nlrS$%c5*TfrUu7943Uog#cpRRA5{MgtfXPHJj1?G2{{{XDw<3?Sttop2R z;sR-bc8|17yT`vQEDL@i?DD(9h0el2VW==m8lqlJ$H)`aIrKKVIB-j7zkR>{y6rXn zWBrtF=Q4x{N;x#Ev*GE$INN^G~7r4fe z>_~(op-4_?4#^1?Y*Da7$yQ1gPGPx1(IuNN%N1f!(a&_WI2k`lAu1 zOhGGc2!n7EXe=j}R3uzgzXTEmFJse{RTW$*V*eFAZcX~nI4Bnue4V>20P~4Z`D6g z)J5{5;DXGR^2*@yOhNW}WX)k8ZJ%#nW`D^3TRU%$*ffvLra3gP&F{-|>mJa{9SSe^gQSYVvI;ZERTcj&KTXWHVnnkre-!W&8`I}?&##}q2=8qrEsc9IJyZ01-sNt=aM=7YJjDu4PRy?p&(xMprD$Eiq!<_t*$_Fis9~6uuZd`rON&U#Yn~i zQcx}9oz-y*5MjfmB895J4GF;lT+7uq_KQG9VM4WKTUmkB=J$tmrB-RM5V_P)DXCUz zEp4D2u+370fpI&u3WOtIaBw*Ii)Shx7~atYDqU01a>`rvRNfDKUDX(dU>O4OCKmCr zxOo&u-F_A#We%qU<``V?MsL4h*WbcX6QI}mmwkhL-Mf>lls#+tuW=A@DA(`;2Ukcw zi!i5LWI;M}!KI3~m4nFK%w2h-S0_)=3Vtif#JW)=*&|w&YmjSb@DbZ@>>FI4+GUs1 z?TR{Mu6tZO2p^lGX*QSB*-o|?KD*6hx7%EbCt{gd+(^963ie!*E_A@k77E+6b~4eh zWh*5LQCMP;vejcPipQ5=p&!G;dD`(ahR5m5)=P9!qSxvTI;XR)I(wJf;jmMOenLEc z)Q=56_J^|VEcMQ1TZmsg%KY$~(N2~soAtp#JQ!W%F=tMW(9c>IOp(&9L@Q{BU(7vf zVyuS=p-X5X<$!9rJn^Ui_;r+J^YkbC?tkS|=Dx%`Bt&k9(Pr&SrTw;(I}RWM z@O|)l5T1Y*sT^M?7)BEGe*b;=RXI?O#vg%osx>C<3+xXa48KKR4;&2~4IT|i1HuC` z24s#4K1Lr7?4VmSBq0<*g+f(m2ptd@5F8Maas#=++z{u>r{n0#z^3q~j7^z4GInH2 zE|#GX$?TcAAahyfy3Avll9j&T^LP`PMAsad%-d?E;uBRD4o@pr=h5FI2a~jH)i_<)0tz8o|@`MO*o9g$rC68j?Cl< z7Q|h_}&GQdo`H#D5$B(^s`kdP`zJBZ5=cn$Oa>bdE`Ai#s2xJJeY;+*NrAB!nU#JZB zPp8v0zSv*wAL48D&GPZp{>t!*@FViQy zFV%_87=7gbnHzR7F{~>ACt3#jg^Qsp`^HkKUHu5l<^oRs5Xn5yd}n)e_o^Ag@1F8x z=Lfj(^V_S2Osc85essTm{Gp8eSIkrYyYKETQ|pVf=_?m1?5=Tt*s){(440kpjj>?E z)q;Hi{2U}}!puGzjj0=EV?kPX^v`fGuY^<#l=gm0v8+~0W53GQC*UfyA@ zVZORRqc&R;d%LQ9RRL}xztFLQU+!2H-0s@uJLuZ)lkHY6Ksdq5eJ)SJUd}l3o?uqO zDjm**?GUEG>25JxP8Au@6&cVEm9N7XAHtv~!>x#4#HtYPEJK+BWJ^~*u9c-yS;eQ#Lzafe$I|OY&hTPS z{LDMwn192*j#s`U-<6FX^T3Y7o36g8kgJsPR8|$Lg;9w5c#j-ZyqXq6g|vY0E*B~VT2EBP_mk_;U^18=A`X=oqD6Ee z4@#G^P+Ngk(iJ?YUdjsX6Z8rFi2n#Ix{tJP(YN#@e-f;^liE+{Cwi7YC!UpmQqF4K z)0zTU?l!@qz9`c|ju>9JPz_d71yFHCP();=J1`igEw7XUvG)~~@{#uB@I3-pUG2#$ zjWP~t5%?9Su_&3w@ro+Sk|2p9&r{0O49ogLU^3R)i5+wc=?WRMBy54)fK)hu*JAtL z2oBm_J%~dofffo5?+gVxJ43+34Ne6(D9AV z3(TXF=@elRG*!hw+c@8cte65QS4^7x_n3laXHhHAs4s>VG<+Hi(#t~&7el$J=)$Em zanTlcv_el=#7i5^Q@Nw6hu#uraojZ@G(FmoO|qVy-fQHt-DWn;-?VqYtYx<` z%I=S!B@b}tfwD7S1YPq6ph<+w_6b34&UI;a1F{{~!;?;g10F&icy94+&pmhB;^)YR zw>|g#;>FKBYi$rj{Ak7_a3AAwCk-p3=tPA+!*3Ozk)Krmh(8pCd(@5iA?{IrgZQZY zkh&c|$;lz?6$^2`*oeo8_i(HE)v}zxeMAyeBD6#qNUv0`R+iCwl?Ui%Wefd~{z&(U7Qc8vFx=jRP%p#v z9w}tw4&fIO0salGq+VsljG9ha(XO4ER*qS$Hr@f3XH!@I7PkGxGr-b&E{1Ad1y-nb zPpW+Dfb2{tm2g67uev4vT3TAryq*|GyZ-PGW^X(Z7;yxTH+wK5z0&ieCk??NPv28%Vbe#*nY^Dd|V|k6!vZ`I;xLARm-Tqdv|(&es^&KsE{+ zr43rU{1N#h|C#)ec8WhGd~MUWNpF+?5q>Ydrt$NoRl+ifb6OEv)z1*!Lq$)u7-|mB z1>MCSMVE=AR2VSjr0nwI%Cq$ut{J}B0g9Om7o>Z_l~P7o=6inLCH~s0R(Edt2Tqu8 ze)lW$$JIEp{>B?0e)z^4*OQ!kv9Q{F{ri8Izh9Qz{+sRFw`|(Jo$YlSo%{;S=_4qB za#1O^?JJcsvlIEi9gOSY;&tci?vMG8MLJ(!;8~_~`KVZ{M18b6bftD9ohr?A&hjoS zSW&RSwlVOe?dibNp{-fl3buB8y7XY^K$d@@d%1hLXGH^hI@ z!PLgu9t}N6k3-~sP}YtZx-XEJo6(vZL>oLiW8+!yTmpZgE2O(rZr71ym4`gli^#mJl3VeP_6 z?>zwE1B=g3lWA9)JhdF=iONe|#zpAxAA)N(c$s58*5E5KA(hY+))CXdH~A*6L?!I-4v>WQibF zFj}TyU|l3)L+!5h)rM>DXrF65N-6Bj{so>}fy78fT4ox;X zz2Do-kXu}e4)@36dso$>g;$pjIgwc^!R)B2m7V4>}_2bt}3 z$+B`sxum&UqROG936Q$5OQ5}ar7gjfQWK4G@CBuYj))5sq@+N9*XHac(?WY(YAsW5nUmjA zbBjlhNpNMNa39gUSApMHlEN$U-N^RUrlA0o<@`?m8$}K`VVc1H_5+uS-qNf5{Hh^u= zBSFT%$?9E~6@eO@BqE9d!uiE+q(JOL%Eb}H5U(NQM9`qZ;&3uZd{lf!e4Bhiz9y%{ zpNLvO3dLdKB5|eoED_kA=B}ivI4JGuzy}C@7WZIUDRyqe5i$Y0&5t{G0e*JpKKQwg zd-1|RSp8&Y@)YUAe*i%5Wn?0ZhY}9-HZGMQi~mMKJoh&gv^-5+)^FM$$%qShbk9-| zmjlgS_ksC9kpJ#y55uWnF%2GY%7=CvaR<)E)hzU`_s9L6f5JauS>%0uE}7t*<>WCY zZjaODhSy>%Unr9kWkvCL6(2&Xk}t`YQZM7AjOG8P)FV&6&wEju_hObP{ofOXF6P!+ zX=Gsi_^pj7QdbU@Go{8~mM`YS&#XK=c~e8Cc`7ohZ{3aM<|(jfzuY`z?#i{D50IYQ zCRPkwwY>8?m^5gyPne_dRx3x?i`E#!ePX^C@mGrbCBD^J=vG zSifI(U{5~LfrdhB*?t`Cx0UP6Lh$yxLf9DXv8$~aBOjGDTVhOI>R z%QA;40J%X!4@Ot{CXjkZb7 ztK7|QA;>TEJ>vgd|H8x1a^ut%>g;d{=(I*^`!ugdBT*7%E2&#ss7qzsAb%mBPH&;! zlG;Lj$^O!CY8SOFaJ<2VU7@_xZffn4UDRhT?iKzoyQsUAx3vGZ3V=f@66j*S98Ub= z&1u5AGG@^D#m+gKpF5A`cfP<`=CSXe{g7OH$5kU{&K)!ACOkT8^vEq2Zo}%aFR;_x zX3jTnG&ddKGFGm??cV$EX$9(d1UT;REnDpYBP0pfz@-` z67dO+xS0IoIHqBE5qk_xs4EIrJ+kl2L;Wb!J<&NXTCN{*;jAo{J{QbAWHEEpic ze4#*IM>h&vXd693#bv^F`ZYbvQ!5r;Q>ERX zDxrr}LMN+C_uFlE*QUJ{xXWWvo{AI0pXhu97m{)K{?6m1&b-sS;WQBZe6ptV4;Stv zZ9kZUfO~eB*U>wYQJ~(Cq2U)0uuEW;eG`_JtAB+`SOkS7EV=1A^Sb@}84Zoax6pC4 z%+f-~85zI~VAYApVnc*h3H}1?|zIhNoh1vhutKBC99w}lw&N9NnCfrL^ zlzBK`%)KNcq+N^%o$TyjF`+Y^R^Y>WQPfJ}D5$KgirtHY#q%dtjU7T(;^3Q$@0%OB zC1c81CS#I-?_&Vp1#I7gI4rUMc;TwHk{8B=dMA!Z$M7-o3H^lUS)#-uegj@l9-$lg z&630+RVa~IGDfqs5Q{DfTWb~jPQrZ zWJH;8a8;`mFQu*Y3wnZ5+KyF2S<1C?UvMYD$g!Nq)hdU%Lm12jrg5@ukwNhijq^-X zyy;9+Q#{ad(U;b7xt95h4sv9Vp3*+{f#NZS!(gwZbNIk^0gSVpV+_-X+Ia?Ffp5k$ zaqrH5@rTa;p7#B@j;&Mj(>Bhz#!R`r>(>XWrycxnyMH$UCbHpYFA>>yF-RGfiV@UmZ%fBEEshUzFaZ4C3K@2}GOer{#@o9% zI-A-Xscj7@JG-d`&1*{i*PJfSxC#gHP(0Cm7~f;QXZ~T%izAwG< zmxedqreI~I!;ZZD*dAD;!0Ar-`i193GFU!sL&l^GlF`rcrD3337eYS1uV?Yb|zQ({P`8-9+B1kg6Q}8#RB1n4MRp`OTh(W!+q|a8Q2~6 z^q+WT#ZA9jl7YwW@3QhmwdVtw=<|r}? zV5Xxw!(Qmv#xVmM$vSdLhj9*)K|KeCKprv_`Cr;&5n9T0AhLds^Qr4Bk3~l(tZfH# zsic#Ja4erx=FSspFO%Sv9k7Ma&#^3jgyP#(+*7hGiW8_b1Km zkIi71J^)5ySR)x~1PVxjGLy_yHjr&(yIqte9l@VVXJbNOHdhy>yr8v)*O5Y?~aX}>hmxG^t zi?N@Nluns?6(D)@{wb}G{p)X$C5eWX-SEQ3s3K4FaAz;BQ?Y8&lT!lGSr zh=GE@B6*=pTd+f^+6#0K|AMkldxOe7XbxYZM6}1~gZyL4 z!*=6bm*eOzHqVfU(T z+|2T=+*W?Oy507Akx=QI=_i%0UZLtNt}w5#sLIYo+sT7_j)F<_|Fw5Dz-?Vs`o8yM zOL6VkanjUv;=Y?Y{z)wV#A$KUWE07@oVa#uS5^|6!ql?$9IH_zt$u3KLK0xRBrPo| zr9fe5+;o~Pgf_Cg6o;nMKq*^by3NAwlI|=+W}&mgE(|cUu(KT+?|067`b(S+u*2>$ z6FK*tbMHOhIp>~x?!A(pB3U1skn`$iU8T2q4y{$zK3{djaa{`pTuXk`s0T)s|b|I^Uo)-~jvTiX){rZhq_* z^QGrIzj?p;X#rxk@P}A_^M3x!VwTCoP?#TDRoZRX9o5VS7uVi!vyC=x+s5{_mDoJj zF25oBjTrRbHtczyGf;p1s&da8-@WwsYp-2;{JU=`_q_V#r5~PrT3I_YqpW@UC~@Uy0uTOv{PYqknc+A~w`DUUcDGmmd8#VZN*Ed+pmwX?*gXhW8x&%Zsmm_&b5*!TR3Lqo4fbgM*iUwX>%Ey?Ry@;Ys)BiZ)_PSY=Y} z@5H29=_pdKvm<@+uw4`_3+KcK?xLfEfWDnw5-&C6!f%Vdf9XzTqkXHAcF~IlylSx3 z)7vTNy`JB<#4hvm<0BuxyL`*99XC4I?1>+5;a>{)$G>=M)!T3X_8lBeG5&OcV!hLe zt9|RG0nt?<-hTV-`zl1?JD_;<7o$ntghT90B5sR|6W~8yv|Bu0^f$tf`W}?s7Tt-q zPowV+@V$_)0(FDxpi6;!jEe2Y;$vEk_!!zs?KR>c>JOnjjCz~$ui|~+eOCDb*(v^# zcocdcE8Zl=Nk8PSV?A+k7mX5hBicU&x{LZDw*=Tn)z?KQ@B+LSeZwgA@a5a6e+F{Y z?nE0uQ2(sDY2h8TeG>Ep`Hi+GZ1IJk+rBJz!Ctax_riirh?~)O6#lPi5JJ@hMS^Q?Np`3ix5~Q@?=>pgRu3+P_gwkY%;n%nzQ660Q-i z3WvJZ;x(~Vby~bltWdXFe37V9@3Ht|@E@^wy9lVCvv>!NzoizxL_DZoviP#4Htk4e zu9dQ7AJ67PQRNdBuL@h`lNPTDz4C;`+eAs_DT^->rIlZ|_+s#{TfALtsC?7n9inR4 zV-~+ec$Sw~e3@NcJ_yZNlCk3R7>Y{*}d>@i%!h{w8n6-{j5so4gr+ zlQ-jU@^&#^Q*QBQ{7v4BzsZ*^T~YJr%$LyEn;x)ujJ@eG=IM@HWz%yOZxc5)z07Q*`AUeSL#FQ{VcZ!Gzqa?%u5#^RH z)MDW2>PLH!C0fwq79spe;YHhC;1eRwH3QUu^u4$SSh5U%J5U=(t0AV*GRWG)u$!^d z5A6q_I|)4!dLz&o6cgYlz(>&+%i57y@7u&iaP`?*hj6kFerSk7R>H0yc1XvB*k{S! zf!Y*WsW-{LJdha^#UaS!2wl~mNsfgSov4qahg$s{iNZ0OdLq_HC0j|NcY?=DwMpon z1~$emNk|1aa*}`h!JLm?_(ahOGA_)q-OPA{B@D42HYw5pzDmoZjI8ALI9e!v(d-Q7 z#zFlF{&kuV35gK;FVBkG^JHXJN{U8E2H8?1JHB-|UuaD6tlbXSpt;KF zXwI|bE6|;ZqMu_z85rOZQ_S{pbSM9y?7dPlmxD`ZQ|!MVzEb`Tv8@>Q1ljjtTyyhFBibM~iQAC1S4NEHHVI#%Jd@^}PO`rV&e2iM zBgs)Xz~BcBZRFTiq9E61H2-!R*Wvezbd!qQ?`bwBHl@(A`r zD#3k(8fQN;IeU5jB`k!w8e&(pOlHU6%+_=He`R!{Tn_*^G)eXV%W;BQR%d zn&ULVyTf8Jo3=(8>BadGXY4}WNE^H+N&KV!VUGx?Uu z@x?}vv3{jroAYvjMq-X7!S*t%C&oL(0nVQYq7>#`(SOyEnz`pM$SQMpi&$54R3=aR zY}DFq$=l3qX7rFG?dk89qvoj;wq_=$J+nfBR+MAB{|9*uC#<=pGb*!>O!8_D@hCG< zF3f5t&y1h>fRzVV?%J2HghoESXq>m>d3P)Kw6KkRyf+&>tA4amg!V!r)7NV0y!*0~ zV4bykbGt=68?lTxzt36wJDzQF^<_HxGdg5VHkkOJtTFgGLC882bJ_iF=XEA4 zuYP9J%nfB%PCW0VG3VRN0>iSkmvcF6&AXGw9J9_+bMK*@!O!tG=Q)$dW?n_D9o)1) z=YV;3hO?RC7rEywm+xnPgt^E@$j@VsSZ7XV{|InP60tGYNbVGre5!@4oN3JXc=fpz zbdD7~Yr$-<%ZpKfPnl4`K6B+5-$ftqzCp%iq!-`aoR{rxnb=>Brl^_wY5uq~e&wD~ z=2lJaB+AU8llS`wTbaz(jl2w@eaoDoIJD(XSkvoq_QkMHs$_O9^Y;>S4qL4mkMk-C zWqru3>%v_6-J_a+!keSaKWPecIv0s)j^qA6a2_+K0X^dlTahvHJP7cWZ06!}4V#$#OBu-%|=vao#J;xf-{| zz4)2yzsiipvZIf4mWEloxr)q_H~&QcLo*em?j008sO`aN>E)JQw9)fcFM4*P z){WL~v@}3s*y?ZKncBnW&<@D$;xo?F;l*_T^-964Wqss7+3#U~AnRw3<*A!vM4Cxw7d-0c8nx~M9fI62+jsMrO>YNy3_a*K$KqiR z$a0G{R#T4Rv)k&SIU@i1@s}Hwn`5wpy~)L|3v>vclm6}K_3;TY2xK>pbeN;(v0_G} z?dMu5Df{TVd?>aDPt99G}~|c5&nexn|Br7uS3| zSJdmY=F-dKxZLU<&O{GOxp~CH*$nC7>@~g5WT$CkFwYay9?fmOFPW^C@3KZrEt&pZ z)=Xa+7mB)@V?urnXDwe%bITWHd)tN%>6c2YVIN`o{Rbk+gbYRY1}Ef1Bzho57)k2eHp+S; z9Zu=>hoVz*hd(?Knb?QcJ0eqIxg!~flO^9&FfK#+dL|<=*%=%U1t?hH=EB4lsfZX*^aY)4C58%s1Z$Y)B!L%&SK z`~hRXKekUsCa)gdY$M08JLaDbhWE9FWmi5WN!+F+lxs88u@2gNX#xjUV8^X2O?12WBCbVJ69flPHHj>rONl2_z>H zPDyD4tdlSq0~px!6h@Zk*))s>!xN!ofO0J3eIy(@ARB}0%xTOMhlY3a)|_>UXUvFG z+$iEX0|d|N+RPDX48lsn*iX|F3&Lt3G93;@{DH#g`OQ!v+c2C6OyQbLM3J=tgN8{m zQ${FS7*VXG@BvGlCIku*pQ+$@5I(n*l~ImNMna(or+^h|ryTdk;cFzE&Fsu1G)^TF z(c4>Fjd06!a9=QL1cLsSNNjH_)mkBWx3vb=VR|_&;^Y{qS$xqhw%ERBN%WJ%_bJTx zL@*`_jd8CL!b0S57cOfGWx>KOD;uK8iSwGlxDf&asJ$^iA`@`R$ru(XvS(t-AKQyD zQ;ZQS%qZY8GLFR=rl9zFW69*%?>-80$RCeKuyQCr0+EU2e$0s9T>rrkBGX733q~u4 zt)1oj>)4}!K}*}5hehS&bTBbR&3WnOw9<{d&vb`^$Wzlc>57?qD=hFLq7gdf{zxD= zNz~wgM3Wd$e2Nz=RF5ZV@y4mq$`XvQ6$6hO*sP&}=GuzVqOO_?9wyC2V?~;sn4XI4 zf0r@RGDyb4aK>N-fe3bB_T?U9B9Te3TplC&13_MRx0@;MACKH?jj;fn=iH7mL?i=|l zL0j)YSO2c=-hu716S#pvoI|}>iBRerlw{CS)$181Wjj6It{telJA3r_*!5UZPK$F6*;`a-QUlq z+`Hhtm;LJ+92)iZZr|aPI|lo^J!tIoz%zGezsEF%L3Q=Jdv`izw|l31yN6MO(Bfq= z%ey^0Jlq6(Zv5-=^$rfuFuDc@d|p(Y7^T;jh3@Gc_Bf^6+dE7F>G2LiJ%tm9K~@6X zfXCEAp_TGrt$p=+4H`NA!DievDbE9T9F{5SCndlDk4l;P#fPYYyn zt&{w+DZkHb>0x}LpvP*{j%Y7w-_%~lbvD1hp#6XMhF1xfYd5|A^lp0n@oqU?yU}y) zM$feyJ^%MNdd$7~+RdIn&6_>u>|MLzbM1!DwHrRyZunfjn_s)>Q+U%S6P;@}ey-j4 zxpw2{|JjY7>^mdDtG;Jq_R#Y{+H>yZXITlX{e0Ogd$;p*o_K*&rmaW(6!m@LH<14+ z+6v!KDeTK&;=+9IC9n?@@34H9bCJY-^nTLFYFoO-7LmCqq+YbD3D&PKR;5D ztHv;5(`{RAn{8dT_t$7djmtM5rx9EFSxz;{vQ8s|j@6WaN@je_yL?f3; zWZRWx;$O5{oH%*?*|vV`S&09#`OdVO|4&1Rg$D71%l}&sJ=~?jr=6xh^;S_MbnSWV zIk5%wxw+yR{jj@C`?5HVqKXP!CCUtnCS2N==j>(eu6fYP6-?7Bo7>oC90Q)(YR=W&&UE8uleTVPns%7o_7&~AyBe@o6jhX4+#t#)P>!R# zj8Y7TPKh6(ETCxGv)YMtj}8sbKvB7Sh4ze4;N>&o4U`3qJ~}UPJ&p1UtHlPdo}OD$ zO6H$t)GF<1pvrNrKsk(Z8s!a?A`!v$ILZQw2JQs}+LPiCimH81 zlq>Y3s^_%N&Q)-1o|r3N-tKZ&Xpf5_6jh|Oo#Gsd3bl^{_9%TjqSlvg-oPR3n=4t` zUIF=s;PgXq_-eYi@0&Xb0`qs>Lc6OQx5ac9QAF z_3apOmsZ6h=M5Fr;qK%U{w; zFta5*65rgCvi4){Qq6~9`VbZ!J}QWEm=%T7P~={wd9>AHCHnShHR5{E9_=Qkf2BQz zok`ceFjv1?Klh^c7^6N(N@0GhnOa-t%9ggDb1%{8i?UMMBbb0k*!Z!z`rF!tyIyM) zZ73>Yd zFtfI?{fu^_R)xS+yogXLXsw=GvXnflN>?stv8uV!rS02Z(&EVTICQ$S#M}*4?U5I? z^*j{kT-7Q9MAJyum$VzqsR3{$P035zY6OA;Q={FKzFtqcb<`=5b)l%=QO{G5)bFeR zK+~6HLvOO>iirCeE)p9Y$Xj=eI?!0YB749#oVyUVk%d*yX(|% zs9zVWq2dRiYeB!Ro)b5NzO2$WQ|jtDH6dOD{R(!i&7gm6(Qm3}DaF;VsxOG!KKVb+FP^|R{p>M2nT(dW|j)o6Wou70gv{vz}#>N9F0U87gJOVp>7 z5#>K1G$Sq$6_x6VbO$LpmOd->GwLz*n5(M8Rp)AUoz&Xu+M3%=YO+o?%MN)`x+~O2 zuz?&`v3}KuaP1IMMfRY$P>!ib)3%P3`yGsl#-)nGxXv&)hHI2Lfony!_m|9VQy&n6 zC@ORuL^*_V80EvlhUgKc5d|U&M3fOxAfiA-frv69%GQ%8 zgct*242Urx#uzaM#264`K#Vbh{2c>gj1faX3;{6JEffEWT|2#6s@3;{6JD~ zK)8T#0pVhV3kVkwE+AZtZ~@^0!o`R-AliUv1EP%)Z9udE(FQ~tBievy1EP%)5(o)| z1VS=G0wIBrKuAVNAS4iy5fwmG08s%%1tTher~sk@hzdqj@cblE2yqdJi$Gii;vyq1 z0&x+Di$Gjt#6=)30&!8Dp4HB~Uj^hmAm;%&&ye$goCoAQAmCH3=lIw%rIhx6E}%Mh~GacfA6VLKdg*6aMr4am32%HiJvh&C@wJlkeFrq zL2;7l{o*4`?-LzNr$s$e=wq4?xuq2c$z{ z3S5dyib)D*3a*x_(2uZl6X`>ZB(Nk_iUgUkWa`_4I*0TDXE9nOxv4HqvXc(}dD!UQ zt~47n;B=F!yow@8BNl1D9pty~*3 zz&7g5oAxU@B^Ss|u^+jjp^P>KTaDe=hOQ-#&RpKq3c|dSHKF-j57kOyzvTtaX%;l+ z6|FV5VH_Maw?*dQW(AOIP$ErSY9NBk*uX=5?8PwaD67vOa?fK=`GG&S5!H)^Gaa_T z?!<8a9EgVdG`~thbUZe81RdD(O=z-+K2X1nwimt~=S% zEqaU{aeqv*Z1YjKokyPJpWT1MZP$A~yRH%T)b!2uN!dUt91HU}1NDZ0@??x?Z|v@} z$AXYCr*;wb67X>OMa|q8xU0o}eDrKELc~#BbO(zHYQ_ zUS6|p-ZrYKtFcq&qQa5JEsjA79Z!El`Hmut|{cY0jvQyFF*i%WgDO;+%UjE^gOR0tRadI8K z^H)qscarx>b-aeCyAeti<>N>vkr(m(7!WIOEtSe@u-t9$K*bb^*Y0>`i#E-pQ@%nv zQYJ2kAvBe7UR{e8(GGFKCuB5XHu~T+GXfw+@zOYwkUvFpw1hz%NR10} z4$q{=)Tc_~HZDLx5z*eqS-#k*vlgA(=DT$jBaJ7aboN$RI zxgvK4%cdfnf}@Ky9f_P%MyfR8wN_I~4vd_XOhkW*nTQe_WqgLh7dA+yugCGcL=2Zb zMlE{4B-JelKg+2U!^KK-dNN4jG8HezM$5|}K4Skes+!H_QeUSvW$&z)c&1+H%5U~m z?cBSKp6K3VYM;l}#^!1IyqayZ))LzQZH_Uqj<(VeSw&N16KZa5LqBy#7FfN0C| ziIgR`k}<`?;)RWi68aLRGp(p&+oFoI-F=3No>ERzJJn2QWi#{pJxoB*N$APDqGiZ7 zx6rQyhwt>m4II3!9a3%qn=ju9bf7=)NJYJ7#SQDknbM=?Nmo(O3+S7CTInBixOc-h z;go)E7MfX(HkxC#OwwuWrR#BoPLXlslZ@cl%Ss^=olx~v&~d;|6!}p3fWp2{oevsI2istx&I2R{Qu7O|4Lc;`(pneD=U9VkiSmIKMKje zsF3et|CImeCx7dIO_Bdx{_Xd#zTZ;IUzy}@8^?F~S5Wy!QDI{Kj{X1D|5bi_CI6@@ zf0dKJ@&ESw8|QENKfRKF8vd4lpZK>k^EVIkccA|S|7S3N>;D?)clr0&f7JdN+CQQH ziTIaF`B&R_q<_IL-#z{g|L^qvnd09w{)Jb*0ha%wrEoC*`%V8}Ybi|MKF)vAQhM(w z7ySqP1;37-F#&LWK%xJ;?+ORg|4w8^cD8S5=s!>v#&2_oft~F?pX#y;+D&C}k%5Q# z-Q(&#D~sg#pnsJx71_aIKOsnfgj)>>h=c?XiG3nauSx`wAMAPK>5=@zFP2~rMK1F25x@Ss_hx1?`M^Mpu zey5ZBar=`ve&=2;#45cwMVE`Hmh?GzEDx%XnFrUys16|NlhqX(MT-!p`Cz&Kxb0{9 zBTf>#@N3D`l`(Btg9?EWH{fBP3T^6h7?dkkJ`j7-Vs(m&H9TDwg|Iu6kW%%<=@mXi ztgtxZ8RXnkPIq%o(z=Uho)-w3eR3`OVEqF_#G%p;8ll~1F-0@u7v43rGngK6J^_f~ z)6thUpstWVD}j82bdA8ng~zk|C(cvtrQl(CctpIq^3`kM>4xexCf4=^xe)GA{#zHEgLBbHmk(1eu7( z?$;Or!u`st3lOh(_pA zL@|)!Jh{9-1YG{U!P|Rsc6v8VU%;Pagq+F{jxpkiv&!nLNR23ug_$!tC+rvo_|=21 z(1}wzz&r{DLE(N4fI5*jA{}{)G1(kQ^u5pV6{7}s@Sh61k2eOr839WGvdj6~1^juj zv*hZ((>bv9BA<8o5oMO*={Z@W`sE9iS&$bC2F+;O!C(tIW)VEFT3@x8LaBF`90Wgq zepbu?#x02o%qN?nd%$%;y&v`4lLUWcOYhk1;O*!h@C7G}+$;lLF{+EQ&2V7zIoE#r ztQ^appglM~V5~(y0r85@4w+z^AE`HPT!=G_(ze07G-{*Lnd?`^t4gf z;HgbNI=I?B+f6&v+{ksO)$CpGyWZPIVD3TwB7OLAV!PY68*;CV<4j*^$!mAFe8PUi3F7bp=7HQ5y#@SBcqQVeJzP%k`Hj~``1=j*i|Plm z7y2jgCov;3c{s^pQ3wq=_9&r4+W2vanX<`YrVWahxrO{<+tEAa;<(hSE+7g{5Zn*;sLfnZ#WUcsLe z0JHbpnOy(&mRY?Sy;*L7-JThZiy?&-;9T`AxO!G}y%750}tbLvU%pl=%Bz6WJ@m>A)-;GVu}10}#$p z$_+QV$V{kQpz1K{qh`BUd+`?uKCD)q$(o}YfEfOX>to;Xukf!-KM>I{goGfce5sfC zT0M@DB`b7Z!-y49U2ka{Q5=0_}6Nyc>LUB4dncpx-I0Blz~=0%bi=TUkNne>2b0ID~x_ z+S%QbC5ZU=ZSVLJWW1QpULH_(r%&%P-nihVolb5{QlS3{JU@;JRIS_t7bLFf=V=d; z5jQ39g*B4s8zn(T-IJP%v}|?30X{GC)&r%U6?91uasv1YsytAge4!1la4?+*&8_wi_f2B$?o9%P`j#|;kjdxLP9G{?fYg{ z@Kz(gf^`8s->~DLFs-g?UbG)TmV@OSCymmw267XpXQyXs`k<}}d_K@-XC&<*ePMc* zt%vtpU24rictKR%DWBn$QGC!}ZvLM5fu0Dln#BRI*FZfy;8(`G^yzg$E9`;Rw#9P&uePGMh%gqz_(8|y z3%C2;x_>jR1(Nlp8-VL@;eAH=V!AzZw8syeJSzrq1a61rAU;Vl&pg@Ttvu4P0B(L` zIP9<1C+uGMzQDd{gjGg9U8)@W2?3|`ccn*%M>5~r;tX9>O~gD1tcA+?NjWL)y#mg# z(ecr-_a8;XMcawBYSZN=a0ejbewo3Q z|4{KEl>1?AVs;jshNa)^3#&BG*rXs+Sq550K&(S6tsF?7OjbVNHrom2XGyTCLZp~xDRxmd6Nnt`e{mLYA>nT_TsX(*Y=NsN2Rq6N?hfD$El zu^L`zst*=-Qg6-;nP4rT!a!EnNOK}fQ%nNVc2J+Pq(9jiQa`s66f_j|KtQLF(#jHs z6d4!;AS_5~m64~8l(fQP0j>l07T?b7{gsj#g4)=~sc$W7Wv#J+aG;5GiZcZ;p@kLI zz1Mo|g$U-F%wmPLjx}ygdGA!#*lIjZ#@gzuJFv0@@@8cf#F$4fNoTvI2&c3y(snWm zBt-G*MEO>*hBR&_dv9zplcb*2YB+8@Sxd;M6;S4))Bi&zsu?^hB8|ye+FRIAsFs1P zuCAEHrWD)ymrl!g#jh=BEv7kFD!J@T?n^k}+O;7d>q2W7!xNP9a$IBb5)@L^01S{t zW2zr>aS+hyQux+Nvnj?(fUrJTj1N%F{cKJkvZRw*N#>0?Pw-HqQU&dYN+9bwZg^8lMz zrN)5M$VeyEpJwX9()kD*3^juE6=voa2y^v7(Sma_T2HZ!B2%Tb*kYPu9qi-*FMKg& z`#6|MN@8D}No8U6sPh3FXWSX<4^QRozsubtu@>rSC&6qh&gdylFO|gCVIa<7dv6GG zVY13)K*B3@76hnwa^tjf)R$(p0kObXz=)-=TGi*ugh^6<3j43n;o+vEVO2WO@M#zs zZetw?SZRQ&jt5FP27g+ykdt^><{C)i&6{(0Wjq# zRFY8nQ$VXVf!>%L7`;|s%%ecNJ=a-m%+YCJUQkYAvkF{oIGU1Ee-yuo4|n}X!K(J7 zL`?lR6b~#(X5LzO(wSSw6Tl(l+v|5foyg|B>*;-YSY$_s{Is|gHe`?cssY_C*`pcj%G<1xep zLOf={EMu^hcEr6paKaK#Si(|hq!(bikxgcSyjWrsXIdfMu<6bQgosyCA$x@N!R_D~ z4nLK!vV~(PgLRA{m#sPb307APXG# zzC|91o!o;sjlJC8Bh-=oi9ygAPk$DXfACRrs4=K0Nm@@G& z*j-kRUGi5zo=_Lvz4v(wF1it~%3cL)l$eE=)n^2@T4ML_P@1RhjJH}tP@3U$fqL`r zkI$dd$PQ1lKH`aM$dZ$rh?CesvL{5DAL|l6hwz2mq5%&Z5+tz1J6#ge3?di`1*wxJ zQi6#mm=TI4=$sZMYm;pXUd4YBW~UUnLdc0B+fj+wGPg<94b=nDA1}pG7eyO2IFYww zZwp=_UBx%&hra4btshvIl+NOr$966|A>j6dw*`LO_c!cizWls(e8A+SF1D)GM;6+z zyBJbOmvakhnV&UCK^}YL1l>wZDgrjJkYNyaDZBZaLO3>W|r;p_WtoflZQg#^mAtvZ%p#=fQ*p&M)+7F2*uTh1WvYN686VD@a5zhL?Zw_qIxK4(!>c$R%B%Xnj7I9$#8{?08R$6p< zz!sN89;j0_{}oAMiJW!Nno-%KZy_791#|RT7q?`aF88-}qN5(^nfXHxS)E_gRWq{r z06CT$pZdxR&_Ph;PO>@yUYV~)X>^#2lVT<;^M{fU&=HayP>jTmd=MW5><8lmU=GOD z>mnFqAWjmob1d&fm)JF9eMd2K1M$+JF*gDE5y=Br8~)+RA! z$%hvR@R3I0ZWXP)tdBHzn1p8qpe%|AD)Bwca(SG z4hHEhzRHhm4!3r9@FfVlWk16YX>&S|v`@|tu{#k+Ig7M&8tnP^;q0gHZ0&~K8I!f( z8A-&$enUSTi6O}rkVl9>%FR+zN+sO~dC9zvcxpOzBYVQ&A8(_+x)!k?xMtb9y#nt6 z9-@9=P4iP;JL2_%yMGG463!3gfOiRgB$3$I*@Sgv_Xu|nG$x~C^Q2}jxwC~~7r{nw zY-tTmv#{F1mJCVQcV-zXCX<+o8AXW8kd0=IRR{&70VJu@bdpm=+y~&M7;OcR__yfC z+bLkIxpk~wDH92RQ#V5L*zR&hVhy$d>NybLfK9!KLI|OFY4%0%_wRWzLi94E|62Wx zUMvV1N{W+I`+%YmWf(6r#*zryZ+D>^+>kuSW3|0{d)~%?T`4cVnbPpSD%96(IXG;RnMN&7(1#UH$O^;LWjP znwU-1slzESxc32XVbR~!)zu2`UvwZlC4EFD9iBx7Y!$QNXsd~|Ek5)4?8#I(uqH%mi4!@YSPi#AO=cq;AJ|(1`fU#Q4Jlgrqy1@r zvYM6;>2jbNKb>v8x+Rz87O~zig2~a|A!^n1mZK{kkuPOkDrS2olXXrD3m+vI? zsrV-J`TLK`2Bw~JZ`-OU+FQ!IkHL}hYJ>b>OF0J5tdb^6zB36`2RNYC$v$#YF zx^a2g=r1L+YEh42*>6N5pzWZIkx~Z!A~{D}1_R~M+vJ3FtAS**b=4b;5c{U1{XuIX(w<2| z0}{#vDr4#QVq!)01d^Ig!*p_h97(0?Eau}FVL168$(4x{Oaah>{H@T#a?o9_m@%1X zTvMe%d{B@(3J5IFBrgzdC~^nF;!Rspprv^v<8GD#2|H3@KQ<+2MXQ5%O0#;Gh#^~( zdbG&0_LOnt3Pjf0IO3JU#t%tE1|e{O&eR8s!xf9RZS0Ad#Uj{8MP$F=<_(Zn4f>^J zS~B{{;L=eNEx4@rWc6?H)po6j21IZjss{%SrpPP$6gLVAP7FFt$uWF3DY>R(_#p;I z0l1H$<@-x}Id<#F`Nz|9;pu+zeXNVn2IA5HT;SqlbS3&jwzVam<{-8Q`%ng4nO1lE zCU8K*2|K$#M&CE{_8ffTP7bS)>2*~%@3jY4oO2ylqdbKaK#}!$J`Cq8#V+Em`MXwc zwC=qKT(efO13jYwKT8RIA z`pQo@GTIJy9)8gbA{`EDB~vAtUD%vZ`c9FknKs1;TWH1&HHyTW@g9m(F6 zAG2PEr^SBYm#$%Vx*00suusu(GD<;^?-m*06c7G39yYhBl!_5o!6cs;7sd( zmO%^{YEU}oCQ0=eJut@MjDsnD=^oXz#EA(AbZUPAJ{+bIXeGWv$Ppr$Z<15lA4102 ztN6o8Ueml$HLZaJ%T(NQHneaXux5(;*NeMw2(Y7E&MEJzNMAQI`SjD1!h3#)`f%S{ zZV>=4sK9Z9+*7~JPl@Uiul9A>ylU^Rw6jhyx@Jy%!Tte3N5}gc@O#~!aEJ^JJ}6O}3R z-yCE&9`>q(4+Wne6nDYLDOK=QlUH6}>Wn$>tyJcO#*s{0 z7Km;7LuUln1&0;Z!P!!yBZ;1+T-RP-=WvmNBC{spj$6aY*{oVB;0|0k5W+xDR%=+1 z;g^JiF7r!N8}t&Chz&)5F8~q~eJ?twc1_F!MT)dsIO^tgBSS_j$t!SI>?8NWwxpkS zD2z__C5ulRO1k$aq+(C@%h=8`(t1XH1WJzW@b9(l13o+~V+(c-?@Hn)e9EkYWNH*l_ZJ@JdNe!4J&S zQ@10BXOVU1UhU_Sw?(G&68&x0$jBv*!;I^xL6eBPWGASnnMvRQ^@xyeFbYN7d`}up zud=1_JRmE`^|V%Fy-n}m&W~*}To*elzLQ+4JY20Ce9T{xyjD!Vu~m9?RDGq)K*p8A z)QYG2^Azvr^HMN+!4P4K=Zgo6-!b6$mwbqfG-lGgN|j`!Xa6!@GJ-Pc;2~UQnr~;j zrCqNWCAX?iq973`6(b=hP0bufB8@9aBTmoC;57EKaaesxAJsHLZeP&F*FaXm?qc}G zXDsOrjK=)#IZ&agjd{DkDVw?Fxl z^?FFbUr~F`rk^Z*`h2BJYLxpVH6WCf#4KjuoYFv-28=pQs#I%*ISUYyxyoPqbT9|o zGv~&EBp^@$;U02$=2_0FZrcAGz}g10UrPe(15V7GQ|pN$isz92vIto zcw@97q08+=w}blmS)92QejyNh!;e}5XUEP3 zM&=h7OL6A~`xq#p9!bb6G!16Q(p!paRNw#;`NC%YUWtf)veD)!%kp8`0*D}{Pj1ZO z7C6cQ&dqq_>%kVl?Oq+ka}VLOhulg1&@z)gBQB6i%M$yA!^6n_S@Gls6{Cj7wlkyOR1LXxp32ql!Hj@af?-znwxE)WdtR-sbxCvLc|L})q%PPtE zfLr)cs#^<*AamN=?FcN4>o`)=8(q)jZdxTJHp<*UKoi&Geo7>pMA}`hgs=;*%Jm|G$h^*|Ab4R=^38WJrqw&YiyhQf$Bzi$7&aTM1d#$D|iG6xa%jB z6V%jMw<7_N6LXnrU2XK{$PZ5mUgi;AKyK(QC_)(k^g98=A{}9cQ8IhU3E}Y`tR6vl z-+9G(0?tOC5MoDBFT%NM)trg@yiyo(m$>sADJA(Q+RI`QS^B7)qhd)a>GWg`Y#sjm$VSq(5OVEnr#}9FE}88;!~yMj~B__#8PbqFeMR=b=&|)Y*&*dD7XJ4t&RF z;ouZlsO3`2;jV9!#o++{I@xA;g%7{4&Ow~Df}P=*p{N!f_d?H60W317-KN;aGCw{l z(L8FSaj3H(k54saaOKg;P)b(F8CW#Z)BH(wHyhMaRl^--Ddb|Wph6OGjzsrDR9g&etL)(`%AmOuQ3oXP2Qb&Z*rv2-nQJ9 z6i1fZpYI1>)Ca-U&&Pov__=O@uVyss-=WMBu3%v3PC}Yk&DQ zS0v#A<+)^XW@+r3G;Vj@960cX`9*X5Pe2nR@>!0mEUqp9Y~XgzdiDK4Y$RR9hQ+~q z9akFe-90QTb60UAVO zZo&ykw|OU+GIIuD0{s>5eiC{LjjHh$qBjkR0zby}v7O#RzKkFe6P~%Nqi8_B*YQ4? z_H=(}s%_zSEN>&Va6Vj_*vd_fy-5RE=ex3t9m|hdR7ErmH7nJ;Wq4|0TX3;IA6Rp> zq-?kaC-AkoZs7~>k2GyUnzt#XPDyIw-}!;tF*PQ98E^oi5ZS<=h$NW^!YPvFwSBO> zS?uu!XWWIaYRd^3f$(E!wt2D(k$g}-c;FtW3+~UTtxY;wp;q6O)wjB&GJqDyPVS5nL43$78tlKsTgq=#R5#pzW%haITBo8oY5Y0o$xz1P~;CTcFSL7(G zbP9sP`=o7f9^^4Ol$H>H;`=N8P}t=;K&i3C*sgQ*-xYkA%-@^+ZG#QuP2O`l6?=OG zk3%uj<^J<|Wr*^DZ+2Q|XF8I|Dh;XYraCybvvxlwRrmA)zAJKDLyi0XE!Z2jDbwVZ zvVzK_)0gMrsv(xoPg@U`Oy;sY1wSQp*RL-hADvgbE=F>lGA6NFvYJiXoFO2OZHHtqc$iO#|>xj4y| zW8pO9UQm*V%1UjuSsfEpDHO)>B$D~CS$j?*JEVvlIR3sw@+^bxJa%BhT~680(BQw$f9x)g|t z^hBl%J+RoG$_z*NxU8=M#lzLi(nHm({nl-!s*dma!r__-hYTv;;bBA1!I_?J6Qgv+ zm=ERbagH8nhQGz`Ex_*@9OIy7QC1bZz>=yG;*xnXMkr5?Jp#BG0TN0991^QUmo&Ir z@WH@2gt`%V%68QO0pWTVj}ZhZ`tGp3MErO_3NeS*}|1&{c*F#Tv00Z5@H z(V6C6Tw{NhAfy`bW6KB+o#hhmL{m$;FPEt)fN`5>Lf>U&il(&9#LU^(+%>lIRVo`h<=I?NJ@V zhu^j_oBnvD>uq{NJXqX8xdifDfwzc&_sWWb92cT4se!>>Xf?SlFF0=(jX}nHj z8|n(|9H#aft6)57bfje_51!CCG82TiA4CmW5NVh{ z@18?GNmCEhj;1qL8V%pqpF4YY$M`G1Ve5~;9`4kJ*2ZrAt%8Ei9HDML3xn6jcHY!1 z4kzD}F3Xya_l6g-gol?^aIp3xmx~K6m1mH{=SPCT7Qmj%o$JZDEE8Qc_ee8t#K&t2 zd!W9$-e^KWJB53 z=@TWHr)u+@CKz&`+FupLcl9%+50Qr_(|Hs0(D20I9@^BdW+`4Er`rg0Tm|kB8@DpQ zHZ*PYNLa$4aWB0(8pYI2wM_MnTLoX@|A-B5er(2w6t;< zmz5!?6ndX9c!2Yq8GHn8?#N|MsD_Puy2fLao|@x`n9M;>sn?hkw@UY_9mT7yM&b`W z6AkF``Gh!_Oxc@om2G>$ai{mdh?@~0AjT7f2ld8~`~7h~{KFZ`jiLUrYzlR@k*`#p zur}>8xg9D?KLv#g`{yNpe^clED<)Jg@BI71y$k7u2G^U2v=VReUj54X@V!P(jZwiE zv?15t637){z@n9-8!<1zQaB}DrjhduZf6c)WT0Eu&8o6>ffhzci}CJb!(zIN_mO79En z$ysbXgdXc{wYLAt|LXR={zI;tTkA3VIF9aoQ#x|Cl-`u;BKtbnZ@Jx7W6QyCTYB$X z=YCz$`G- zn5H29D6G62b0*h;=4r|ItsV?gHw{lBg_yzO0I&9S7%4T+T@&)lc<^V@jOxkzxC?c&GaB)Decx7@VN9_|esg<5+#IOT9or<@-pDkN|Y z4t3e^S-1~OS-bA&NyTR1H94LaQRAMYRB!OiH_uGOo5g~!TdP(K7&VW?uMlg|lrQdv zKwaFH=^lKixEZu~bep8JOYO6CQ5S@!ZBl)@GBugXL(Q%K&d%6`*Nha(I<9GUsC@20 zT?dyanX#y(bvd}DWmq|@>vA;B*lkl7`t}ChI!pa!8zQxG6D1y47yzv|s1(jxZE1uC z%Na6IBW$wtlQ=9%F4oK6n1$-iL%`^_fKn~ea<}8Dvn$yL!^730z34pDwWOL7eKFy5 zN=P|(b;jGgV{Du>```hpZnND`_l(ub09M>eE^~O7Vx&L-6->YiE@Ih?q#z*kZf6k* zxwQI-HG&JoTWa3-qQ4FK#c>KKpezQ0s34%su1U}%oFR+Ai$ja`#%uI6tH^m|5wJwPRSPbB-zO zj)o6oI*4ovzbzbjnih@g*w$_D)Mw{uYmEKq2j-_oR9w@8#Zj zu*rs*dzL0Tcq8%za3G;jX+(-8fgu!?N?@KSr%gR@$kQ*e(n2l&jcP=vHmC;a_#4W+ z`WK?k7;A=V0bFO2<&oB)ampggZ?>8yE3xHW*^>8J`5T>*jtTxIn6<6rIZg$T^S(ek zC?tQgaU-@-6MtamdIGCmSu*Ay*8a?cAPU$iq>>13vsQI}W9hQPgXewXtI}wFP^K0p zZ+w3^TzNh7yHD&LcyS5=%$7{dD^QZ2q=!k)l3!dS@g0@}Wj(RpZjI3PZlKrP@cZEQ zh@~NpiK&b>jd9OyizsK6Rw_)90Voy^@`{&33B-q7$AfZyUbcjn5`Tr@9SjvVWm@vWZf2u=C0;J%*YB297yBtzoOJH$s# z*)}gmTHJ7c;JG8}d+{^r_W4L5!zx{@!;ZZ+~(C&@uDB>G@9g10=mlRl;Q zo{f2Qlu}OeTe#8KO`hT5(a7)*WeIxjy62Q|j;Ia&Y^HpfMNtzvX;;DCOXYy#OYUOI zeDZgHcQF54OBP=5UUPfO8YCz8pGyPKBS*}ci<;3^Hr)DL9HO_R4z@3t%k7}euAv*$K{_1 zLP-&s6C;GE0i;bZqdDv?@+vDy{&qcMZ-TSUW73OcLE6Ktk0}$k=_hLjood+2#yt%R`$=Tw$j3Kk+4rag3^wS(YuSq_`RpNI`0X5-jq^bxbsiKHjf7WDFXG?m<;7h8rpu$UtK*xeHb>nEl^d zaOWS(2H9U+mG^p~&Y$PCQ@*$Zn2(7h!MhA#|{b!UdV^>G-6a327LNlTj-JJCtJn`(ZGc2h%J4+SIAc z*AT#g&U8ISj+D7{);>S~sY)cU;d$Q4GY0*Y`AU4I74XNL%6FJFaY+q%29EH#^v4iD z;B1XtCXVPm(_w6e9n)h5j=*a6j#)p0uGLMHl;Imaya8YLiS`4=^ZJ;+mcNfx^z)H! z9w--Eq<7pi9>23$s0FZBoQ3Fi0@ys~ve3mOfnV_YZ&v*~M8Of+Dt##cPdf8h@4LVLffPg?X^ z7-uQv`xx<4`IC)h!!6ZB-nwv|Zx1o7%}}K!Isq~x}q4;fo9hsz*Z*H zGEh3OvJFEPx>?JPYh~=HF%H$7f|i#&W)7QK5!>X%uy(%Vt_yb(K~~_!f?D*Rxlzbe zi)D6dz%%>YojuFlYt$A$nmN{58#9_p2M=7x#1|lY-kx5fAX&uH#Q3I(sT-RQeHzuH zW0iv^*0klC&M1|sU}Ny}xfZn&s1k5V%#+sGDQe_t`OvBNB;QhYo84kfd^wI!ldpz+ z`#=t!xr_twL#dv`aG_wW)EAMH=o14AAy%gV;U)l@kXNimwOWq0{^ zCngHi8*=Tu7Ib`_(p}%N^*U7p5x(~{T#Wb@M9iKQC^3^SGM)M15dfX=?i4__?Xaf@ zM(O&PQF(T|Uy&NqDYiPl=saoBC6aAGJEBXwsc=i4T8C@LlGfrkaWF#-71zIXn96f? zb%v(0@V!NZxnSs?>l_OLPjCF{S{`Vy>xeI9GPzf O`cl}j74%?<1-2*I}-uk@CK zlwHPVo#lYPcp{sO>N!=Ju(yU>nn2GeLQ{Z;B(zc(j}mIKF_2*{d2MOm#5vi7Ccm>%hgRKn+k4Kn@#DvA`PWaU7NacWzS5M$ zw{3Nx)}q={m^H4Lo_MpX+NL=io!5nFg`xL*x~GX9D#uk~4f-B2PdM{(>vRee<^rbP z+4NdWzp$SSlZ0ulE;onLnGN|hH6|@~qN|CIc*fjf8& zU}7~_Dd*k&vtN&}dVcblcyp`wAYMdjwLH{lv>FQBPe{re}=iIze4d<|+k3G1{RT_I~Kk{!3$IttD4C#QGt_}T|8Ow2+y7y{>VMvMQ z97wzJ5o`y~f`D=0jGjW96h1`H<*(5&p@a>=%Du1(aO3WT6aK9Bik#RG%SLR_J3% z+eqW5NV$+A6phbt7Ujaf{ww$bryQX3^&)D1xGeZsn}VGQTP;RewWOG;Hy8TnY`1Zl z|D*y~;UeRNCfgHESvIVDy1%$*lE9=Fg_0XtL%^GtV}2?grwW#7ft)$-LPa5UXI!}y z+dhP`W1cefz8XfAD1!?rcI=$|F}>-{h62|(tW+dM?8%7J1X7+E8};nkYuqw`E^cN| zSd~Vp3!RoQXXCjgy00qY!U()YRhfW{q zGn&(KVHPJEW2&0Nt!gGWQPnPN)Y*E;-AbfZtVtC~;Kcyb{w$73u1y!e<`ub38ll}= z;+k@Y=a$usc%(6o%QYmoqmfk0O+7`m=A*kRjn7}+DxuR!QWz_VzBkM$KvJ5{RVeWj zWxwGp{-l^aF7)J1NkROj+9NFfpdhw(jLrQ=w);mlI%Cd{_A@cl&*pe$d=+wI`3a?S zwZ>nL?H%plOOK7eNH@KCH@#_raW{3+Efh>kHP`FB1_gRF*O#`JT1u)|m6}$m)>59D zs#GdG*V@m_b6084+tuL9vNy-MQ+|!NdoDfB@=@XPdDdqLHFP>PH5WIS25ZjFHC1}9 zN|j|Vb`?lhsc){yo0jX%&epiZ;FflE$kSe_udUWq7AvuufMnUEDPLaeZnjjy)<5|- z(Ry2-omDS2^Evxf7uM@$Z`M^-RrFZ5uWc`tG*@Y^Ev>CBmAjlZSy*a))py6>wF|I` zTdoU8i<|nIDCmhxo7%gYR%mk00F4miqz_>&Fw$C_WyKhKZ#q3eLa)8Ool3Z;udc!{ z1`=TrFP!W6pP@PD)f6{bt4ME4HFf-1=j78IebHQ7u5T{1Y}4G_g8N;8X<_|57enW4 zGhC0lnN9oW)IxS#KySD{2Cb<&xGqmGJB{CYj>6gE>ntZ{3Qpb2({)*(WNoEOQ)jVW zP6yTsBM+u@@l2qly|SjtIFDAx`ts!3Usgg*t6g(3ryI^p2aLixuH~VE*V?;+3k*!Z zwfG#PL0p{+0JfIdn^=H5n=t#xN?L66^z__Dr^|{!r?>#bd)_=Z9#Y@HQmuDv=tnae z+2x7mQd@iS*e1l|rcU+fs~RC}MY{rVbJX|NGh-Xjqco7Hn8kZ;C0fm{` zG8b^R4Fn9thsJDOBe2<2<7!z{qpPcn3o{~vdUiFP^(nY!M~7Ikw-K_U8Vt;X%=nna z`Iz>4U43C`RZ}5pLx-(98m%$l5t1-HS??25-AQTCtN~s12)a^lDs0p$%0BAY(RjOo zY!a)rXO&A0asLB}$$Mi+XmE-05G(H2PD?A~-` zu07BSm2()&`!NtP1xsudYj1qIh|=my40XYZ;V`XsLYt(w_@gPfI3;fzF4bHcTLZ8X z5vSnOv+}E@7?+F|#*l}~5YWdOeJE&QdL7y1mRwaVMIh>lfZ*pAf51@06J2cWc8Xu^ z{R2tua~N4BB9%I`U1!`&5{vrIdguuALtti?U={1J8j@l;v?O?^&Xe!N`ar=Z2s(ydTMrxtm{ zR<4rlC_TSeACj?F-_F(4u3D9)#N1I2b4GQXGLiE?c)Q0SNxr^s@Xc!5o_6=NZQHhO z+qP}@v~An&p0@32n>+t&_qy@K{lv4eFZM-6W}V2YQ<)i6k#){DKR-Q94!06b*w16t z!8K*5Ovs>RwMu6}qq2zxPR`ZrhV?{f3UoBJnVKp)X|+kJi<1S0NrO3}=903Oo(AKu z%RTE4tn8xI3$}Fuss7ApY%@!bNOC6E<%QfqY!dHGDnxfj` zj*|KoM^lk*O$DCLCfJ`*ll~2b`)ej|%5`OlYa%I53rh=y93o7U>a~`bzfudZ7HO&| zj8y3LkUpr&YpZgl(i9YVT6@qV&*~=D-{x!n?(@XLQsMdp=S2M7x+P|A1B$0|qd@-7 zCZiAPgymx(9x$qAuAZ7}Zt6lq;TpXv-XCss4wTJYR@c44-f;Ofk>D>)eYoUN-3LFm zV<62K8$=KvNE#}QNwm{LwviXtDpz7uEe_MgdLFuE-5GN%eZr|>FCEmNjVYc%yxpgM zCvwI1>P@9hx^|sSe2SQ}cRcm)>z+QQ#)nU-uJ3!@{m*MJmZwjc@@qYDpKrnQ?{^Hv z4x7LEnZ@r6x?c}l?_a}<&vYd{ehiPFQRTH-_t$!|Ixmiv!CX7N`EOs~OV4y=Uw%)4 zmJhBagKasKuX@`D+PFz?5sPHi2D+<2QQ9NhHFN9rMW5s^Oc^_iaU;GA%^3FUw3ufb z^%L`9@|qF6LASh%Mz-7tmVh4Dm3td?V&Z4wPu4P=n4x82X5HvmyHVXf%@M~MxT>R7 z2HIGKe$AD-&Z_HBB33IJX89wCY`^4CC-F`1%Q`khTEdJ1pEWS9U2m zcKUa`z5u=)x;>}Y@~#oR0kzv#@gt*r(SxXb+lAHoFVIWRgO(ee2OYP_omiEx-rf7* z^-6P`T=7}$Y@VNCRCK4$HtZ^wRhPix&q*B)Fi|=kJtM={0~dy0A`oxl&nk5&pKNK6 zxjwkq0411@1eC7;Vs> z{#^!XnAelOky&B%3vK5GALHxvYTbY1++ZHlw3SiEPOc_0rmu6+<5lXaO#|)ZKY@cYkw^u%3)xeK_ z3j0?~=#Y{oEngq}od1m9-aEYpo?o{XRX(c8#^ssKEIaY-&5e@_ji~n5g|y%)9u##8 zaA6aXp7<%bYbx-YI{ie|{VK>AbAc;fRsh+*MuP%Ik)_yF{5fv9XVBAPvq}f0Wd79@ z3nsUDvQWB$Qn3vhvkin(8L0gsqCg59R;#~5<&u#yN!x4SeSAYmbDjimj84B#&kYS# z=FKS~?E{U|B&jt4P!3RJDkhGP72t%E5QNMPif<8w9)R@T)}MF31NoHlKSbP80$9P$ znA)=jsQ@YgGeVF;nxH=T!3p@n!Q5q}bR1;(Nn^YYdBU#YTqKUY?!Cel!y=MyY38{AQlkCpYa3n!pYzRt@y|S@a}Iv<}(Gwm*Qfb|?bNHRd*f*120u-IFZw7oD(62qO zA9;MquEfkM7aCjgno!2PKEVz`<*o0L)a>{!@uNU&=&u@AA{K}Bgga?p2KWfCJvRtR zqpt6~a+Oa$$*j}|TRjNBmtS>d@W|AiUcRzl6R(eg)4Y4-rtdy$KCWOE-@hFAbOuqLBlKB@E{ri=_R{RZh#Oz#R_;5ej`G$FR62U#}s zNh861mVv;&W6ZldDwcVcnc}{ZlBT+kx%LmpeVA^|urBH9H^?qKk__@o0K6CXzb@>e zTKp~c4l5o+xuD;`%r1)^ownH^rE1)1oig1(9mPn8f;E1%cf&xF^R->0rEE@i_b|?+ zP8j~F)_kc}29*kx8KT_}Sre(ega%Oxs0jUKZ$nQfkCtpjYqJ`0OB2(?+UAEFv}q!h~n4)N#^7V{_s zT}2f&gl#-M4D1mgdoLpuyD={3`=LBTLWL8O&++D&EUcG%Zb)((G}R|=DXgrj{pd{G z>|5OaVTtAdcUP=(RD^QZjJ5d~=m^X$51p za4;vPtQZk?P$LALfP{Zaa4;$gDI(mDkX(O7|He~42$m-NgA!3dPGP(`T%JI~ zXPTHh4o*Mx5^E7<5k*lrYrxcRGOo|KpD|>!OTSSJpZ9Gq{|r&JDFo;S0ce8&Ux9#`KtOIFz%~#7(FQ1O1&Fl*PFetA zEdcVTWg@2glNLt5D__74!sv-{Re)MSfK)+$Kuy0zO+Z$D|5;UlUqOF;O+Zk=ui+qw zKW1^k;~4Z2NUX=DNZ|UzO$I=W-4-rD&vD9eU$FT|CE#Cl`ZGFE|0A2i^q*|Xe-J|q zZ2v(F{R?dQe?bg!{I!PcY@G!K?cB9!SXemzZ(@jniG%(xNyEVQ--w}qQ7!)~F(mk3 zh#?7Q18WPT{{|t;6uMZ$>;$-A# zVef3`$jb|7Vry(?XbH#2&itP{`QNFb|FPHqpTMENjL!cDaOmGo&%dRef0qCDeEv~* z{=NP$wdZda|9C$CYWutX4=(iY=l{I!-?Gm?ZU6Q0FWu*_1@!ObKkxhhZ2R9eAC~_- zuK#<@hl!o#zZyF_Nm^Dx^q8U7?^KR!I;b*+MliqVIRUzteI1`bGQ3;{F@)zK-@XiX z_Vfi|5S%wkFl^18^D=c*fVXw%Og(kXl|y#BCiQ1r|U zia$@Z@Fa~fCP`&Pn-J{H(!XWc5XkJzfif-9Uf6BNUth-9^wO@lJaTe83%NBAPaa@v zp!hXTO8wEj;Hsy7T2mn-aXILMIr78GYa}Kka>^EX4h5)L-8Fa5A18-hOv%pfanfyl z4F0A~DwRsKUBN#a+BPjLef<@%l>=N&JsR`wrulSyxv)aA6HN89qqJiTz}b&~(_N8lgw>7Q>3H#gn?{yZ|W{nyi& zh5!Ej{QAA)R{Q<^tp_?t!4D1(RImWO{y%o`4^;Ml?tqDvh5kQqCT4mT_W$NUMR!5y zqb@!1`CjMnHk!1gC2viWWsznfiVH%6F(wCR&Ith#7oZ>#LW(2(qQC=Is3TlOqzXXP zHUvgPgE_C%n{4cQjymFrd1Jowp0M>jnXv_V+5QVMnKUxFOI;irieWeRnL~ z_dGu8hR5Gh3nuMo(YqaI)A4bDp3H-L;yD({HZ@c;<9 zuR2H@&4_-RMl!>F$VRbvpX_V9p`9rZetEG)|cX~}cHW?a^Q&2;<_lc%6iqqNiYjj_#<4bfG zx{T#j_Jb#GCO@YP94}zSq1X_8TA*?s-n~2cE1;z)Y);o3dCPw}Uo8I<*EfJ#AXsZ3Ya6e-mh@sx+H<4U)VJ=zNyQiwd7WpJY{KU<;K9!Jk0W6NIJ%}I1t zH{X}g$Bs^1Uy@l75i_PrA=(Y|$zRF{^nv3IOK#*|JXqQ=wE>r_cXG`I`HBM$EnnfL zLNrMMv;%4j1eq&5HYqG4=ho@gj}Vq~D4)CS0(p@j*AIK_9OMmAs}90!&zc)VZYbu3 z{woEtINJJ0T)ZCxE=s5x!#(})3GXTIiH{__{u;yH-IN;pmD3t(I#LUL9K$;tFRRTXGoq%*#ZjAnDse=xzf|xQ-}vT>j7|gAllLU z8y9ypUqI`=*=^^`b8+qz2|@ylbbJP2#Wz^wlHL{93-tY+Ju+>?Z6t1V=Zw)M=hUCGu_s5|ed z*JzW1?ju5X?_<;}S6c!%wr7rKjyU%eg1^^&7&mUW3SXcBNFy-! z!C4_%!7&4rND%_Jl+GYk2khKuXnu#-4?o^OeUW?u9EwDyd|uo( z&6{G9lT5W`vZvzQNp&M|rw-asTnd$fNv{te-!XZkb0MVGCD0R8s<7k?2+WM!Io`ce z3ICizzNYGiwKm4}FR6m?QjY}Xvk=gTA|P^kMsb65&jss>BHSRafqwSjgp5HhBE%K@ z2=xf*1-Yo(gKI*%A`c=sO^ye-!`-7XyyH3NYV5_=0>8ZIQb0`96;9Wq5FRkJ~5e+FvYEoIi0rpjm}Bb1;d!b zI^pXCT?1Y8@)MbpXavGD((U#ZCB2Zj@-_lpIieZdlGTNj%EK@Ps>~reCvrsS4vA&( z9YAu9)C{Z~_~@k6dp@A}1^a|@%%C-v>)4Ha2NDclyEG^G6(-iOWsKjxzW6SKx_Y3f zUo*zX2j&LP?jVFafJMwrfOvJ`JuCsQk_Duw0XxPBtn3r?8L|c>M>=3G#ltp*!=it5 z;Smzz@XU+Qym~Ie_>JL%dz%|&t;$@A1UC^Tkz1ez0a6i8^;9(%YRl^K?eadhJ=znp zb@wjiRZZ!Mcb|Wi{wi_ju}%B^4>DA-X3R{{KmyGTu@$9YYf@Lyzk_ROZYtD|M0|JZ zYEO2V?lBx2YRY$6(eajT>KEjj`F+mB!tS(fH?xRrX31k_xTF_5aqsw@3_Fn~-d#Xu!9cqtxRu?_Mn+go$r*(I?%$GFPWVCJ~2sqxT$ zcM~JqS%&Ukd9qqXIcZqV^?s6rZQ)FOiL_p6rHkJ=+^`jc4A4x!ERy<&sO+H5y; z4H1jSV*TmQoyuqhkXwsgE%aMu3!8Nw)*nqis#CR~4Y?$-@uH11RaWO`^QKB0F-fPT znerP%7<<1t)xc0(g#GG+I4k#yAq$sMm2lHm*$OTJdaQMNK!qQmw=!pn3Ft9wW1ej2cLcwF2UJq4D7C{w`R8En$d` zu|i`i{_!|Hzwf~WDR=u7cfFM2ek8j>7dXGb^JV7&r%n5}5i#KvRN)2-_R$FT;WZHU zjP(S|W7ce0aS3wRZ*6J@-}zfTTnU#UyuA0EApZ1!rwz8k01l!EwMr==QU;tnhFxRH zLtKX;U*Awff{jW?+6<^=&P)rdB-^FW7M&p;|I_P4O_w^|Kv(S-R8GIVk_4}l;fYkYm0lr&dwmb3;+6)1Rc+XDL#%6aV>zgb zv$C`L@ zX@>!*ZtR$Ka6BvrB9`xA+TMRu?-FycxW z6g6Uxj~dFt%HG4I!x^L<+ya4ut_)*IlJfdx>_LP$voK`)bxH*oOy|wBQ`>HiAIBM> zw}%R`+h~<(f8e;a-VV;x?8@$8#a(!<@G&zPuLEL)u+3z-7VIxx=H=`%I&2D;^d)hY zT8SFORm@pqfrCt|i~bkXOX z6e2MgRIM3G3#8FNXafCBk(RWcQLO}BamHDUIj^#f(F;sgvzXhVNJa50#X+QgHvvm9zb0x_3s-sf#`eT-5 zcBp-?Tg+~5gm$`gnEFrJFLtrJ$d>9{t_@BnaXNZP-R#8@Fl?3_MKew^)G}MsuUWT@ zyreBucjf^s&x($U~J@w{bmhEW5%EsgDFXsh#aoKwI2 zOV$stwDjWciiacU>fFjYe|=eG4w!BiIVK4*be5#$5Tj(dE2O}ZAp2iy>R12` zC#eetfn6zje#1}UAy;g+t7uK`OG-ga>kC@XrqvFoT%`E*Daq&<^+v$QFk{nC(2bbI zdvUdfcIzQmmfQCLZe6>Y76#9t*Vjb&?CyRGf#^JD>ijMIhpKG?}CkzA?q z4Y;f9XJY&WiH*5DxE+bXN!Y&EtCy`yMr~3`noJjc|40Vqz9?a3#DdM2-ZmgyDQ2!< zlc-$s5{_-<`qN!p&=$KG(Z%tbS)o6}M;>$?6howHsT2~w4n+B6)Q7%*Co9{RFxj$3 zh-^t%y6Cs07&+{Zf^R%aGoQHcico+(+XL-7h3z~H@89@UD`SpdKWrLzKMH^ zK2<<*W$B;dlaq9U1^%OPU@GcA=?CDj3fk4Uan#$s^moHAvUW4d2saiJ4YzzFY-dOx z3;+pIWnI&yxMn9^Vd7RtY7Ez zA#~SUetN!x>wAr**Bs1WUv3t3g3e>9{rBy2ZtHE*1$q2|Budm|Duy%}hUsFeQI*tk z>NDZ98r$eQb?KO;QFYux+BH80T&v1Wq!=)vQei{$6`CvSj4~o)M3;^azyVd-jfjD- zb^RvHL|DX2Ws(XXmrz1E&{Wgv216ANRSuyJ5eY23V#~l+$eXSMDq%%!GL1(?(9G!5 z{_!=-G1{VPG=2KPBUX<~e;6PQfu0TjAX)zG$fAf-P9~09zp6O}k^>Gb>H*QTH7Bb! zi#pqE50;{BLW3TLs)v@IOC1slzH?w-fI<;~Y*>|jo+2}dc8|pvsFDsRfm~@!jNFq0sg>-VgVSrv z^@$^`DoKx8MJo(eVWmuR#MMwL@+Gi{^oIa%S(~t2>ZW>#lRztgR>evFW!D@HPx_Pz zfY7hyfrYW6cc7@2Gt9}%Q}0YHm2~yA)NJW-89*ru*M4P7dAoQU%RI+F$#LFrmUs_{ zSV9R9TaBg$%%~xBsSdK5zWZF?V-;v(2e0gdJfYecUAq=3V=> z=CQ_2=Mok}6j4f4Vp#%ej45*8tyy`02d^(cZAl)SqAmKUuudvP$1wpn6PTwcRp>-` zu~C0YkALc=9XqP(6?n=M>*-KzIr|rpR#8uBt@CR?tsJkT66D!Jp z_q!~YUaJZ2yOZ69R$DDU&$qPL2hzb3R=Vv@^D&tyNo?vMfJS!GL(~J*CP7#A^HSOHD#I zEYApqM(L3UX&qN9j-g7Wt(y};j_J)vQ$DOc9gy(Ce#NFD7kz%OvX3Z&q(FAe3#)(vzqot`0tWs8VlmkX-)<< zGr$UZC%x5OtjVu;qt3CCoD316>(Fth30I1;P^E`-czK=R63N9{a9LY!P;TRLjB)pdE!z9KlvT-TII3;0 z+S#?K8lsx&VWV)eQ)l&D{bpMr-g9RK9fJ|B^$6??NKV<|!f{H-z*tkfh3=b-CvaRm zM5P_)*^4|GTP|q$Rh}cBrtYck>IkRuQjyB!inFPfH_v-FUYll{&$vmmD)zzmZR{8) zDN=fpg@SOW!wu)Lve;A1{gNwW{Ls)jc)Q~hlPK^}oQ#$qTKNSR5UahmpO49^=TEvI z?3vM*0eTD7KMpvYeE$SQKUTMDiNO;zwleHWTbX|z);C^XXRmk}D?L}h3xY%2 z_w2B&y(aTZzzk$(&qL<7jjEyQ$b^(Yp&ZI5hxJ)G06BK1FPSn<-~F`;U-PHlecP!1 z70TP7B#4}$NY+H`1^t0e>6pQ2RLCMg2y@?ej+wj# z2vyIn6Bfo-Z{YA+T(2St3MlT6Ri{h|MDJD)8b-O9%ll0kXbb|F6UD z^hi2CcL(>&)s;37KV5W080@wdy^5QJ7iLC8y3f|_70gpT+H7fWDW=x8RQ^dd zHbg}YClh-VZnWq4Ev@HSgBpmB{RkD zJbYVXK5HeXH86IW6l}LN)xS zNg|tW@_5R*J<+i)bNY-7a#kjCsfu2sBwnt*qj-8I8Q=!wIU5j|(c!OsT(@Q{XlPn; z=;$H^65Yfib$9gg%Bw#mB;={i!AzKd{b;DM#tv=uL=wR*JV?F+d<+Ii%(#+llG_J<(m5e>#dhqFmZPoF_rRd&>Djiq0 zyxk?5l{n~Jedp!f9oU`>YRMd(2Zs0tnYaNr=p{rj*_xY>kWBJwscJXWUx{JVUjCRBHg4T7x+a8u*i>7x~Ak6V^DlY-%moQ8j$WX8p(Y3M3Wv)d#gLA=A|L4RiKWdE@ z>{fQk3Elp>u|1nn`{Sk;F0mm&+AQ9FtbOE#~panS3`S z4Fm%OflNahK^S$NC@Zsvzu{%E%v4fj6mci5qy$+JNTM78jR+bgODOBL!MZvCO21q_ zNxf@GB0)X_vW)Pl7f*zLgemjs+gksF{+y?aYauz$EzUX887l%0j(`pdEr1ivFKnNV zd&H%Wej8{!JploaCuRXz1A+>C8Os^We^16bGt7cnIHpuO@VBwt1t}Qbpp24q*H*~A z1OJT6;>5ji4Igd%Bwo=iqDOL&Th=r{q+SY;yq^TtDA591Pv2ux!PHfqX_lUrj*z9{ zgld)xUFJ)Bh>$a)LBYVgRzME9ffC`j3xT%y9n?|tyPTg9CEf-6y)__dn6vol5DM$D zXB45!;j~kwc3Q`U@oUEDmbV>7tU9Ohb(tdwgC(}@d8pLS8w-KgI}{cO;b9>0F=>VG zc5=G)dFR$lK9QDzHw4T@roIqn{l*4)rd3V>GEg0o2~d<^Pc36sk1mT8K98APpMyW| zqzO&;LSs6IRWwg01sSak+?)XXNf9*>Z#=EdDm2pY^JEh3PCX;VigXQqggMGE$x5qc ztWci+qldSjaz)v%M2v^C`GW@DS(#)(PK|V?+zK#=s4P1=2o^MVFV7rOb5E8FkH0%1 zE=5xE2Jv{Nm+@$EfswE~bXY#xDjr3J#4O^fd@)!OJPrq6AtTFDS&&;q|rseQ>3FWd!iI$@Wlvy}diLYS+ zlwqoN#kNt5CDL!Iu=u}O>CSVt$<&LrrKX36{8_u_-*~>4_pj5cR&%DU8)xubEo+w= zyxRv%n8>K4qiMFF#M3eRJ658d8V$>9D#l7SL+Ha%%tdrEGPU=WW2JB5aaXs5g~y8q zkCE0^U{fo#ZRn)mhc^$0^lL{99!X3U`^fT^I6PZ8Tfs>gMgWwz@NJ z!sY|SjvRCsZ?zI}R2xEk7SowtWxh`~v9~mhcAeABosaMv@prdk7eOn{S85O#DttZk zMG0_tRuwi~t}~ZeX^%FMGDLLCrf4a%oDb&IqO{QuXcmpJJg8{`gS~%8dWiGsXej)B z=NW(cG+#C!Tf~Z(*7O$T)h1T6#yQ(-Slc-bDGa1$aj>jEcC+Hw{YZ%gmv*TgsNCAP z0e*1ue{K^BRpbVlRl{iWVDPU-A1H1QA5IKbP+|E+dR9DXrbumIocN)8m;+4mLlQ)j ztT2Ki>KD9(mP@coxfCvOK{S1cN-|+D%c*72i&-mE7w5QeK$@nM7#m;lBqo@_7W4eV zG>Xdy(2sI6dpXVQ)4?}sMvv7TF6Z5WQSZlhvQ3|_x52o@TnlZN&3z5lx35MV?4N%< zFl4(!-8QG=)3_ZDB)MgsWBWc1pF{F?oN}PvF_ed>#hqaSCStQpge^pHOdYI zji&f!w)AvN1T3O4APq(#0jESd3_w^+y8u*DE*1p4AKtm(h+yg}u|zDGse(XuKsBht zX3eM(;6v^pvvg6}OTMOU}z`zb~zjNzVO1F)hvHa#aw}k;^Hx zBpB-x$0|u6(#UcZPh@epH%^|sUnWXl{XFiJaeNopIkXmqPA|sQ?vEdHU!u!+0!QeI3+h)(%t8JZ=izUy$gdx0-0b9ZGTDBT zrWF}$PL3cR0^c{CG9uALlS;t6i@@?dBEk>xP0KuJb*SQ73P*VRIR%kG&ZoTh@TB2` zeRBGBU}(5n#l&G-HNr{fdWxh#f<(4>QG+b#pd~S2lzg*gRF)6TpJu@if?z9Eoc?eF zp=|Juk{UvcA-%mI=KAKh9L%?=WpheLA{%iJm8qeospkgU#B5n36YE)yH zHr@52H}o;DWxpsdZU^uCcHhk}&acy959aXwPu!H3)qF7zadQ@aal+hBeWzCcE|Alk zMwa8uMNvA?s#lxpb>VV&JZB~|&ql?`bVH{M6)BuV-V|z8^wnbz97SwJv(jlvJ*j2J zjd52~y@?|4WQW%e3Yy`+;%nadk;byw!v+UmK0YTJKj3>fe;tr&(qC%@eP=v|W@~4^ z!K5ZxCG;sctE4*DHt}nc8tF&jn;<8cnBI6o(ItM*fRn#0uz||?rP!B)*Ze8$$`$zi zH5~5q*V|@C>oLvT{t25MbUaVL%qRlSin2CSN1gXo%@%^Y-s?r+$;oED$wDu>Ee)Tb zNj<8w8Tc3x#8y$pU1R+g0w<9IA-ezx4HTTGmXkoykV?FcM1{6>=%oV=Rv1V;kcYyZA}jD_wK+2-`?YlmmQbaZE3|(UY?epSBBq5#e8u2(ENZ5 z`XGlsusnqXw3;90jq%8o7-*Bkb`$PM6v>?$3Y$oG@dh&WSWt+=NM)gjgQzd)Lzp#6 z*$$A==#{i$Jfk;5#m+=Cqm#a0^)zG{`_pzDMCKwh&p}ype@{k8LNi>wSo(duN7JZd zBF|Vy>*IOw45R@ zyS3Pa`TVXksu3;(Q^RcMYPmz&umJr@ECzVJuy<}JjGnI!@_{uQ7MCeAj_1<5wZ6V3Yu*B?c z`8^mS#9Vf-R=c|M+_+Y9S_^W^?I3cvk}NT0{1N5>bu)bd71X57Wdd#wB%KEStAUkZc8SM(fh(T!40j*$?>0SoViAIJm1k8|{88 zjt<(fwI1tu?EVMJHZ)nJSkuE~ZJn^gUgH<^npT&m zPVBBcV~6C6xY~lm6V*>yFb#>sL2ZdWkB^yPD7Xtg&$A?ziwi-Y1HbR{2fkQb?e3eB zcU3yvR*#z+;L3_;83Kn57cD(~L66Rnon`0Q&UXwR6?I1Q50~1J9b3POHr5~q++1;G_lnbN0-4vRs zZK)O9SUYlAMsioa7t&1ND;9S>hbr$1Be*u#1knd zVMoUF88gsA{An|%Oy@~%(^;Yd`{;uqURbjdsAKky)}X6BlBA95JXn#M3FPTXB6pR6f{N&uT{6QjPLzKHmMr&_8-hxN7ffAWGsJTa0)V62f%5yqZ=|uv43jp$7ro0P@2qAs4PoDM=Y#Djl6& zJ_YXR??|Vcyq?vXtJwTf06u6!BK{`ca!{vmi<;cEW*3$S^Y2@JBCn6W0II?+Pt6td zSDWl-g7(d!A#m*D>b{U#lE!vS9x%>2Y-fH^i01ia>k+%MM3zDJK~{sxrtKRFULw9I zH2l7ians3P;eoORV{83vgGLd>h{RlYhiGRM^mIaMD})MYQ2HrCjfmZ*k6u&M{hfp} z7mDll&AcZ5vd!UVyg$~G9$1H|Lc29iwzB6~Jemc<_=2oMegHFTAQTegxmKp3i|lRvKTiI z1iSV09(&>xROQq_I+C?!L!8~;E2NHlw2nw2*|;JK*xnF^V@)J~Am(sZ& z){gn+rhr})caq9L0cc*-K{;yd^3ogLiHqt1nr1}&+6;^4M>~<2g+ET!)~jBmxaFd! zq?+@w?zU2-xdi;9wZsFk44Ts2FqEy5Pq3=~ndtzeG#V}UE0IEP z6hhzf%GXPpg7(HQoSWW*Nuzu5-QgDjcVo^$i7qTpay-4F_CZ>1keG+Xg7RF^#Z!$K zRj-yp8+?v=gxQX|omQ;Hob_mA+C=l!Q-9G&(N;xefC1Z(J)oPqkla0bk$iB}GLDUO zI48SAnxt{uTp7(iQ56zusmWPL($llUxK1ju{}(6jnJh*yO3ef6_>r2Da)g8%XEZ=? zVT*RYUe!tTwgaVN2W>b=a}yYw9Nv-zpeW86yT8+#?|*1F2m{pQyOVjB-89?!&b(Zf z>NaBd#}WdJo8bmgkAD&KzJm+d4aQGZ&5?Bqt>~~$622v8!_h?O`!`Ne77q&WgklFT z*RMBHzD+94v^+m0URoNO98MO2P~;K8dzwXk=T3=5bP~MLCW~4#dXRpr$lEBpCp0Xp z>jZ(1x!3gjXCH7^{aW_P=3yccJ#*d3V7+4oBU+x8>#c!y1T}_FKVZkk%dyyVDPnVD z=Bf*-)Mb%1c|@IsV-Jfj(j3~=zSSzU*gvVGG^I4&bW*;mBFpw-)ke8NdMJIc5B#Aq z?3b!!EJ=Gltb&11sPm(9j6S@&`4XP3vsL20Rw6$|%U{dU%8itIrH&MpD3h4ysOw}3 z`-~bz9O{o>Z3GNW4U=uI>{f3W{l|m_#ECA?X234M#empoq?8;aihX}xok~Ep>H+2_ zLlaNqjmtGi97XU7BLd`EwCbRqCRKiQ_5BVU8gAwq-F$p&OJUpLth=?>oiXfkIF1&& zXPrua6qlewbU#_`&--v#;e($Z(`&ed^JX-<_|s}|9g}s_@0y zd-H@#QPio^pCA4w=54wFLAHe-+r(&P8`jb-RFi5)obh*=QBE4!u40%b1}X;1NlW|A zZ#Jr()21#SGM+!D7Le&xfCSWJ)x`wbdL)@vac7`AW6(Z;i$ch(NvM<(j>c7gE)*WN zq|(P6b~626yuEXfCPBBa+cu_cOxw0?+qN}r+wN(5+O}=mwr!uD4}0(L-g6`FA16*k zRb*ym<;qpcsCwUAzen!{XGEQ3JF_IKNw+fUME@jbHz;U>S#@L{eFEEsRO;ayb{O5} zV!D)|=;$muGL2O)KBd*X*fu>zeae2W;!$?H$YskT*T zYAa(692T;#aa$m!^z*+H29B_Wde6I7QfOhHRbHs z5pa4&6sUyBC~<;5#)X&2j;`9hvCyu^M3#EWp+0lw($jVH?}hS=?ruhszm=vLPQIAq z;2vkWod&=eA-D)LV;8wC;}u%RIQ*{g9QEc6@p$U*-b?T-M`OA=ZN4G~^9zL+_VCo% zUp79<>OyKO#{Q+n#F^>?v%+&h8Q-QCYI7Vzf}OzDV*YFIF>Sqkq-GZ49qDi-A4S#b6~_P2=f4?7lj}_<4&t;qbvr+nba1Y zUbH^GF?o|`bO>sc1}7i7LT2Bti>ziur}Dg=Kefk8h=dyn?eruH%moG4iaURX(bn7B z>2-Pryd5hCSz&aD(Yvj4ndZL!=0rs~j6L!E*xzP&>3OC4z7a+!>W#*WvW47*)oMi{ zu+CR)Kq(=te@|-d8d^w??Iizu=Gc|{;elxpRYnpv1e+yj?7U#Px5V6hWP8;HI@dN8MqqsZlBs@{9-nn?gOXa5#Go!)TApe~EN2{ztnQdi8SLm$zJ*zX)8`Q3R2MHtgRHzZRlV#$%NBq`= zo`#yEznLdiCn|zxg^3XKjR3D?JAo#om$b=GzzG7&2j9*N4c^;*k222fsS9P!(;Yn0 z(-o_aYG6arM7J{q?7SLS(VGtH@8Ggz4Q~&4s-DR13euEmkM1`0@mY^;CaEhw$#vka z=_$G-uG{c+<)>@Vb$)g=xb=RY7VyZo86W4l0&kek`GIy}mVVR5Z78ix#BA`7H?5^) zPg_1nl$UNr*E(^vpJmoftAKof5ZX?05@_xW=wiaHS)>6t?a~szRuvRh=x}$k3=C&) z(Ffy96WiIjqO=($Bkq4m1a~g_s-adK(ev`?jhrN;)adNfVpa)~O}w2Zs1wFfBIKCD zCrmT#E#Rgz)6h|c! z2aJ?!favt}OZxyq4D%AUmW$w1V1G#Ce~|h`f(=YUMTQz@DVmiuX*axGM0(*&{WOLb z`vs4)>bC5tUncY6geH$$MhosCCIvb)LcSwzO>W;RuoA>2R7H~pfNVCOrmcneTKJTU zz|x*vGu2h`L~RL1qrTvCUObyL2F+3}yQQ;Q_G|gPE!@F&ivD4Z!|i-gJD=q0^1^Q{ z7;u8C+m8CUp2dc7#fGT87HF%tGA}- zT_4%k73Xe}3(1U&cEzDwh=2lkUd++h)$w(hEnDWr07!p%48*8(7t-^bhCL?$8|`Ef z@8-bDjveUd&rqTPYSr=c(^P1>?Z;#a3dBbXkN!@A$gDLeeN_8X_q z>2G_cc+D4Au8-hPU!In$>RXgg*x^2R$04Ru@5T@q4n&XpDZ$COgAftV&u!AQ>lbX- z+jBP|yf4qTtb2foJ5u0PjskcexA~m)^2z)Fe%?PoD?p{Fc#Z$vzvh+A;+l_(=D%|pI$0ukkZo& zUnwW7%rIMcG4|FpTe-GZT)1D)d%sR93C$PvHjCA}aCz(pkAOCEWxpzPw%+Pk2B31| z;orod5_;>n&0*Cj0?8y^QP`vIg=B#FD6|p%^dh*V?I2)GnT8Sj$gm|7wB2O{_w$;C z7%`Kz>46WKX!w)Cfjk&ct}hG6D;ex1e=)>=N|y@coIs}i5hHO#-n7n10tD+I*yf%P zx>>b`MH6@8_bJ*3DVJxsDylQ(xY{SeYHT%M6`!)5)<=)Th&^oalA>rFD%{574VR75 zO#!aA{^7>{xL@!#oP3kLo2q1L!Wa2m&EtG5$ZG~4F4L3{irWP4nFcIQWhnZCQT>OQ zkZ?RfF?lV&+=fV9POFs4<}SkudfV7O5nS?!Ahu0@GMmD_(qI-r5xsL0eP&a06whWn z!5vTyCs1id{H`dXm@Y%>hIjJFr9-O1I!%K7@7Q9FQ227x691{*uMr~#OylLuUFS9} zF_kY7nOD`ULAO@mE{+B$dx=Omi=O!3x4O)=Nk+e3n-`OOTB{sZ{6CJg z5Q2-!L#95=*AN@}Tz{?3K66-vk>iYGJ~Nlwp1pfNnj}_=Gs)hvv@b-3oas-KtsEfI z{}FGw{Ivk7rdyH-xOM5+Qj)B?TXbhN<%cqo2kE0~>1Hx5OAmlN~94uWvQ>0e}I4KKZ_?9+p2_K;rRkFMI zq)7s-uPQzV^31PZ=*FZ1Eq)tlWPRdbSp)H0KhmfgGI`to?1a(X%uC~GowuWt8N)_5 zvv=m``n^s^_xlxdn%!dnazxwbEDOF+b}yHZ0a>ffaVnX##OZ1CciEsJqStcit1<9J z@svzA<}bqFEEU=4mD7QN*ZEBL=Ia3;W>`p+KldDNB%hOGH=L%Z>4eq*7qQPD+z5|ZBAJCYSi~B#rYRSt7IyO!qIUk1i%K>B7Mf81&Z=Wkgnn;Jr$#Y zg-2msqv|ab z&9rTGWhIMDBSIS&qh`nVM}Lq%f^GNPEKhj$I~#SU5PHYnmhDAOzLDmhrY@2(-pVi9_7yl~M9*=K!H3F=p;wk*_)Je51r zmm7RDF1Q3A0WsitG_CX-dd!O?<|dkMZNODifXvVkeC?UK&X;kuf%Kv4I20lfWrTrp z!b=18t;90nBu52OZk8^|$@y`xMKcgzE$HU?t7O5r+p9?>H)h)v*|4j;Cs!Rfmx}H^ zpQVp~$H|F&Br|zY6E|s5(}YctW)_HDYda0{@!C=>!Z1TRFqjpWNqD?)+QOqFUJg^y zdD3`PN5If{D%+hKRlZa8EjB^DyX=$5&}-w4%sdvnH91?oNOsyx<)FbmYu@~1^yF*w z81K5^oQUxTFLpuEsMJXDkjT-shNRgqef``VRgUz~STZW7_&oA4Aea^`*N#l`$;_vFaqJ0bqJ6Z52IT z^Ie-h+4?@Qnm_t`sI=6S_D4n~YoN!?DCm9X0Z> zX6M7350Vt=c2YF=cptS(tLbVgT}76ai*ai?E=|nbUgUWLJMykoq*GitSM{fy{AgBP zwJA>xkti}8FObGL|EJ1U4jWlm)o&1upx(-%m;~+GP$}@1@T0^_!0} z)PZcx>V~i9x8JzS+_w8mq`$M#<+-kd&lRj^=jw3P>EDtDa9m`ynZ1XskyNR&ddo4m z8=IZFp9|A4l4(BgYgKs6M`{D~rV@7dMl7_~vKPwlZtZMM^Sv6p(laM+^nM@xT4>_9 zP$^@K&#o%-F5SvXJAL}&ZU>P2qqu_|9YTJ=CyPozW!gS#-=g%cK6~K2#MATq2S?$W zm8qroVNJPW91Giktb?Ya>=7_;=xzjckWZJUX)paTLK;b-?Q!}S8deTyaL$9!H06dr zaoDRR=6&)#S!d_kf;E~hB%1wM+9$O8YO8r=Igaz+m9+ zF+>l!q%52VW0GZbF`LCW%Bba2a!u8J$)qP9HZo?E}(89@>+W1$&2(JgOXw+pv3%0q@pl{?PGd4|9lXPeJpZxH5F;e5bZjfSA@Ff)a zHTiQ#Nryp-Zq`fjkF#*g7WbLEJ|FR0ip2C$zr0j4s_Bj0(T6RS{s%3xL~S6Y&EF{p zX(eAu5wY8kE0J|?N60}r6R5i-CTr-V3oh@gIJA;Qe}s{KSDmw#f+a9|26GHGK6sDK z6{gKZ+2StWj_9nUvA@wemf7OazxEJo<|Ra)Y;l#8uV#z5qlkcEXWe(lG@H1KjAhQw z2W>Vp-K>`yUqIg^{4n$!eBXM^;%%-V=gP{F@-Q5|-nx5i-tuF}kI)->;PDCj?q4}3%`KKKo88Qb0uUL7vW_yx$c35ghlSLc z0??V}w$bT(v~1BW>9cJDVQ*ermkC+eq=Km}jjVfQZEqJ%Ti#tRPvTRutqnger?g)0 z?_x@IIG9s3)#NJeCt9v)VH#_mDGFWHv|5n599(4lD5Km8u0lH2es(A|1~5}jJbO>K z8?}xYLzQqZe1@A+A_7WjPW-2!6Tm-rbbyl)pb0`yKZ^Gmvu&W?&(dz zF3sTWlm|RPr!U#?Xk2MD;a1MBq_s+0e2gY(esnTV4~QO~rh6_tk7wXzV^$2=>R&Gz zd4*4@?wNXbedX|;@?KWmPW8P3`b<#hG)#0Aj2t~x-Px9GIP$S?|G@D6i1rk_*LiK9y_w%w{e`nz(>ALu->#ke`PwGyd3M$LRu*z^>U{N_ zAZhzKP{8||hWmE+{cH`vZ~QWx^P1y*3&A7LoXQ%1pD5a>6x@27%n$$Ssq#AOo_2wH zUIMXM%2?&|Vf3;+qRu>TpIBlCHME z+oB~+;h6bU4ZGmtnOT_v*1xA7d$#TWG@$tTxS{hFP~1^agng;3B52Zz?6LuCyJ^;X zm>4X}({eu+eiiM~f~#D3^MqBJRk;eBERbHzefTQMQpn<3wq3hX(W~5Y>K(H{OXs|W z6FHO2TG!9V3LZ-PuDY<69Nimq0%+WrKwkB6&l=g%q`Xt*YIjJYp*Ddw$@{T;lhow7 zyRl7NQ{?FLf!TPq&g=15m+k4D_gIuQg%54%o7HT1!ER?B`)eud<(xEo(Bejwj0+`= zwA4>*1A4?G7{`T?<#@8raJmb6)gxyDIJ)Hy8lEp5TnkD<%q?I8>LQBH8|v*cyAIS0 z&;OC;JII!&FIpK#-L>fyB0bo_bOGz!CT9co&IYKp{&vtG&8*e$Dx{kgq}f)W1zrO6 zul&F>Q z@)f`_*gH-?e;6-Znfl>}ih8DdN1!%U;bnYvdPT^da)mEo3;6t)Ke+E=XFyA~u^&A%Q_(pj7X)dOJRV z&|lDM&$`{cUqFx5GlS%i|0;LBGDm$$*j4mBYJ^-+0(2F=7(%vTz&Arw_C-QjB~_Pem z@3DmZa)c!OR0Xl+!Q>Nvf`etd>)UsAVsOK`q% z5ewL+4$cS3G6`b_o&w4lFaTv0ZVWjG+kxG!cIL|(mWLwZPX$XmY2eEVcn3`-%MGjn zTrA6!*c!3}8R;(6M+ym==5HKQNc$!|f7V<+c9_NJelu@K}yBzv8 zpUz(`lS|$_f7?)8l3kp<*DU^gU=#g1E3k#V7uk9v>&b~6}YYbjv1QUoT?N(D~`=aBk$Zn9# z={cd1UL}!T8MPT5Kl3s{R$-n2?>u013L}3(yAAuZr5erPM@0gIeIylS;1H;@W73O1 zvCSW3@I^S+b)1_F-;Z^Ky~u%R*X|?5fgAp@^}BTsbcjhnD!1xX+=UUJKwL4f{%7A{xKpOR&ZtK`hhiFi5|fzwoJ%*O4wPN6JZIfF zr6wNMPob;bgyu=+_bYiyLZCNaCEj{|;5wi9qye;0Kgo!!_^SNx+m%1{^M5)>q}#$f z-l!kBC&iKGLGC#z7O!X5gWz-*nxGsT@ZBCy zM`kJ+gkKE(k{Rj~PF3rb_Oo>2lnq4dji}2J=`JVKTLkkPotdn8#KMlc7aWu_j4&`# z8C*PqQf9~4IT)oh`am%>xt7koj3QMW)5_~Rv{DxU!y{$vBo2EHI6roiriDmxS6kpK z7uL-FBpFFfd)vq<{p!vQkpT$eULIAnVka1o_cfyJLePqVoR^>dnrtWs&h)c+-q`~esWKOtCUE3X7D?)KN@?#H>ykBImXr`nBp)94PL zKM;x_TcOMfJfQ5E7Urpp(v8uo(bRp8<+~E#c^!Q_`N)|JC*fX)4p>#aG0wUPhb!}v zS2ljaZRr(;b_obM5}(~A7GUhssKl|kWs<%oPXN4mo*C<7M%CgT z;{?qyh@?PlU$-)Y5sK!Z0a-!Nt@#nq3^ghKiJoPjhjt1%iA3Tukb@WS34CnE9W06I z;CW42{V@Ssryq|1W@DchiEstj{V0g_+leh7i!LNL=KALJ-|h?r95d4 zx-iN7pvNg~XdG!Th${xejnHLOtid6>Y6*P;BY`W8nE^6mu1Z#Y07zDobeu?5W2FRp za%b3k3AYnAJsKe9D%&vT3PETpTQ5B-g08bs`fmcuWa< zDjHMZ&#^kgsp5)9_LpHXXM-eMls~~8R>Zt;hcs1ege|QEWwj+UBac0`} zZj2_Up+$U)#ywF55VqY(2I|<6L=yN6_{xsl7KbyLph5LmIfQANa5Q}ZLuDty2IT|* zG@+!#Gp!~iP!fUwh64Rt05c4s0s2UWdKf}X67c88iRK27O|mOGlEh_iNE|~Tsspgu zw4^oT;E$&=;zYZ0hsJ>H+{2c9y~sbs@D8jVX7QA+@xoC4r2+~t%d zksq|<1Qy;1{A{bLm>8~?NkcMO6ian4OX8df?qJE`qEQ`!gP<)C#zhfik)%B;_`RbU zpNB`jDZ;vC=qG)QxtAChOe}F(sdark8cuw52di&)TW`^AW9J80C$sN#Ek)5i~ zDW;!8P?m05m+ZDz_)!XAG}Z_q#3#>x)X_!j2{(WkHsm}!*sKMzpjL({Nu9N0`63{w zVXhNYMzQ-A@k5Lv^yIuVP^qeMsdslL2o+2q)t146k6@Pv{eTBG+|9+62mHa~3}(b+ z6A%;j7DvjKL&tJb#(WvT=*85lSrcfU&`10;^$xg#_hnP-uOGvZmqaTRgZGYoKj4#L zP68sRG$)bS6Y-XMO6Btn4o=|&9m#lfeT{lI&`_(?j#V82Dw{UxVnjUqEf7MO}4`=#aN}c5>C&aF1rtV_;w& zM0&A4E81-qT;7?zQM$#?v&_3}{u07Zwy@P!O{1bloD zQMjVl$HaMW%;d(Y?aSHvKZ(2v>hn1V@z?38`ly8h<+r{C#Q6chgM#~q^fFOGX&DHS zG;pqBksuF4_kLXp5pjf?IEjKI(I10#kE-P{J(v;U!d>f8A&Q3F_vdxvZa^~T^@AM3 z27{tGF;|5`#Oi(7acM0QBf~ucSFy=BBR2I0smO1Fuz}i&X5d|^MoYtE+D^$kW*?o%yF#z$ zqW;Q=hh6h4Gw;KcoU$L{^qJ9(KqJ{)7t`L>$)$~Rga2vb(wS5lwDT%Fn^8HEtWCl@ z)lNZqJ0zvx*l8h6{g3K_Snwobf)`(GLZt%{OnaAvhev^lOuK8N!Om8$mFbp=v3mzz*;e!E?WH>5h&|OyTCt zmUgQrEm7&Ks-1;dVBvFgS4<_Yt#zouSa}QbF|YR3!0ox!rp4Z|r+Z3xe_EqXlN|1W z6J;JOmA-sWSxVs*a0*uQ(vFj2wjZEfy&$UK6X7|q)cEw%cHzHgoS(puWu`gsm&mJ( zZ{~1^=}v6g2P}@ZH8_)bJpZHyr~o_o%>KzI!8ADgqOzlb*oVHkXoA) zP+YS=b+c~zJ*UBpi1uUG8Db-?n!U;zTz@GpvD$fAb+7Cs<&$;l9y;sfh~Zt7%j7sN%uBV?n1$^?`x*V_k%i$FII{ zHAcDt%aXOn8^uzYYr2p^2W3-nvfTNh#OsEp!1nNg=nU(C@e5&#qiTNB+p5sQGMQm+ zdgv8V`^?nF<}&?>s^5eo?ahQs&;=%2F_BaRfHR+mqpG_9y1f=q9^N+1{1~?rKz`%; zdM~|N+x7V-4x#aJzUKiP3$A>14M`)fRdX>~S3^tpr7yxuP!SD(w9gUuLIvJwZ#r}E zlF50Ab<(qqmWRu+(B7yJ$1i9wt~0f0_kD5)dX#c>#qQYumVt$^4^0d3AjuBqrjpOh z=nuSrpi}H)75)b0GC<}Nj`NR`W&SHpp(7QL4VAordL$=H_B9D7&81tY)``(Eu_h#} z9L*=(H7Td`rH-QaBvYI$l zFt&qD3H~_A!R!P0t;wpjxE(*_-B$;c0oxrFO89wbF=RsS#7F0oa%5%>?fI zIz49$A!VJkb20%nYqQu4gkQh+o&l{u4Qu{5aY%xYY)-=zhuCDpgC&!fJ^?@xNxRE| zf^cxJjxEAWB7R6)@d4HxtDF1Z3YW9}w{ZEt@CfYe|AUV3S2+Fun~uQ1L@Q#YZ|aEu zpL7Iz)^9oj0~0>|x27%rx4Hj>Bm9+0|9`>}g#HCb_&*LtVE^yK`%f^!|EtIUTTq0* zCtQJ6@t1+qU!=o#uYa);fAJ0s{|$MdRn?@^WM%#*px`ga;2Q<;4}ihHfd>Cb|F!Mk zb$(77r_nl{F`j@@$w*RRA>*sf$|2(3C@_U0J_t z{^A(^TKSv*pFRA$$G_YD;q`a@-!^|e`djxm{jcqRJ!NM4>)C%G9lm)T|38%anc0~B zCksG3ajbNW4<`7@EnGc|2JU9uY!`_QL_*?cgpS!NxEK!@xeC(L5 zC6QNr`NQY+$#g@4x)0vlYYNF+y*Bn-Ls!%V_#J7$h zzv!GAd}?2HDfKO##*cHnxpDWe6lY#m-QD=0@?Hrt&{#^s{lc63ReZ{%vcX=l8S%23 z`ZRUdpxOTQ)V}U;K0n`8c4NLdzC=UGS(ax9I+{x`YO3s>Xw*OnVRj$xh`AFuYsyTKOCZrfq!eZ`j47#keGUt%i;o7aE1reQUvyi7_N*19z@t1`luE7}q`(serz;+V?de|-Fr|nF9uTn6vpurfvptUp z_7e?Ua2kRR!V@kyhe!XqRPUOO?G~B9^_Hrnl5}bUQWy{D_-Jz=+Cfny=zQ(uo>4;K4LDf>+$#^_&fxs$>MghY>MYV2%u~^v>A3n z#u;3BZFwFG5)M-#v5=rl;r0*&6I1;kE1VxYgyc=)G* zdc|1ju(xWi8_sdDCOE(Ph2>wYiEl0n6Fn0> zt&G04F|D{Y{eO)<;@0}6#{V34)MO1Tj18S=|B0Sq_#V3d=L2xwZmfpAfmx~EtO*2Z zBkd3BVolWS;m^r*c?p8Z(G2dA{DX@RqGyA+xvht+XCGxcL+Si$K2^~=v!^;e$OAMTkk^|??j%kSMRGJ4v(2+yv}FAryYiq8O{tf}C`>Ud@yPK= zs_}6usz|B~tMzlA_1-;c1!*-wDJXzI;{kLdb@fjsA1862_rb2LuccQ5V-w>;KS-qjgp+k zJD-mtQvrlKUo+zJbYG>wz|i1AeE`K@mvvN_kJ+D=c{`uvUkI>YF_)ntuQ5a6BA>KT zx4M`Q+1_Az9iIl=mAu)ZaG#P$T&F9?!(AQQcX!9dKKEWu_gk1~^m|uYxJ6XJhrA!A z-Jcg1)j|TI1!(U#Z#WJ`ccmMfh*JEq(7Ra_nCVrf}z757`dQU$fUf zNB)wj0oPX!c|#8UU!?)}x|lCrOrt*L^Ix;aUo&4f`DUnVL@^{Ux^BW>ZWklzJOhec z|5)C?cs`$lqK693?CC#wIfr8^z_7 zk;j>d(ohNRGz~t)U5FZg^p(}v2z0fT)f7MgMtDw6@Tsqd7ut}A7|A!&^1&~iANrq< z3ZY*gE4YYHY3`LPSQ$O7%lf3(GMWeLX$BeLwNLc}bx6K86ze_VBs#^$dZ75>>|c1%u&mI!SJala7B*IX zzEv$YK1NSgUS`G3)ZBa@_|}}{7-gB|8CJUHn&=Q|k?9dyvg(r0t-I4CozKu06K%#& zyWY;u&UW1AJ}B_nYY`9}7Z51)E~7p%5%AklV)^HAGl6_63N;x%%Yh)5V2J)an$o#s zRrKedM^%$A%P`RQtwEkrerA9X z@MDt26=Ivw*?@s_E=;9AKX}$kE&pc91t%|q`x+YS7UeuwMDOHW|!__y^lR{pp(OFGzNjBt?r<2a4kUW z5gdK%AGUdWwhvU_qCt(`ddQR`ToK=j*Y8L82comVXG9cKCE3a5P1t?5fYjblr)&f1 z5b9^~ZBU()&a}x9wzKxJ2*{n-!S9ZoOG*IU3kE0XY{{%1tq{R#!^o1 z^pF}ijg@lPyj9!_+L@?x^3W29bCM1$8v;k|YYu3fL5lLCad8B-o=1i;*XB_6)|a1K zQP&v;ctkH|TBTdWNc~h(t?d;^n9YK+cUuO)Q23(&ujumuDPZj(UY#C8eiCwrEu&ds zCuwYzJkwM@H+>8ghhS_)oSRIwTc1l+I$7h$UZ-{xy(9<$Wk#M3I^h_6kLrCaA)tof zg1ToT_V$$9SrUn1Vl$oX{$o)t;aj}*EHtaUuP}cQK{%^TJ4|QGKY+5YzgmQtj1NsK zt<3nvA9D9z*b~K6D;Ix?*ZAjf#P5^*x&zc)$M-QlXe*O!!B39{W^E|uu?po{=fE2}hGblwkk@?QYDE=0ksdzi1_bBAQ!#{^Ikk+BcbcxIlPgORplAV3=@^#)N< zLgcq6fNWYl%;vRlx?>782u*lEfr+Q@Rj1!le>#BwUHxUm>gVT1{hvLSyOxJmBo|Y;to9LRF%luksV<( z(MU%yx--?79%4A}U+JE+hJT%G>LeQ;Ao;LAHYycI0X}eydnxZqc%A6StT#MSyV6LU z1)fhDTzQuKFw}+C=q1V|6eJOerhpZj>&}`Jcux%MrCz`Vh!gMQFnDFl2gfJ$k_@3~ z058J_-nsFzaOE$=2y}}50(jP;wC!6;((9OSZzU-uH1v^NO!F!6-y>J>F??N#pW|1_ zF4was$N(eD!9AYh*-kC=!|+?FCIKWjVIHJXyt(Pxfc7~MUBs#(zH3fc1>- zWM3y$Ol-~=A7Gc?R7$OICC@y~6*#cu2i}M62?cCzaYH`5@a-|?y1B{_zKS*vg7Mb% zZr~nO#u=W5e@gY{tICW618c$e*!TcU>5BOkyr7bhFj(q8zl(ljtoTaLx;uSqA^RPt zP6>SUo&Y81D~#~luI{rC=pzeMW;7M>R=o|Zr`Ze^rdF*otaNwHC^N3INMQa^gb*^ znz48C@#Uie2g`3sP>kbY6A_Cc4wp?}7`IfS^WekQ*3<404^q>XR1** z<)vXYE==V7czOEum*qn+an>G!Gua;ehBjoY4&~XMU`)4>#&DAb4Iw>>uIAi=-4`|u zMxc$*F6s_RT4j-P0+^f~P51~o1!*o|m-TU{GHm{v#`NpJAW*Pejyjk{C`IKLdlnX& zNQsoHC)nGgJvd?FXro;!O?nQvy67y-v$OH?7_)CV6&G@!(?Oz7{tqcEq-}=Zrb8f6 zSL~O%Ce|`G+3Fsh>uY+YlTv%?g(Tq?1DNCs;f4vOSk1EV2IC2P!`^k+0c(eH(5oLk zibx3y$q@}&KDN&tLI_z&C(vylz`mYI?c#5D(EM=6-5#z9vIy7H*N&Rg&3NCU=4o!M zy(^pMLmOXKqu$OPuE*dfGFuRk1<)vshm5lM{y@&Mvt(S-8_PRJvqK*&^jK)2%0kVH zOo1qna@FXODtc8`&p&o$lE93(>OQ5lJ^(yfBpsR5TJ1gW^lQ3MvDI^y7`S60v-wio zLHweIN<3@mF{AN2TS)z)C6@?16Sb1=4JuL?em$?sDQHRV8RIr3HU;bpn5Zs%{+`t(ntGU7 zZ)+50L-Zv1q{~)?{39kRy@354V8D@66A@o`pv)$+@Fn~dB6XT2p5Uo(R@>OfrKmY% z_qjiHXK$~UtXQORe^Q*aqzmjDoRS=qbZNo`fa_+!`=Pz-=kUi4Mw9i&H!tjIRQmg^gW&9hI4skofre9y!-UPP}a>nH=Ue6v|*W9?aqnnjEG_V@c|xv??J z2EnGXZrArmV49FOzg2Ofwj?Z64B6SQ$T>;2#MMG?suO-RSn`4Sa+0`GwDFh_2!A7| zeI3y5nG*>#6-xwhb#r7|A90fdRq7qno0UYSDR0!%H|crRSF@?6j$VJu80`-ULMi${ z_%;68kA{L!t}<*$)iYqs-t~wqMn@vT4UGh=a3Kde0UfwJ1^(wQ&+j#~FOY)D5H=9FKRiqf1Al(d? zIb;+t$(`p;9i0y(^3Dzs&J`1lj*Tqv-jvn%3)>!0sFbHrvZ55Hr;ZR zyNW7jYj7O((z4)Yppb2W!?eXXHdutz5kG3tX(AIOen9)-E8`rwe9DhYarS(xXB8CL z5B$#0!vB(jV0d3)dVY-@xe;|2)EocgqcxL~mWlF-zK1cP?u%Y|Vtw;w(<(!I2q9$e zGU{=GbB5~P-Q9;r&VM`eAca)M#d^3Q6M3BK*yPsH=793YNB}ODcLJX0)ODGv5b#Q( z5rxe%)y9;-jY5;#>bk;h2PMIJ&IR>~=Y@0@Doix3x@ECs7<)Z55~Z|hV+WCeWl-E? zDJH~gTC1rAa&ch5yo`F8U)@Ml5L6f38vPX#K?4)1o73 z1Mj<_#v?tsIv*M)H+)I8;_?;%uGh94QqSR@PmS9~y~Pa5s~rlyo}kE%NOVbyWtA=@6l8(vrE__NVyM8hb+&tP1yVQCW!OO7J{WKAFrLxIGjD1W8w zS$maVD;>5t#ht5Y(}Fb^v?IOMB>OZ+4%-66SbPd#IVg zrC~EP-;9aqQI^CYLdC<_aZC$i2|j97qx>J`#GuSBS+5fJw0 z;)d^|C3rN;#BeWDon6pSUQx`?`#Ep0PHqi**7s%Vm6(lZrQ}-GLz$f zHor6+j$y3SDOZUhR^QI{9x4|&NS^WKo^81f4Wjp81qE$Wh-^jm-XcHQC+H*UKFB67 zkRe&rvDT0;{}!3d0%0k?LTo_~24_wH3TaMs5`0%LD+t)*h$>#Y*f<(dAVwDUnqQ@b ztq6oObU(dWl=4X6AySKn<5~j4Ne_z*4MDv?GpB`wR-5;1m|7%Gm~SI!pA~8=X3M`9 zR;M0cQsI-h4>>@@AaAut!LGX2a%x&FC~dnjNa{gjeLCCjP32Des#!O(6i~Ec91eR7 zvMg1Nc8ubi&CtZx-6?K9%&`8FzPiiLF0U6eHRkPy1?%OdjJ&^6)|bin^ZG{aa_GlP zRhgz@rx)8wjVBAETP-IX+zS7@vscG-qizx!-EC|(Ln?`?77V#cTfjiQx=8JY4M4yzy0--v}#M5&6@T3}MO z2puCEYAk3gB8?)X`7Dp}3%-Emf>J-UYvzpBiCHQuGShAT+Ne$CCWgsm9bLaiq?k(!spwP(MBSIihf^^-gb_oOPi& zaBXz=FNOk-_S`2_S{f(_NWIA?bI1bov2mA{d2$I0i-8vQMbcZ_?yEdqN6Tvu&Br9X z`$Yc|u!a;8f#ggSEM_@LAqu<-i%K(fBF>rit5s9o)-odE2$3W&q{@#`S-`G!tQLqn zxCrtU1>X3YZCj&Ft5wKhcH#%0_leC8s21zK$r;wi)Ap0+8NNKkDvlF(+Bod;n#nu$ zvGp7hSJR{hqWPq@2oKb-?MSQq6G~$o%Pn!yLa4w3ZmUTzllY_eJS}nlh-GMwkqG|d zk&nnX>*FHX!Q(Bl(LEM`qRbZ{$t2X^j6Ou3Y0-OIs;WSKC6o6ucz~;r7Ow>}_}%$* zs*x!{I{Jky^C$~O2G2wA0(J$9ids3rqo@adtdccPiUbj7vt-LbttK~)XZMhEVNWAP$p*$!N#EGr8FchPPJ^GIx=IB5qHpoUaLnv>0WPDJryg@_0d)j zCu)OPXLR&|EY8+BBS6TN!~*{Ak$5`H2XxfL0pgouc!rp;j)RrYLwnF1%`;8QC>Jvi zZ|b}JJ&X&laW2lf>xW*hBz!r#>YOk z4E!~d+7WtH{&UW%Q@!*XPWhp7NNcblq71^1fYYH$tGiG!&jj+TIn<*5Ni=`Q*z*r9 zFh2ah)d;lN`bQ@i?)QmPWt z)&2Qo@FmiNR*n7mS@9ChE-}2)pCFTagSRbVXv48OaGTOn&0y2`hBf8c$^MYRUc&0r z!Nc2eWbHwujK{ZnZT&9adYdYXe`tD1#grYl$&yrc{s7jVR$NNYozoY}q!?!f)Q)^zg{15|D@gd)rDSNB~MUuOE4 zw@GFgGuwU~U%T(!67OaRtH%GM_%n6PVh!i@AP2^fT8Au?y1n?#SM0~)&NdA~1=p{9 zxkNuycd$~U42yqi`5?DZvCSVFP4B*QgS+7j`^w_y0Z+F#B0)Y)8pGAg2{pA6+;lJz zd&=s88)3!zRQ?F-6LQI+SGg6VB!;U+9 zd*-sDJvuQ2{@vEM#RWPkZW43xp~+bIGMW&ySjoS)uJ%YHq+lCU5{M|c;wiZgA*DmbZe1C$MT58BM^0LmG)A>`nkMl}KMhQKGgWmzt6sSRv zfXeoB_g;ngqcjT~`rQ|A?(wOOQu#;_QkCGK>R7AD)_goT&FmfS>hXJX6Dd?_L{C@7MQ-o|NwN3kgw-DQEIn6`j;(G^%tu_$IYZhnG#Mtr5$ zBhD%$1S;~RTi%J(=Jf@sKjV@}AF-%YgqBYb;qv{bc7;T(^~tW*1iz^(Rt+LZ6sh>x z;eRRmk3cld6m4VNBFR3d&7lu+ZtFXED%Z5sh#`U!irrP(Kf`vS4gM}n)L&;4X&0Bu zo^`H$Pna#>ZtG6*t6}`H(hXeFWigJ4g;T<;Y!eq*A|j{Brk`<3-+EJtHzxoUAt8ikE4 zoDHU)s*1jNVs7^)83+v^m4pm=SoTm<{OsdcNO^XMVrrN|q5D(UQ>(_A&|Q2ti2c!b zA{J|i!+nG9gt-<_q9OY*6}Tew2mtoz>8E=2(NFX4!Q5=f2qLS|vN|nrM#BhWZ8@+< zzWE6vk&VKx3@8YG!^JgUj@?Xtu(emn0UPccBp1#xY`3OYtj3|EaYD+_S`iSSTSaB) zm1!7r_bzJ})Y-;D3poCyi4X>;V)h=#j+Jk9#&@6MRW-*cTG}8Cm}s`0x=->^-&O~Z zoTrCP-M)IVk?4F2xl1}t)UzAQbQeKwc7 z;Q9h1>%<)0SVn&^L+5BE4~*@lqlU0lp1p?dBhfQI4WIYPxyE_xHDkpvp35X!(`i z;y-G-ZSFE>{`_!%axn=WpLU)SIlx``ZlFhgCr(X*Klj+HiZEo!#O zWEm%%VgTJK^CU$`ag?>IT!VF<%&q$$=tg;0DPdjxRnUEO@gmP{-4!S@Y&VTUAtq@} zSR2h)N&56W>^%EQC+q0tL%6ZZ2qJO!Ao*!7vT0ZM>MM2JRON)Y*-benx;8P27(Qg; z1W7Gs5Yqyfoh~#(&DMiLno&ET6aTw~;vx9OD)1xRIM4hp|bzLk=WX;TfvC z4zgZ#)4Ug)OMSKKB9_c^m>@EUAMIMez!^bpbe5s?E6cV_MOWO1o; zgW$jZdr#g`S`=1aeN53hmj{Uafl|&Ub?rMuGV>|0?r$26`?DbfWo-37q4^U*$ z6CN8{<%*W;ZXdm}KW--=$xxGkR+>9XrRK)QkH@Lp|-c)SKyxDbDR#X#&YN0 zHbor~r!VV0FBICD)sN{|lPp{EP4WJ)~w~5;4>B%==_wY$%7eE`u!oD z^VQX9bB)Q#8Xct`RVRhrI$NyD>uQxuQgnC(OI7CB+xL)otX@_qs#M39ai$YB__$f9 zSxD)x3=u=bsxkNm7ZAgAg6EeUmmEt3yNF3KKHc5};vxI1yLYrQcFvPMedVOaOFw12 zqOoL^MTAO2i>=`lhtWbStXg)vn1!B1NQ?w<`^Ds&j3Wva1&o3UcN|rP3lHQzqtn^< z`ocmPH+y9p8ljarYqLUSPrfsk^)hhCQ z5#b&BlVi=sQjH)=e0SLAd{>Zf^X@rDr#y~|BLLE7cPcIFd5|#%X?nH)qSx5b8BuBG z*+P$c@@C#hS#w_^DwDFNQ9s6QVTjj{iw5Bao{?KaCX0SByg$Gb$Z;a_}*y~FaiOt#&u?)sr|JAEzWPG^}dEu(nxEYq9W#q%XX>p$8^)=M9< zW0qbf67@SDNO~oqZyh-d757mA~V_NRwh}`N!RYyU)aw4UWKE=B{$CuFJ7d5mt zPCj)9eO)f#cH)UQmbpl@n%h#?oQpRJ5~Z}qCEQWN7A{%p&mzlH3qW)T8Iz(t&MUO8@) ze>=zo&n(3^{bVUrn4@1e0Q*I|t<68#y^~WyxVEYyce8yQN)+?b?DdjNoVljNIaYtlheA&O^|4xNwv z;p%X?5qsP(6+gYK1h70Z6v6nEpaL~3p)S|ZJB#ZC?+E@1lnVRx5HI)XxD+u7rIJ2l%A7aQ)2-WHMZ7snuxLta{{~!TtN0o)Qlik)T~*h` z_!qXh58|j}`S^4mav|ivr%P#KK5>jIU!=s}@C^Y@wW32F6mR8->Bs4Z3St z29B6Xnp9duvPBbY+W@L5A#Fbfd~W0Y`E+1mvd$uzY}Al$}eI z8A(X{FxBzu-9OjY+L5zEwaMiN2yFey{hA~DyKsz24xG`y`K&~^2y=?uVxqeoSNNS)nY3!vb2DD;GD4Jw#8aa=Z`5W7=Kg!fr-2!Q z=7HBljS|b38%*{hrZ@J9^HkV;o!3Z(_WBBiB1 z8qar0^!{Zz)cYis1!_lhE+wi)S^1n=Q5bK1DgEQuQK_w`di~vp{kESmMQ822F*@x0gU0%{ z>|jNcM;8&p)Ynvc^gdb?C!`L)iN3_&(+ShR_nntiJPw;6-rt6SGM_%3SgNCV*pla3 zT{y42=A>Wv#6|AEf=aKG!m4klUBSg7@>1>Fa|hZBdiaGWBhBe+eTA$W{@wM*qDLc_ z(?{+Vc>lGv(kf34-pnt@Ms=sd7jjUSS3p5GoAs+gcgx|cO}H7qAsC^Ayi$9x*<)$%`*CKo?8W!e z3+K#Xhgq39mqB>adu(x0zetqwFd9!7nr|pb;BKX$Q{~(FR2dWnjNM!VWQ;-wyg8RQ zn1&m<7Mpep!_90k5F`(HKQ8Mzzln4SM5#x5(-SW}Gkd z65omLMZQW;O>4kl19sZ4vbwG=H5?86lJAHornvRLTw{qQ1oTH>iAp1an-FRICxWstDPOlAh`EbR(u1!^>LhHj+I z6IXz5^1;x2$ooHWrG{rK8ESmrexu-5n&-jPd#^K1@l5>1ApGHD*=N6nyRa}XY0c^4!pXETgx z_#U)nXUb-M9zki>e^tnPm{?#n`-u&~u>`>3Ci{5Hb56ll&MFZb4H&KKpi)C@-ClYoAw{BozTlF9(1@%cU{^k)b%`bn4Q-(7siq4PWP`$&W(1)BAzri`KOd5+a9WIiiNTV zE}+}-)m%;a@7CY3fnl_I(BKw0gC*~RhPaWxg1!T>@I$lLJX2SA4WOCk>s-H3ItQ&7 zb}@k;;v<@Cdaz-^?E*RGw~D;g`#Y?B1+4>)u#VR%VoLo;Rx#i(Al9TZ?k$h$v`=0UN0UQx?8B zR8KY^-c$!#=iq0GJKAOB>4Y83_v81eUrNC{jVEXdi7fPmeBPO{QW-PZk8EK0%c_U} zEbeLi*kI{zfWQOI>-`7Y7-2LNA{rRxsli!%2=rKv+9THjBX+J=#QmaCe_a2*+c~xf z7zeV2{DN}mn;PHkOWS(+C9_vBe2L&nAedA|g%&@(Z8tZ19m}Z$)!B*dkEwSNbjc4t z@S|mAu*{d<5pZT9NGlTj=uma*y;m_@Y`m~P-d$TA7uTO_$tLdByxz$H)=n;W$^vOB zKYIu*(;TDXs$#Dz<#o|aaX4(L7@L$VMtVGOQbzSF;5t4yXNg+V$oo8cQOOT+9FQoy zAB}Nk*}9|1<~eJFhfWmRj^S}rdac&&C+%~BCr(o@{1pb_r1j_Y0%dxMXAJD9Iwl=K z8h8~96*qUFt0BmT&QEHM+X|jPPvQQn^!ezv&7oo$Ki;SZgCESIjWH(AzJZ=bp5Zqy zfTiFb2TDtGsv!R?*ftmT=sYA^{*N&sc*h)N!l9Mgcjpe++o^VkgHr4z`nS&Eo0l(w zllrBy)M4=ymCtjLpW%QqV`Ql(k%16YuGO#R;Hx=aXvOA=yId2B`mDXBvrgjx3i&l2 z1h4}+5bpGT&tq-2Tt&~;!b)&W`(afBr?#oQMQ5BA=L)Ym_OzW~;c{Ao<1ah`>${Ba zKKi^HgF97dr3&txRGR&FNMz-~ashGU#Opem(a9QoS)yh-eylh+oZK)a+$EUoD<~mvLWaDrA3j|B;lfRi*!TeAnB_|x?v2vC7XPgmLBpUR3Sd2yc zSTz(8{p{Sz^FMIQz<7-3(v!ofPh+@nlPASYkr7#I*B)YwXGMJNL^oZ3L{2?3+k(tE z!Eqo7)(Fi4)?G3AAt+WanaP_ZaJFbZ>|E6E7?LN@J4GyIu=irf16SPD{4MrN5j#fi zV_2D*!-ykpa9h$gJDoqtv!rC(M=FUjZwFWai=SN%wev%zz`tq{_|s~!c8?hs!R6K6}Dls^Y18ywXXm7bTOtyNitcLrHOvxq`W-Jyps+5vf{m|R+vvy8ahr(t|Cs#}6P8}UT^w(Byb_1#JvKpGlOIkkls zN4twG_H`ZG+yUq9E5b}cB#c&%u~dBr+e>b#bDsk`oijxWb5O+4Y~05NVrK$n02Y?NNYiuTt%{sx^bDL|X6yc@|TU1&mO4d2;*p9hp z`@F7)n&NM5$?yI|*s2C8#bzE~mO_+3sE}#FI9#lC8(mq0QRmf-*L$vA`wWD+vYU7H z!U})uw>B8laN$QqzqYRK|94&6zanq{+<_U9^^I>1QRlMdnXRdn14?n$1_5j^GJs>g zZ%wnK|7_pg7@34;SGR-H>egZ?C;+)kl;)gXjLem!lOQ0g!5jW@xapl7n&)1w(H`?6 z;=XQh8G`}%KN>B5NjLUZagPh^#5l6cI3C~+VT{L`c|bEjdE^g;$hfnReSO@x@r{)$ zSXYKCkuvl3zH<{LU4Lpepm`|>^J;^;QGF~W-K%Mg!>MzxYPa3YZNY;ieG0Oml^Y~A z0k0^#PR60~WI)essJ(&Zp+ttC2(i|&8M)U!;}TO~crlJ^&}VIW4*y30@y58xo*)(^ zsT9;j_F}<86#MOgUy-gWEjpnH)^~QN)-+7U-12rJh5KMRs7^|aA7}!F>LgZ$g}@ga zV*b&UUEd;xa3%C*=-nS>4SbkNe_19IrXSWQpLtOD+fD^3^*mWUPZ|&uzVbk5S<1_( zuYM$hhR4At23TH;<@x5FqdX3No=L*MCUAeTB9XTyGp70us3AW_cjN}lU|xlp1o z_xtGxY#@obXhkFZ=KviR2F8L@{dd$k!vOz5Q8N8QRd!PHk?CyVnws(x$l67^JA%() z%+@1NBpuMC587>hXrMJ1r-8yUoYTboBoWWLw>#cd&#Y2or(8y+ev$dLH9rMYC6=nf zl0*7Nx0B!7MnAi0CRHf#T5Mp|Z;jh~ptaM&`bG0va=vdd5l%WlNRybryi4#G)+03f zYmMU;(IJt|G+bjUs%_=#oEPN$XxzRLwg;e(=1ma^55&h*?!CB3^N2SQj-ya+dXnh- z5U~kH-&FB+A>(z@*yLdyUCaNyVl?P_pM)&ht{ZOhHvj7@s(3JrIG$Rj;wHNPlmvt% zIS2VuLCe!sfw}*AkK?fpc=~<_Crhh8m6LPpk(FhSi-8zx3nPyaZ(EhMgK(3jjhT@J z5?G&|8<|b~$^n%s>1mm=36gH-CNiWWf3Xh3uY)=jB2TbK) za3+P19gm%wo0`8luoF8qwKlk(J7AQ*m$`3>zf@*LsK@S%>?f*zG(UIP@zx+IzxdJB z)ilL4IK{QWSST;vE2WYO0Ob$)13azcJ$Y1&ZJQ{(e-}RXM3xCY_ei{6;L&a|v=lCVbpI>2K zp*%wQ1d0iiW2i?k&!GR?_QN0j_v0lrGPV(@m4;2@zP=hTJUH~zDFE?xga`aF|MGyO zkeGm&xS+VOu%NK;kC8Fo(AeP6ps3KWe_+frE;>9sJnjYg7o7fwMTd90zwQ#@Z5;w1 z7#tWF90L>%^v$2=r>jbUnz#u97xrXH_4`-ALG{;~cmDpAk(omOZ?wW<6`Jm^>w1=H zgm`mkCvzH5{@J-i=@P7{H#pzl;RQmX5KOJ5=w%A~@DpX9XiCh5P3j79<|Ij9zhz$$2ITlLc<7_UlVF$4_Vk#m-iS%_ zX;A%=q!{6(74=Ey7)@NX zAX29fi+(ylByd{=+gu8)ySM8_bumh5#pjAy@(;ZdTP@_J`NO{O=L-9`T0oU|oAxsA zu9(V~=h5!R3^kp#7b!qmsn{@2Za0j_BXqo(8eh>fL~(rEWB)A?C*2>T3%jp!*q)nx zvc3N6bxONL#9MtMdh*3KVrGhots+~E?@edVHuBcw?|t zwgv6=<3mR~i3_F54Q3&ucCefOa;`on279Dr*< z8dnA9ibDT4oI{j^4+G0Dp&PH=g}4g{_y-_4*M`L03Ppt{1ENPcGfc}WH7QmiG{(qS z^ZL+PX`e3eSL;2#GKQ;p{BSY#ri`}hKjuF8lsWhSE0iF$ZH#)A=Wu^b!*D`eOo4ti z{AXipv~8sUnK`@@Pz7;yc`$Vp6Q~TR%cT!Kyd?gZa|Ca2R=`$TPCXo*4epOGTKNRE z_!;FVVwO%y%4@5%Y0^7+k{N_)M*YhIhwNyN>MJGqc+O%&sMnHhjxX@TsIe7n`?Cl1%nM80vDXRHN^xpW( zmQ5kWTf_@XK5vnxn@KZNI+q!E@8iK^`?IJI;7-5K{gi%O=d6!PmoRaCp>%-qcSwt$ zgC|t<9w>mVo?*^5oK<_Y0Ad7QKd@sEKk&2V(#LR-hGj@CMc&NiwOFK>J;;jvH8*R; zy508mMfg4=@Sjh4ehZFdyTm4mO_>u51FEN?C++HdiSXX-;N6|2F6B`sx3%(Fr*4WR zniF8KA9I^0{d1CCOcBMa^H^P>m7WbGN6GsJ*hS=D4!d{>ej8Y$soaHsu6ZZ9 zg_*#6@Ju_rCV4@E{zDKL0W`H_JKRxIN*n|E&TX7{sQd|5P2=3=DUg5jVU-Yo@t^jKD} zG85C)fF}d4-&GQsxP~){3{fmcV->ZNnAsiPNwxj(Mj9k5@Ykp(lX*LFu)waUs7ZOR z@#=N?R1dFDtIO(U#f5br1JZdvT3Emyf56W){q!-gOOVvzMROf&)f~c4{YyiZ(%PP7 z5|L}+c;_%*Kz`6!vqMW3{Yh`f$!{@`(*~7Pc2NrVJG3|59Sgy;pNy^l`|Y&JNYz=K zJFG~Os>j=(R@^_NT5v_lzi_Cch(g`dJXykbh##~VQV>3)x!LgOH*sXq^n3LFCuLdw z>7f-NqUiIilW6vJK_h{>gix!9v5S9>=`FFcx6#I7?{)INwApwkv6+5|(_b#iQOKke z-}u>VPJX~`^7(PZO;^;@0UDHyb9ps78i2I7@Xp{S3Q)Z~b&s4`KE`&%j-`Nl42Xte zo1C;%bP=?7pZ3yRlbE1VGEAfqcP3pG^^N0t&JbS>!{JTPz)-GBpb?P|SbTiaO08p{ zFcm3y{Dx`3Q!9Tyj)u3Wmuy;5Ytp-peDJ8v7&=T zjtL!IM|KihxcD7P5_U+=8%I05SixT+)C_JRqldaYMETHvpPqZ4?f%+|uwO0<_q1LK%kvThEYabND z-PAPTemtX+_nlJI4k1?Xb(HwK(-=GDUBM+%iNZe$;yK>|v}2Qb*fSMC*}>FT#lE$t zMd>*dZ~R%U_%5ZV!*0UT6o2#c{>|_o8zULZv>Ih+zPT3Q(Qj^nNZSQ5xn)sAmrN*U z9Hyq8tX{<)6KMsGzevx~Aj}^YUU^TIMP{_; z^%28L;yrHda^D$KbZf*4huhVW_CT`=4~0tH-`FP0Z1P&3qE+v+h+>H}Fa`+nZJNvV zqRu#~7cx~%K|qp`t;(THP7>~`eZo&H$b%w*%CiatIWz% z7!cBIyQ^%uIB>ap{_tcF*j*Xt?PAaCoXmB5$nm8%DsFxfPFT!%dRb?Y`ZDUcC;!j= z00bKgsov)h;ts>&k!0Z`P;*8o(N1PGM9OUPM_u?dP1KkgHd1NrD(kyGh}xAPNEhS=J{}4`VI)=%oF!0((aK@ywlS0N|J;7yBZW z9mdPes!g?Ckeh;-zuMmDqYnAc*m@Bwt6*5DC1Q{wiP3}8_(H!Jhx(Hm;-hRR4z5es zijcGz*VKoX^h{ywyR^OJHmklMhI6w0fv4G|5M2R(`{W}_^!klKO4_uy9&6By>Qepc z*M6)p(}5jI!WI~L`F4D2B)$j*6=5Kdv6z}H$oY*S_q4!ugUbqX0!D2LGo(6}QYaju z1d0e1Hu-PKtum%LaN}Prom2&Czg1IBYR`BCaSm9cbfHX?rAxqh!W7c7s37PHpU8-r zS-{^p_CiL1OH68f>IgDn(Bi0uS*L;kl{q15`+V`OVV;t{m>W*$(wkgYfOCinC+)HF zgNkj+42ARXqFTw~L?)Ml*`?;o3#3}+$ozz?+JuM!q3tHfjtg<>5r}KOvOx<$0OK=+ zox+snZfmbXw6EZq7LUh_C)fSd66+-jKO6rARa5EPlxA4bxFwG+oOU=@jYi&b=zSV& z;(xY@j*nQTLW0#t%f98f96cwl+rnWD4oJUzeSNO;BE0qjHtN~K=7>cNDsmRtx;#7Q z3`DC$D_M^!ZyxhY>$(4;8pq3BOQ42R&R?mK9v=Z<&ZeJ<8O(36B=qSzAyIYt?JYSZ zB1wiAMk($zNO+EWshOfZmY9sXW>*jc6`ag5lq2vO6kPm3c1^-qwq}qiX0^A1vQQ6Y zI$CfJ7yQ#-EtBqkS-uU5e+iE36&=#rhw?r))~SZ|GC^ER)A)v42}}eccv>2`qeT8@ z=|x=ycQ@pKe$wHZ9%*?Fr{d9M`m$Fofe#Ac7xher!=UQc-=P%JR**4x+sPV0nN+Ld&Nm%*Wxj|Rs zyDuMBKGN{U&3N*5BlTxV^R$KX3rEg@u(mcFk5jleQLF&!4%&Z2zSzfP;@ytHi*3nC z9lX_T_ki(|nWD4k#4d+L@&Fo z1dIUPkj};UIq8Hd_h6?=*XvnnieZD{Hxb8(n`cJ35_^B zrS90q`qoD^fIaA%3_`#8f7j9PH|1Eed{r9$ z4@$!p?~-RVKcNIO3+XZ#UoN<>aA$Hxy6x}%Q??eXw9&md|Il!DCcRvBe~q!tiGJH{ zMO!+-@fZs89J{YMpN9=q$+nLJZ<1^M)+EM6GeU=zulcjbxbm$z=4qV`iKc-*>I<=Gkk!e;paAW+aB@|R}sEYQiQGC?^` z`8IH?UPR&zkD-ft2X#6ae)rs9D~(s~LZeoS{S?ZKwezW5+;k)1dyQ`ugWkWaTjPL@ zMVl%Ji8L|wIfgl6*|I9#AK>Mr7c>Wni?Gr!}&AV0u8h47k z<0?0G$Wk7aNhn{?BhZ6HX+M6v-<_gAJhv(-aU87K%bh?tovbSJTQE-(K0D%v_!|kO zf8y#Ta*jM<)Z7tNd@kacYk88b@r=1M*9QQHWN}0+gjgy>w@*c-QiEbg$IPezw;3ab1ByrYOASG|Uu0`yIks7+gOjIm3WG+o;{UMky zLLdfNZGJUd8lCxb_!#3~DQiAbYV?bI^Zbvb!lE6vn#O}i&aD#FwvH_}D14k+2KRxC ze6IsnT^+a~uCr^MWPs6Er1l1&SSyoKG4)N`epZ7ixt2y8ZU>jFm{2GquH#@Bs{Gxah$aK}wMDBT&=s+w3AY=J1RUtHMoI{=NTH9b}%2?FnRUF!$Z zFFT6j%C_iW{#M5|8r4JOXk`8xywvz-WU^8iu4secJjc_<|HK%+1p)Z*NaQzsB%1mp z?T-wl&O)DG&l<2})SiyX&(g7YhWk;e+)=^lMSPr%%i?fs*Nfa|oIV#_{Pq2)-#p)~ z_1Ck$Wtv_CzpnSa$&b5^-t}(3Fe>#j>ph?OomH%w7B$(GJh8&PTpp}l2pI}75(J5S znDK$EFCAWDZF{{i+Gq;yNj}EH;r+>qoNI&NJ;cUMy-N)tqT{xPv?1(=hsWXp`@-M< zg5tG10uyN7PR6kbSNR1D@LbG$+kUKEy1w!XDdVQ8biDRIHGs23EK|!-d)1Pr;-3hq zrZ?B4v&Am;q=KR-&*_X_|A8Lmp+IHT0m9k+?!B^69sE%jS@LoLsX+A4@j?cX9ZoyQ zz>f_Z5+CR9xO|=4?)w%#$ME;|sjDG;+mn2I;v_GAx$19FUYf1S60>ix$Vnuov6I?> zCgg*+h)DPS$|7#G`acMLMmj^psw?HCAYvyaij){%>z{MKVqT8s7{>+@%YXBY%C3Rf z^#9_(Bu)g3<$QgZyfrCr&Y6!RKi)f^>RHPc2J;)7+?rlk0F%yo;O^P~e8zj6q}Jhy zb0wD&e5LD*aGBDEr*w#*wnSU)q*3i|@BE&#jlRY~a+e$`yJrJwT1VK{&$?o@s4@#Z z>{fnhqWVOHTc9w%VH|yVNFN8sCPzx&QNY=<%Yi>IcZgxSo^j=;za|4Pc+iftFoxY3 z(5R^h^>b~mpBN1AUl5Iw*6>LhmU8F)@pV%KyLXqO~yrF)}cC^Mx>ozjn(L~$KWMQ{hd2T7_%2c)bxN3@an?IGnqnh!|J;er>Bae80o~9lM z`wfs*Fu(7dl@&hzG0!yLF?D#W(GFL9KCzf5p>?9*Q;5}ym5k!m zZr(^Rs9qoWYJ$mMxA?fwUtXBwqB9t3pX*Y@Cr;>WGW85FiP-MWZ7s>ytM|xUucULKac8K~| zV-@S)B&0jpP|+s|rlqAEi}|o%k8&)p=X!q=#H6z5)D&wBAH|q5-_gADP~20}Am^w6 zeMOu-G6=$Elwi+O)F9$XD3I3Z0QNAbYvhZ}LQanh|nOT9piMBP2 zR6X$9g9)qip!v*CpU*|}LCaxu^m-s5IBcfNkY5aHr6^s7T^#1;EDQjirKa@WlwcoJ z4N+w3ly&0N3dsubX*B&?jA35_xXN3ho3w88fB;TCZ9XJN?1VJ70rc2=J7NK zJg`w1zSSa$oH12KY6_}pB(gr0y9fc3D)JW~?ZEV}%d1OX_vYy=L zRyo|dFzHX}+_19TR|JxlnN?u>JgqxYs!IJn!GdJFU}*nMSjY8RmL>hckSRd2E%`a{ z_r;z9*waCjkK<~()^1hj`VI86<_yD7CKcmnsFmaf_N#?OZs)1{oNH*m(|#?lq;mEg zniFM}=!7Qe1z&9~FXH}_6qT&QeA&+|I80-~k)SKYtN#>)16zCCF)BaQ&@|kY9;ya0 z%Q1IAiC*Wm#|iZ=D-(TI^!HM+nj1dAmkdgMsw=@th*Q_hMT)#^Lssv==Ez}2ySP#_ zTih&`5^m`TDxn!0>rXSn5Ah$>x+laGdWcj(nO#V}XC$v;O1Zp=cWD-KO3va9S}v_% z=3+Y8bmSuN6bbf|7+LI-MI#6zviu9tK7dpfPuxkH(0H~`YAOA|w^i1&t(#~P#h8)Z zaLiqtSmTa6QRgo`%$h;7%%p7H9Hl9EFmEbdEv}m@Yi5zqSMV~Z`tf-< zM+8jfoD{Tv5h}q$`y_OmT35F2ZRZVz1Mh=!q=X*}!yNZNZFM@7@~3#hR;msTSz zW|Dl3L(Aw**$~-k^Ngti%Gtt|Aso|qwY1FwQN$?a6l#4EDsa7h%)=)q#_S);SW3+Qs`7pD9*rkoGpi_E8Nst9_?~Vsh(P=Iox?2AAH48_-%gt7H*S%uQBvtfaK&4D# z$0wmbf){f8Gv|~GMKN#_zlzJQ+c7Dc)BMVnj4htDC_gNd{z^fC3|!2vpUHCt({;VTz(K;t?EW)YiY}=<-|l|3Zx|*b6}Cr z@F9Mu5Ch>BXOh`gwX4gD==N&7C+ZYw@LGH-;f#Mhs;RxrIHTu-C2e_y?u}48G|xvj z+c)-fzDCbxm~4L7v_V$*(*zMbzG=0?P7)pt{7w}AjTcsFd_k&ZtHT9^fMN3e*kfe! z^TVzmE4h3^IbTXW`Ptu}(j+z=P-V3P@Hd;7iRe(pjDB+{63rv!68rBioU=)-7L+L6 z=98wAJLj)`JSxiU6AceCuIhBBvjQw;1Tcx3NJTz6kp($KG>`$%D?Jm9O*>1j8VJ8y z!{2_R+_q!_BK3xiqfsyr{?1GIE2GQEQZem|tMo?rv><$23jY>@w0+z5s;~Fw&Th?K z@Yj$zJOPoewZ^GIV~3-PXPBsT-$8Djx8e-Fxj-_bgJ>Vv%UwrKQyS6pOyt|H>X08> z7Gvsf@RB-Q4ayScHDnj6(a!WvM1m{(O0#IN$@fh_?LA|2AA2A%vEl3x|2Z!alI!a@ zM5aamX!x0QVP!UGVstYg<>oQ8kw-<|5yUoz{q9bXYWa+dg{bcS=xb0-cIq{_Q?&jDzX1jYmuw z6iLV4CEpr7Wq_@|+@o9ll5g>Bt?AC@46nTNzNcD5VZbBCB`f#OTVQaWM_9QNJ2mwN zAVsgUK79rCgg%q8#p0_IK6m=NDB8goe|E~W|4NFsFTawrD`9m>Qsn)K~RrxL7nBb|cvp-+AD0k~^Xw{P`U)E;`d5eZ->QQxsHBEm(o` z*00c?Q8r>oeso7Gp8W*AKvOHYE9R@#D6e!Q1A83aVgiDZ+e36St^C^QP>R51^+G>> zb;rN>TvVc-y6{3VD0B*Q1m8_X9lPT@)LGd`uY?#%?#b&|PceDYzm0zlC=*Hx9dlmB&9^AXG z)jb{kk1ZS8?gXCfh$|lgxKV2lXkq2coTk-oGd4^zNV{DZBrV*j{(Q0u2*clVl(a0( zIoAo{CHkR+1mNKHg4OH78o{cSW<})GD^KTUI9|2qu^$2Z?ltveM=0)TX7!Q#ves*o z596U#J&W<5Wd2=f;M6`7&`QP4U!m_gFC4Ankwqe&IiTWFJCt+Q3I`(tOrFI4&6|?_ z+gzS&ClX#5nk>BRJ#ZWhVK1Dbd^-_*;Rn|WKDGe8gzr73E=LBbH!EVWKf%s4Ox67y z(jbLOF!zh;-XN00(vEVihd-twXTTPA+zEs7KLA)jr@u^T|A6uQ>sP3{48Fv*J<@9n z2X?tCskFu~;LA9cgVO)oFn zVwA*RJs#2^{aRbzDp`{^sc1luRCWK?PZLwLxRXLLl{1FbmiIIOsgB00hy}m3^@H%`c`&^Ol ziiLK%_1YA4);1bt{*!_=P{!yZ>Jx&di}tPA_G|t$Up2gC^4LMS5yN9j&o78Y&ByjM z#f`Y&G<|GECrg=ievq>8IElwd2LRj}a6l@h>VjHyIDP#4S=R(QBo^HH0I{k0+_vyy zYh*BPvU?|=b!Saq;=4QOYR-x*v&Edq7DXRwBoeq&7_;JS^RQAQ4Zc7xaZ}e(le-;J zZI&1+vx#?U@n8ZW^{rCFhJPBdQj@ldUu4vvIq=rr8>day)7W@8Y}wp6)Te=E1Nbh0 zA|qr6JbZLT&jHeF^<9!GpTU-p`NWqIFt~8O3U^yG81WUgjPa%D{uLmK5r*#gaqB}{ zR!WA9BH&?9I4`{?;#GjbD!y4wx6B0K83>KQ9)s@(z~GQo0}m#J{_Z1+M>k_W3r?Mg zzK~pcUEm+UW8xqS{Qsa}V6J#OtzK4e5Sq_gn0JD-RzmaK(H*kOOBLOx0o z)l(+*g<`kQ@a@cQM`1O_J$`vryl)U=ENMfU^y{DCJszjKSGDqWL(Z9y{~n^`7rCBr zEgX)sl$uHQ{f1f%>NsVLQ!i$duO!H_t_iNnCw$Ebcp0sCLZLcHS=G(S-(UI~n0Ea# zYle&jjkx`B<3W>>Lvrg?tjRIRb20ql88n;we-%0f1F(K?YX8#xo`gx!*S*v{+R@7O zzD@3o#3y4@<%)|#NzMa+;&X_uE$xR zM@%pI*K*n_F>WK_fQjRI*`1O;@i{0c;mlKl_;!P6h;dR%_^?K7ibt0Il!QE_{_Sd)zx)2|6Gi^_n=_E zjHGBH%m3cAQ4$G6XYrX?B&qRdqt?^dcyc|CVwg`c>4C`kfs-X8ZEvYWtQwI@Mci|( zeEEjeA#4A)H_(5@S^*BE+vH&3gmc`dCL2y#nB_#d6+7jUWMAMQNBO%&Kv2=*vQ}QD z-4FfUYBYQRON%_2r%)e2%zgYn5c(0(HZ${@@V=DVP|8e z(2>6r%E$+CaVzURMw-9mCXmg57Ci1M&45G{vH7GCTrPOg)>>^y(|wXr!4@i0c`ujW z5|JDs2l9hPZ}%*$!(ddUd$T(m_BYi&bq0N=L!`^T?xXnp6z>^V7k;a_+7tT1HEt&!CEK;*9 zWnAUAhPy}%QH3i#CJE`{9&0gVP5V=+`udJ8N9d}oXbYkBl`L^aCPw!atP>o!_)KD3 zb3S*3G+9U1?Jh2nOENuCiA-0Y<{OHyo0-qmI3PXT029d=WW@3J(sBS5D4FD`1cM%s z()ryWv-A@0m6lqT+pox#s*!eOz^beRr_lZCUNLymE@JroipFrCNf}YgQaqkBASmJ-MK!nJxZ(A_n^!Cs(y$0Gvff_*0Jl0dnSR1Dc1H z%*kN2jO9vbeTiE(N2?l`bgPHm--yGhp}1b#AvUA~(BPkFItdW>$&dsmo%=QN{B_rg zVJ#p&mgQjy@)Icjtz`BE;Iu4e^ zl8|zV=Q1xG+&AfEQvU$RiS5AqQh~Rmu`KMbE(V{~;74r&6W?{$WRwr+58skV%!XOr z%kC>acaTC4E+eR@)1r30^{2O!stW&EIw=B zwKKjSd5}&fH`fJY)pNKe>v$)jfVgQwf#bfo!yOTnfD1aZC8Ot$^L_2L{-Fr@+VN`T zqaTXXNm*m{(*}yduIT+qs%8It-*eorHgtSxS7SZBV*BU^Hg34A&XKJ8vQAwe%3-YG zLmsa9eCg?#eZ)N}@873f30|&icI&<3XAG(r%MizKpAi_OTD)kkHJ|lVrunjS;rU8G(VT7Sh}u(@Re>G-cz(ayWUWq>^L98@W67x4RIjcG@$CDZVTd!8lT*uQvusxNwQ{doQw|8$`VGR3Rho#A@fG*)un^A^@i$!{&x$l@ zLzof>FBPd843$RHGLuT|3~_Fbk$-a$4k>>hsC~K5wG8clvKM>sNOjCqpg^{N*PqzB zXz7MJ{DrZ|Ffi7xUur5MW}Z_;RVOzJTJ-Q;f<;+)GHkP_4I6lXAT1hZzR9XY@RH?f zSC0u}#n4jU!R@EE;9gA0apvl?@T(io$eMaGq>(MBu+ZGn7jyJAC+b#?=^>0{($iw@ zpCNkSf2u*wt#h}PKKzYd8vs?2^}Z?=KTX6ol>eO$so0i%MnTzKUq;Y(N~`r4W}O%Ir_%t}C6<`R|q!q|p4e3?FaZ)o69M;=-$q(Q65@=EfDz4?CChOQkHs zELmk1&tgMM4rZU?0>y)?DOO#YC+QMG#9HMbo)RR@{A{jm{e)7~Rq!v4cWT%s>nJSz zaEFGn%l;rRxj*~NA9eT-I4FGT zP-OlMAyagP6kJNFnBTR|+wopub*is@A`yRv-1%!gU;XxNvr7&8cL(Er#&_)`ds2ltrj zxchREr=&=EU0@}uGQcXOYfziPEG~o%OK`9KiFW4U*#|{_9rnf1q0jQtYKrA{P*Qwky8{MVmdZ(K}!Uko-O@8+6JXanA-= z-lEzSx;cTEzK&)aPv}t{t|BkLbePWU~>Tp+36d0NIHu?id5Na@yZ?LTzvV27(=ij@=cxatr5p!xNRu? z;BHplJWqXCv!~f)14Y*{N=|W-VoX63ZfO22J-;aVYL{v*AFs9a(yBhq;u{%H)g9^y z+VFfHRK{;H8#a=D-8+7#OlPr3VOHHUK~3%lgB@ozlgt^h2Pz5DU1B)VunEtZs zaPsu9n;YX`9tV*sfY`UJ7tPn8>>-T&nA=;1(<(*Oj&oE!y}XRp44GOPM^1k*E7DRC zX>I&I-=+bi@~Zok7&%&+khcHTmmZ??UKtgoC3xJS#HWF4dmW!NCP=G1gD#{jQ zB^H(8mfL!RcRP55=<1CK7!d~+fsoR2#tBXsQhy(iXHH_-1+ zT@6i$SN*#D5qw+B!c*YQW%PZk)Q~kjN%gfS4V~*Vgr)tjmbP+fBY8+c|Mf@$kb`u! zsmV)c85~a4+UAk0)rd)4F@XO;>#ONcH7gmKd)RB5BNBjnR_%~{@$6T#!;$GeL=(Um z}I>;{Z6dIzVkG#^#GH z*zA1PnFwQC7vo6LtY~v7reLxRG}C`gZgYmf2YzvM_z<9YGKBL%t2KKltG`!+Ig&OJ^bdo@MH2)mn3L& zr|QubU$1D*YcBge#H8r|4a*zIIj4DJh})uFvw;O)+d`9Wzl3nk`o%V}(Vn`&fFc*g z0ob`0&y_W^m}=0lic9@95yA~N1I(XRBckL+6-$tpUll#q6jwQg>NZ0=Vy)hMSQ23# zK%md8+qaTW`0>TxMUYPWXKoO@yCt_iJTn+k{VxmhBl`2Fweh@><%!s&s6FN4v$xLu z_;$@MQ^C$?KP}mUOr$!+7b`K`SN(ol%W)!Stv3 z-9IG3^lygIi?xPt^V)y$$2cxeBp9sd49?jyZ%3GE!Y2%Z(q{hK?g?qb^VXVc46#J+ zN-X7|5GEfKi6)PSqlNX>W=?zXY%kK1*;`~sn=pza`lQ#3h>=l4DmOtNd4^M39No*- zEGE%$(%GwmF@qMKsnMmgVsu(GkMmv0%X3NQ>Enm^Bk*}O_32Iym086OLykxFS{Fud z&A>wQS=*@z>PQirq_bvg6nZ{;?icW(p>|8HusZ~_P8yBli85i2;p1jpQ^xDOhngFT z%E}7MF@abQZQ#>HEgnyon|)7cX24ntoxqZU(XczO+Tum73%6dMHYNAbuj^#oDkx*R z%lyODEoeL6^&+^-7-JbV*G3E+bOw-_WrAQ0Y;G|->qq_`Itml8C*SlwV1bY zI3s=Y82G1D%3&C}=c`DO9tdTsA})s+HfW^2@(QG@!3-)U)I{eEk#5 z7yoQVvHRp2{o`}n>~cj(JXG{~LCcE_V$?~qzfh4}#r{ig$y5u0rRtP&$Z?P}b6<8} zv>zW`JI`TjL;SJ8gF0zLr+mM#K#)!g#$taeP2H%aJb3Jg41r$7`>G>GH!Q;4jU6#3z%C9)#Jzdk1W}+>+M~ z%|&4?1b%waUI;Y*HhOP9IbhI4Fn&{m%P(rM8B*FdNXx$?`CXALxLkS5>W-|8;rNQb%ur`Dor4D{PYuR$0ph&B4ge5A>q*Rjc8aC(GrLj7r zsZb(lBD?hlVAR~kmp##j@!O1$%@*Ujg`#JQG30pK8kf^X{b1KpK_~ ztW+=gQ>etT!f+9B6Q%G)(Z-*W{K?)BvG*%dXs?e$3VN&0g^6kBIdI!~fPgd2W;Oa`j*uB}t4H7Q=j#sCeBiVV4`q=2C_ki~Mxwy-p1;TQ0 z|9~dvPXROW=U*6UJg7P-qg+h7M(EYsc!R8`sdnZeVtI3GHV=BgPCv-jHU|W|h##Xk zv2%9~e0_cWlT2PWq)yG!!vwGAM`aYr{jwZPL5J?Ft=Wd_J^!NKC4Gmc4gtpRk44_S z@F)RmU|_WK!OTsZE;wGUep4|mI!fnTO1ZYvUVqV>52CRm=O;6&$E(F+TbxHFISUmc z1Ehow@6Kmp>yQCGIR<~{I*lm(;lcABCq$`)|EL$Bf`N-Qe;7ybB3FN9m)uR5=gXW> zq8Ov_;ggj67X;kLK0m;!_68O|;KO@H%dtNJ#Anv5`|e6}9C@l+kz^#3VV(vC)cONt zg4wjLKoQ&5EzIRCGA7gNqN0I-z#uXye!v2TwC#Jh3fVB5oGd-CW^T}IAo39hfEAd( zjgcBHDSZ@vS%9blG*#U(u0J5DUmO|6BlO!cZ@p`I;Uu?KZY+GEKljAWx=-*XKNDxf zL%fBAK1!Ock&8 z6G;h{HR^qTXLC?hq{rE$3+@27T*!jltUGi0!ofW5^>w@qq>R7cNYJhZGg%w{xk~?q z$+Gugc0TXH*i4=xja^vW#`a|(#>vh|V$E9dQ4r>vwo-$1{j|0LWxFz9;?a1P%C&f1 zNSnArr4h`fZI}73U&T#5f!tvRw;ePhsk z)JaujIqla-#jmC0-n-bm;k;dQ#qnl+;TJw(wo`fjGbz`@y=w$F?iKAo&)JR|J5%nF z_if|aD|xxH`lhkpDv3iv#e7w)uE7<)TPNZf4$9XJrmzZfb`(o+H^0s}P7!1pm1zFq zp=de(BHE(&*GP_$jEwegiXPXeN_eHRuCsVm5@Kue0a zy)zH$gi$yqI{eMw7}dS{f&Oc4m)0TIs{%h7%P)2v%m7Or-@uIUz&@O>u7{HY>pDJKC?uEY3= zeDpsB7)uSBJ^;P%O2${p?QWQ{$3N8-EoIVvFFO&;Vq!nCWo~_0f|nN50h}Wo&wywp z84&jPPQ+1}t0Nb;-fq6V&@>EQ*{J}h(p<$xh6}25^9u5x9z%-I#%mjQ&0c)zs!%?BGdr<%w9BJ?Fbz2N zU?MD1{{OiY55W4e6ly6=Eba&l7uK?5=9fD|G_ljPsJ!ArFR*X`{Ld3hKsf22biN=y z0x;du3~NU=(D4aO^Ok);G_$$HVHl?6MR zlJDQ-~+Y3}u^YuY>mbu&}j$%T~@D)d}G&V)K#L{>GnU`|6Q- zhZm-yjCbimD1@>{FAdPY-~@)A#e9NE_M!inaT)XS_k3EQ)9K|WOwp@Yxmu9d+fooJ*p$amUIgN`J%l(->lrm0*q z=IPcyU=@ErvL8>;<-WJS2`%wHxj0k&xF>#4n*ijQvk6S6m_2SZ&u5qW94~c9=G&WG zQn4;P`h6@5xU`9a!4E8)VlmCz(1RgnC5B`gqfpyELEXE1K-1dW)xa4QhcyEr$2unb zh(Qx4f{l=9?$0`Cu@ktjZHmh9ZR zSu*|sLV-g%ilPy+!@%LwxZMQWo*mP#2OpjP?^XlO8a1v*-5{L4w?L9#B>%M^anAfX zEMk;8MJSo#nE9#QSwbXt+$?;GT(B;mF*@ntYnKrmP^4E@$Ud#F&V8fS3E}EZ4W%4aXdGIEg}n*>$mNPQP)vx-ir5KD zb^YFH^ewG3N(bjXlp`Rt{`N+Cd()Q*VjYBBHC%IJAwt{gdRWS6J6G`m?dYq0O~|K- zy$byx^uSDerHdt(p|lfHXd8BWw9qAGD1{`tU%++neD>B4+^BbJO;s`Cx}2??-+i&y zV!w~&$1T=>fr))e9MGzlTDRskThH#r&g=*}DkXEqw@LWNQKptBT8Nvs5{tG5-o4O} z+y4N_y=FbH?E?!b$Tk*LBh@cFeJ>WF0Dn7W&40a7w_i_|^e|TIlfoH@U}e-Ciw{pL za#`#S;X)`#WNf;rMBjGcf&Etgv-a)nkbX%kVIN(@flVSHvzg#Y+h+7@)~UP;l+&B_ z^$=^tiBjacWCzUI4OdhQ?pb(tu(8xZ?fh(Bf%Qt-|GCDVri;!%D^UO+(LQ zwYgM(U5*HUQwi*pP4}9yE_1@UvnJE}4cLlj)I_9i+Q*m?1o0DiQ%nLHW0lCr<-;D3M4P`-xE=LXJ*tFoe;iW0KS z&s^&z%E({+%#!OZ6?hO9hI!#K^Y-HBt+O}xeyz!)^EabRJb1UolRhj}Z%Nm%zS%x5 z`xHhO5g%?Ev`zAveH)V+(H|B}Gy{K#lvqU+hI*_muUq=AhKb`<$wIL$uGaNjinLoW z+2m7F zFx5-o37*F;2IO0lDbc_=MwRWJVnxm^!3UqBz)3ygQgqeD^SlE;+eF5V=OXm}R$a0_ z)Cp--5RF-h>Z~QFvZk1fOr^6yBsqT$=`N#W308dNf|C_^ysKo z)2Npl+IDu<<;)Q>%4k? zy<5Oqr>pFSa#xc#{9zy1dn$S9_-E#kNm&K*r)PTIC20V>0ix{5|yI*AJysI?% zpyrg`l>Y%^RY@&M@Nb&)BA|V=t$Rb)@@7fEk1ZNY=qtsh*6DVN?Yg&J@kBZ7yU^tQ zhimud)!Dk+0H*O(&%a2Gch79Zv+X4oTL%~Q3W#c$NsHcG7oGP?W~vzp-a6QGNa?rv zldHXD-8Y8yUG0 zgZYq8cKEH*w~Zt*Eq?-1LpSUMjl1~)TbF&e^fCddC$>52?r3=2cmX}19j!20QPlT= zW+-hO*w#%!Gctes>~&Z?2PXwYC+=Md8MI1x;!WA9l1hoq3sM|{X#A256}L4XX_LdwYw&#g`bhgWiOcsp4uLUU z?z2fQIy{}GpGc04FQ~&Gy0I~Hjj?rIzj`*gXNvO1nhj=d^NB2|YjJL^U04nr_7a#J zImr!Mu>at(t&&-glhz4xwp2D%`}@RumSp=0#fdDX9K#=7W=`%%TF25)LL^QC`8@fS zKYBi@U-7qP?a6-@fwavF*;J4d=$2!Gw$J0l6}$N*WnRaOt18w0Pl25Ye}gqm9?*k% z_EdXq+1Y))c(nRW`~G?54r|xX0gt8+Y*)V5Nd@u?Rc~)kxuCHljI}{=lb_0$?mI@D zGtHCbzaaKn6uh^hK@wCNd6W1Zrkx>VV2_C;wF?|kQt#gbQ6_0+G(v2MSgq4_8n)K` zl#sW+EcP1<42t@K6I#KXI-DtfN{Ylvm8(`gakh0q}yH2|mf0kv2W@vY@(VMfm=p3R`Se764udEXG;=;RDv+SFhb|gq6;{ zA?MnRFFbYtl7?|syRV(V*&8MrLrgzkL-CO+D5#CDC*W|qVTX^<%u~r)jjFfQIN{?y zUbW^BEK%3fz4BfIVS-;0rO47xNu$_uJvNP03vJjbb|gdQvpFM5Ma^XW%6~xyYi00z zwt$t%l!)~$&6?q8CTh}fn#U@Vlt6A)ts#)H8kX{$&G5Y7Nm4>awzDmwLtiK7Ub^x;Hc=#`kW?;QKCWcZ)4$@Qi!VnTFU6pqZRFa#wla%KkC&-3 ziSpOG7WA!UUPIhO?(y|6(AnU^*u-gkJ4 zfSB^#+ofCxLluA!NwB`vClt+FgRx`ao=tZmZGwaH*$P@#@UqiuX3th+nKXu9?cyjM ze1MfcAK-81Hi_0CHPA~wZRmogRR|N|A7aAqq2R_utcA+f!calb ziy1cW#_B!$4A0N!elT+kL|Qo|k@v5{g(MIAPTQNkiD-$s?!9PG%5qAx(38AG1?YP- z7G<%xYKN$Ja!{*o=yIJy?19;5#pzUYPV{q&Z-~sMDTAq`X6$iGIzx6{RjV?E_lu!K z1$b?uQ73xGi{xm|^7QhVg-;R+)Vx0X%O4%}+4F?f7F*Ue4lZsu;WtgDmq<-*#uAy3 zRbR~$z8{0OX_>GxMH0s8;gY1-$lhqMyVwYa`92;z5N2a9HDpW+vSy0P;8J%{Aq18% zuhRvN;OZCus3-ste}`qJRe@V3i2Z1R0XTstGS37saA{2G*I}XV4Qsj!P^bn$Te>XV z^z??}e3p0#_Z0J#nl-C0AajIvCmvC<|o zqlKnD@TzLjLf;`e(|CikQF)$V`^9c52Jrki%AA>7L30(a=xyZI^ z?r-)4Nlx&wxYJ=&`@dwBXa!Jfvy%SyFLHs(ezKYpIFaU-&#A7vpH1w&rxNBn&UUip zq(Se$SO2YEnm|A6>-sLPl%tJJYO!XV-<|~*EmpL+EScavj>PEX$W$R?S2n*hN?jDH zl6uoR{4gKlQvT3BmK?s&9N-I-*|)z|(buZjNgg8CKJ8CQdD`mH#9Jky&^^Edldu1R z)~tYfe}sO?V;Y%#Uqq5Tny*h3Um8)ra7L2W5p31*N=J4g^z53$6}9lut(>{C&6Cn` zgt)S4I=}ro2ef-#6FHt>TFKhs%S6{YQQgg~&7auIF=`;K7fhceZX08*h_{ueHg!1n zS%@d+8aBtORg;ptEK>#k0p|yuCljW5{WZVWUET4>&m`}9`WiW6L;e9edWLANn+M@H zG&)&P!ThVf{&7j)K2+YdJ=cAk3?yu7SvNilk zathI6Fsi)XX=ORBhJs4;iuJB&ep1BLx?g#)+n7cEh&a)=L1hfm@GK26Cs&4sSqc;M z2;oz9xwHprSs>4NsLy*yB_x}Fhmp_bg?WLGjDQp0H&Zz2pwpq)l0N3dL^UsB8IBgb zO9;Nl4cb|fWiv+F5gPQ<6Q#12kgs{x?ye3s`&5yiqTA zW?+dZ1N*EwJ}C6SoNqEV_`cb>Qsd zYj8Yqqei}vEklXDjF3DnG*J?ewg37~4|fe=>Q8)-2;`%xu*~GSkq&a6~yX=|vULfPfdxV93 zdagTNbNrYVMdWGUm~817|8={pc`FNjm_;7V=yQ_F`ZZ543w=FYKzXO;{MVwnNK;l< z)bycW)IQwc?>}I-XbF=axwYgn2|D_LTZs+z?OtWu8|M)fDHMGL7Cb1r>~0E@l}ea7 zT#iOGkn|RqA88KOrFB+rziJQx9n$ijh2GG+ax;4GK~z&WdHvP%(3xZzOsRH+oBI-O+P zDQ|vLmo{S^Hz=5vckFG-7}3GnTBLQwKnGMGpGmF|TqFSvee zU7l_q4mWZm{A#^g%~NGvQ}mIA(*O&3Jf@lbYsZ!0?Bq7&Kj0TkkTVK-qozJJ|JwDq z2&b(3LB0?xrI34*`M0lbTnagxbGJ%z@pb_qp&Dz69#zzV1s&^R1y6gf`J^5slw0bt z-w~gCVUInvUzbJA;Nqc0nhRtl50*Zy<6z`&y^n-AKE+-fLMXWaJ%ju8E6x6VhHcIq z1j*5rD+5lyLwEi7*pD0~u6Zm*qAlM<{qSk1B{oDn;n*yq6T|M#vDrb!iVR{D!xeE! zL8y4D{k^Fu6w%2c_S_7+2ygkxF}9{M{(n3Ca7(KT>q_U4&}X%8q>-P30M3u9ALs0_3V83 zz3`qoHBeiBu~I-k`}5{m#d(6jv3s?bq%SncB5sC-yp^q0zgKA7as3P5dm%3Gm{Q@v zo?^*Q3OrzS{p#*pu}HB5*dE1H6W>4{{urkzLEC`uD9$G*xR*VBXsUi0&dOaio7NPG zia{@uo2}n|bhI5KSWe-87Ht)-KqY$%dj{b)%xV(6DT!^nodzWVZHMk0SCQa7hP($n zGmXM;^52TdAGGZM1MG8V8nIr-1hty`!b1KRhgP_6knWSqxpsG%Bdq-meAK#9Csv>m zGIPq=PAJ~?+;0%2Uk_;Df+I&?g1_ME10iLR(gy~@_nOs_v*L7Cc+Ow&b~~pis)2pB z&tQ$d9s~=Bvrj=nB*421nCi=($Q+?mrF`^=WzQZ5WiN>ZfLA zcz#E~C)*9yOq5qDpctLypZMc?vj$BI3{*$oR}?jmSLbsWE1-{2w^1hAVZ&Ly@SigD zi@?y|bh^_r6a&jc4BG67#J>byiJ@QE8pE{EV%1zG1NL*)V*vXDsJjB&xoK~TsVme7 zlqYgeb1a+*8Z^YC6l@Zgn>Z4K03LhOvO%=`-Mze3@TvJ3?_FK){%FPkET@Jb+~`{U zONE1`u=bqD5JVEd71b?*<743~a(aR;ECf&84`0m{%G6R%ngM6$>a-8?Du~+M)Y)CY zH8IRayuAk+AHx!ACG1uN3!BC1MohGT7m`l3OcKy|`Fp70q)28(e@{91%qyXhpBK7c zX|(TlO0Mug-RM3BLVpuHR?(qc-gV_%?CdMCd>`r<^0bSTgl2KW>yzg|?1w|fQqg@J zk;#Ywki2%|RYAna1=fc7E&Vw~4jH}S)C|^h8)x>H!pdJk)!z8U5Ce!p*vuqobd%vs z3z--}xZ!;L&H4{cnTtxBi)?7V9A_kT z`0Y=SXmX1EKj7_Y%jo()fW>F2cJrGT({=_gB(uMpA59bPQ}|``>9+qx&qj@QKjpR! z2kl^)nk%PV5m*;j02;z7#VVT1#rWlYEJ=QwOxViST^%_sn^In8+uBz^x||PCiUneQhJvzx6NEsuM zRvV-e%4qQ-u8Iy1s*zJroK|Gl66>8&*M}K@*_axx^-(y_R|`fG#wzolddzgwN@w`4 zY>&by6kS)1D@G{NY|(7!dTEzp`7iVGECvib?*VT_!3oJOx-z2SXnyO_qvN?5-cV1u zsfIF5^E8fg%4#)^G63`C}pxl+$Zgh|8f%%AH<#;Wr^4+l~EV*)Del_LP+g zlw9{-v-!j$&Az=ygzT1aE)c`bI&B`z*=l{>o?D()qYxbi8aw|IW6+iHo(zi#j~nLW z^*?h)))?OMP+PEG5_>Zn@vV)+P-xtXSwuU*awH zz0o@oE|qA{ppY@uV3L`WNjP@j*UG$A?yFXrBkEr9o|knhaHXMKWn|4puq~maS@{G# z?)!0-?MK?8q?JD_vo87g)Yr^m=v&Nowy^Xs^qRnyS>Iz^bVP~WZ!qLoqS=e|h1Rtnn`U;43ktqV1DWSaQiI0Vt^7V}r>3gLU5Cy%tScsKcw^g&h2@XhTG zu?=39E>5`JSbs^s=wsXH$8D!iE1Y_3|D2|}5B$naz^zXr=o;!gg+~4?r^PDr@qDmo z+t39mxjU5Jeph{j>5AAdplf{XguS|)D~NdDxCLW>(PF&2PbkR7rVMa%^B(E7nq&PmeZbj=cNY)`SxaCa}v2tXQ(AI57*?@~Ge z@w0i5$EL!^e;owQ6;^bk^G*n$=n07q%m$h9hWT}rie7waIVsb4MhB%mgnKrw&36Mj z0oG}}2H}o^6`K*Fy`F+~ye8I<4Cs{P08=S?B1{?JuNCvAuO^g>=sacN4qb_!diyK# zUf!KIjvK3tf$3rKRfMe?E(CFTgVl}c3_wjfRa^wE*7p?ny|PDv#>&YLHHx^i1ZhIr z2d=^Y&W>c|U2|Fn;OsEg2Hv#;Tv8 z3_8C>hJP?V@Iqd9dH81;#>0@=@Wk6eA|U3%guf=gmo9sMNahxE_i7fWul2sN3+p^X zd;7JOA)j*0v7XQh$CM{CX*xRJ&gio7o6l>Y7f@*NrC-(<<>TT{BdKrwkY?Fx;eIDl z%)egudZ!91m;4+`%#aVp%;s<#biu?3WUIU8nAiBZu|i!VZ6m2GCiVs%gPK%}WiQp` zT&(3(q#Dkr=(CK);&S#64%fqTohl;B33A;O^ZhCTr&#pp-wW?hyqUq@j6>}bM@%Xd z-F{9LS9-^G$%3i2emnPmLMmQ8w5tHmM}oQgi9U(*$-uKc>7(6e=i$GjA zOP_pCr@j8Ou^{qn;owfh#SPV$h)DVZ>Ot0$gO^QRI^UQ2U|cluaJg0Xlr10ufmb{; z7r2_sn~E1M|NiHbJC^*LCIJT+^}N)>+i964MC8>*wc^irBA7~g~x7(sZ_j}z6uN*?(Zuz-`_WVv?!gNC8*XYA=VknES{{G2h#pt%d ze?U>w>xKVRtN%uOH2Pi86CyBd6>D#)Lz^tz@A&cp*Sm~#$*uo@vW78`KPW!`S^dG= zr+0iCxC-r@IhO-A?EdTTl4<*x^YOyHKHaq`p1jb=92d&NDd>iTW3-qK1=`CWtkveOJpvv3lM`Ojd8RPFtO=m^ioH`c&L(Q&O19);*4C zUQu(WSf3T1m1gutBtd;r?8z$&x&d9{FM|xBLbj>;0nT7jkHuQl6t~cF0y89egv={~NaRP~nHSg@r$5Jl>|1Tr zH4Kpx+~PNbyu!XFl!49V=mU@4$NcHAYa8CDOYqID0u{EU&W3;jJc%ax-kp?MK}ql3P7cLwU`K&#jrN8&9$=o?6ho zX{QyA3+$C%6#cOTFEx<6v8f{%P_%hm1U)D`uId7FwI>%p9|Z3gTIg3kJ(YZACDlG4 zv36^;Pq+&!ikIsVbl0Mq{MV77Li(T#f{fCsd8+K_mttXO3Bqau<7AIDtvrlp3{~JK zy}M#e$foGMy*?GO5tAgdO&en*kI>$?TV@hG?>I5lXR+9+{ zsylkPA($#ths;tvLDztOV}B?Hf2Z+K^`mY?u;_qlv_X&i_02?hDJJhH zL#x?2ESSy-cg)GBESc=?7o_s&ez%Pnj(lqcIr7ifZLGvc;!3Pk1Nw!UjOi5=<6n%W z_+*3l%-2!sQNA>OCt#CdU! z3~vSgmgt2WwY~!QL$(aIeR2Eh3+(Nma5HCk zn1u=ByML~o$kmFG=*_`-iu3VxM8GIIGYPC-<=(Jwxq}ez4S3rh8AFQ34JBnkH;bwG zCHe(qcNlj&Gu{T^ejsf!n;3m=L%$!7 zGrcE<1(c7)Emn2(Lw`_oe|Evh4cUyEBk19+L9Xw^>%V2Py23fvf9UsNqGI#`2|vv7 zsl3k$j6cTVuGIVbUX~iJrQs_ZS?au_5Ig`JTE03=w)@KQr}Zf`^-OoQfUpcKT9E)zK(4<+FUHy)_;qsMSCh5oL}smwwLE(jJ}Poo zO-rpogyH}{@Z-HhYqXczDf}oX^d^dR@IczdL|O*v65yUJyZ?Flmgoug<7~o#*X3Q~$bJ zafc>xmwE5|e3!>^pRXwhLamt?aHCvWH`eVX9Y)NeRmfOXzHoja$S~*3wSRy}{8(n@ zG)bgS#?IRaNsM87tP?tt5z*y#Tc=Jq4w_>p;qXf!-i&%^Oz$xmDn5mQ!)-t_T$9Ya zg0IQ5DgJ|8T@Gns`iWnL=p}Zu?_Rxz(h+JJQXPWD8QVR!Le0~-MMB84pR!II3Td5y z>$LdO31vpqpbbU-%}*AQx@Pb1**%Fw$oPZ2lW7uzn~xX)-9I9*)**l$Iu%MQnVM$- z^Aeji`M@)J{w{jN!+tNm_dhv6y=Dap{rWQEJMddl-OZwHc0KXhO!B1_AT@Btz4)2<+Q#z z!Y_mGrG=EuXGg)bFf5qX<~ZwPhi4=*pf?O}vL30~^mogpvJ z##AY4jvK;Am8XNYpA+ttLVg zS;fGgmTPLXRJOf52JT|@j#M)nEcLqj{x0F#I)fyMtdg(4jmpggOn#Py0F*E#SB+OQY8g{$6vVBf3Y7vQrle&v$qfxF9VFMEm z5vSw`8uhHpOLbY=W_W>xRBP#Oimny-Xt=-&(37P7~oNa>%&x|uokAx0ePlSah|Lok{DE;z)x!Sqo zD*fX-=ZBL#A!SBCNV`RJJex9It12knR_T?@W{KGvfT3A>B}s)GV^qCHC{WG@^?Wdv z!*?TKOw57whMf7UgvNAEE-HtjI%c&`GO{gpz&s8@% zVK#rS2mXYjwf+1*tjx0DY#9W!C1og0c?L5wlcT(`OHi5>ftU)RCSpFav%i%Yk9iiH z10F#91Ga~ff=s1cbfIqaxA;B1kAg_)4=Ta8qB|79-OJH0K9B^A8T}RKkUxC?BVyUB z3SZ;AIQtKr4z0TIH>&0REDPE7qUHxina$p7gM(;3w;Qk!!I6<2?=+)=rKDc9wKLodJc_U&nB?@{OOOCR%8qw1vHSMpQ&HJWQL{AK%B--syQKeH_&(yqwqAc+bkf1o;kfV_`IM!xibS>hGgJzR z;qdpKunZ<8bzt@KFIAWkThJDkSBze5f&uNVS>LO!@@H-%|Bk;Ze}v3Pg776eq0R*~Uw@A`UB(RJfdt2G?X77;KO>3rAB>k-u`aw7%`szY_Q3JHSjs3M(XEpGTmx;nuv84 z@V^WDeI*8KLS1vO!1I{7_*+fy;TZ}WbJa{ScZ(&ar9W11qNw&DPu5Bvviv|=YP#Qk z{&q;=c5v(Fb-ilY*i+vO`5P|#`QnGKtgRo^0*j`1T9!o$1rc=g3B@DHCTmh7sL9ek zW*+JJ5jH~MsZSZBc5;?MyiLMNC3!fR-xHm@q03D60~d-oC{zyP6Xajw$S-WrT|Ice z_&oXsp}~yhQ(t#3sX_O$IXO@F&Za1o+2|Kz#*eLhKF>LH!t%8HtB;m(SGhGs1%C@C z{CvEugQXl8dsd-^k4OuF(%2HVI+ z@p;G+Tu2s4Kp(O0&M|(Lul-))pQPy;Z=^0`ubTJ0sFy`7k#sa(7;tylgj}DB8E#O$%Q=y&;J1}Z-A?&73o}|V zXZ_tFC8ya>@ncziN}wC*3~fj{i20NkyH)!%leI=2emCR7GBUI1yE}R`U_6jITvALI zyG0bRU<+{g00os=JJ`Gfw{zc}C-PUthgQFJB0G?wOb_4jwkfcQNotU1b1ht4B*XZs zzxR16Te61Q?Gq}eJ5}%eSMcb8a7qvy=6Bi8*5Fleyq@jleU-;0+-z@CTF)NEYV^YOtT-0g=)HC%4 z-JjH#>NZdfd&tfDtxCb>DXen%ktI{mKfoRvYC-8PysB!eHf?&L0V=UoS0iKoxPeHh z0eh+JW`oSgDh#>IsIUyj1a zs@q%^*y$HU8`J-Nf>Di)(HEyHCki%;XYZc*oiBgr zH@^`TL28C9d9loon9}hx4bDr12MLl&5wxQKT~E%_)i`uqd#%OL^o$_0S+z zmPvK-o(_h>&L_Yic=bVfx`i((_7)`ZLr-}B$oQQFrIYD!!D5V&q0fi5laHTyV&|QA zb`=Jw0dY;Wzk{AsYl4*q1;?q69HMVtoT?a5vp117Dtu# z%ZSut0O}s2%E_ULJX&fI*~ty$5)eug$@k$g@=_F^NGE!);rUt0yI-K{1*c)JOC@p2Rg;K8|Y8{ev?8CTy?8LtA)T zQ5x?3z!b<{7mba1{%$stYI5?&FB?=WY%fl|8U;LI{J5&E>{4{k>> z;&i-dq3V=Xi(mDEUs&CkjhnVKeL(Vy&z+B0KYpaXA{XR6ca4d&eIEEYGE6c#{*G-D z9j!n-JYp|=7QZVW%MGLGEuHQ_DY}2$=(wZLBEs@{93dVZkCf?$fbGaJfYIxvg;@VJ zJyMN`XG=98i&5pX&kSP~&!$iOVmch(q)Phl0?B!xs3o*u!- z@sr3fTR-!_f6_eUS5yKg^-*ZPUL5hcPnnpBX$i|IT{7kwG`({8AULb4km2mbHf)Qx z6Z>5PLe*6|m5NGoFsktmrOyjydX{Un4Fnh>m4#AL)*4M3@=2olNwb=lgZo<4R<(nK z`$}aawwDW~)j|&rH<#pl)T{qodzh0};q|r`(;(8SzJlHCG;{x+=O@nF0}Tn2{9A53 zJU@2Zr83K%D8@9glRUIYYwrvW+Tw3FJ#esZs7u@|`rg|st+>)!IJi?RzLFTFZ4%Ak zBz9zZH_v@oQI^Zg8`Cmc)Btf}G=&RUA?!0La{r;OR-|RzW?fT0^0v>|gfCp%ENgjB zQiYa|i6kj0pZ~2>SN;#MsfU_&7gfp9R=vZeWGqT2E`&agWD$t(N=QGV6Oo7zi+g8l zpxrg#g~Erioth{9O54F%eK_Vmc>51HclFg{(hG27t(_E-@iV418njbx6c<%RH^j## zv{YbQF6!}H$HZpSkZW%rCu{Fqo{U6+t^>FQQLgOU@{Z(l%Qq*Q3k zY{bISKgsbMCPb1%elkD5zmV(1AOBcKgpn;WP<}19uDnL}dbP*kY%W9m?Bg+PZt-0X zuRucl2TXN*H9)KZpeabP?7V{U8aW%BWf z#P?PS1}ujDNe>7lPj_$jN%MHAoZDdGnvuofTLuv1M~DB)aQKC%DFxKojB9NIq}Ngu zLtwa5T1$PijcO=T8}=1vwX|PE_by_{(Xc#qz#q`xmFy-Q|`S2TO#W7Yogro-BU!8SxAd@-8<}_}%VD z60f=iI6A-zbI(vYRpD|M2KWgI9qxTg9YP~FU(bqehYc!ZxEdI!j$0^059urJ-I7Hz z&f|ZUKDz&Wk>O~J>yIt4Ev1M|H0&`pG)M4e#4lOqcgf%^o|3mpdMj=5-rHF=@dziJ z`S6XxUHQs|IBl8h(}b8Lh4TY)2Se~YnG82D&qo=o$}?eQkC4}Yf6k+Q%7?;!f~@5Z zbpA{{q(9CJBA4lqvW8nB&liPU>v#ug<+ZI(JgjTtI&&&xrM#>KxQR~GPGl`OHzXOc zO{18s-q~3fAlIl&9l*eh669$!1;dIfvpS%UkTHW!S-9?!tsCj=g7Rk zNxz+NYm6M_QUCJXU5Ku*AAGoe?vhT+RbE!uJXUvDHH$Cw2jBBVx|2hmG<6Gm{((Gbc>JKW}9*RR>~G%~r~Q;9Th=OhGe;_S}E( zSAFBGAg8Hbh5|M{H+nM^F<$N+&p2Jh(qC-XvP^rzA;MJiTO(qrUd~AL;_hC_#5w{| ztN3L8a7m|cyG}rfaO?9eEC}mrecb%r2xQc(WtsG}%ga;M0>RVDxX2orKz$o^Nfqy2 z9m~%n|M_vfnCM>a)HExzOpC>Cj^D50_Xa1^zJ+(j{cL&9uSx;|$$jt?M-%?TcXfqp zhCR=BzK+=C53?{d%UCC7yX+IRcV%Opkj)l1==vjfW6?2i=mza*iB&XpT&vf=GNzIcDVYBU7B+|<>U@ZBob zccGcTExWJir)2GN`%M`59{_cea~<+q6^Up47!>Qj&i){&k0?eph|}%_*yg>OIfrw# zIxfcw(O43#qVOR_`p#kM^!V008fi;CgthBRu5=-i5Zv~t=(C0vWF`vB{CfM_J|TMw z%a1TVBY6(;ZaxF~xrA~tf1USmaZEa2!MP{BE0ybVX3R4fNdWyM--Lv{f4i$OXqgbt zBe1(F$hTJ$c{xVh!98!TrWDCmc~(25lhRn=LX(nyj4ESj2iMQaC+> zLd`o5Q$`|Q&)NgU4T#G`v)J_kd_y&j& zVK{j>t9S8eh=GSZn}&gO9m4hP{kCN#RHUJ*_@<#NH=lDea)efou}P!(e9~PG1BDtW zdSbKx`#TsrgBKmuaAr{Wv?z40V=@CqU}2O1Kw>Q0HzEdpteVEW!I47Pz57CnDw1Hdv}1!yw=Z zl~@^m#j~I7#4cIKX!d0}UE{t||9IX#qlr(+&`Q{O5|#nEQYwhv(N^4ov7j2P8uC7> zk9jG#=TKoxV(B#%EQ6XGYY((R1o^Z6w^ybb*zIHuWbRvG*cFxcR&e;^A$nP4mk#~= zBps=Lz-qq=q-PKfz{iq##cIHfifFTkK|}N&;5IIkBNG^ZqY)H=+7B{~+Tq^K**$i< z<^e{B?G|SFbKmmr2jm)Z?X@5zH{cjC=a*t7ZV;Q|OT zUbNivF(hV|Zi1Gl<;&h%bWYozsNx_&&6Q(qQomG}k(Q7dJ3P|9WFHXPJWEn_}(rRy1ycZhi{kZ*sE!o<&I2^TK1bO#|}y@v}7ZFm<}xLa_SEY-1dI(lZN5 zu97T&T;i@gm!B}3he7DAI{!F}i#s*8V8BtaLl zv!Yanot5Li<3rwxPhT{S*Xf!r`5X<& zi1gk6_7B+o9d~~!Vx+G5MsVY6^E>_`{%w$!-bt0xRz72X}}RV=K1aKtx6UPxB?{sRAVXF+B=B} z5VJ>{8p~in^60ZO&P_=B<=VBOku{KuYE&m1K7AUGAdf?a7%b}UA`smA1&oVF!z7pp z2MwPt{7NM;V!6QWA!>D;l{uisbK;?A3~f+&kDTU5MaI@uWqyIbG!!7){L3P zR<=tr+WZpWVhtNMXHd9YbuX>Ff5=NNCNi;S)dNTCQdya;|65w>!;I=VepWhK?-Z_< zN}O?72Z*9uW+USt#{)v4U(ic$RVzugQV;d0Q^N(nE0_B~1w@7H;!gKi>*m1h2x56D zSGMb9#JTEX0k4+ow3$I0)FXmW^>Tjrs}C)nTAp*fO&38iJ`Ocne0m{#NPalvX=8H> zt#SdJ@Oi`3(;OompuRF$!1=QL)89KIh0(CMXl;RF^wT`+<4&e}G4)I|fq3tA=_jiH z)!JeaTO)sQlW&30L28!!_LQ;Zi#wPh6`Zq0s<+VIprkI3$YeufT1K&ieaF;@)kk+f zC!`e{KjC0pBG3r=@ebGyA$<;Zk&c0@^{B_Ml6Fh&)zVjb& zN5oQ6hA0?9{l%Xr`O|_2+0_zpf;;y7B$avPq;6ADG0+Ud90Ce+XK`(j;{?NgPoJjB zC-FE-PP=lmFWx$z8Fh`J4SG;`7x}@R*^{@To=DI?r|^6o(s?aGm&l#R@4GH_Y2r}U zF)K|#=pV4s{7h3!2lRgJ$UFkPfsr6AIT_8&H7k5sP5`x1j4W>&X@}*>E4`q?4c6z+ zR)k&bh?n+X8Ou%dZvYb&1PuR<9ho1OLpAoYnSUI&RMSnEIb{Jn!aDlWmA}+4&VyFI3BGnM+?0FJ|(sYT2 zN?doWiZ~=K8kd-dNoMnJ41d7Gc;%f0jCsm}o@O(5F^};s;?+yRE$;9yP-r1~SHKJ= z#;Wo()oa={+>Ly^qhGJxeHK0D^#1a(99CF^<7s0$Kj?2dPIuPhpCZg}Y<&kv`z-28 zzw~F^=p+I*`hnmQw5gXHaJ#Y=%^G;T3T;*|Rrj~~$TsOl1CJZ7_N*n3{cqcVFhQzE9s{-NXL50p>*NSI@ zOu65!6`EdksR-2{2Zcy{f+s2XKAmc2Evf`Vb&yPf%QX^@xkRJ{UMO3^xR)1O?b zN`2CCn;bj-o@&Ls;H7sMwIqAoecY&ub66AhUV+tTD+qajbu5DDGd8~+N$5HqhzLTn6Y+6;`ODVeYJmp{{b|i*RGmO5_Zfru=V{8~=XyU2cK4?9Ebhc; z{r!~jdRk982Q?K-;*pK}iPX~J=b6CcQ}W*QYnRx9dFk`p9;`TW?-n5V?guSIMS6P5 zZD@rjKD-~lVV0Ae+`~}E%}adT&%^IMTfnXx>L@ozF-27|I-@OYAMS;h{M;{2EEEy> zGz4_iDd$?S>JfGZm~L?_3$^A+)9oWv7h`|mXC(YRCNsA?PixcEUuT z8&nn&njMB4(u98Ss>Yam*x}fo;F~2Z{3?6bj<9zAx!r<-V9sElToa5A*U8+sX*4#G zrEg=ylsJ}Fb0Z>_j0ODUy65)^cl{Da{_?q37K#8ex3IjopVeWii-_YfZlUC?uH3l$ z$@pgUDAQ|VM6`%9#d56p-gA_t69u2blIUIUm`LrkwdeB27V`4Wdj*ZY{2scH8|l%x zDXpz;gO#?%v7IW=Z%+);6HFR!z0|vHSnu0uP!t;d@h@R4KYrQ7GDes`xW3cY&Zi5G zj#M{lsZq&nqO;-sZ185Mi%B2U%d-4QSW)uvc7;f++0B@u{;UOgWOuv34}P}4q?Gxn zp$LQf<=O<|Si10%spJ+uB}UYt(a3BvofB-IPBF44?B+7G|3P$=vE;vk2ATcPtN`IS zx3WPY%7i=op-2vdekv8labz+$LIT;>7hV++0}nO>lHNq82gF~qN!P1!S$Tqi*`PJc z#~2x-!=@Th4_f}+KRydt^=peujX^^Umbf63-T8ekZ)ze1#^5*-!a|VGJSQl@BS#m3 z1=4k=#%Tpi0q$vO#oR2nG*c`Mte`oX6E?dNb-5 zD_nZd21h%^YizEb>(m?@19CBo$ z%l^L_K*Oo8Tge7?kzuLI-Wu1MT$_0}#$t4kBPN(js1 zPE%f?HtyG|8q?$UsP`*)>FR`NKLv_8WI2Or1a8)E5wSz6Z?f4|u*Tl{9kEeaHpV&z z-mqvAc|%Lo-JjM?fw3b;GU0h(Z@f0Uv@w142`UDS6P{MmE zPZ7t7Ot~Z#+zTSyNQ8l*6x;P=oYQQ)JK(~#EEcXUAuhRKo=8s6(r()#3QA1O=Awg) zrQ)vL(}*#hQ$+L+r^8->|4us^UmcF`%KQ_?3IJ2MEnR5n+P!~3SqjHFY7Dbg9A<$p z&z{Bv;8@{#43|}H6-4fi3GMPeDd99QFRz}Dh+(`t`!iph16(q@D39Me`0v;BK$kk`Tau>fh%oEkGx61EQj++gm`z}6vQZJ(|L7} zxVjR`H5%1u@2;yxdj-YlT|M7ePg&c5T`HfEzgV~RG1M6PcOhCZ;>hg3x3LNu*S}Wz z65TWM7^xO#djN7kY$@9G;cCow}b|v?5 z_2Yn&#%1G|PqISFGNYbV7C$*1a0460SJR*y z^@li};1bUMMxmy#MANw2{$ErU26_HYTnqK}M@$z<>zygum|y(0AnPuEuSI7RcIa=( zYxTS{K`4<%=>|E)q||*kLSy5R$`ph9$ioV_{Kl_bihfSBH2;Hf24yh$R)Ro;)>Q_v zt|Ng^$<66KZ|T4k;X7$w4dn8}4F|yhf<~6+XxTNa;>%)ghQz4#kY{Uy;19~mlq7Y? z$upuF_*ng~otQ<9_Psu7k!8rX?jH1{(&if{d-W9RMO8o#&!X$`$ukBU(q09_qOcL& zZs~dOQdJ8Q#qY?u*Nn26tobzeb5R1!+nbd!nIR$9SI$-PA|n1i;#7DvtK&;^^P=qY zfH@TZ8%BRfD0g9@EnRP+$P@|xjQ$rd%aiQ7ao*!+vgO`uFMxw0P98VULH=TWr$_yb zqFY^1YRD(H4u88NT6wyBz_h&QhjzZ%PkxLg%GD+Iv$jX;+=!Skiq68& zhE|Q?oZl~1UF`~MSg{dqGD+@PE zhH(n=VQ1*5_iN4w9(JSb4@qW+0d6lhn*qC6_k9}s;lw7^T-Now9eO0|+e~3LpUBC= z1-1O#r?H{9KeC(^1$L$Uar>UBBn2->J(*{v&HW~ABm-1g2^;|o|@7V3a zJD#|=KqP`4nxQJ|9~AXpfzmbh{Q`Ow^{;QYORG0$#Iv8A3~?Hm7PAG#JPRSwJ{k={ zDQ_$ez2}BAaLGoJpcxPatNr&=y2w?M{HYApkg@@Huuo}wty;%00Hul%YtI)4TsC># zcSqF4rCaQ}j=fszKrL|ib|H1awp7V9Qv12yvl~SPf4>#Bsr@`nxjb?J@0yC#4ekud zLF({-SsYJXHEg`CXKaYxh$jc#8R||;eVBT*C}5Rn?^2;Ew3|8bCT*WlAc!%Mw60nPX7VAb2KR%8mF$`Y6uR|%rm(*6urQSUC=o`YQaEuDa za@DhSqo1fl{AJ|+QcG|c%_7OJ&mpcgVt!Q$evI@L; zf8K|Yb!Ozl{&RTWwiB&HnBh-yzvMa*Wrok;DSKyWr+vVPSEq}?o>b21lW?yR$b!T} zqC&1A2{PsZO^t9N*Sd37^2)V4l=^>aK~_3kt}?dAjJ*wUv;~9Q`s+{b2kmNv}PuGocD!J z<3Pk<&ft7O_VAj7&1C zF+ro#NiK_Mlx3oxeN%+RV^fW5$1?|1vtpqY`gGAB($isI^J(o=W1Yxv5%K7%tD2@- zZ^@=bbgMQ0$I-dRGyT4Qe2$YGI!rlJd}CD3XA8+O=R-S>Pafi1<$*jBL~FcJ5b<62?1#IH9mBJP zx07uRv}#3;Ws(Uv8$zPt3qra`vjjd<2K^>X|KiYc$7QoW$T<8Np9G3SMXW}r4oQ7?g4bLZfwHr0b+tE_?) zIl6^C<47IDK3uZw3l1kZ33{`nl#GwPRT&V4q9ZWTW2N>qJC-DQ<0T$$6^GF1in%{S ziTfkx)T6pdd5uMm*3;WPG7EOQ$^d!JGelEoO-5NGG1W9Ub7Yw1;f5IYIz~G!*|zXnYeF4X(W9Mxf-w;PCBy_Oxj4Nr8vjaBIWxPJRZ)-Dm)j-tIQeYU^X) z24|t^RvQw+V!XvTcYwj;^>Lz%OEO{%FQfL64E>#Hjrq2Kz7-Sv|=`#(wQs?RDKnq{5#bV0B(cO zR^g3KHbF4DF7xi+vUxd{c$2$(PzHv55nZWzND{RFXXz#C7Li(v9<4BIbK8Clb22Pn z$JBb;3(W>l$%&a3J_jdL4y$;95ODm5XmGSb4?yFED%zXc_y@x}bh+AGrdC6IQ;Yl% zFY_N1=fcAfX{OLkZtwdOHVb;ZYp0oEK4v|v;H)!C#KLzZHoYY_2GM~i+>?WMgF8Yw}kU%5+DbWRkMvcI^+qDcLwj1^5+%rd-F}#UrBDya z9sE1xYLCgUADv{7WsO4xmw?1(y(M=(+wMy=m94q1zG+Cumll!7V;sf297|ryN6-WE z$a~SeO?H(_N>#;qBkKV%Ph6GuLl)cK@_mht98Wts>u_n~>hZqfgOB5JI$zpeJFsoj zNgva%%1`xO%YF!5DQwhPFlj`&^8sG?8dM13s=)*e7i8kd1tR z{x;`5fN)4z#k5kg?f%qQg5fDZ#=Jx_9uY(*b(XAT$z7SFeFAz)sjRe&VUjgNTFSYOYG5_3ff>==b|@D|%;V59=%5!Bk&8 zQfBT1G~L3Hlec2AfRt^xLNFuA&s)jM{`qHgD^5ld8`PtJ=(64k7Rz2U|1C{JpJcts zXe}H$r(rRj>_tCXRk1?xBorAFumGto+wl!fZtIW+~n;zS1OxvNcxz>uhdtMPiw7EgwJ)1sp}o$T6NE)vuP`n{H;5 z4HP#20>Uv8Z}M`I(bMDRzN%|1>1$3sRSAC8JfRM@?k6>5M8a9c=*jQxx<3@DMV5h% zKQi0Ae7RzX@dWLy2l*0j#w6KHh?a0l*}kVg1sLXZxO3!JJ^CSUovA0dYO1GF1 zU19yPw^J~`@GuKJRx>!bl;l5&L#@2!qkx#iM(ork{XaGMLGS}I`xVRU(6pZv;M4)* z{dEm0!fhc=Dv4EWn^9@Tn|>k@ZgM17JqUVp_2!~!t-1gtwTAg){oO$l0aT<8WUbsz z)jx3faSGsX6}!}c!7eGtI(0S?AqvM4H92@mRi#_=*1Kt;TaCa*QvEdl+V_^gl4G719*Jh6_h*(`VB|OEqds6}B-tgc>J8?kRb<`wZBk#%c z$Lu;cc?=c0h#fAy*bK@*-UCBJ_;KWjKp|UlLA(w8k4)d7hrUF_kLQz^%qDa;UKs5e z)IFJY)=&fI6;y`qu2BX=|NbE)f)y$kt;ZTuYq17eNj%<6^xP#I!)SS5Y)I?iqs4d{wC0ZaZO&D+wf21mVrt^D0nW6Ii9=AQ#{z{ z>2RgyF81()=8m>U49E)y2RPnJDndK~NYgEf*`1RCaXW~emXo7F-$hz@J5l%{-2fP0p6e2OE8NdF@ncJ(EzcNn%O zk}Jh&jd^j#BB^+@QLa7#!-s&_qTYOFt4Q05%j>CIC1L87Oal5bqC0*457YEICD=>d z8(`xq7n+gwZUj8Qc*0YimXC~`>C0g2mja?Ea8AE|n}936!!+l-3yuUvU8P5q93ie}$QaegUr zHNQApkH~sJiZ+xn?`Rfgl(D+UDcIg`^^4}s#U??MPlQjNiIO5c)9v>Af+}2mUdGWh=^f-lO z6R49CMW`?u@q%3lo@HF8#m9#b(5C=XVupDYQy*UABeL_r^W#b?jQa@P=BHHg)IQzF zU}M8dDTFv++egq2*--(NibBa_rRm8%sZPkO!8Qx<$^H5G1fP%Yp-TNC(gJ6!y3xMh zFTEGn_ON>}JwRA+ytgXxXuq3hINCoAde#ddN+EuX zHvb5a=bbt1P9Lj1;v$@!Z(_Ij4L;`agWa>4gplHgW{XnvHPF<|@C$A#jPab8_tN7g zCkVEg`_#?qntNiAO@Z*GD4;TI#z_;GWmfv3j@65{@8A|gl=LRB3TL8=91}9M-z>6T z@eeo~l{nD5XjJ1e)-JR6;=M}B;cb`%btT{wKvnrmO-=Wx`|Kd#kNc%{3V0E9aZ5JX z&t_e+qK_My?RCfcy9*uWY$m+q&(ESAdq9`*DLmO4yj~Q(o$pDmPd{1}QZYu}hKr8$ zrZq<8pH)}|<3xBR=r-Q*mV-n`0|Bb!p-oJE!4^5SH;vF}CaPp>w7s)teH~$!p#fsJ z{eK#yX8eZg*W?90g#5g8vQFG`tDa_fQBl`NiSY)LiHk=^wD zTj4QmR%D+2OW^EBSKAe)N0-uZZD0F9%y+q1X5&S(H*wumo6+-q=S#)qrG(EPN4FQH zcJ!9x6e1zLX5N>#L^MSc)aoLnT-S{S_=kY>eERq@wOA^jYhBw8(H40Enkh-$ ztgwsvx`VlW6%M7(mRZp0gGPI{>A@z004)i+N%QB#e8avcEbF^Cra`t+884{h3Ex%Z z&WN)`_({VxawFDr_`dzE5}FN0eaKg(!fun>hKU}ZjPf|JPvXx?^-bJxZ@Al33qa++ zGwPI|TMJY1zkgE^x;N@gp(7!cUyU^+y$)=|Kj5yFI=+tNvirsHx9rNdNV_`Ze!0;g z!R6`5DIg4h6fD1aS6~v@cK=n>Y|{!R#rRfx`>3*4o8no{salAyW$Q@aNr*TMk?H4p zp3wFnm%$Xy!W=)AlW%S8@oJ!}*I0Wbmx_2{*SKISXW`91ANe>3EwAXEI-3!g9lj4E zAD!4SNnwrk=tyvSH3+_2p?L~8_{DU2y+Nx3uNGh_>^`O3ER_*~0oF8VokcNpOdnG^ zOhadWN{CyrjEis_c~22>4bob5`&Kbdk;4G3#TUomnM>)nXkA2iJ!TY_SDW_DGp5Nk zreQO?7;it`To13=Oa^wQxp_Gn+`ZR?DK3Osc8a+;nt9El@&x!X?CG;vq@q^uDTFus zw(0wgyY+n3ebUwx*6K{7kMqB{zaiBov%6-cYh&DYT;XG=u@Wb-gDt7R#nc0SOp_x5 zfGScfD46+^2`FmVMyS5}41a|twMkiSU~jnNX5@)7m+#tSBsxnj>PI6=4%A{wt-~0#m0dq0l;^9KM@UUB1$;J1PSwpI6Mvk!{fVFX?>xr`Qo^{bb0AslfBqP9;Q!j;o z=owP6+R$_q8LTfla!x?2Nm;lEu1Ttav#aJnMQ9_>!!X4T&7}~f+ZA-Av?u1e8qH`N zEA42wL)T-DN5gtFB4K8!$ojRP^Dw>JHzNQxeCHSH>TMcr3GLF;(cu^~)nP4?%_#t# z#g;S=oj4$WV3JJUvH;XPO*ES{)KwQVW$rHV?}mVVP=+PI{BQ|L7UDMMx`_88!U7(G zxvl010b4Q`5Mc4TgvMnvg4WAxrB53Jz?VDV09#tJpd~4pF;CWyQDznyml(^VS(dtu z3~TY&3pFp*-dPI*@OPgJUqhV&QWPz; z0wf5B%&uBb-0F^YkwJC%@S2W`;@3t@zNr{_*HqoMgA?Fd|)T`nO8ImkHnQz zG)hk{lx}hZAWfy*<454CIR$}kxNQy&%gQ~p?laeII0u&&WD_!>YLxMHX_JSvHo^6m zRD=?ExyP_7LLtI-2{H(BfK#fxi*PN94W8-eoe^b0k2=iWNq@&eu*zqsoq1>K+&LN z@1w;kBd{hhXN3o7Y0_7Z0e>|aX2eGXHoRgH@>X>$a{OmTqMT(meWzNRS{pcpmAsH~ z<1rq8M%9GDL*W#}zRj!o!N0oETM7ZHh?KNytBg z=y~rC=?vkEtydE~b9q zXH*VOt-Ya@%2!@5%m@bqMCVu#NgOu0i|S-c)k>hBal^_2L|! z6=~gwXUSKX!g96LDv{!NjD)RqAus~24Frf*U&#^RieS+mZu3Dnt=o1&z{LvXXxCn! z!_@c5>--N#(|Os2hPEcbVqJ4T1t1_*api!Xgi3b|p= zW1m(6=zOao#UhFtCpb2=$ll_W%uuJf#2&>C+kO?}oV@c&;AsF0uahvE*S$+DB}#Tt zNF`}&l(#!o=7yLc>w=?m5>%H|GSxPK3;cIpRNv3Kn)v-k1 z{zB>Rd5GwR)Db%^OPTON6NyV&mg+~9s`PvVF51SLSzrO5)a*ZzZl(zTaOH5=&0UR# zIR+W3-`Ml~=(aCZd#mg-|7h%oF@vWa!_?rfuQQgw{;nKMBMC@y<^*TVK z+UEXOB(#@8f8-U130#Z3zW#u#vkW>lH;WfAKEAsbd38G6v&Vg&BkQS1xXAs3asBeg z<}$xTW1LO_E}PY`h4<{6-+6FJDI7b!vLz#mpC65@f4le@i`swO2l*zw#SCmDJYR=>ppb4`~ z&1~c!)L#*q03r%wHC?6m?UsUlW*>;|6s2fk`0fFmWW7Qyu`Z2nlHt9u5&N6RZL2-+ z#pJoR%;G;(k7=LqT@r(*TL1@0{>;Qh&NU&zTF0%=`ao|8c5gYbD z(!pU%!F9~3@-;%Kdsh0&IX^D@)_hal{jFs4Vrm?yeBJHLx(_`$ggTa}&=pE<1M578 zT1;)|a(4UBEmEs};yKoAN|NIs#rA?tD(glF(mm&+wr%c*vqCtscBFLR)nI;t#Aa^bZ@WxqyPXQL$m!?&X*h}y6 zG_Qh-UrR)l+SG8ya{3(6+=&Zz3Qm7%#+u|^H{Bj36@X^CU2udHU0-BEc$g`OCeG^^K|29Wi>fQ1J3E^{@q{*L%C2Olqv{QyS%1If z80ES%}p7*PV7?_g6ShH6fGUtrpMyYrUAE&mWR@9E)6QCWvS^ITr*bYQjJF{S!|%|l|-Am z%93sv7a&h$l0$KcjgW0KPw9yb-Pb(PFf)x6)cemWtW**31a&O_O>&GK5q~RpdWWtH zfJBmG-=MRW`vyVGoM2v46CMs3Z|NYZOf)zT0=Ik7C`|#8nw0@^rjEdU<&rkQ|0H-; zGQ)0%6WX-UMsPrJbWu%=U+*nR-W^QOEqg5_kLoD^wez>ew-qR^qb+JgJ_U?A-`J88 z`lRH)t|#&mUMedbKk4Q=r}@W_*KzyUt+n^DT}6N2wU!a{t@Ogg4(Ggo8*Us}BOO_x zy2SRBE9_vb+%P65UNMe`2||DWWHR^O+O%i$!%I{0{9PxE5Jd~JZt2$Xx=-@oQ$T{o z$-wvAvm-A~8t7AZ-BU`Rt+a;CL+>juhj%i4&d_8As{Ag9YCM|(hdnUPFc7T|S;^UZ zAsfA#kd#`0T1jsagkFJW_WzQW*u=Pl`4?dSm9^3^`p!{Y;G<4eF1X9IrXM`Q^Cbj3 zWkQ=Q(oK>TS%!8|ucGEcY#$i@-o*-qq8MwsXU6%iE?9Qp6&xye200@i$6fNre`EA= zpCN-%#SqvTNQvjp_6fnP?3b$?% zlP6IA4HVYPq5M8`-ADCs7wfDjEe;h!gYlvafV)K7S=zDB$qX+kp;v$Rfu&>!E^!Xe zFN*r1%Mvv^G6d)G*%_mou~z5@!>r-9Q^lpsjC+7B(-Lojqw&c)oct1I(W&7?;euIP zgqF*M9(Wd-hmY1;I(LKO?#G6=dWn2AbM`VzE~hGwE?bV3HMp;wA9;ph%QvfP>H{*$ z-JG~faBXIk{}C*FAuSPu01jvf=+El7fyb>E$DWD2ozBM8aMnn_e4P5qgB!8-8?hqW6;D8^anY7lUIfuWkuDh2b{bk7o? z^tA^c?SkOFic}xsZMNXUk1)}7!;^N@xHVpO(Vn-qsHG=(dD{1U@ollN@6Ta24`yil z-VUfW;n4>3Zx&Gd`lI6_ewpyYxs>}Z*|{E6l@E&U3!dInu{C^x?*ngT9YCb7bq}hf z`U<@zTJ~lnRDs}sL2yo}b4ksD?yK=+_xvbS@}ZrpDbR^F2PsT9lSItwCWH*xnrauvzXcPkTF2{IL6?hrF($W90i}~Eha=ENR@Z}5K#}SG{hFVFl2%pEpZ(TE1F=t^ z-`i4obpgnx-)=%#nGZXbeLVv3;g>&Nu-jT_bOUhHZ-s^^rZ`2Jr0A|@dBruA~*;qmq)m+IE`xoE$o8>V76 z?2FcGtim^(Q#K`fZNkHP#5`{f@Dcj>hO9ddCQfdbK0W9TdU_5vWu>r^%(Ivt;k1%o@JSkA z=323YhFZL~FOh1}wlVBgyRl|rf22_s_a=5j*F8HhfFW02p35trOkqa_Pq;W1-?zR> z7{@5Dt2S*QffVazA!CVTn1#z{jWsLd&bjs{;z)IzzgE{8!ilHt*E%=b6_+Kz0olpJ zO9Pv)TW$&WJ^Ciph*x->(nM9cHvi4Y#n+b-ZUaSUL7OO8#iyz&-L4@Op_yphe`mmU z%}ZpFS4}~d)~3@R>Xwi|<$Ajda)#w4#QdK+k$mDCYduEw?{8{|^p_#-q!?{cCkw}G zZq<{=%H6XPcwsc_%9JXff!z*H3!q-S6|>RIOJ74PjU%6(evQR^Nr|r+Pql5*laza` zJ~Gd|FfU5)qM{paOE@3@0;TQj;C@S~i%2sSSUQim&t~6Og$_!9pK|SB*ne0uBwdev ziY#RM`Qj&e!pjB{FoLk)iu55kNrhRmvEhXDv5hP&L5MhO$eR1*6AzE2#VH^=ie*da z%f`a7#p>ij;mxa|v;4tLkhYG4(Opt4(m=frm`-=O6+;$f+ioC?`umUK1KzPJw)5tp z^&ko+IhUfK<+^27um)Hc!MD4R^H}T9;ZgMiwQpk7Cz45hgtGAJfEC4;IH`8OYtIaF zGo!aMyLQVbA-FP`(XYO(yGN@Z#qwZ%JA>>M$)!(dh%fCp4`X|$5myGoWnqiOA{%K(7_xKIW&EuYV;upX@{0fs?+8Wp9+_POQ8mxW<2AsdL z4<)1D;YAd*X(gg=JG*==Qs2Y(VGb_H8Q<2rG>8!?(=bQ&!_f@PeohN|(=iyzbcoo~50UZRAl=$gIX7Ci;LxCxLzAxA1PUfKsXsX4eUdndN_*ddvRhG)fX zVzvk>g7L)Nip@_y<0X)hkyg5m|5zZGDIPugkSSV;o{x?#Oi^*?>J(en?X9fOT{j3p ziw!T2+?)(4k@-euO*-tOi|uD*!;|uDRSk(%HNYmnq%7^vy%M6bQsQ9qaVQ ztNQ$V9OcN9BBXx2(^^={qeb1Sp8cOfh14?Wm%Hqc#;Uoo?e|Wpcs(H`Qg=0C1zoZG zYJRt@TH@BI;?cJVnr_wA8qtw?E!*vun&tc;y%P|J*V!(O8H+9kg=XQwzjOftvj~{^ zCCCq|xr*iqGQT;SSD{WYvvOXPpBJzg1aCQIdUi+)SZc8ff&>^;H#UmbR!Z-L7yP z8SLA&Cq|U-xm*X4!ZyAW|r-THl6pLYXHc<`%bzoI`H`$dC04o?0ytZ*fQ$_Z^ zmd9M|R0iY?iv54Lv@00afRQG;@z2R{9W!#lryv+jkL7Zi&+0cNG>`XGjT=^YwV8c`w6N1a6 z>+M71_iW3rb+(2PD5hV90q`I7j9#`Y>dMTQ(vpvT>^lTCv?&NqfqLYK7gjSJ8GbeH zasmvMAL8FH0_F?N0BS5E4MDpraqQpX;4GxHty91lPARmp)-IgrBPqJ5B+PnLe2JXZbmBW3LrzksT+M7Ip_#F#+{#uPhq}dO-Lj_oH%*mua$M z#7dr#6x~39v!r7O+X<;?#?BbKH3sVI0;|=rE}utQ|D#l<>Uwg<1QE32PTnpp1C;nn zgfRNBWwjbK-3t3=s-&u3w=tr^Cq==dR6^;FKp}wmzVQY$My-q{e?he!|;}np+~fyA03` zgTK1QPf%;%0K4_std}+Tn==?2wb?Qje#XW>v}2T!=8cvj)ZIs#yZ6Lqti*V&EQuGuIq zxNe<$r&HK#vMKhw>~#vuGL>BIXQw1)K6^7wp30T?AGLtgr>(I!<>gX{)`z&CNXOG_AXwDl>eqWAF{I# zAOFzVRpRt)h| z;C>wubWTUi>4)IaAry5;`ao%1Fn*shh1{u+skgdP>UHgf6Vs5-h?RH=P=EkxeIY_9 zlRljOr|j~b*RoqeXYLfqprHh;4AV`fjr|?W$_3g#(onSr5p2E^fNqzhI=4Zs_%h z043A8ZgWbpI`^9Hh4XXDSvc80XfQaA$49_onV?L*@_;!M67d(8_Ta%w{xTLJ>7NqI zxKAAXG<}${!f+8*UgXObinK0TKPk-=#y!!a9X;omnh!H+FzRU2<^H*0nX2$d6A=)) zlI{W2cHgS3<<58G^w>teL*4ScVIG^ySj>u6u;}D>R#e&>{vN@)b=NGjF%WAW=l_8% z?{b-m3h5!sa^m=GbD#Xp%Sh-W?)M;j%vt{_?GZtA%#g8$291R47qKv~AITf+ID~xA zym!4mNRT2vM5)gt>^aC(IoKrgwzOpKIXK|Gp!<3nO9u|uo;R$F4fX6NiZ)aCp|@qI zmSkZ>+NAGQ~8Xmq&4~8KkqgRu3 zT78nkAp6RqBiME)QLv`%@J;Y4cqY1{1g~E7Iaz*aM)z6a;~p=w0D_{qbT*3}y!se&n}2_vYlXKg+$#UsRE2 zCbI1rL%fv#dg9`i{uiAZAe+3`u@K&Bvd|px$XYMfcrgf@xN=CIBov57O zPgtX%88YkJc3^=cX@1nYb^@e=@6)FR3wxmg;L3&>J&sL}xm-BDH=^`#qSWiB=6l|p zab);n;kR|VIp}5dY$E8z2Zh;!mL=r^y_P3s24o_9T`-VL?Ol?jJAVuIH;~CJxAXeIA5?bR-yo z&7xv9Ec&LKg{folaaL-~N<{X&zJONF*xJ&dOP(?uD{+_XWfTrZ?5`KKKPpK+urn)D z*Xw;aeK;zhKqr%O2Z^TXmIFiFYJoWA{-&Qwf40&8;*rR63i$G5Arh~EsCu()G<+dK z{aQo&dU)CGLE$e3!bsHazZ5)ZAFnju@k;burcqPV8{ZfAWORj0AbT4_8Z|N_HXm0QBwQ=11PCL-s(@~3q# zOQ;z4@`TeKj(_R_nk8+}XnQLkJYFZBNAmi1{(6o6IYU%FF5OPt_bePxlR#&oYCu13 z%bv5;cz^Gy{YSLcDIn!u3CyrHB%sxH`fbP<2bUMi|Bx_;C^?Bo;cqPUn=hGUf&c55 zsG@HaC}-ire&*@gTJke7yz^#A_2evi#Uh53=m{O!)Y04XY%uOG!gX*JoPh7%KC37r z0bA9r&UyHC(tJ1_Ii}zD8{&uFg9ZFWUv5kxKd$$75FuE8`^lk_o9ANBNctb_|!l##vv<3}lDhQP3~dZRnm z-OAkKp_Fl~?875W`tVkdclT4iS|FeIgLQQ=d6CKoMoMA4S)J&%6t8~K-!M`mL-t8( z4W#aVrhA*dvKe;wqqWC=?i$VJlLo=k%}Q01x>>QsVJmlxoR$aUY-hI_; z1l`b+^sO39Q}hee8>prBDR3D|YAe&Y2f0$QtFF3|poQh`9LRalU6_X%;F})s1>CluJh*IZ) zT}x=S?qXqbzl2+-#O8Z`Du0gw2N#S~<`l9jW5}u0sR5zgUfad-oSEv8coMhn&A;qM zfZ2kV5U<^JvNcurQ~5Dxq-h9yy2Vtw?r*V3(1}UsN{KxM&29W1xpP5_e;xsN)UA^( znx%Nu-GNiCQZ%OA$^&h-B1c9&xzxLWEK-wI5n82MXQbhtxtx{ozZKSMu*q}@jb5dq zFF5PwB=2h!O7bTGL)FpTT}2exKD4~Tof^M4%#yRrlK)ZkK^>B}?amvdk$nZlDac5w z1Is#*18`03G1eqIWV?;n#!Yte_`EY(lM-3|?&~0$eQDO{Klos-ztfkYa&mnKx5huD zQGP7*WIqlQpMusJd7pYC8fubO3F~82NbD2-I}s7(307j1=V_jcSu@6u3){E{g5uI+ zXt)|n_~V-nqV>OstnMHlN(WaAXnDi5BkWcdq6CA{BL?`yItFi{cb={F05o_9%qPOU z!bEe69abO}I{<5wmdUtSxnBj($TFG}W0lX3?3y~%?bD}Tc z(bphDFq|BE)I1c+X+FKRKg{we^EX&w2n-vxn$7ybyvDy+c} zZ@E$tv3LOgVjQ6?yi_b(dIP}*Wdq6SBfSm#XoOFQ2*5UV|` zo2&)^1~dqxgn7(OjDHD{tV;x;YL^q40=bhLNx`b(XYN{}m)-tF^qI{2&9B1=aY*CK*l|7uhzk{8eSM3<77 zFU{hP6|**4NN1F6c(LGoUC*LngJ;n`YCvnE^T=Q8dcja8P}rbm9?fEoMxYq8x|vu^ zTSk%Ohroa=P7zB>=N%=aLo)^#0vepawOdT{XpsfNo~^Cv^19^3&XxsmO@;R}l()C# zTzmt5q*;pY^f$q&c+dwmQ@#q2kfk=%oS;93_E8;X`Mhl)PEq!f(dmujU7+Oq)(&vS ziYWBR*L;VPMZlQzy1i!zI1p|wAHr)I88xaazn#nwp&dsM#2md$qoP(YaV3mWw}z|( zl5i4BBPoFpDx_ePX)0v!G1op@f9haRH5*pqC>S&?mE%!M2gn&uAf z{PXJ?%yHrcIyYy9v@bfVZ5f0))droJ+g9M`C;I-qi&`@aGBW)~eF(%Q%5|Iu5O5re zXRiCwdAGMGoS$Aa)D(NcV7c#MsGd3tsl^+*w8nCDa0<{|JTgy8yVBP`pE+Km=ZG2p z@^PY()9Fc2xbWZVGEZK2CYv)JI#-h&)V!{?&L?JsbJI2+Y4*MefNpy{Bc1}BD=A); zM`uONi&KLhmjtqCHHpMJ{%yIpeJ*|^7Ul3V>Z}K1R+smlIJ$M-HCE;?UBAJosVeu4 zEE1rBeR9R**oXNyQ8+)XhGL_j{w@6_)D$! zeZXU3Sp)Ynv-ueLIPJGemMRdsad!u+-x5E(TZ(ZnvF;bncmHSYX_ht$t3G*0-jeWt z$=O|!_e=iE8g(&K_^vXO(77K?8+ZH~bg`6b4Xo`r!c~Hqz>;WOipsc^_1it)e))qp zQ&v49=CM}jzf3``8B60X`G>3}>0SKF&;DXqM4;wQYcz|EzS5`C!d5IE`?X9olZ=6W z9OG|Epx(GL06$?pojMM}X-M#w)!1+Yq~3BN2z&4tyT1X6SgEmWL)c_KlcwwNRO_g0 zucdaR&2ya>8deukE9LAaMGgD}76_4I5&jn$QD#={@h@IQWt^VquI*qrXjQo7osB__ zj!(3CW&S2hOUGaM&2JC`*elE2qJ>A?H8TQqMBI({QJt}lsrP1mvC1ZgVH#t7ZEwffC3X>& z0d*hh-3zDTwhmWbZ`>6%k5>OByd4{%y{It7GgZs97|292)nNP2CR>VA-x(P^8S zE#xwRa`%+>33%Q9_c^-=I*u{JZnosPvE00ruZfkrgUZ%_0>7r79axBlGrSs^)e0m$ zO`MYFPXXw%kM()?7OyldF%gPuLiW}clt2HlwK4RsP5K{fAj8tdDRP|nHtd?fe(KZK zDEtoIO2GL_?c`7=7c32^^3_-MxAonGf6L=gNx#?bU&Co%Hzj=Nz*hB8`^S5e3}^z5 zlz8WHxP!wf;CbxVdyN7fu?i2*l4~?{^hxbB62rS;P4vquUuQU9Va|(L6QWpl)J3QO zGQ>4B?x*%CplBO$5c@Z9D}Jm#B4jGhjCk>~e%cu;jn0jKh*jF>Rj0pOH?fO9)KH7U zY$5#$Q<4@EGlY<`j$^K&s9??Q2WfsaGoYsZERytBUufJk!ggcW>VcecGA{FJVGjhf3ru&3Rb|l3-27%C zc#eEMZw)-*-oyh-PoQywSdV<3+bD zp|@mqi!&YU?WLtD(DOm* zi6rzlCmwk=h4w9xiW{sUnrC=%mMovX&NTT8tesqII1wfA5nk_fO3dVq`=b7eC&Uu* zuoI#7hg$Ej_8Gc%??pBEtGhc@Ys6CO*ItwCHSVo4Eu9FTG*o)p=$IXyJuCMT@1P@b zO^;~yxL(_7?f8YbkG!O$R|T+E%rWsx_#6FC_VY4vTIlS^ulHiNZrpKS&Qto>L8Uz~ z?yl(Dvk(v`rBuldOZG=5%Wh|O;YGA85kvjV)#n)Nnp+2Bp%o|iy76XOk#AoU z+MZGo`ty62MbO+^*+n@KaHdoGXwEm!>vqxMDt|k^O8wdSIVHh=V<4Z;04^RIkT)@7%JHPwo{ICy>zRrUedaLY0H$N;s2Ny3`?dCk1Ijr zx`)Tzstl(?&QmtvEN%C zx8aMW1eawqZP#J8V=XR>JoS_8y}SC$0h6&Z{p6o!0~6zJ+#Ll0O#Fo6%36NzNp#)% ze%-<7i;pv4rj~iNaZGi|?M?r&;_uKU(OBLZ-}js=e4p|pC(ejTHH6Lb?!jP{wTgGH zIQ{t@2|sjz{mYI?(4GrUPHQRgz0((<&MAI4BOeZ=&&g-SD<0Bv$WR_~9fNmPz-E0`X&qZ8ih#BghQXF!@O&Kr`vMS5$X zw|9wqkI@ka!*%GAd`^L(_1xX}XLo&)n*xGm?ri9O-NB)ulUd*+=`IS36e+<-j?5?X z4sBd&QMhgMRf!bGW`QnT?KE%GwDZNnH@O{+zijP>@#J9&UcEs_+Et>KcymOQdgK7o zG~4TuwUIk?<9ItS=CT(-^UqG|(YjBC(Ha$embsRj@yT#2tqhs>iV2!_bfmA!?I0t- zvr~Xhim64gZ<-VQ&wHc=9Bx-vE(Pton$Hd$G*0BNRPq=QuwL7#xCqfChtG@3n7@o4 z7Hl~O5QWHuh*Ts&x}`R8`UK!6?(tLZ^j?@Le#9Mwh0TF#d!vRlt!#$V4c9eS5>(OV zS_2H_mQYHYcv}wz*l-n&23rn}xRP++2yNn$qV?`5l5Dh3?5kmxaUAxF2+fVw*R|85 zjsW=n#WgC=S&T454857qBer!@*lRx;XX6B?tCf<;Bau)>>Dm8k-8lUax+pLx&GwNf z_JWf$LFeXwmyw(1$uWP5tY?deHDaa))^^O#lvgCSu)UM|`*2b-i$jg$v#ZhpdV|vb zo#%CjpOv1qw4FeLc?ZxsoCasi1M0yzHD5rWHdAkO1wq@xt3l3Dnn@_7zG5hn{1Ah6 zmS!^NyE?y=n4vjhF7N=9bR;cq?~;q8;`#r%#a)6$)@&B{86#)ZkGp!eWdfu=f#D=u zch5=v7(2iLa2Awh#Vj||wel7r4RGI$C}#eg4KWaoO*d`2r|l&%mcTlBxk2EcAxi?; z1V{e@b7wLc#Xhx>4fq8nJu%c2^pIK>;8*6#gU`bZq&8O&fS>e@yX33c(J*5pxU1o} zhn$-EIfd~L^8-au12ByU!MmhxzK0@}+&L4j0#|_ybhn4nk`#e1J z5-+_{Hh)vWNcp9<>pXcJVsItpT_S3G4552drys;86#Z+)#2oOW3p=fpynXlJ|0p{1 zaHzgNj$Z~NYYSx=OHm9hb_T=9*P3;#At@DO%h-)QMQIE|i>#S3b}2&kWQN3O#!`01 z(ljB$b3UK<>)i8v-n1KrEQURMgUBrsbd8?NlXkxq7W;qC2?GtD z`)hXJ!}t0u;cw;TUCvBU9}QTc6e>Xtp%SP%WJ0H!GneTkm$Fq!3@Tjm;o?)^7qo(5 zh3C+W{tUh~E}__~cd0`Cy#|mT7!{n^Q{&c1c{UNNzR-U9VoS5|w7k^s1{g(4YSuLK z>vFAZ+yy&ke~_f?*ahi@>!z%k(OaNsB5wl2tv%(9_sTz@tst_A!EpUgz z;5KSb^lvY_)hRr1wK40ozC4ozrhe3lbq(HN*YRxF9u~rAc5;0VKbr@NBs9obEQ#!< zY<+)$32;wAuxbkQ_-m8GA6++8e15SQjArdCyKj}etBi76o7Ua1>1b!N%B+dzPj5}6 z5anQVC3nZK1Cwo=72n!Vwy|=0-JHdmp}sK+7hLo3JA^E zkdd3O66iwQ4kB)$LdmNFb&3I^gd;lV_gj&c6tp#sy+8Aqc&yuulJN^pA$g{W2ygM70o_RyK zrcabr2^9`fQSJBt{z2$=A#cV9Za7NaxBuIYX`=%~zorHg6#c!FC9nUjZ^g#MK`xW* z^2N}EttO!FI70GewFXn)`)QbROBl013^OIL_S+YicZR~-xcqCvOHI~0{G)4J@tgU~ zln>+u-C>CfhUY8q)XIh}yPP*XiB5ER_0BX)<(ZaR_op7~tt2hAx2c6&gqtnaE*$Cx zFuG_lLHn8U*qanb6E1=s$w>J_f9PumO1UMy^!ku2WD z?v3`u%2QX=3XZ$sAL4Bq`Wl0R^Yf>&|2niq&nExKCrZSmMw}t1@z=Lioi6>BH5Kd8 zdJHCV*#5}HBeMSedsj6>zW#KR?R$-RKAOgv^I8yg!JDLyj<~v*shgL{VIKqYRraBpD0Rr_tD?7!(a1*pvZlch@|RBw%%P1 zsH$Oak{{N1t5a_iSoGTz5xO#k|3%7|XLd zwCYne<{q9guFgmGvx(8CY!-TF;{Mt3@15lVd3nEACjqd88NuyP z=m%bTe5d8TfJV*DB`b2zF||SNuGaJVRmvap_ZVWSlS#7|Ch@$OGe!zMCwY;?R>C2K2S zbB(=W?gWI%vB5O+D0cjbUW$+NkUB|F2E1c)#7!5(kX^a<1`cMhzRvtR z#{U3CNCOxI6E=vF64)M}O&xeDI~Ns}+DTT-z|nEXYUD?BugD5YuMeEbBwPC&Ku6Us z>3kORd;Vf1V`3@e8Jl}cqC1p+KmN_Hk!$@L#@Rv;(l55%6Qebl=hZ0D0)9(k%bmRD znBbu(u`*e?h?&J!X7@DjO5NnQe(zrCffEQ>k664@-miRt#^keeOV30E;EHmmLS+Ze zY8p!4+8Hg|7f-UZBZ-;6+o7IH^82Ht(vDMngl+}lxOe~`NwxzZ9vgjuT$ zzI*l=B49?|-1n&})JXdlCqRmOclN9)Per~qBu+bx^uqi@Y37*><@Do_#Agv?Oe-Nn zGXKm<0`m~#7>AWLdiKNEUU__1(l_5&Rt!V@{!UYAJg*W4&aZz_!C#c-wuFrpuJxCC z;RnnJC_8^_nCumGXMs#``$oJ6ucgIfXMbs}-aNhCG-heJ!;f7^mSFxaNKxUg^DS|E zKGtys6epp2h#4hK$#VId&8G(Dzxo;6Q~2{Kl<`~4A|bWyAK)^Q+~+-Essd-Ldd zz1v$rx&FT_12sIJfcvMKTRGz&@k#={Ku{#Z5ga$8pZxY$X6w)jpU?EU@}*yKf_Cnd zV(-&qL5rNWNi**reBY|ppUqwV>-fae8QXYKbv2_O^B)?W@aeC*_a_TaIZ*f%!|v0g z7bAH>cLF~VL>xecWwJgbuzUT5!ASB3VQU~ZYlfJJJybX4upPtCilf!#o>QUVbZA&e zZ{e-?>;J!k>J#l-m%q8(men|J!=7(1S=SmU?=ab{n-c!K1x=fZmwKDQT>a167(*LP zSH70CSy-ps3jKmFFuy^p)+&>^d7Gb;izKp?lHn=1oN{JitE@OpwD9SJQXce3!9q4& zh~+qwP*8(;rqvhL&4I<8n=}S0c#2$^|Jg2Iz>i`67Fq;i=^Q7Rj=HTc5A7?4%~p4Z zio(`%2Tg0s^lzGnjm*zwtM~rxZGU^6F&fkJ! zwD0A01ZX3TTg!eu^k519H}TRw!O*i-!U~mtpy1py7P(k;3hliD4UCWug8#Cwk(r7bHWnQt|N~2)4MNqXEv}-19Td{mV$C#-G&qKJWQ6c!!oO zj+g;i-fuyt2<3(rW~gB9Ju8SuJqnz#v9Y~IP3$e?fH(FlUIs2?UBQUtKlE1vCcc^L z(86ulznMSGZ`I)u#fSl5On}D5$P4sj2U#eX6sdMx;e$i6xw(8HUd9V09c*j3g@cue zK-QuEfbgk=_*EnI@BTdu)|+_EGD{&FkhWwbv05Oaq`}33fe;wqLE7k0c>vp~%oTc$ z!>YyYGasxm$0Y4*{Op`V)X&YPA2;~ht;s}iw~A$#?jmh$rp+S@p}hG+HtZ*+tF-kS zhZ0-0mC03r3~n>4G6GD^BmkG`n^NZ1RcGAmnoz|Mm4!wC0pE*04q6Rg!sV4|29SjY!30?87}zgIGxVr7q^5y( zzBOQJANK}>nwBJIj&KR1S7#gq*UwWBur%clooLr&Z<(IUy7QN=X?U)fe-BC4Nrdix)`dGIZ7ZdC`!f9lU5MZy^W0A}@@ zfth~q&wTRq3A@ID;u+?(`&AYfYVJFq7&C^U==3J0!($gh7#Hi_u##}HdT0{ zk(q`G+9IoE0h$Kgnu9c);6I=|ryUAgqL$CVo9#q`69=H5QV^UCDl;)C6BwRAmpp93 zbNHoHDuvs1ijX2p@>}IO=EFq`!BFwX6t!5P!(#)mRTFBwOx#BmRZG%J6b&z#3}%=$ zXo(($2*c0|1m=2CrJk(d4LDMKTr8)Cwh#RVK{~tC!KYj8p9)6D;>Fq{ax9WETA|EG zjy)?XJ{quBJNDv-Z8&TzQ|h zEEc;&323d3y{>&B%3xRm?Nt&gC@Z}OFDD~lk=PVt{cp8V40k;Kd1`29ksF z>lkM_4LqCo{{gI0wgMcAO?Q5carJG6ec6|cj7>tUJiDAVPd-{EPI3xjAk4?gXT#(~WkGJHGilX=W zibOnW_VwvEKGdd7%?CjC+eaFk7bG!>4K%&FjG{5`-+BY_LCP*OX9B=hEsh#kg8v}f zqYfF|w9A;=`%^B(8azalOggl-w^`Rdr8qsJbp_yDhcz0$lAcaTx=nT(boFVKbN z)O+0zsd1qgzVIde)Sxj!DQU%?CB!e;xu>>W1)q7)TCK_yTq;JEO0$`hP1- z51e)wL39^+5&aWt{Z$F2DUcU~a^5coDD~_o)yEo(sV`PGnPzgWfQCh7B3v0uql;u= z*EWk+jl+XtP{O93n}zJc6JK9-4xk(!^k@n|JwNq8($Z_B?ZQv~R^71yIdIKQhDXuv zeA;hXg4hj*RfHE;KFuHrt{1Wa&cm3wJV?R|nNp@{^WP5<^S5)v6L8|&scP(RCp(r` zubKCCz)^yp_H5VAjtk6oO8lIBPPU+aeRFrcXJ$RJ5P;qTH%UOz6kVG}tHcDebIU(5 zpBU8o~5e>R|T`pNNDrGFK4Q? zk@9Cv!Me2gRSORMVvjb{dBFOFsrH-i~K{jF+(VxzTnL zHh_xUwPBCr)+&0fHxwe&&6%6~r&XTq`##EPK~9E+r${K9H!fXxz_qfuj}kQUh~#>X z2*YU=qZ#=My+F}DFZaf|LNA>sj5MFxL(I?#MMGJf$=9#IUkQE6NDjMk+SaYX{mLdO zYB5fKGF>1BR_58KnD_}-u%yrng+KIu~oyaKn#yA$;as2!3u6&TJ%px)> z(LJgrnx9&4)Tps-a+kiigbN-}QXH1Et(5`(KvQm|QD3HI>)QPhTcj*q zKbILPqJzeL#$gT=KW^*Kct@WvGYohriua#0zLm=tvhO?CatqY!E3SAaalll|_L=f_ z&eP5uOu#MhTu#JZYT36lPPMPT~H4*?dK+3;SnDEk~ z6a1Y?qE>M_fvZd7~n~0|iT~Q~bCw^PuivF&bxTuR3S` z`8D*bkfxp^jj5j+9aB^5+sppI^GYYUDh9RoAXNMASC55PBB1Y5%Q#oqn;Q^uYfWV#u^|0sp_HBhfYBn*S~8{E~U3+hk<@x zdH7DP!PP{hqYvU$uvX)YQ|j-Cyf>l(AU@nC}$A6(># zowG6IR!Qf-iU(uw=L;^GZyd;*#>RX#6?&pj8R3^%;PYI)&wspkIVZ~4HCx=rbVyFt zv$n7Z{hi0?X>drV~j%@l`|Q#=6G67R!iROOOM#KA2%)e6pNTtJyh2&Q-~>*_9+|BFUZqV z*IfcrU#u$45uJB&i4wsn7j>|yvA30i?eLzh7tzbBAMt6645!({Vl^G5HkD|#)qqrwQw)#;IJmsk5*U|InwKk%ho>g3Pk$|r$ckP7o4R)Hv zfZ&)FE>4{Qct3x)^nIUW;*^(Wkg(!W2<^k(hVdBNi5fUGj1E@wq$RKjg+<{}$Qq0V zBr3+6$65_ob-9CeL^REB`ozdw{_PPY&!%%bPjr2h{q+~>N+H*>$5*qSWu(c(Ccc}O z933^t-Y}^)`I+{FnQv*5K{}cMO@qOGoQGQ)JR*d8&jf-9C(`6SB<0y+bZmFi%)yJ^ z^j1KI$IFpHuF}aP*Mn04WO*xBoR|fRY+ZH!@J9_Byv#)OtVc@xB;0=?G86J9UYm=9E$5a@LVgT%nS!}D zkps9uo&CBmPd|?rH*lK|C5&%VGxD>5&pSf6y5*Ov1)owIndX*CYz*uHlvs6{Zf><8 zQ3j0NAlCRG!St(Z83=CtW>K5lsi_2pX3C>;2Zcw5VZ1{Q2CrX7p@4L&F0wk?&AzK8eOkfN8n!%Bt4EhILv5x+hH$g); zmNIjKk|u&X%7LPfw%@}E6uXh^_`3=RD~(d-2K`WXdx01ffYrq51++Lp>5m;_xE93p zvzzY0twn&iN1?^g=Rae!pUj!I^h;!4Gn4!3x-jq!Cpg>BA*J~V`@YT0VSvk8H@4o% z{b5zJjzrfjInafgN%>@C#`PRC&)`K!pvXpsjj!_aM49x6xhlSmjpqZ0X8IZ?n^nsi z^pH4(t)0gr`iJFTKN_XJ@DUFFK2n`0b6JKXx=ZV@eW0}XT4#8w;A1BRB3Ku@G}e|& z{oyiU3hAMq`{2sx+2@b$B$!>QZVH&QtZ2DqZLze&s=@DT@6bFwihZ$36zQb`5+Pym zvok#xGh7h+pu%N~;;!)NF!ZH>TV(FRgw%pXa1TW?DO+#tzB#gK)dF0$69g;y6BS!m zN-*KdYbe{R`wfaSH;2YSkZkhIl(1<-Giv&=a~T5$c+m*~t)g`&T)TO&oJ9!HLo!Y~ zfX=Xj+zBo5%tZpsoB-Nrz0Un;D#nJL6CQ;;K#l+31JWEY1_ZeHc`)}<1Ovz;@sieB z-J;z>uNytIX>6YNUjqv{#r|&$6LbF-y=`_5G+@VSifK#0fS(+}}n0S{7;I*YF@fj9-jPW?xhgbj~-g}Xx|m^g0;vh-Il zqFRAPppU%TzeLg^%1wwa{b3?_TzRi5>uzoqgM}(mr}A@c*x#+%K7%n+yk5HM)h+Dd zS*4?#&YOB!Ky9@)+A>{I{H@x84tfRkE}D3s)eRV(%S~v!Y>m#DsNKuP8Vs1y^_O-> z+VZUikM+v_1GJO4aH^RMqi>h|VxmIu8=B~XPsNQBw|T1S3T9b<4;`LPc+Z8FetExC z98#_FGoaCdzE(Z$xbxI&+f2CYm-%T+@-ywYM>2+0eQp|L_}a6}n@hHqa=1RhDvppMnt5Jno06Hr zn_r!Jwd-F|S-f9aW;;|k{@g(dN&y#qX*K*Cx~AhjrKrIV#VN)U!Zmr!mRKcxyD4T8k?s|PVn2T` zZ4{=u&5p;%+2t(N$avogn%Kxq9I3BkCamvx1!~Qw`Mf?R_S-aFA*OR$?Ju+2XzPaS zG{NE@5T$jfVDuChd~FvQ00tcu&^wSI6t~a1DI{ar^n0{t{qZ?76Wyq9z4v;$0fqf9 z)7hF$BHb{PRvCzt+aRc@;|6{P)Isu5zd5afQ?2|W{zK`Rl$$7}U09>n(OY`!XHf3u#xgB#)pDJ9NN7Ofe zX3XD6ms6`m4AT2-TKpy8@(X`dae7%AJY@qB^Ra4=r(sfE`Ec)A(_sJgb(PPD*Px7eIJZB>AC_p!H9+%3*KA4Hj-66F9O*r zXDeQmI8p>Lf}sp+ii`xbyr{Oo1#q{P%YjnmB?OE#;!k|!3D8?xHQ$i|rT8fX83(oE z^tF#_`Jh2BUequ58*UQX5<*cse%T_$+8iX&fvfwu^U1S1iU$7jV{_Tw^ykZ00%%%M zQKP$nAtnuDI_`U#krR>}gyq3=*rvUr*qRa-1A=0+rFVi!&~)+>xBQ5nrTsMv4n94` z3`>Y}qN~8iOgl-U75bak_%kjMjz*lQFx@@lMG?caVA?vpptt!KCG z*spx-wzjpe*ZMwj`4cxqJ=Wv&?E29v2II0_{sDU(o;a3iV)~lY>gCiU(db-mMf?9* zQ<*OBu}qgpZbah=E;0<99%mj~^}%=P_O)86?j1*BS4G5POOD|enRi&60f;s4Xz`wQ zJDywzYKV^#82;*JdVb`*?C~#QyQ6j&PEQ3odjT76S;`-OomyLe-UDX1tzQy56Q__@ z-^=X(c=5Q<)^g6T`^UH=Ntl_@vo*c48IAnoxjBjdMenVZ-*tr<-Z{1YCr1CkVZFMr z==y_${?CW?n@eP9`gf(6&jFM{dQ~*J40@ddYjup?iqt;g!gZpySBu4}YE~)H|6A*v z(kY8Ho>9EbwIX0-@t~{vMacb(2X7i%U1Y?S0%8Mv8=E}7J&0umiOrvbX~9;J4!D45 zi~~xD1>wo}OoHQlS}Lb6>t`EySASJ8n2GT@r`ij_+{2H3`FyI8#?3mkKa1^Pjx%q_ zB~3iVv1ioHn(5~M(90fJW-hyYl<3_@w zcjLj#gg7CZ{Ab{2o~cS+#?to3+|U}L-!T6Dlj{xsfS_CG;wx+&S6W&VZfMxHajDAv z#Jr@Eg1%fnmk)Nu;Vy4tL!y=Q;jIIjqnK(u(7S6h$mOBhQ{wPt?p*mP5po=3zpR^Q zU5CY0t){Os8=FN+TT`o4k!Yk#5#Ua1#K)9?7}S(&*kbyL$0n3_2TcR~$FsZ|T=HZj-C;0hznVgYKv+&)yr&xH@BbWL z#p^;VY)gM}@tf4&K^pW(ede^BKHA?@-ie?MS{2-BK^l8Ijddry>;X2U5NuTcF?id) zyy%^BrsWgFKj3cjP<$BC*Hg!6^mzwMr1(hKryhHbG7b$YaCG zgfTWsd*4@)<@Cg}H}`$Q>mE)MR3kQi@iqZ^IzIG)bK z#QaxGrsY^9S&gX1jnWB3TaoEg=>o4At;xiS3ar}T5QU#}?89+2vrVunyPWLD-K+p$z zPT;DIqA+Pjb!g~!XD!YMFw*=|SCn=YdWV@vgV3^~8zJoS>>B=Un}JHIImVrfSu9-} zP|oWw1@kC->h2)B;+@=$Ycx6064H;J!@7kPZ5!p+$u(*-%~@;I%(VlQlVXo3g@Jf9 z;QbFcmuN}RO#woMUZ?V}QtAOdI0J(oqROvcL+~7-5Gj+Hm+w;DwIRJM;$aEt|3FZaQ7^vB7cWhj@hxvA+}9C`!@t^@p&l+@kmnIxh{0* zcVDw$*Z*j?4_$cMZthLe-Y~|b0M-QFfym-V){aC-7@nO|=ZHA6x^Hz*U}Yv#^pxa; zvv9Br!aA{+Y@YfeAs@9e{xP;B=S%qiubCe|)=7X$ighl&;X{utm5HcTE@8C6$l{des7#Ykr6sMI5ep*DYg zP+pf#g%`Q@rTW9Os*M?BgS4BU9o14sPkROGH*x;SxdJ!hGoE83LMJ9REPw2En1EW9 zpZOODs2Z>O+!zluWl~?BptVz6G;+PFgaJs+L<~T`bFKWv7ky;cmy};AoDX1_f~qc4 zwNuNc(v2&j>WCKL*(#)61(X>)6IviE>_>1Ff2GSpnwv=g9HwaW*&AB-%(<)7Z zx2hW4w30ub5?HCdn4MmyL#BxqMpx==nA%tRoyo|5`Tw6SCc4OZ$t>MupTtg9Q7s83 z1XN6flnyR0=YG2&w6UH@O>He@yN;fEX5@JH(vID*iWbC9Gk`%kqW%5u1PMi}jfeg0J-fg$ zO&24PibO=%l4w=NYvJ0rd>hn9)&tq?^a&x|t+_O%%L5v8e5|CKd?p+OaVNFj%NBoSBFy<-TX5OlP9nJK_S zsc9v$PkIfon1LJQULAQsEd*b=UuDd;A@Y|Z3>`3rNibTy*;-=c?}-~Qak+!c^|Ttb zF(4#jdPm6w)KsIp{bP2v z%=`3~EqUX!>lU^>PE>#CU<|J)1uIXoOX^u>uqye3MvO9sumVl+4cGK{`&}@e&q2xw z`3h|WpG=o8g$damtbK*_G`*p!PoKF~3*gGT!*K#k!8gpXt#T`e4qY!TxT3FJLh(hQ zD?}DMs;(UwZrZV|NU<0eiWx8bb*wrfY>NK%WFz7;Js*Q>cNwDI^jm#^CVg9Vx7~wn z=Y?AH)o0vxoM^w)34_FN3B>WR#Py%g;%&#l>KqdHK4n(=@#I@tHeeS^$0&c3>cjMI zalTm89bTV~2!XBIAjSJU$MY3J_X;;>*0zU4I3W2(Rs||+-Jr8>?wpxtIgj$u2j=Q1nUj`P;Cx} z*yp|gebBZOIqirh@pGPic0!z;%$8)I_9flZ2hhVKmz|EkdwWiI?Z5964 zF3C!6c0}%%Y2=gj>RO?FgM%C)Q%m(`GMt@qqNO*&jZL)*Id8r$#NS=Y3Axl{e}HOh zt2@q{TY^qfTkKDM3r>Co8hZ%Z~9Df91-Ck3w z<@^)Nup^|flU}?$f69&PDmR>rVYEs7c-!E0XMG$!FySe?wbfZ|Y_Mo4>%pb-(F=&n z_ig_pCi2@B>wfL-JGLIZf56E5yAqaN93Nf}UWtx!;T>3iy$qf%X^-aY1YtH+kQsq4t6&3$I_8l>vgtC#H7lOQeTjgrD~hoq>`YQfL% z_%x`;0_cF=RF|7jy~A#@kPFZKUJ>-PK~_H(wMv1?&jE9##bz%SI_yPSD;;q zL!f2!Qnks#*B~W)02m%OpjhfW+9w0i^xLWqcDwoF(sSv+;qx+Sjc!pq6=!#RSk%-m zn7#|~T+#rSGSb`0?>$tAi0&e#BNKnfvKgQ)e63+ z6_t1}f4f~kV{MX?7sE&93|rp&>&^sEwS5>A%q#9x)iJl!N%XFL6#E0~0M@O=jW14KlxQM2rMCQXW+M=mLiPciO=0Cb6p_A_EAb&hmlOA$_lxjgI6p&z{lYEPw3<*O{*I*oWB4qSa;htlrqPHB+p4v{UGM7>8Eb)hTTU3nZ+JMl5;Y4~N-e}B9`$!Em zGIwl1qh%6NNUHx&MDOlUUZD1%>F_TFM^elIls)w`>nDA5lt)(Mcrd zHL3w)mgF#Ha+MjYU9(SQA7qVhznL~C_1*8|$_%o1s zoBnYT!o4vBWs1=+9?3+21SU~RLLmeRhTdN=0g#6+X3CXB5cYQdmQXy-kNW%S(O7d(lxPUi-_h&HE2nf;3nw$DwLiNhE z`>$xc^}T6K96`uINd9ICG@^u>I93SSF1kva7@D=+R62L5N)QacK3tn&rDnrM-Vq|D-mLM7bJ0e!l^16)%o-q` zo5XMSpy;=gmXfA!nPW%#Jgm@;UE*~F!yrv&HS`}K_RIo(#GfJ_2BPfoV*X*uqH=2l zXY&t1K36|eV_}6{0w~==%@gDe;!knK}}b+0`=LkZVlrZElFN5X780M3`Z_B!A1FeR0 z3pxFgR?bR&is+rg8@^wqZ5Oh?BlM@>?_G?zyBfPx*}DQ(n;8zvGEb_7X7Y%-VOte1 z#N1wN?XevvKi{#ws?6LL8yJ5_@4NA}ai*+e|6tAPlR68yx{p6ikzr0V-5dTSEkf1q zJw+p>K(bOVWKY6&V;$yIVs|H<*PUcwhxOC@C$l0mUEgygUi@UdBm$CQ?*9Z$RNe?9 zioh1@ek3X{=|A$kZu+b*AFJa9uehi6`DtSJJ+;fU1e~>72q6G!YhpDtg7pY1ES$7* zq?e#{Gqz(;Vaux);3FsuE4dQ8*=)8XQtXf5X&nCvhQW(oU7AU%mIfU$RAXkxoF}o zg8`H(#oU8e)GuQITB~#fK8s`W*V!jP*cmiM=$-J!$bWwRvLSy9IUN-GJDFoSN>0*? zc}>n*1A2Lk@)MpnT8;`JLTELh4oYqX=Di4N><63|RIhb~Gfbtz`ipgkfeH&^^_dSQ z7!z@4Y$AgF)=TIN3xa(22&J*!H212x&tv9JVz>DOOzOn~;smL=M*^z4Y>h0}646gp zZu3mX46%E=)PBMl5n5H*M~KU8UKLM%AfQT6Q;Z|^b8m6IKQ=J zq^gr{XveNY+NH2+dYuO1lEYBIKJ?vtVbOUn;Y^O8u|-;A!c^l8cMX)vu|2X@^_I)P z$XH~5U`~hbemCrwUOiV*`y4_JTT zybOo-Zy9lOP~6sIpotRNf)=z+on+B{)YIq7{WiQbqVP@k21_TyXH_<*{L% z;Vv6Fllq?~C`v9wb|!AORq-nfn?1P^qW)&%eC~%L?y1?w9@9}0trZ!=GTd_rC4)}6 z&FVe->u!+)bcU>De~KQ(leY*)4qT;ja5_WKZ$Q| zk`V=mLj;0id}nF|e<6Q8av$a{nb=&p?l zC0NVyWInmnRXYCeYek!$Z7E>H19Dy|@vmrYGDz%745n%huq#+LOL z<&k1?e@-N6<0x{>y}8GefP!0QY12(4_<@hH+Y&+Ls^h#oN0C&-KR}^S!o00j*?jsk zYXCiXDD?P|r(o{N79o)Lq4(cxSkD65_HvlUs+EHA`P%&aY{dOQ^B4lVM7i9QrI1 zQsS@6hen2$jwfPo$3y-BZd6I{o3nq_27jbJh2&3H0etQ9-O9CfOEj!~)qoCW$Tv+n zF-Rxal1;c{@_yduhV{y2M4D{7Ely<3#rfdtLzXbEV>v@Ld(29o9rY~ul(AS~<(c49 zoBI_*x{AK@j1ScPX64l4^1r}nj?2}{>fAEQGZoLp$1_$pYa9pZ-hQ7g;vPy--+P|= zY$cHY?aXr8=+2r$rOiRE$Gqo*R;JFJ_=WF9Tn5ejFFR$Wy2k3%wq4+Q(ysD!nS4xm zb$HV|RhC(8j~vb@a_jMhgU#zVv)YdB{sUOd=3((a!b`jL9Whts7Lo!ZJ0#vyMskeY z)~&~`S>sN>dt4eHldENPvwg@*-@Sgle*GalLW1J5cjj;Ad$9Gl^9^po4S~Wto;d4` z1K;^ew~r45H}Oi0!xde>wSDO3wN`n<=lGYe+Mv;mQV+D|tLuW0du1BGeWaL5u=2}Q z>otf-yo*8)(Yr)Ii`ai8^n!jY5q+K|nQdU~KP)XW3d|ET2lQQ@O)JVl#OlCB+qeB| z$-_G$w$mwlQZv6l(YsD}_ePq_?^uhH^{b+9&W7(e$%WU)MEzkmz4Pb$`l#MDpvSC( z0^1#Vy!7fM`HCiN@9XBnO2;H7jnVzy8T~`}Z~GPLs$^BH>jcf7FcFm@$W3;_KVpZLB`1zI?R$^8%Kp*UPH=me`xcbq zc+)WFMtmq__g*SKNZTI!nA}jaaJ7i}o%Z@WmDDKV&29Dau|ZpHZtmLg za!t&Hsr>_}S@JTtC}jEOgfhdxaCX1kd8cH@ zXe~FgBOT!e+S-LP=s$-}dP*4B0&VHGLGt-eBF6i8d8+~3$28f_0>A_#jaB#oQ%-nn zT}tDs)x;T5KTwCThYk?yMjKrOLB2H*wTJ~@61WSov?M_oo=DOeG*ws|!DFNGb|~gp zyu^d=NK8mcc)#vu$si~(;5OLSbh6ao`Iw+w{pFDWpi>CQy&}6FWmg+7Sfy4Ga5V zPiNahc60c72=9yl<&P;5aRGIo!XYez`-Av14Q_jblVBeu?XBH!v#QoFV;0k9!Byh#5xh(bBF(i5fMJkIQc;kUGlY|7^#(F4i}B*t?s*v6hviN! z65D>aCiUr0-cB)p^B|X4&4sEf3@3P;sd0zm z!`uxTK$cj!knh{tYcW zomQh3GeKh`dPrT}S~k0eI7a!uX~c!dZlMm;VnJ$4XvsRcg!9T|A?KSEc{cgU9C0nl ztT7-)Xkv3N*Bdw*1ep2X54OiHK>-;9@NAs1C63>#YJVC`lf zB$c(dH^jCScj|ZSIH5Vz>1s`L!RuVUT@RUyB3xc!w1 zuY!e}Iwss_f=Un1C>YHA@%_)h6`57lVL4w4K|5noOuR0=gKmiSopefXKm0h>hV7HP z^oTMSr;upld(GE*?t`&i9cV%@wq@}pY3yxdJdR+jPhsA0`m~oO=bS&(`}RGT%?_|h z*%0nyP?s&Rp83PXSsiN5z6mG{!5mAFGILhx5sl}T?TT*FBE^wD%#sno+fFcI&jp;c zu?Wr{;$O8FhWr7}=vaL3d9hj>hx$6+9Bf-7v^<^1U4+uu$Z=5~E9G?8yKgQijW(t= z1wki+#|pXL(c4h+%UBy7T-_k2q9&+bJt{>ZK2T^D7xyV6mT5Do0Nj^Arvz=5&_3EB z)N7lyI*a1W!(C82rmOZK99-Y!{w%p&ZEljGDOKVF020C1>aBf{8f#`b-W=cCvPM#4 zpyO!)Q0-x07#q^9<85nBEG3k&Wj%^0)5{vM`3n5f8H~qDZxVM5fg|m5t~8(}VJu%< z9U)^ZE~0y2$)$TIv6qO%ZL;xH03BZuZ{`7GH6JfxY{3R#TEcei-}3nYol)x=fL4t+LUDT!*>T$354%6rxhh-N9c18t~%Tw4|)Z@tPp^9Al7x&h#fd;p;z3uQNzh=Fp$+ z**?q%q3Njyx&R+0v7nLPbadx?>*Ihq|3B8C&Z$TCd;kkCyL4@A`-@>k@P9hK%a@0j z>xrb$ebwr$0AXt#{;utVI%ed%)#qo7*G|-(46&&Pq4@m!ZbY=0&Fbh6O+-(ZPeue# z-QL!g;(AigfTtTfpX_G|3~?rK2K&R$5t^nH!Y(BISFTOf`; z5N12RD)wHrx;^jW@Z(`nkxv#RIxX*uRZa2Y&@)ztoH@tmPXB&M`~!}YxQU-~#>_wNS^2qK{}$t`Hu%@LQ(-+>4WoVHd+ViX#8$o)pG#UG z&-rH)JgO{h9{(9}w1dp@BC>1>{#HO?9|p3}qlsL&<{)TiW3lCXgmZ2!59?T!upV9( z_|E=EjDf}`DcadFw%_Xd+qdro0=GBI?ERidxpEM`7Dfzg$8nvz?}Cn}=YAX%((X&VHX|Ymh4)lF$7Y-s&FvSqjWnw_Y^|bboOEUiU4v6 znn4je8zuIwO-bm}P73ilhDw&LZ-Gi^ruQH9`3Fd>ZKO><*Z(>y-T;{gnS)p~Y8Euk zGpJW4?tX*%8JwwYy_Qj$bzIhP|$JxS^b#=*Bmx!J|jLD>2^lzDtt2g z$me<0T!8xB)Gr4IjQQJ!LW>_rTpQ zn|w=SiOy1-+i{%Hw(S~6wyAjdt_O+@Rs>159jGeN_%VZSqPd{v!WSJ>1T>4=bu z%e3{2@JwkwjD_Pz)*t$V?HRrSy4TvoB!|jzK1FFDwPZFN;A-@SqUl(O(f%GY3y zFQN`Tm$exSpQ5$CHW6>E;1>}Qy<_lWh4p99@6u#yh)}uQl5K{bn!kk?LtN&|i@iIm zCNexoevALE>+!it2BTCTa^f>I)gSM{6Y@it=GWip2|dM%m|3)y*^BF{1or#?u@ZW~ z{g;CC^w^LvEP1=vMjXT)p=%}TQtr12G?oNtrq49z z{9Vgx8l)|dT4@?yZoTvTffn!c?E50CDEL;VTYi#!)JNh1IZ0|abkI0X%2ny%iCT$+ z^ft39L&=5?{Yi-owf*T_w3M|&5ZPAqK3}UTmKH_fNinYVW+oW{X-THAI8D#ArZjK$ z!J1OmEuPm$w)4}$#M-i)oQHyDEF;?nVdUa}la38rW!ABLcwIf5y)4VJe6OHXr$3cc8Vur-b$c4|iP z4ZiWg5W}?b~#e1SM6? zDq>Kg)|)O&;+j}BYWYjEPP(;b%3Rjwx)jp35HmOq_=k`CE+LBH%ch6)H{Yu@R zIKrJWvulZJ3Z;hAnN~nyi(1s7&~~ChqNF0(9Ortw5Y!}wUHn7xzV@*OiO_-JG_)fI z=aA$?jS{5d;3ZQ1;4=A<7RlbsC}DNfD1AnA^A)1cT~t+ARS{S&3iz3tJ2Om}LIZ~@ zS}KrfXzJix1zegOs7;8JIZAPN)JzA8@DzeG-*46YcblZ`Qn2Kg%%61Q20!1wf#o^t zz9H2Fr7oL3hsLPH*JAHyJ9VW00o6_xPI}ZkUmGS1`InOgCGB?Vf1V~@4%6=aRx{`M zFz1#Vo__st5?+w{n%=spoP)70B)MPnEDw#wr)ai;0p+muvF zY+5foQAEvH=DwI0ui>}?k$t6(kydVhlCS&`Sc(qTa48#mAkBg=AH$TQ*@Nxy0efDC^r1d-=ejC;%VB$*zHQDz_NNcff$R-P{kQl$kY`q># zkCr;?Rij4M3iFE`V{&i6FsMJ~C3WZ73waIByF)-;75AZ)ohefSF3n(#0xpfCITsZnRx#r5~N;cg{Wz||iuZr1gDTgCqykzS5XFy|O(F70${#;eU) zX!Ciyd&qG<6?a!&gl{$c)nR~z0MGb#&aJXR_Gka`ir30(g8?OZ=@BB8qhc#{8QubF zBmC8%x_;i3x`fj_VjDB#4OQ-PqS6?;aGwzOv)E6o@8=7}O7BJP4o%&dFGTc)N0NO% ziUj<%Oqlbg6ZMg-hNpYDvE$w8bPboB5)Gu`j@1vkJQ2s3x=i7bWmPH5256@$7zGNM8dIztxap=$n;@%DOSzYk zp_W#O?JR=F{(!bXjM`X1Jx zVHH&=Fu-J)Xeb%!yWbaH?ore(NuVdt{tLB=Zc}V=yAydfKi@C7UGYWph(s(kS5&e& z;nZ|4o2B!gyZqonM$P9San%y9LZ6Rl5LslSU(hZ>wF9==bAE#Wc)*$CX`F$3Zu>AK z43u4l?E0C@G-?ac zKt>h#9BRF0v*ex^rz>*Fq>v_bT8$S747EhPj|xZcX&FTc-+stPj~#zz@2yHF!xG?E zMzpG6PG4UMymIE00Lshdud5VCX55~bj8LQ`oJQe6><;y4sB=w^a_)AMz&<=d0ALz` zfA=Uz!Z~uRlGcjyfyI$IE#)mpn%pd&#~mVJL}%W5x&6Fvy&QNXGZC7Sj4^J0>F& z<$fV3s*)pXRgovAULY?8B6v|5s09D^e}HD)i(|q~e5Yx~mlt1&;zPs6+UYDi+wbK? zr`p9s_uZ4?^POD~46#P>5SES7QPWRtv+r=R5B(yfGBiHKuKR(I9@3xQA9!?$ahwo- zm&4&3;Sl<8@Zfw(${<~~H>6Wxx-!^BbyxvvQe*Vv-bn#LvuZ6;Ii4OW^ikUGAK)IQ zIC4dAPzIV3;b_dE-M!Tl#{aX^?P~6rA4ul5D-Vy*u1MAA{fgPVQ4n1xCbwsmtu=I{Gh#S5c6jj``3%3MH)$ykcI@j{BlP)^f!;iv7f;s32ITc8WK94 z;T{WjFGru>lzzi>T>Blk=Jmn2BSXF@n} z?DCZ{fuCI}sUcf*rj58QKv!x)5a-1>d(EWllx&l3vTR&8j(ouwjP`#aRCVndRwPt?|`y6u2H$Rgc* z$!E$vV|2F}gRku$RTbygNVtd6z6x5@%%PtAs=YijUgRJ(IRzJTkIK3&BrLwWkXt`p zXf@upKz3n{$LLx?iGypyDG{q0sOaT=^zqu-a^&K~I|$GM*To?9q4Lr$Fg8X?nC3>is=s$6izS@jBv6r~uKcAQI9DP4%$D|Kpu zQ4l1sWSnG>29>I!qs=egOq;n91?OpS8aio2VBcwD-* z&W*wA0%{q4-*uL0xLf_Id!@o#Q`^n1WF`D;jGAS|f{??SVS+M0hrmH?)kMp+V+oj> z{u2-&&X7@eiMDK<<7=wRvq9oyD3*u;{x|jtrK5riCE}y_8<1|ItF9%Gr5ltIci_Uj zt(L{A@nQLX)tajr#nt&MCC}XrHFEwXHD?M9I-5n=QLGHL^h0z2N==(&rw@X5DyOD1 zqd+)$0-Qy`0zOzHcx9+L_-Rl7K?C{W{XGrZnN>ak_d5}{H%7NfM?S3)Yh`j9rBh6k zu3sJ6?GJ}>oaY|EbBTtC(Z2pnjwGT%dtOknEyQ8f{QxH1o!X_Ea|A3Y=uJnGuaz9S z07pQ$zt#7mJTrH|Wm^BwfN_i#83LlBu&5;Dy1Mz9YQ+JV*HzkZDy|@If~7Cq8?srb z;SBLo?Nudl782mLRe)&C1SG3RU4(R1?H`~9=sNCnT+}MY(qI7PhMwEbR9A5v64Rkp z#DRn516Z2%Qz`Mm+3mRNrOr={?9iHnOPp7gqp;?t28!umd^*5X<9(P5pKW3g&`2~W z{fCO!LYg@IS2Jch>WteSylOc2|C#syx67vzrxrQnJ5AjRv?)BXW?L+Snj|^7J#IAU zJI6*U!0J#6rRAI?Nj%LlFIU~%Byp1JzjDL8YhN)GfkS%J=F2*bet5$`-Euhr3=`J4Yeo;Lw(bYZjdvoFSJoI@?ufd9n zn#xx*N5M!cc}U0tn$oNM-dJ#hS|8@@e#*ilPvPjcrPfO6GW+M7*M%F>qHQp_z3MQtk0B zyZa@gYieKSf|1IDzwt$m8T|D<$!1VOmYIbmPP~3PGY%>qQmDGxV}8u!3Ui0*dI7Z` z+#?J0rY`|(F=|kc+!@jX^!(F0XxKO7gwTFazqxRbD!Er-I?J3Rb5p~T7gih=Tb@L4&M=!1#}~504K<3PWR!a}J~C_$oiR4+|p!VPi!T z|A3{E$9>rUR&7OwC`P;Gh3hM}oA43xBuuD95VMC@-P{PV`C$MWvL{FTt;8gj}jjR~(iX2+u@$IuagyqJzpSkrj zim^1(uH|o`H~uzHF7c4T)nsYwgF5LQrWJ?fp+RmHv9!4$*X*#{Jv!hZS24@_%cKpQ zTBv0Z34QD|rG%P(@L0fqJnj$-A>aj`I^~yft2om-ZHSk;|9Fy7=yCPAe$LwrLSdyF z-LikxYw+IN)T2nbqdjN^iAk5tY31v}v?84Cf`}NNtpcX6o)|SOW}T61ntj&{o=m%w zD6!ZDRbomC*DBVGe4ig&p~E{vF64byVpPN*QctMO^JGlZ2OTlS0&BeSihjR53@9>S9xvzWN7?r-J9HFV0j&P$_ zlYx!Clkv}db{p_TkXH^-%@vwf_2`XCejDvx_$M<-Bl*<`YKpTe$D>0z3{}~dWJKT zrAPl`8NdBAsX>QD$j`rtp+G*k9$Gk=ls_LQ8ok;_mVehkE!}B>fhMpyKeM}kW<;<6 zw3wK`HgCBt9@eGhM7|LW-z_sZBMvZwOq zO(sLv-E3C~fc1(RO)YY_Z1X7@xTK|~X3>O;I>pkT#lgH|TL4HvpS#ImE*gYtAW!7< zx4?SUH?;ZNSL6+2N5m}I3(1?sQE<#4J+De0yNgN^(mwu{!B0WL!`_ayfr+80pi_t9 z{(>Tz22q(L0~B~VM%S+%;PZ}8p98=P{OQ)Bhg zB7H5k4f~V9s1aO|PGZ?DvoMKicKp{g`5OYaZ285; zyR#n`1QCl`eN~ru^8dIiNaPe2&wt%l{|A_TE&DV4lM6pRnHr?(v`w-2lyO^Nm`2`y%>iWamqk%8K8DGyB|UMa=T&oOd6TF7YYXNPDZT zr6Og`b>}`=hem~m+HhCNCEiYp;c*yrD8gVjzf<|EHo$mYpT(^dU36P?9Ku@sI(9@M z`0T0;P7?med~8ybGpHvJ(+RaK#7{)zSpO$-F)<_C(En8D`8)Y3$6z~nt0=^Q^>5oR z#}s@pK^eSjMoZIAVwvL~|JI;w)=wzxxSM?+?UsxSvtH}d`78LmF8D06TAcQ}fA3A7 zLxgqxje zn&v=#V(i!Fw`I>w_0n_<3eWMXt-GIW))!L08qC5)XM2E06mjR%i2ei*@dk=kBBDVA zs+m6QHT}i>`WAur`-iZ$HOH18$Q#Dt#-=I49MXxmQ;2MOrr#+kq~LJNwNL)yYqRfF zWxkHB964XvtG_7lRI%R9;gou0W#WwldUSGRhNF7C{~brJrZdyR@3Ph3>m5H1h#N=# z8ft!{^2es@XXdKuYiCvz1Pst5d_4;QGn z$gB)`&Y{9;>cQatL5QWR7`W42QZX%Ab=P_fikJ_9bh0)6TFL3!I496Co5dkL8yJWRq4*U}4sI?jUsldpzv9_c zgyZ1+^M~pNBxmb``*?nPec>IvyHaBCO61wRZ#+^#70+I^pJ%+5p}x7FLe0m^S)G)# zsSMB2BgLleS|9IlP!Ts+lCi)~!7Bt`ZtFYll-Wor5L@jyrGGP2%Rnn3#TkpC;qJP3 zCu0)=75jOLDtm!IO7@fgL;ZE( zo-%S#=(+QS(ysOrD;H%4FQ00W9)og&4)eY1UIOysF1buQPlY{Z5ca)`Z-> zx$g!CNVJyV;)kz~h;);osYS^qfXo};BXg){J2eE)5MFr1R%nDGKsr8&M~1siUjKpD zziEN<)f@!UEhf|cm@A|nHt^VbWLax)!Uirmg$6}) z6>AR82&M6Ee~a)9#rJwCI8?nu@n`_4r-r2!EhQrO_T9v{=AU{Sl%16uZEq9XxE0y*$DA|y z^&+Hx=6)(t=5+6>`>WV_23c=R%ADi+UIWWubc$jWDBl5fb))bMM~N~!ZdJ|y1L`aU zYT4}%6nX$?+GarvIW%V%2Ll((>;G>wF+y=D`E(aU=%S+0*(o>proetVPV|3slzG(# z8bhgR`_nK`NtFzK({FH|J{`piWA#9QNMdaQ;K&U}Q$$F>zzu4~Ds7y^S&-n5Pk(&a z5gMlYjBJ<&v1Ny#u)Iw!+J}L~%t5Rh5szyRQN2TTuZm@;3m`%VmJin@_ACrwQnQEi zShq+(KncpNTbp~jL=mS(Os_Qu>542Hx0ATv6qRIbD)wR@D*_fq6(e<5v^jprbu(EG zJYN#!rrk`ot!ljWrDryKWm^JP$KQnAb=_*YdiQyhstoq=9OIC0HE$<|e<{*bZ7}o17jKeb{O|98Lx2`zhxX`v z?}tx6h38=3TY?14b~>E9nhWpgd5qTm1NdGzarBf<-8}vB;lMB3si)ZqF+8ZzT}($X zyW(0hVp4wiNvCI+%+MLL;S2BjUadsvNKc{Idmf7(B4463?Riotk=5j|&$AT`n==qs zjQon&GJDa3DSqk42l+Rmw)e)>v8Q%u7nW*wt{2s`b5zpvd(3OR1~%JQd(hXWAo16* zcWYy-6_-E1_P_N&h-ti8SOoz{>^{Y*5|*(pAoEmNa!X9CI|H{4{Ib7WiivZS$v{j;%JF;&O(CAA>PXC9^t^549?P& zw57!{JrIk-pg^+U7#sp|ZDIeRBcYKs#!M^FdFs_5NwZt1F|$D|?f<{>0qJ9PbRmF+ z&a$!gQC-O4aboM(0Z~_t2T>>T=DQe>Mf^V|uCe@jt+5mQB7QvdJKPuk~-TgMF~ky4Eu&xiO)% zxb^lZO#*R;h#(~)ZQzbyc)bv+;OgAIA@B--JMpJ;Px|3gEm28 zK;k0L-n)1yqyx4BC9FMQ%8_lzG(M4T||9`46yoz011d{WpYOtTrN9`JeTjAw4y|O?LU! z%k;wQ`T-}N)n2V?@LEDv{F01m`sFC~JFYdIf<1RpmKm>6(Ix;(EpI?rJAyNGrdfW_S9YKBUU{!O~+P^s0FQL}N?SI|_J z{PrSAI<}8~C)&%*(=uao4{fsn5xZ9-?e*5f5PH2Vv*(%rLwJMDb}L#$u$ zyBxY!)2{wNV2#wvpV4xO%gNEHayKu#75e?kYldvTZ)65o zyhr{cTittsr_?c>HrOxM$B7-1?bf_;a~T>V-(Hb8iXGM?`yLOt5H2&266aftu)DQK z!Do1UuF0y?w&H^!kb)LgF3H`{q?Me24xc}lkW17fTd797vE2~9)%$S;buUBtQ_B8M zp7afV%{#3)81Su3`{DQvd5OXj#J19y`>prm9$CUt2H#Hi`IOKdR-ay(HGVYurQ%V& zgkOkK>|~+0!FRb`Zzhps3$L0CdwpFK6&1YDl&I${dRijSbm+^URGo_-o=s9xwu8HO z2O$Y2S0{VQl`&F07$7olUAE@YIEDX1K##xXnRxiataz(!I$=pz0Ats2veU0p7%;e} zja049igY&->|Wb?Ep*H4eC0&?k4)LL*aNIBEiRaaM|!mV1Khu<{sZ1CvIg$}4K)_c zBA?4T%}PY7?E0Y_tDz8KX1ZXj$IdO9Jo=wv8%C_ftA6f4PYBiOHs!Z{K$ zdn|U_kW2n+ik*m|BsNT7C}QjfOmE>rRP(FwAFAzpn7hOD9A}~V)_2n+TCmc&@N$jL zq!34LTC)FAxa`mcOMZ8}e#6)i>+WT15ut2ZN<^JcpbooKqU-fTJ3>P`q zUtP_HGzfPgAj5Yl3nCQvU{8*4w+sxAs*px_Al`rV7O&P>?A+sG1NL3YB`upKjT=JWBQRV(oO40`#1t_UgRb4eAf=nyLB!D-Xc0#hslEgAT?Z4*FxS~Goi z+t=4kIVF~wSyUt6>xM2!=8|NP#r^>)MpZcsj;P}id3Hgj>AWytV)|v$I$V@gSX~DQ z^Nh!R+FHQLPY7$)0=fEPI3wjK!=8)46~5MM#}3=ntcdsCLE-ePH*pXAcd5ImzE(wG zTA3k6MU_5xf3E;Zd1Sl8`sXH^o9WV%1~ zRqF>IyNDlZ+rSEnK*8kUqS(2oiZJK`ef`*0oiPM(i@*V#>2q2_U!9lF{QVI?l9>->UA29hw0BWKh|-+|S-~U))@DhrjtQv}3yGy)R>+X}30C zKN`TaGk+Fz|1Nisz^lp$aVw_3{^R^xDVr`L;OXXTFKiynSmAV^*PN5l{=pZz#dP2v zdbsl({Caj#?I0r@Shl%q+!1&XSQxbR{6W(8dB3n);aUBAn6}LxUzTWl(D`@pM|56~ zu?X>rvGDjgCpmksxUO3#eM>kQ_0W>AaF6Z}I`5Hwzdjv}n^(Q@IcZ}be)>VJtJzSM z3n`%SGj z;yn&N1nU3c8N?hsa#*EVmJ$F1QAEKS#po~ha$`~OL$3d|yN>3(jCp%)`V$mRU)({C^;uu{l5En5M^?Qcj^++D{5_@Z0xq&{KJki-l|w$4hEzMo2L@ zsb|@Q;_e^TK(FFqX&?FQj^9jQt%8a-bO2JToo;FYWF(qJu>*|YfjRURaTo|j+FCW` z^$QK{2S>EPCUz_iudpCWRBA`x9j}$Ci#&2*E$i1%dMEJBYLB+)`?+Ot9-%8YU6ZaM z7}UxiV*kIZp?76-n$&*yenrAi!DOXBjr4lL@{pnOjOYQrH|V96FZ7`TdZbEqiMmA_ z8O^Un$3v<$p7UXG-Khc*%xZ1wPW`O|$qdgY-_}AC1O_*DBsNDWU(Fb%RVScsI$J%m zkHyQBX(05W^zAvf0FYspX?`QPj+5W%Yi|2?ArKz!rjO;0ujlEvtRGR#`)oMkGaM5o z|0A$WnZ1xTNj<0q`aWgm$VKjMnwxZbb$5x;%&;$$I@5fd?4lEHe;mH}etqBzZbku9 z4{R?_e|+#KpZqcFr`s{r6ZPMz*QS=V!Tpi!ilrmBUt2Lee7~yy1K1Uvnri4Pe2Ib2 zYtp?QwT;{6{BP0+I<3=yuk!eY!*GkY#(Pj8Jy|bAGrq|_U`p~^SKoAHxZjET6->AE z`BHu2QVm1(XOmWJ6JtvS_w(d;Ev(A@B=M)!4ZlX!pQW(Z&4WPsR!q48$1T*1rM^YIS;3B25j zd!t(=;2xFN>a>rmhqYXRzim6;Uj5z8YaG%48^Q%Eh!Pye`9-s0H;el@yk+C0&y~$2 zUW5Qo{P+;PW zW29zrk5`qwkW(?pfW##8bHs}?r{I-SJg6HW*!ZDR9V`%L9$N#iBoiT73`i}Il>0%z z%|3rmwScg^toUu-$TITHS(Lczm5 z=&Hg<4jo#u@mfH5+WznDhLm@`JX3V7eV@)2eVuxHdmD=Avt7yGMPF>z9kI1IZFtlfo~FVEB0x3mtR76zt#7#>GkUJ{Hqp z?0B4Q`QuU%A;u)1!4KEGd54IC!oWcKuB{tJVsS@AjJ;QpIt1nY?BUaM>8n0cRzjQp zyL^>ed5fclPtFWmCEe4t=b?N@EHC3f){GLotw+}cBE!HQ4`ILV`?TTNpk3YlT3&B~ zqsfWy4SvyCw6%q`Y7d8f zgnwx2Ele}mQJomFDepS;ELl0WY4S7k?0$ldLj3 zZSf)^TYO?7VG|&wSm2!qG zPttcSwNDU7S(dWD^{cT7Yq89Jt7i&$%pa7@m;4)BeOT+_cyQ8ij?D9iS)v#s+UW)y zK7~HM+yDlHS*8=sAK?|W;T*ModAF}vs&mUljyH#^_hp?@D6NV2sF1<^K2A%YMNAvn zQD4z(^Czh$(hhzmVY?ve%#0W<<9!0V=Xwsp4nT6L(gNvXv_wFu?|ys7vC;qiE=DGC z7T~N=_2W^Z+ocouof0qJ&xD)WM+bHf^Rgxu7q~p>%qBbVZIQU>hpj7g&7@PkpQ9cK zDw-n3O4K&e6{l+fXMAlrrNrF`1{#d0eY(OZ*r z!m{w1oNkRX;2ubr>jxQ1PeBqUOyzaL${YenTnXMbPIgHvKhu>Fg@Fs-%)u{$n15(~ zqTphfrz<}F>H9-UH0>_vmn1!*5)hn>qj zNGu^~UKe<5Ws#ELoM$J~t)nX$k0#|;w(wun%*Okwk^t~Xm0Fx*;cGOB;}Cv1UIy*- zC^eY7bxpj}=3a962jmRgv;wkQ)l^OmE~MhnJQgM;SIiGt%}Yyh9I*OZ37-ltJEP%T zbuH2ecm$!-R2i&W`)JUxKKVfaDk|#IUl<}-6>iN^ZtOA%zAzHa%Gt$|RPjD~~YQt4L99i)n zkNuB^RizhWSEMwZGCw@oq&WHKF;?#!s17*k4W1w;w4W1wh++}7#B5eS&zw?z#_|!< zekazgk|`=92;`ZS{IU86K<qW}nQVAN^CGl9Bi+>!Har$w>UM6&JDTcY9AkgG zTFO+2rE-UtXo#1*k=U-{sDOr=^-Hfp2}R{e@>h+WS$h z@iB4q8sQT7R^O~eb^Uso?QnFWcWrt}brs003J{?~(whxd4RydTGoWQf6ZO>+gXMZ^ z8^+5CgNgk6Ff9jKP5qyc-F~^Yl%0N@h%Tf~`y!0da&@NjB{7S{k@7T*+OO$}XB;L{ zjzzO#dIPdl$_Q=-N#_@^>2z!CQ?y*};o=_m6?=fwTRg=5`R*=pH<&mYG&-?t@DF%w zIiSs7$f7Y#Ek-nOn(4qbhKYC7LHd%Yu!zX!LX;@MFK4Srnn$=pv=pSA_jx!qXLKTg zD%aitNLOjkd}8V@*?^zf+WnD4G_rZXl&6l1|Ixvz=_H?j zfVBnd%&-M+dQ zUQw`SleeG!+E`RB;k2r*m>^{Yu`hdm_Ew5qXM}_Qc&TgdQgSXsS}Z5QR$lJJB&c4N z7^zt@-t1*`8jtDZ`JKPJ;qbBSfz-EZf1()&;qoqOD_~8$vtoAais^`4rmpywckd5m zD>>98A^J97y!R5KBdqMNiU-{F=Q($8KKaN~uIb%vlzKJ!`@OcWj#gKYfn>+Y4Jp)KZh)`mB_Vcdg znAKtJCpLjQ%8N+k!Tgq_u%s-&YU~C*SoUz7?)$U)pP4v}F@6FZY zN4kBauaWZqSDoNDA4IQLsNC8lJLU{VrDy9q_?!CoquioCexTiH5PR^FrHx9%!=ri% z5v#@q%XP+HU6MwSIhUx@DKEbRmRp^$O%k`tw}drfI=)5FE@LE`#kw_-ggqi+Xx6q_ z(;w^lCQTY% z^7^*Gv#t-vd3!5Cf=9zjGL8`=DMCxi@0U4c@Eq6idGQ``zaxmvucFM$b3Aenw#VoFhjHZDxjLcj{W&(fixl?Yl!Jmlp5JpL-#o z?{7IH$J_BtDo_J_=iNj9@s|GE6Ia#zlja>9?pFs?gqKH0J@5;m=q$5#M~tg(rF#3Y zb@jot!$*4i;7n|9L*|VmHl7cC9bBmdk>QTBoz0*N0$C+qtXNC6VgoRG*&+uo`zSw6 z*i5K*&!*nXc=mLww~A_FVFUOt*^t40{k%~#<8+mW`0A4|9X^slaqo?q`amFMul)6u zq~6xE`Ki0E8!DLQ1g|~WCry{F80!ahixOAkzRbr~K7sL|+r@QW3z}0v+0g#2%7+2E z8CQzdNBR6&gyHB{Cvu$Ym7X?~Nd;&ygx+~PM@%)nh1Fm-u1$mS`YrxvKSatyS9V7% z&Oc2^Y2WwA8!TL3mju~=bT@w9?cgsh`L+B#ckn*O_I3J-bAq5xm1P5pN#H-Ceky0s zq|ZHlbD>bRuMT`-QET-tKXX?`O+K_rPuvcNXC*`Sxb)Be02AKWiZh=cdSDz_*LMC;pLL=T6({v) zTK=11;9{P&Q0#6{+)!PL3l1m@aa8g26Ptx1n<=8aMI4!h8@iZYX?+OAYBQWr0bbveW*UpR-!-fvLy4Dc5uI(aMc^ zVTHeoI8>la<7SP`f|MpOQ(M3WsX(whGjFcGJD|-4vC!sKqt+~&6sm_W0#g&>@Zi<1 z;+1bM@t?1?7Q;Zdy*t%-9e0@eraW44($uI!A3Bgo?=KNqHvOMoX46=v7?Vir1B+mQO1iSdlSa$J#FzM)-75pH5PnBI- zFW#_hsLeYQOtoAQ?nJPQ{)b_n7?w8IRn?x0Vq2;t4q{7L`i}?)IvG&O`1=Q3r|9R_ z7P^q~GM}k^%rJrA_xcwj$;b%!-|dTwUlYa5Fb#JtY>aqe`PhDQ3*-6#Y3Lykdqg)dR)*M`lgop21Vh&8SIW~(X1{X365CsHKOghGtP9vE8 zEC-S6&)T`O*(IgOLu#vnSfmkiu>B@qru3*GoKY07>WVKv zL|N@;44>JF@mhigX|J(Y>$tudIjsJtDC%?#M&P!h$$CtLr`Z0|Zl7gBx9gkg?q&^V zX>r+w)#r9WV@l5@gg=R7M+~fL!&VbJPSLL+0ZHWtlzM4ZaL z{KCtdh#@?VV&6)H)x&KG*W4la$7g=jrLP zgK$c}|AeI7tsx1a;-~m2=M;|9y+%3o*ZgOFcCS6JnlvcgY2SoG*_*pVjqfhiJ^uJW z!%G$dYtU|~`SakdQc;Ev>6L%&3NO!$)OLlQ=PpXG>F=%MUAS*&GKCCRDRzsSOu}XG zdTW@3R<5rjFeGX@aAj0oLP6N9q$g!Uvu@0-QsHlBw{yFOz&>1FoG()I$j>5;zgE5p zz5*D_Ydb%a`-vHsg7_YDXTML!=-fog+#G&2h3){ho{<4pt~oQ;_`ntysDWTA-vg&3#Cfsj{rv99^sD*ndGQK8kN=uwH{ zhJACMuK`ym!_CJ!_Qw!CeuRS9z5p%i+ed{@1~u)wx_f8lSMT=4PbxJ|PBkcfap&>+ z)Kq_qF3f)2`nfXEr3lMsQ*$x9%+k3<2GlLaYd3V{kqiOF!n@VB`3uCSCQ^hCla)(F zjIOv}Qtf^VYp(rxtAGfY`%~y9vyEcriWeoxS1xi1Okx9zoZFTSjSwVGMsYBS&ob`@ zqUe4Dh^Yh8(QwwFmWc}*8J=0-6o8#Q%cUl#@u`UKM9l5qATjE-*KT30@=;(d$8<>8 z+M27u*}%##y0PcfYxl+JtUl(2GeEU1 zs`VT8cvw6WR=BDctoaX^bqK6G^Xm2YQSgOS3}LlyP`LNgaV$2}_Egz_VfA05ZW9&y zcIHre&tA+<7d1)ZD!m=JP5wSqMaYgughgnww-(Z_cf0a;aT=^Wy+Wj@N*evSPQCvq z3Q?(;Gjp7ri$04XBQ%Dh# z(??7Phenh$lQj!ra|-?R{r#~&?#CXF?LNG(*Y$ke_jO(OrghIT{%0ZlZ?qkKksy%< ziJ5}>iG*PUY@${~n7yYGT9LM&Yc~3ABso^eE8%O!NnXeI95wG|AH0l_D9NRs*OL7! zgefiDoIZ6n#7`Rz`8*LTB*7X<3p4`Y_$(gzh7OMmcX59lWvS~wyi5|5ZCo(78o{rT zNwG88{>@st5fsOB$o{2D1uYBAmhiF}<1)3HS}6d~T`<3*Yonk$F(&{&)lPs1q0ZS9 z!Vo4-fWJjPV;3SMRktTs3^c!i#8R%ki%4m+!fRDbOkpP9u>qnnlv=Nt+tKO-puj96 z4YE+XS=apjBk8Z=di3sn19;^hc7ug4j}2;_JN4gfE6qQ^@td~CW+UxY*dlM;K|)i% zOxsE4aa-h$1=03^$`kRp!T7ypbuEbI_sv13RkEb5e4YW8eR% zpf$l~_-6^a^W{OAZ8-~vwaQbcD*V8KT~gp*yCHH(m7UG879uQgSODIp{90Anyi1y+ zwnC-*sgh%90?92Gk0X?c#V2PIifmI?dwi8CZ)KelN5E34Zg^IRZrU?w_nB;v;wyEy zh~E@l5p_w{ajsnk-rYyV*G%g=)YpdvH08Vm5C&Z}yqx;6eUALbAyT-d>wX!M^~p>j z(?vDAL>KobN)x#;%7MQpG8^dDU3JDjgvzTIMxwuXp$&?B&)zuPJ9A%Hc6?s)I08Esf9v<`>S-GJLp)#_oiJj}{%pHH3 z^-lsi9ZZ`CvpU0jDOv7WCm*sHC8i^aXA`^=`ni>sh*XP3@$6GXKE1oO>T5#nQJiB~ zck4wOtMZoj!?KG=mL98>QLmYp(g5EY(tOc)ANOBAZ;o6Wq-OH^N*CCPJhj>t@zaaP z^vzJn-_J)zBg{@7O3XQVEl#$S@v1ph zKg(}8abF;zRxz^rzj0G1&WIh=Zwc;r)J zPBnj*eF@6S=Zy`wD>}kvNOws4Z}+Mu5bo*_b7;;P1E(@tuqRHn82p#}A)L8P z-C;7av8)=}Jm!>qsP2PP1F_q%QDX#K7^yq(W+pAroNcJE_6NwfjjxxG1aV2Y$z^KX zAAnPKQSXJuB|NuGfLY~b`l~2K-IPZQpLq&VouZid&{g=CuC^!Elq& zuMWY;4%R|I8?FCkdU)Sh<(Q!+gM|PTls%MD1?7t*M1UIlm?kLW1*G6alnDz3?~Q=u zHL{I>lQ&Py=HD&tUG#E8dugWLN=EZzp85KY2bxBZ+v!dKe#MNeu)-~lcGY40uTcRh zLWNF{`MTH3vpUqRPyJAn+?fkr( z1*L35`E>ENcw3^?7;Ypza|cllNN{&NN6q84OJYSco3OACU(5NVCQ>V*zT*+a57a?c zF;nUnP*83KpF|i1g*&hNzj86B{YSB!5rV^JVBrpQ)X>!4b+g#9QYvs4QY-8?qH0A7 zBk{$Yw7l8Ir5xNuo5V0;j}QbS1Fd$Te40$XxmbTq+BfRHK++_Cb&DwyV^nQc zT?Dz+l?7Xt|^pp=loZz-)h3Sl7=6Fd}qIcq&?Bk6q8^ z$2G>!!kDrpk8gRDY|DLdh|*L~S&x05(P1n&^?NbB*t*q%u+r_N4gFx=zY@;eKE+wPi%I(&{ z{JYASC+Km6%aglrLUFl%lU+F=>_60Z~xCuG|i)`VvKhes7Jmk1D`aH(AvLYtIh+;L9_i zXp+5d((!;rx}{=PGx!wo8FGKKSMB7`^M&B?G2@bqdpz27ylfNGF%HE4V#dTdEkA>1M{d}kMWt)C8DVB!_ugbS2Ybk zRd6M^f={x!#*$l-NgK@tVhkQAicaA%ukMlDA(@8`P7;vhAec!s7p;DB-|zn8Lr(}o zhm-KgvV0h1?@&jVjC9gi2}AJ$BdV#x>Pgf}SZT7m^j1Im!-?b&NwN){iR^W!=X!g& zN;RM#sTqr2PR$c+ac@b~^?rZz%I`LDGKkc-F*Q8A{(5fRy7AwZpI*IhgRI2lhJRH* zc^h)uhxe?^M@g6HSkCLK*TdV26K!qZ$3D6KuCT6iUMT&QAeZI2LWq~lGzkT$&6_B`@rDz}*8H9JUx-@rlGhyyGS3Jh-ikn-VZnzI8FI#~3x*;#izx4S@CnTe`y7X-JD2;J>ahF4dOtC@d&82Iy>`0`Z6{Neh!?#q z5BC~LUFV=xf%|ur1|+)lTc@wT^ZbyWB;ng2@2E0u?j8}ae$DZb+^O042)IEKuc`qc zGbxxuDQyxnS&@aAnN391Lgml=TH}xx=4%m;FpDQL^F*D39SLfCqr1}|(OP_$3n;%5DSJ;IoDMJ?%Hle-n0ZjO&W z231_-YZE^^qJ&U>6612B&i@ki^a4FV`R%s}eW%C6!kRCX{F`s}q?CW{qAJC!sMy^~ zZxZMe+(R@XvSRDLZn1B^=5Cw;WmZ33I03K-=Z-PWoGH4UfkF{s%V{$0cAX`(0o^o052P z6i?zc+1w9~K#*9oF&z%4r7pf#4kSGCWXZu6y^>9(}G7 zA0ir=Q(-i*kADFEvfP9J_!K{l(Mcxq&WkCQ?s#jW`=W}Cq=>2`+1OI>5Sj04knYy4 z!crML-Zn-k;Ja7aLD@0xj+c?^{oqccSKj=B;j_89n&$=w_~!Ce>6Hf=`TA_huE>FZ z#=^!sElQg&sWmpvk+E@jo3OQBEQv1SpUZwC?4^pQt zk1{$I05jqj)dp|czWzN|>h^?UEDDzZ`c=`q1yp31`a|POaLDf4e)-YlqZpi}4ECx& zfJXx#u4Na(wC-m94lZNdIcbNf-<-*^>kpqH-%sZKguzeF5#)KbIMqs*lA50y=2h*vtw% z0Z=W>Or|Ci!nN5Z&4666A9umDLN$H3S&nix%Cy&n0w}p=J{HFH6Vk*CP3=|-MfM#| zW>FzCwPGJK!_*-fFCIM(=aK2!oQ?hnikvb*Q0nRpmRzOqxHeV!iR2Hcwtrr%Cm&h1 znTCKr+=kX6nij`-2*6&g;8XFGdv)IoK8TKa49lB_n<(#o)7@L z7LCS+GTG+C@@UZICm%&G^VBn`vo^%NXaw?bM&}@WpX)#US5Pn0$Rm$LX>WaAU_2M- znnRSkWvkmTVg-D^m85hm|E&_-`@l;G0J5h)+OAZORS7}!S=mfhYUWoa@8P~>tQ18v z|C_StMObYcw1jZObR7E}VQ>N@TQrn88|BHDiD9WfTX$v~@_mVzd2sVFUytHsR|c0v z)+ZPtA{o_qLB)B#%~zHbC%|qI>sb;j#)z9BSV*(#03lIp^;*orB7W~@pcL1)fmYf} z@44i*JBss8*0vb_+soDl1s8GuYr|b9#YxRE#d|m2(0`klzHwj$j7ZI^?x>XT;B0&U z%H3~1{Y7u=;-w}VW|+Q_8n`dzJ@gyJ^nrR{B?_j)}<6 zN*~SG)mYjU9E=_3ZY_Y9-9BE5X|NyOyi@C9z=Q&GDU zkJF(tv{4hL1!PMI)T8BZh23Dn$sFXtZM#Ynl+8rEv5k5Cq`I%(Ox(XFh}JBvu)CXH zhAZEjp%VElFd=AN2HVt3^OX1JSlJZeopeIwP=fb8S2}7SV}m)p*gR{B*<~9e$SDDt zn5{uAHz%ECg60>I113tT9IMeKb2?QWBBVN+dq+RLCa%kJZ>`!(_Nfcd{{U67$Lq8< zcd)a5Q@3yBAceG<6xi>wv=AC(FR@-0Pi<}1&;6J+#dz%uR$8Go2z}iXBA6#NK;cgD zo^QdzV(o0@GVylJ$}p>G_6X@9-uXf{U7A~o#P!@}M~n{DWiJvQ8(WOJ>xEP~Iwrr~ zmJRF-(UP0*!8wt}oWD9)3@L%DQk8CY`;F@|xm5}6#kqr$$In7me|R5tLiZGwp3ZQJ z7D(H9n)0q}GFz!CGP=&@sIJD=S`Vaifn!~n069R$zpjv7lwF2xt%TSEH$>wJu+V;f zbR=ehw+(~JizpMDX;6|U+*K!)0l4S{;fm9C1C2%ayM&S(kKU;FCdsryL zu3etU0MTaq(JxrNHpz(sxMZFL+YNEgoiW5eX(W|)ht$sDuVnuil?#%-2etl@KwZ`X?isXOH9+fO|h+k4GO&ZPSRokTH z{s8u-3J(XF_DNL;J9mT_+iWVz@%M#y4o6-yU!$%1^?jB%Vz$Z$6)xRoa;~mjdal(S z7H~CK_7AZ8rnouvE!VjtifW{y__3f>6(JhQ92R`j49R=sXaqm`5|Omo8R7q5fnmsX zL0C>sjl^l;L#2Qt+4Cj*u9(sjiQq0Ihi&#Wug1e4VFKX+VcW3AfUEjq&61o2Ge}ad zyXJ&h8+l9;Bx(e=il~5!I;Jun=TBkR6|BGTGgP> z33p?GSGF?&C4tYY{sD%_DjVpxyy7?g{Ci9C2n5ut^Z9Z?BSH;H#|$_&Ylo3;VG<<_ zKI9A$VvlJ^xKE@|CFzjNriwO{8gY9I!wfr>mK?aJvj&%}(EWM}Y_sY&2gk(1rWXOyxB$op3;T9C~QeyDMC#2Y(@2KM)Non`oC+&G;+s&VPNEkZ>1DC|fNak@~zkJK#XOB&(j|3?h~PTzE3lU!|E5f4oH*6Uo=<_3sJ1 ztrUp{#{1v1Kcq%1u&z|NBlzo*ky-5ueh{-W-fFXD3;OR5;PdF@>1gSO8iG|XO`o|442#jqQ5+e+*X+W-DrFQe)?Z$5Ov?-jk%UHU*gVoCc--v zy^lV9)4SXY=9pvi)4OG8>*#U-ZuAo4|=T*PV{aqEa)9TvhT4tel4u!e8c(9Rl+| zd%;vlb*hus3ry`I-2un-+htyKFC6?Gq!pxHrhD%jm*UpKHNCdi8_3*O?WhhC6(sGrE6(r0;HrH||=WH~Oiz={W56vZvQCLI~|FhdC{@q>FaY(#!^%zc)pUMv*~KwHL&05ta9|?-^S<;2y#UyAuzpM3B_7-6(i4XpYOhHpXWSnn zB5yq*I0-^!R;^vc{VqmfNuj;4iFnEpp%(5Rz)N$A*P{&@DhHbsKAn4#myxDQh>?1r zZgy(-XF_4i7x-rL{24cVSg|zz%l-mKKPxu!G8JiCGS@0vxN-REoqr zz8_>(>U#zrrDKPuC;uM1Nkg2 z+3gM39~D?uv-f2nBe%nQ4_{lbk`cXo?38=GVabY2;?F3(o5@_>VnC()`8A!v)ikOH zRsAW)^!{r84wT=?SgA~#*K^wEY8qq*_fJt1hlqD?-j_1EglZ}99McJ-^0l*yP=adU zVS-x!t;}e<0(cJyQm?(^S#0%l$Dk4dd`Hl*wN0%;fK`f>PreXz2g;YM*-u`S;8^)L zS-8E0@_ib$+Fxeysf`%ETgyJ)0?UgL0u0mMVd~{=_Hye~^B%CNc0zuNd=nQ3;05+! zN4GF5${G)A^S*9B`yA6ZjX{I55`TuV3GPbE?)w6B-SDoV$vo1&F6~lYDVIE+>IFE# zwD3IgICuL8-yQ+>RblNDZhQPHd(jC9HNMxgu`G$zagtgjz$6NDW}XWeHqA;Gp^SOO z)-AB>uLytOf|xB#UxpPdWofDRRAWgP)Niqsjf1U;D>B z$Jw2LPTI;urr#Q+ZQGNNpEs8tIJP68Lqxzy{KQ%tq=<4jQ-5$Vvyn;B8i^MmpAKF! zH<1bK0bN!uLOJR4&JQGZcM2S!yWq^45H!>AxB*D=HMB7Xm zNOCuBG^{>`9XvmDB=UA)jI6;?S2w@vwTEkI@b6F4cOxD9V5Z}!Kpqr`E)`zoy1NtGB=ro+PjVLuJ3RrcGxOvF5siAEwn#8KEuZ(bfXZ}5} z^ReEchll_D9?@sJ!P}mXNvf2o>&W?jX4Y2PFv%4!y#~tvZP$9JHjB76Vp^qXw35%9a1QEi;-G7A-#SCd514FmTc+$RVduFF#UjrqH0#XI%A4 z?qYmkyKVl^mq&g!n}ZJ-yUGDxDN>*Q0FkYb@3+GHAP_SoU2oNLyi2W9X_Ji<-GS=53o2# z^0a-h#SqIS5~6l!mlys3C+-}muhcBqR*$oG3A7M!vc5N0V8XVzd;#SICEeVzF%mxp zbh9VbpKiVyN>9SuS0G{JHaRyZr^|zF_N>uK$R!U61PtUiQ;^)xFI`7*!0?f}-AM6LkJ&zpQOj>OyXq?=Pz_|6k1p;y{8>aON` z`(|X&S1L)G%hz5CTCQ6&8k^Bk)7Q^V&Ogij0zb!np7T;WcT|@V* zy@-!YQ<6O=w{;5FXsA>`UZ6WQ{9Eu;DgcZM(Xew*9V&1>b(x^Uc=-$7bMZ)iGprel zt0&e1m7|c9_RjP3SW=wG!6KJbl-p2hCFn|8NbwutK$BLK*iiiaQvVjLG5>FdA8B7n z!C)Z0Qhmo$UK|?`6r_sk@KasIGg#^(!d=s;R@@1%D+9~q5&Rmd_)*$7x<=3TWyZ+T zl_1RrKy1JzYU9=vuPW<}ofDL+`TNW zuOekrf9bO6=_dxkAqtIE_4E_r1H8sZw{xx*3Icn)?bMi4x*5&_$6Z$QS>3rT^E^l> zqfi8=c9|A%IxF;)%s0U%k*fu>C5z~Hhf|}!eDqQty&8YCPz)Khn^}L(E9&HLZO)|E z!0mcRe@)>kiC^KfxY0uWti>VBG0(N_M}3mjk6ZY?dpxR7Bg+ZM1Lyh+!JAu-Lk{5% zE@`IHGX?+ZJPKVsR0AK~7<{(Eny9!|zqmRq?wQu0Brltf#vJE!1W_rcmaQR^Z@QkU zej9V#Vq8?_`+;?wn}^+Y71TkSKFaRVzNjG=!@(#T`t8~ zHLk~eTOH_fGmP64b0c%J`UVaLXy2i_!G zo6ro1m{xKOU8eBpg(5G&m}54~F;ZS1I}YSeuY)!z0DEjcBvM{ zYn(svLw5t4ZRP*8@C)^_q>R!s+G>nnpLb5(bjny~6|L3KW6tR@#?_Z8$4(h|K04mK zVFdXoYebn(>DV_FZ+TCul6Flo$f7am03Afz)0LeA8?SC@h~3xuX+iALv#OwG)K|}G zSNB!=9=wiHd)Rtk^Z>6%FdZ&cT(2PQF;Q_POE0CQdR#O?qQ|B~Jpru$CBdoSp!=;a zuWTc{RUWXw%EBORiG>=LSc}J}NZT>APRruEw|dw&%BBNPx7LTznZ?r9WLImrmqCX!+Nu<3R=jUE7YCu|*`iY{I=IEGD8n!Lr~bm{&Mt~}hM9`$y= z&u!(GsncxJh;BUwxiH9h7t?h@qiZ5x*n>-PEqVSfwrXrQ-%j^mX&#UEVNGxFQq@43 z&N}JPd;K4sCLey=eH~r&(i5cwMb`@7beWwirD@Y!hf4hpl*10x<}X`zO~ANAE`!1# z%&tVKzn<;d)Cz9FVxI#|>ljO-EcF9ff;m*sW?@%TEuH^)J&GN@dO^c9?n9)g^3~yH zRc)m@bGcS=!^R%^8c89V6iX0OI^-+^S%sMAcs9i<3~Ay@^e_9dgndl}$)G zE$5Ua>JXQ5^GPpm!^XUp*C~U?VXk7B$)D?g?FKhYiQ$o2mFLH7;tCtrC?v4idZMSX zqr6I@~3+$y7gt*s1iSD_dxOn=MA-9{uJK!O^td9$ZK_ z9z*jN7T_$V?v0z$q~@kV%ET4QN&94Ap55C~p4`7J8$M`GGA4FF=vkW7DMFrN0sy0?dt`* z;e#%?VpS(nhhoKF#nCyYCSIY~%Ds)iRJQ5IekQ@*Z&2$GP!TIKQR|Uw5tyr)?@q3E|9sNm$!(()}lfG zf?Ewx{;$_v)Eb9g!OJJkLojuFy>8 z8E3&zpfm5E_L|=POYPdb_N!v=%#V6hAJ5z#i;H_8OXtqjK9tm#8`PYnOL7Kqx|9PnU}H zZhCZUmSK$4l_-B02CaQpeg*ic->kY|TFTayy5CT5X77A1{*$#NE6(|~mekeQu;kS^ z0(1NLJq-jO)p1Ng`FZ6RGa$8}Ft5HgX4a>1x&RUrY`a^Oa>ZZ%js%ZJ;}1^ zuGo_`Ot@<;Vc--|VI=UkiL%w{2IQ&YlMJx_Bnsf4$^IIxj)^g#01yV8?sWa#-<7h` z{K~ZX_h)mY03>9l@L7Ge8wD_lm2@ta&D@G{`2*nztX(L{X5CtlByfjY#(2`_ zV`B$GC2kFbF8Dh|f%Oje!eYBX%jM*57owNL)J#dvYdTVtu^XZN)7vLlJc;u1$sN~s zkq$G#;E|WNAJtyeZ3Mmc&iQhD&c_kWc#uroz2zFCo7*R|yGI%EvR7o8-bV0^`l5-91uGL*;Q-g@5VS zmhOvW`1K0tlslf#R&SWg^vM6E8`%$EBRL*ACn1xhzR<$W-0v~YK}xc%OXB`b4w*@5 zqIr_Sr0QRk`fKlI&O^o9O`M=5ar}()c#l++6Mvz#m*9Or22S*$Sn9M;!2y0`t2ApDLr->&#vkngR4pyr6` z=#9=&)1l5Gjawbs zjkP7mmey3Mp_3Eg5?jIQAO6Kn&(Rc%W>R!a*V5;Fe8(@wyx)Gd5G99p?zS0x)dOrmPMk_zN>nx-wea=)+;G;0(xAe>`}B+=HWuWpN~T50DQ|6Qm3I7Bk^z-9w_rRDx$<*<#)u9lD$~e&JoDW<`9(ap?h5aBxYs zpDyEqQ~%|Q2yAPThDx-(qzpSv_01L|T79>Eb!EFHBa({{#*As zgAc9R8V`&-(hfJ~$ruN+H*jucxfpt&Z1LUc)Dho14}E!1?^RsqYXkQ;xq`QP6>qLk zg-pP|D7To!ta?)NZD0K?lc%%e1Fs{u912OL5fa-Al{9w# zD(Bi-g?L1zM3AEr;h!A**gt+C<-lRM9E%b(OyIv!h=@|D{35F07r}N3`F(5=T&eKS zha-0!{ovwm{`NfD*ARG*;kJo_Mo#g;$iX|W3YlzMv+lVP|7=-qe~$>_9nN^1F1a=Q za$En)?SZ<3Qe>B>x39GCN>BX()Ld0OkvIF~w;apDtrni>(cI(Ox$w%vnW>+5ju{4$ zN2XUkN-T6uOb$^IDo5MGEF-3Nl<$UQrPR6yC5#)&?<&@h!f!eRy=>%Iq($V*jw_qE z-5Ze7OYh-7c`}O#=c_IDkI-8MfH|wEsqI{eX|5?t; z66)JgWdxPe6hp8z1tflmdI_E%i)1jK-DBxR4y@=3_k%Rk6V@%wc;wL~E09D55*|gn z>esH9MPfV)W`Wpt|DIl_dT5go*zPvgw(@-g?DU&BTV-a8m-tWBBBy+2a&TE9G&SgP zOqO}?q^(Ug=BomP=Tcbr&!YxH%(w){tlk&09|3dzenTzSb|kAQj10;?E7bz0`jv0t z7}oxs z;ObmBm>0?D2W3-)t@+b1sc${`LK6|I9LpPqou&;&+VkVsT3`fs-rDK!4ZkihychNo zI^0)R5S!g zsMJYUt7jw>Q2>R*biC!H>K+4?^@EbWo6^H1+aXVx>O(HO5MbvUMZJHtk>cZ#3)nB2 zR35CaiYQ$Q{#)mE)fY$@%Ai^a0CJH^jC6@sKWsSL2#^btA8?$TEf}0*jbu>&J|GkP zT?!e&MSW((Hb;;I88w^8PI+do+gls~&@4j1JV~ODpTDvm`&~n8atvPwb0RAC_u4I?A5`b!%49vgaDGKA!jJN zyypT~Z(z@B{sn|=y<4$IB`L~LP?t5ybt)PJrD6^@N%wrn88Xt@0YaOG-^Ll_V2Zp`ixJeYE?06DZ*umM z+*398RCm`$QxOj4n1LIq+3}HF1H;YXLQ6^FU5wdBxwk!0~C77 zd$P@qwY#^mCgUVeEVD4P0++vva>(J4iU(Ue`WblJ?p{yD4rmD>&5-WOjn2f-=9LK& z^j~By?Q(Jk(Mwat5-=#dDjheG*DcHC8K{I#**eom``l&_Sgaeog z_YBuWtN^F&{U3m1QxD43{5{afBV9SJ`{o9I@F_)7b`X70X4P^w5Y^GF87lr)g+N&=7psf}FO0^*X>MNt&2sLNyI#@i8S~RI8 z9eACe=?~V(lQ)Z=+8RdC?&WRvS_WGV47~{P@$HM=9yxJ;-KIECg?EhQ3u9Ie}FKGT%`D0Q@-7BO4*po z!p^9m|6)CegK9R|;@uV$#WNV?9CIYtFLNYBK?67gT0-Od^=pAgMb?$);IZ7fJY>Iz z^pIPZ=v zl>b;YsgIQCQ+m7~obCn-|L~=<&T+gRPIoD!R@a$8Z3G6~Y&He|XU$R4*j*&ib~7!KSl2AK zbjr1m_X&%6h5D>L@fFUZO3%HKlGM6vjB7|9eEdlL>0CCiBtA(r^8~t$HQYcZ#nt`+ zrXZiTI|v1KYqS08CPw>wwe>IyGM-SB5jgMj;&vWM;O4~Rth`X| z&NIMCzxeE81Y7crkP~nmK|7J(%m(YZs{@bLjg)!CtzpA)=KX^}O8b<}2IEyI+sqP3 zc?_1`!uWRS;;c%_^AdQF54cc@UL;yKxr7k(dhB<<>N6saLDXTTIyo?@>WuMn;4ZkH zY57CUE2!E9XOZz;qK|1PAaWT~Lkz;tH0G^cI3?4_>7)3}XH-;+#Emqv@2*NreUCf= z{G0Rk#b;({#Q3J;ovGjIrePP9D;sKlm@RENDhM4oO}O+2xVKtgiFbYE^?L2u%5G+k zB2oWVkmdQn9w9ANP#Ro4!&KZ@+kkTSgYn&Jh0Qxxze^=-4U1QQs=3@&HG>yk-o-mw zS6C0s$pq$3)o~IZ+y5i$CoRm^dti3(ME3Rt4^{=L{6Jv4Oxq9KE`HdifUakM?%20; zz5&`?N%nl8<2REkF+Y`mOi%a?Y}hs~y{E{`rwRA{0i1KawLpXrjZ~{I|Ln)H>6Ka( z-OvfuEyjliRvqB+|2#?${Ck0FiZGu#vKt=cKn=#)KFnp{#0r z`qfgJUw9rUurtW9Wu6;^cFO8+f^SLSj=XDCVKWClVtj$>0Z@|E_@8rSpB$34Gr zN{RkLLv%i$SW@iSO{ajaoXE4jS-1%qZ^Dg`YSn2RBCHv=Ddu&3;w#x_3RmQnCyd-< zC2QM}w0nb1ffJ8_g~9KA=m2cy2L1F*t~mWROM5niCLRD*?;nq1qkT6G+r&*BWtHt& z{3Ud&FUr4jNoRz_Q)5s;HSB!57%d5H7Nc++OFARXg)A1(Xun$uuvcQX*bjqvRE)q< zu9Lvc>BtbuD8Y!Q@Js#ql^gE-aSute16itlY*YnA3o^I)Ld-8yvc``02RMPoSyDwa zArH9JBFd%gusY!U=f28=$swh$v5)#(4TOLwNWsRQpE}OS$3l1%5~wh6C|9QGF>GAT z(xuSsJqautQOY1d`Fd6(?QZ+3H$9xi_>K4CkfN?TKg#;AuI;f#dE1@J^)jsyR#2c@Au#hfBY`^tWT zC0Vi?R2!s_l_#hLOAaHCeM}BQKow_`D4GRLp)p1rtILN&N^w|Xu`01%1}YZfF4?{S9I?J8nMlZ-2TBG zx&%q^@I)4_?p61KxzWD;R78!@IoY=}aStG$++}F9xq>Hc8?9~3jl(--pb{zTMv9_p zBLznLgi8u6*+`-1_Hi-+-r&wR_%ZhRifhENqXT>KHB@gB{Y7Bt#8A`^Nue9Jf00@F zVdPrS?1dxFl`V}SlD2Z%(zCLgaw+E1qXYis|2PK1Hy>(u2D=@tU8><^T>4MkdCn2B zm9Xh3+s1@H~X#3hb?cvPAv+@7p9zyTCrVoW9nfw|So~&S$)W3H7Wzt3Dkc6kVX~=S;l6 zE8CJ`z8u}NX)Ns?NB;x3(k?7pwqHByCMA} z7w`0X86LC8ZJ?d!`cQ#nI-FF@?sKx4OtuBPq44YQ&V2{EWe1}rN(=8344edGS?mpl zFNN73ZHGqZ#q`2Fw^mK`8%c3e!|Ivy%0h?jLZ|TPj$%qluEYiSf+eL*dW~Be=vPwC z=NKB%sRT|7g5d+L56lJTqxS_@eC^?jAn{21dKa-DEhUR_lLR0{k%_`K_W7Xs-YXSC zT}ue|Jpjt2S)=I$^6S>;!XPUsUtpmnY@0@x=ruTDA?+b;7dr;%;7nnIwtSkq>UpLd zlmxdAQrngat~1gaKSlN5Z8p5vyF>wusAAm2Mci(5UH^q~e^M2`Pem;N*ba!xM{93~V`%RCF!t8ygboKAe$ zevTU{->_JGJ3<8_fA`lzxwo3amo`5ePtR%D7~_b%+P$=kGoDZ#R&VVj*4jVT;!-c5 z^lQ=bvLTLed@N(8HW4|ea&)V$r$c>ak<0@RE7;^Av*WcmleO?Q2!7 zQ@a(xMdH^!W=Jh{sTIYrT$;DtKbvk_I^~hOIw>%DW-iHFD@QUt`;3XO?x7et*7dFo&F>C$h1b|a z-h6R{>$REF$O98uG@Rv_8GJ5QfLf^<{R_fls*4Cs2BRD3w9-(=Nk*RGmDWC#KMG0$ zmBFsP8hM;$V#|mOe51=L~cKDfn}lV8n^rlN9dX zDKZS(K7-vi-hl2dr2hbNX@sEsP^xJpWpK%L@PlAH!T$QUJ)%Sj30aa?N3wr)LIAOB zam>8`IUJ|(i_fnE&zmm?)wJ}6?`9slF>kA)R_@!uiM!^aoXj72>-kvCNCXQJb0Xdt zw3zZvtcf7#9e%g7tQmV8Yjs>=u307qx8H>|%4kd#WXDUa*W8e-zc-mAK3FsMML16k z9Z2N4+4x^Ly|RB{%>JGAg*m0r$QY;Y!MV|{CD(?(<*~2sUw{X2IgwBiroH2ZvE zg6Sk-d;h&(fLQ%%WmM3Qht2^%E*b8ChjOORAr>{8T6-RUqie74c%EgaUoPj<45Lu% zmn7;GKX-bjD?A19%7UpF)df4y{$6ac@tD|mYck!|A}%43;+I*ww_Jhuz@`~*$qfOMpp;b7-a?!}jC$0PU)WQk2?q&xsrF1BV1#cZj z_B{-Fuu>K+RJFL{otN`#1EF@^|DoXK0h_u|R$(c}CF{S1bBS{=JuJ*M>A1kvTXjc} z$EmJ54o1&pK7cizy?3)kD`J{&k0$Cyg#}jyt*9v+60{pO3+6Ze)IquFY8r|5J}T&P zetP1WTuzko?1$TF85{Oy$A?$S&mF42f_85-K-DOHXw;h{jhaEudd!Irg&TbsVu3%xlzI9*7S^tWh|n-ijOzS-Zr<2eaFKp8{qBd?`in8|?ly1Dm^?AQB;7J& zEy2=Q`2+kcr5Vb6-^TUYNFUHl=vGMn1L$n|-Z2Zi)WQQNxZcIP?>AiKJWVP3uAUW4RR0AqVy}dG0V2cv#2+CAjEvy5tSPQYYPbM zzmL@zWpWp_XP)kVjt`}fQzG;NF}^ZM22Ow>BQuOL5_J&@@W2${Y{X&^mfL69dZAfA zK~W|g!n@vBR_zobAU~QWqLXfP4mhvfqvaRL7-&L+9KZMBUEh8tAb#+DfU-MJeQ)>) zvv2uzv(L{HpwJ~LZQLDfn7~}@iJldrs^uN35A<8F9k)2MA{mD~yre)l-dYK#JqQTW zCV_n?#I)y+sWuH>| z@P0Vt{;$mZ!rj5vN+q@t08s;yUMv~*Ne3Eo*+~BUDo?+7qS1wFL!`)vwf0PY>xCBV zp5TK}f^D1)^ydYi5WxbIIzZ@B+dz!4ZR*E`g}-%gz$qg4v2T|c{Qp?`?s&GhKkl!s zYIMY{720Z5=u&%xsB6WJ(Kb}Iw1nC_ir~`UO_f@uLBxnqtFBpFm7pb>7_B`@nyQ@` zG5YB9JpbkO$~bwQ^E&TwzMu2{fFXgy=$(=u95BX!)~ldn4SS_Htk93%UI((~@&mY8 zD!*JSu7J^b$p4p@_hW~L{E$t{3MpREi&t<@NrHNI_-K-m(N^d`=bKg0>x4Ww++rfK zgu{cY9#*JHZv~w?f4|%>j7Yi>1yS1{;zam#%FJ0#tQn2-#xzlF40(7Ic68Iz%SJIm zZOrt|Za;LKMQ0CM0If0>tzy702{Ru%%kBHTj05u#~$@1^PzMUfs38F82M3a$85T?>XGY_W<}t8l#twu`4)H zb9Lj>r{Kmqg${MUE*)1IjwutzP7k=7b??oG*gN=8cc#=B2P&BE*h`@6`2UJ#k5sR( zA++xNd(XH(u~7gvJ{fBn8U3La@%}0s(2Os96`)o*|qyuvieB%l`&gHaS5$hw{s#v7E`r zr05YXmAI7Bs${O-q`?YvU=6=wy^IVgW8@w>lSZIvvkLS?b77I4q8F|FMJK~}JUau^_Wp z2#^f`2>B2E5%f?`Bby$1&Qr~$KeHofCEy<%RXfkcLGnUlIHwS2e0rm7+$e!ZfH#~i zaQp{(I(kqQJE(AWl#2a%iHJbUq6sr|-W^rJ+(Csv8`CX+nCP#)=4b*LiXJ@({~GC) zSF`7n3!lZ#yjYbOcqP*F^W$*y==Vt@-MZ%`_|`Hx)Y;@wjlS*jwG-+G7PlN}d!q?; z|6Y8!6SmA)yB7sYC%=6yAOiMqe2sqS{n<=dzsP>P#kPJW<++m$YpKELs^Na^Pc?Rw zUC?~V?MMhp?|1{cUf=Fq$~6Q52=##&hM7KDW&w86xPmvW@)#uA)lBCxjf~y>p|T{B z%1SMeo)=!dY$YqEoBnx-lN2@lC^0bS3+zOFYEJZFP}TxS=uf{CYdO(>b@uP%J#(V9 zEQ?>d&C%&MT`{vIGuX25ML7TOn_woAotmf9XukxYe+n#R;^J!cVgPYPb# z;cu6CO9NNZ&>j6!&Nf!OLe}DKO<4_!XVLxS%C=Kpr+y;24ILxGz1Aq=e9S6Gs@(m4 zu~|*V#Ma6K?W4h_;)(EU3l|ox!*pCVr^wXS-vIoTv24Ci7B@O#s8=h)qB&;S%*JR& z{>mlR5^>q&wmJ;b_O;t|f|}+5eox@GnPJ-|liERUz==sxy={K=BUacHsTGf}Hbx2syO*>HK}A30k^rCTeB z`&*FIrw%+8+NGJGi<@7U%@yo6q217=QCoOTA!)KAkC+bFq;s3T8f1bqa^$`xb|Am%0eyFbs z4ykc{bc4gpf9xRd!z*xc=81+nSlr2^B0;)n(Dj7|qU7Jv@(ZF@<(2TtdGJrAx0|DW zuw#S*V8yz-!$n3l$<-R{c_6l{po3n0cYO<*MO=#q!bx)^TRtDddv7tK; z3+{EJJhB$+F-8Ge!F+WAO?KD9%e77NE}pJ_p06_&EQpeL|5B$`Z<9i;5PC@jR-SOh zjAleFyRYlkDzGT3`La=~P{yTXR97G_^mbS=&PBBuQ8j>5qjPVVOixX!2Ac=6Yd#2EjHy8X2yyqM0ssMMTl9UE z(jtXT2>KX1Bkl6!N2`}p@1@J{&V6;bUn3Ufx|z4#mZ6v9=&2B3JU#G4&}={D`)q(_ zxp;f%N&f*)Q>p*{D_uyoiM!Web3-;C)Nf?#Gu7F*Eoz^c8ZKJ!X)qdILe``l%R$hC zSCO7V?KgdG3ym%+qc5SXZ>bk*wj|eNV;a zUY(9pAI&4-4bMV5yCW^;lBH2cSL#hyd}J>h(dmK_bn#_s9Wp1)xZ&$hNcfrmdWpY* zf0bUguzv%1r8~U$0$+AVgjOkk36^#BvsU6u?Hg;?ONJ_bDW{u#x=WAmQj{Omma=iZ z@EeHuYKQQ5kW5nei%@%;T&FJH%fP*=vdysz=E={3Dp@>SMyRh--Pjw}O4%^>mxj+B z%kbf{LA?)I0_6dL1DclMMUQ)gppv>hS>@V3Ke?eI%SNk>qSuswWh<^$<;K!T2th_- zRVu*PGvHvDhF2QJTTOM0M~nb0={*uceG1IjIDXCQmP3aU|C}(K(Ar1X8fwMdWRmDA zGw|23MkT9Z^SLJES9(ZWn82Up^|xev7Zuk|$AwHA69MVioGvLh9D>i1nSHDuTCOXP zH2GWE?-_+}7c(^uA^XXe!+?t$d#A`L_p7){Fe!)tyc6J!M&6#PHV7^v@Ljv6OvO)H zbE+@euxT}hz@%IwmEA$`KMyM&1;T+OALtIHb%20;s6jm|` z0#FUr(nF8s?y^Z+&1I8gQ{SV6M|p5)F^g~EHA+Q5>AffOQoDZGqS%aKAezWYg?a4uaklE3qbC) zs-vFbi!q$qRE}oNq6gVTZC_^=@FXmD=%`Ln0O z$(GU5$4;63<71k9l2d%=r%>|Vk^NR)&ow!1?}t?qH=8F=);EQ%xEN^;|M;V&HYTQv zh_^Tv(s0{Tt`T8>ZF?--to?G)SXQcrsjS~)Yh_rK7v0%tOJU#Bs4zcAv=`KaDM1I@->=?U-v zw^Gts1KQNgkcdYZ$(+MT$j>)R*=*Xvhl8SkDS3{N6egQWi`ewjO((XNaESTiYs)&= zG>hxnL!7R@ARBlq3V?vg3kJbN-+r8NztAnN3T@vu6d%%WZ5$&xBR-!-ivIY;kjF_u zQ(GWA^wS!%#O=Y>?$E7@#@~Rs4_)WTzWp4#=I*J31~)cd5iP?C&OU!n_eVL@ps}J) zX)y)we;1eRuy#+`&cCT+&kj`E-jJ2G0c*vNqa|MCS`G|BSmXvq!A+C(3SH z2XQFu!68y1M>C`4Y{AQ|oNIxp#x+w*pV+L=(E;B{&Yp%8=^vK|Fn!K+G<$9(LUA5fBL zCgl=LVdRlTJwl83%x*QEF%Uh^v3#t!MN*H>-y3i(cRIlzG{}1DazkUn>Hd$yPh5<| zxTCPDd%F$AI_mQ#{TYw?Llcu)Tv=5LjdSn85$-baH#H97r-g?sLj%NuR4LB-iLS)~ ziX|hmijA&EJbN2XE59O@`b0xjpf_fvOSaKv1&?(O5*|&ido6=Caq1S|1UuBnlDy{D z64a@TBZWF&r3^Q1%W#>DNMin^WSL2^Z3>1MGkd74pQio7wF&gFe^ZMIwc08(+uRr} zLH!gpUgGm?nJPV_amol)emf<6W=pm@i<{_oe zZE-lYl{KSZ`}@=(?Rcfkv?OSarH@}*t@nY4*hd+q-VPSAM}u?|9IvhdAiOD-wTpW- zrpMUF>D_Z;*}`Ej8N|^2QCvmW=SmkYE^^wMST7k$hNm$GcrK(Dt_g%bJB_nwwE&cK zmu*W=hwEir<2n;Fozf&=Pr$5T&0RxPYU7PH87A{1VC`-P# zPrV*9$k83@e46%p^lPa@n(y=zu!s%&&bi`ysv|#nC1am;z%L ze(CHAqVvpR%RpBh(g{DgK(wz*)s79xN$D)RBjEBP1a{yh=aJ}*SXgoq@|Rfb?DBJFqN_cyTrgA$f>_KFMizAR#4#DY2N7Q4*v~& z6uN!iy{qXT&8_oyjJIcAdw8xCxuBv1X_cX8I&-r2xC1-Frm{SAOrW;lPG3;8^t zTM<$oJAzi&p4uHb8Mqi3t`x42+0XlUFF}*{{fmS|Unif(bnx5N>QW1>zXAx&O5-^! zy$9%?m&uav^$tDzjqR)#KfWNV28eD>goJU$A7uzNl?925y80a`-s*1v2GZ~m`wo|T zb==2N?hF1?pnP3qctJHTsQJIUe@!eOl*kXFc<0Zp(l5T9JWX+(CZ#`pqFsak`c^se z*ON5){~df=vF04Fr+XQ}AhrPI+^!>)*7ezPsn8eMf_Q8_QGU?|vTP4{EoW=I! z2Nkz0Va2B0aUOk8)XP;R#JiP4g=?*0J1KW%Lc(E@fToNCi+}(N(Pd`nC6|1qWR? zAOI5)XduXSn-11ZUunSy!cLly>#j-pFS7`oaVwS+6r`0;`%B;={uq&;>=}y{v27SI z9)-lAUUc$c?oTBc|DAw%nXb`Hd~{DrK%w}+jVd(o81yGgDoU)7nvn_K>gEzf3rG@* zY=<7@!V(ZwX#O=*Dn?3!NOY3cACL`%@egd>@bn!`zpklLBw}vOgi{{bHiCNVVCr~m zZND}0oPqR*Y$N|^i~wZf-(DRNx&?u!kkl%_-*zmBJh#TM0OS)DH*=#^petn>Bl_o% z5CEfUf68;zN(KFtFFIZ?1-!{D0>LQ~6$zQ};7El2Albmc*FB1ynJfkc{!Y@;IlWOj z{TN4=Eto{t@tm9?n1uZHfd}ECAy>PnKJ28anaNbMHUI4%9WOcK{Qcs7hKgsGaq=Y`jY1eB^n6Rh{8HTZfSl=RrE0ZmSt!)l zhmYY*yqH_amq%)S7)CKW$5xqmpfD*aCgrjjE%8p*AhEBpOzYq|pYQoQ5wnhsa!n7Q zy)T6Y6ulAXmt-0V{#`Y~XF8#!sy%&c0=wuV*7uwU=ryKfIRv*|8mPix~UwV#*~qt#`{ARoTy! z!w)m(lU1~O$~om(-=z+DBE?rtlYo(8zytp>>GJ;O4$*RV-U+aN=$4&fCkA6DQvEza9QV!Si zw{9#TJd+IWa+d{CDjtSCfi`vW+)n80(Y#U|4WAhW_C}IU47lui$&AmY>Wgy(;t!)U z_`UIkGE)Lt!QEP!f^S>=8!B#0cXEloz2)jb?7nOrx4esB6%n1-{;-qp*vFtCPvDBf z_q?$r&lyhJooe9+sYDJ#N*3QIR&3dgw15=mUzzu?y@KOuPuuGC2eAnCU^d#TI1{9sMt!Y=e zM2$Gt2&$Gw3f7?MQW3Zl?lp`v{~H|m>imK|+WR1Dd%H5)^wr1wal?=+@#97_od08rbfuNUN+3cuR4Gn!hD-ewVa z8>UG+vK5!FhjjzWsAcvSD=?~T2Z<4zD&do|{T4CoUIA#c3gY+6PCG5-2XDDnYs@~05m!(3X>^J{vDdB;_s^v9 zm3kD-UsfG4WuYd6^B9sfaaveB3gY;(YiuSIKy}wnFxGJ$Z}K*xKYgsRmvC$9?G2%C z6~wF%m(NFn4P@W*_$giS2Do#lB zhvXau*_P_=jN&-8?C*c0ixFk3#JY`APl(0SMPV8bW7fzd)77m zp#6JtS3ajb{Q6={x83GQ)Gaa4={N8)_~d=*ZeQO^r@vM48qK=7Cs$cfW7TyQ=8Zp| zjON_+iG<(&?`KVJisR`6<3brq>$8XRFFhCT4qx|KBdV(-+6S5mlyxjq4~tjPy*|1o zEi~Xp>WeYp$^cXJEF;VM>*S=Ln06E__Go2|z4?K5jj@ls8D4hBvM53CwL-yvWp0Tj zD|hRIiX3B-G-rn=Fj9#}qK=>K9WGQ4(Ihr`^mOv%b>@q%YB658xW((lw*Cg#Be{Of zd3+6?2|FK8To4j_dfn~}nzfWqt$U$)IeR5>VX;46eDdQ)+E;6&p;^4<^w~p)YOWY3|U7@Afck(t$CGkqQ(ARI@ ztKN}!)0i5=wLj_dr*Msz%!Rtij8;t43;XM5YaI%%rq~ZI`tU)c+A_8?f4zSz|K)mx zfv)!_u7<=|BhXRshSl?>JB@#@tPCASYq9gTLq~K!sdg;C@06#@rX+U}k+)Ji|L!A|?E4|B-@ayf*Z$2b6Aj+NsMw-su@N+ZRU5KO!vCHK#nd9a zucYk_PoQI?;mJPQ-y;=_E^gqNlS>)T(N=^d0*}Ly{rRN=lFuI67G@e-u5o~xL?hgD z5F@8_Hn36QyjgLwRD6p(7)7`|=-+J?LzZF>%dQT;r;8d$4K#yT&QTd)%?MkVd08!< znT{24vMt3d?ic68wWN%jF7g~8`F`QzD+s8zInbQPIUOwl z`7}Aind;aEadmn}@q}v<_!Q6LJ)n@7^{;D)8`B^TNzO^GSZ!LtAT1^Cc2aTf?xX(~ ziYHGWO{swi6;iQI{wcddT=g+5R-x3B6f|OWKIY$AciFh<*RK#moa*`(B&rMAb%OwF zg+yC@$ZilLEwlnxkm6xdOUWdVHK&WaO07Rlhq^+sj4a`Z4@HP%o=>C=-og5+0O^Pb z>-MlAPU`9D*J<8>8rJzAT4)dfzz=89LEE!_D9)963?~V&Nt!}^dRc*wmM|E_a2Pym z)L1q(YiRS}s2CFNNlR)Z{dF?dlIJRxGl{MOOid3jvWfvm4G=_#N#Of)+nPC_R_wuH zZ*`?Yg_$q5sf9%X-eBk<>TZyVH$?>qg_achI^pFB!Y@6oxj^vlt##%N11~`d*47~g?lCCa{W-^OscL3Yh zm~*TGth|JXIihJnjKMH9aC$GTf8 z!-TCh7gVy*J4CQNfma0m0Pb`^&MV2k!@vR$x8`HQkDQj3;#(A*;CCx!}q{rh~YryiCfVh8Bps8_j*nzwR?=KG$9wp|V zvlN?fq+(mY^s@GpdR_&nIHgH=7_c!E1;l!xZ(F+?8)N1L3l;h&*wPv@$ZDgh&*y4_ z2_mm`At+;uF`dr}-4`zLi0}Pps#oDZG^N^t-Mv&g2x4tl`R{(2V5fzS3Tfj5x)c&H-O# zFb9e>9j@{T$BBk4@d*@ioIKo0pYd#Op_;>&DKRdeC!43ixtq}BC#al!p_ zq1O)DiIFE~q4$C#C3h?(KlN-zzi1HM8hhRF7s>xSYdN{6Reg54_GblGzm(4e9`hTB zz*c|V7Moe(D`7i~X8Z39Csv8AuvDL4+`FzXHXf-6AN0MExB&G$p(TIh!>37#^gM~u zWq_Oa?S6hG=u=42wau-i%6KakVbhKdf=5Ugs{mIvhO<`c=HdS|qxYxn_o+^jwZ|oo zrbhf&LKv|lT_Lzy$%eS!t_{_Ha0$S~r>E+U=?{vjc8&i5Iul=DcX7Iei~zGpJD z$TcSdu_|a}q$j}-e3L%PB8rJ?&_|z3`ond%tu#PFLSm0K^j^vxtIq3?V^_eoeG@YO zI)0g^0xbRv>{iVt>DV3`Dv!tNH*uKDdg}zUNK(?1Ll;${r5@u3(JY1sN8`9r1kLAE zkMgTtBSXz}b!R1uOu?d1s61z4nDYMj(SzuqkaDrUeR!$HfbZ=ngA``@*Awa*>Jj`xjp zJ)}qB$b@IQK)_ACV_Ylr*A5M=1&q!8;dgu@bu<3E^~hVuv^LP{1&>(doN3a?ZSlqL zTscqjYN>C*EkVSIq!w%L<5|Wp&s1FD;v)ibv_zT}JR?h0rGx=Hy%`4bhAItc`+R8( zf%;oMm1*9Iu;z|1SuqOh2_xCWP$~fBO2}`3cq>QCn)6GlZn_POuN`jWN_|y1B!Ue2 z6Xv;q6Nk?(#KBHj_-3oHy@Z+Nydp^z$Yle0>w=y{1JWh;)@S+iUeW)}WcDeL*VF@N zerSz-)#`rVOuO_#vi;CFF|_Kn?uC1Y$qw%?@`^EP7XulrBAX9CPw%DFxQ6ge4JxJ& z%XUd^>QPk3OkX?n^=RKwjr?o+{h$@PT58}!)Yc^+SIeDQMQ8(-&FibUl-zG?48r4* zlva+#?5h4h5B0g(V?_rin!F+(nFy@@FSpApuyN;5At5rxljsxD@hE<7G z6BJ7_^nV@N_Nb$Su3_k+S=loq2=JXx+#fz;hPW~XE`1vzGnhAXehG8VM=NmIl#Las z(Dq&ZhU7Nl@C zfmxK&2r9rWH;dCjK)Td=bOmU3(iL>-WX7a_4)x2QlY`^A=5I@{{U=Cey}4!uCg?j? zOsx-l>L;%_qXNWXZFh{(dK9=Vjig%(j7t(lrDlRKXcmaW3h@RZH~ta7TZ#N>^yc~H z@b401!>gRD<1;%W58?a&{xSsq-kZ!v;~M6cue6PvBzz!mrr=4y$O*^jevL^ONAv!e z|J*eVNAjf@KM=-Ix|MNLRn-*NW#*O$K>^&MTttWUffv!`kas5gy=<21UzL>Luzr&6 zb4{))Ut&;`eL>fm*N+Vq`^fOV*IK)gc$qgLm3}QtjRVMM^qkaH&(@T<7lFNyK3ET_k7fNpNL=m zc+jOf<}=kRR%Rlm?)d->H?r+~d&y{j_$UV3btmLQ&JgNdsB z4YcB3CQU{RnIyJD)|^fE?|7Pd6&>7)^?LRC4z8#zI$wYkhyQl5)jK;$Pj8D=1st|q zx6E9pcLe_9khyP|=(Oz;7`H2sQn(0(dpFf>o-j~wYAUoo{jj>D-6**qM-CU$deyhiKHwJA>_pZ`7_RFlPJeXF=C9+{Sy?C~UN3nZY58ei3$ zUKt);c(IEoW~qRV%c`V`SbMg-x9#j;+kGuN ztsJ~Yt~jgaYA=^<_{Y#>JGAXv)v9AuJcWXzcpTMLTtRXHvzPmsg zeN`T$Wj~a(2)iOgmabcC7tnEG^DHLX!rKg4A4B%vaX8RXlwszMbjDU>B1Q1uue=QB z7x|t&A3i|7d;bQWG$qVM8eQT(dQ)*aCz;xq5uaO?Rb={3IwEO!tbb#=V%kFVqgr4` zsJ?2gue7BlwZ(l#YUIu_|FK7MR(=}bi#Abtgi^^GE^5{++7=@8lPonHsi9;08=xRv zqwy>*iAmh5b}!T*Z(x=;u|a`7nGP-Inkz_d<(5b|P~VL1_pJ@q_c9K9Y{I;ADk zQ#g+L$yvr3aIAjHPh9*N;4wkdu>orlFo%#&)K|gFY+5Nn;QlwVr@6o3O=%CLAwz$q zCt3gqTQfYl_Z!fP1dO6K8;I8w)!}f!zJF(~bU3eT*|d2a12()Y&b15^m{C<1V^irm zRJzPj6Yy9wFewM2?4wCiw@I=>1BTiO9tRpkowLk>KUI1S!nr>tHfi@lU5>@;JO+H5 zr80uhJoq7_eoTHlM|PFN={LmJVEi6n?MPHWw15T!@4->7{TqNki@wE@L@(j`#Y0j$ zi3~ClFiHynij!N@26PsD+o^@VP4XPIwKfgYS;7VJVgn$IZ=i)ey+X5zYR$DB!y;@= zrv1uaZtq{|YdXvS#uv(u><$rVe3#GDOgL1sNbZi8Ta1ho*t^YIH%#_d(Q6r0L% zE^&jJ_^0WTH@UIUpLhsql?RxmCuNW?QBx5^oXSC9$eqTbhcgxD4@x+`uHYbn3_~CY zGMd*gjr-IfsHfnk{k{zF&E`zw30t!)ezykWd{?L^FN=jcZ6ILH4Uwpcc8NzxwLees zh%KntW+r)Je39}~cr@0*hwuLvZP^&g%ltv;{6Nbhwm*z>r?4`@#t=|vk#Lq!O(Hm) zU|>R!9^Q7wr;qc>RA>Yhf2j=?r$l+e{sbAG=U7`dT3GIun@8i$aPZN0sVS3!0_Pa; zZ!F#t-Yjen=;#(q z!Mbj+=Z-_C_^(L)qEE(ezD?<_r20i{SC)048@8R>usNlg2UgricPkOAAICjYNpF^3 ze#{BkF8lI%J2RvPxRolH!xUet5M&eG)s@I<46vYAW?HF6nZssyq=@vv*tOYK+kHpl zm-Zi%8a1A1zcU7e7qCBMU!CFeN0<)Zo&2lwTJJJaF%IvQOS>*JFmCEH(-U@}kK7Pz1B?~7}EGz(?Hn(QxF0RcXwRsiR(&E%y6%C zjgG#hCb6Tr5*M|nIW8Ob&dtbKRd@|xTGkb15kE{Aca{GR(CQI4;Y#w#TR$2bdy|=1 zWxgIx->7_UcfVu*j`|$qbnvU{_|D$*tl5p-;J1d-%^&}9*{BO=&DtJq{~C^Z@Ori~ zWCh?F#gkICBfUJ{D5WIWYclYV{hBfCgCUy+Y4Wn&1!DcIyCRbmO7Ya%u+TZ)m^ISM zqiF~88QCPUqNAKA7y-!FiB*)C2;|>A#|98ET^rI3p+wbMbA_;NVj;r`81cj{cuO?9 zGz7=7f(1m7aCxR|9ul}us#MxV*mk*J+A!BW_T;w`oLpHXpKHUbpmb|4|CN~Wv~?1z z$hpW>g+nUq!Q9L%BjsQIM^SZKRoyT3yuT-?d<>B0)x3finR{R&carBkj}I{cRwcGu zSKN^GAE(Joo#VS@3iH6zOgHq6y3rjOYb#k?*GPl%v)=&8_WqO7lig|p3T>84)_@MH zGQ#?w0ND2z_cpru+zOTNWKZd8g1LP`g_y_3`~MokK&jOW*XuumMZY`83IMmd^UyMO?!?eXKPD zzry8#>wyEu{$+QF)5cP&>_!!mADnQE%HEPSv}x@9_XH0E*1(^*FoxUy>Cy?D7?9dN3R)ch zW;>dl8m2_esBqI z{<64KxFl)G8=Y$_b3KB(sg;9s%fAP&c`7sm63nyuXL9-?#&{rcg-`0N^Z%$XWlXcu zrJvk{=bZAwt$Sne8dHP6wi;*ME1oXzFhYZ_el1g2w?16!uW^a%+OQ~bGQE_ocDT}S zRjI+cG-iA0UtccH)Hj(Quf|^)M~0|z@?BulS4fUF-T%$=>D9r$OB6j<%ik=Vi*^26Z;WzMX!Q~sdXsb z=gnN_Rcfw^Ced(>s4oVKCHge+0p41Z6j11ZEP^fgBzM{J!^MX)dhu#SK0PTVCcFYj zJ)}jK#+n@`?y*kfoH=*^PP(phjsIOQ4^+bNn?>5IPOXEwS9aY7Lk=@VM zegizGsEAl}mVxe+jZ^tX%jJ zRaYaW4fnR@wdC`3^Zwo|hHLy!FyUb%KI6Q>c_`=cQa!03`Y5IDldJgP^BK7CL6V;m zZM1r|n8QDVZ!F0}Zs|UaD)s` zc9biu+qqF^1_~ftRhL3_k3Q6+BkaYLDvNG_{deu7>f8=K(sA*b z?^etU)CQGvuuoP|2e(o+Wsr|*$c;q_)~ciYUyy;yreuLet8% zlWnK}o??sWnW!0$IcpTMzmz66pMpmG2Jq>EeB7a>s5lLJnk88NJQ`n++cRTpL#DZS8A<2qSk<`0S|aKG(<>dMWj zY-SKbaOpIzUa=r;jJ1zuzHDRidoWCxa}-dL+QyJIP*`zId36D_9^e5Kw7V&p%?_5u*}7uqrR#lLGyH_7*3Ht=gJPHy=I0_4BrkdlkV zYkYqi=BZDCj@bj!k=#7<8yGSAg6Gwf2rOM_WD&UY|7Sz79Z%C!Q!;Ci)g^co*Rf?KW#(mw~^`UeZRRtvO;CIC(-Sffrw!UYiaeR=Y2QfVEay z74_9N7-e67q>`5)5B%Spob31AfZPoFww4S{g?)^!h<9r^D>z^+PYT{Hz-TFN1#9N} z@$y{O)TDU2T$3KQVI4pwxjdMMC^fb%W+(soZ{TeT=Xsr-LU5^l{r0jYV=NDTN@!vX zgvo75JRVstz)BPbvMwV#Q8O5SBnr2;2618y3)l>DEM+9nu=?E^bzBA>1vz|Z0ZBv6 zY@!-QAfUk+QX53TL`^Y14TTo>ep|uXQ3mk2B@*X+P~v`kRz$6o>cj=y@fYNmj_1$D zEXRhWb4(QQ_6TutCdnGsk3O~d(|V1C z(ReWmnqShaVS`9?Zc7J_XN9DyM&QDEYo&)0__N*~e7?muH`=1Nkc7FEyyEYB;gaw< zu~}ieqk9KD5wH3CnA??B^Snv8o{Wp4-X+idqyhqCMXJz6e0^tbI$V_Nuq8i>xN~pr z-m1@Bs+iS`*wxk$c2ve^&yc)_EsZZ0|4lV$J(pX=Jmj$``k8ij(A>vHGFCb1H_%^} zZ<5@-Eo;@b=O)ygz@)Syl8j!Tj-yS){RT!WUIr0IswQn^&%hV3^q{?adLgD)+gfCL zFwhrs(zUL4XB$(TEk2&Joa%|nxl?{C*K7Mg8s{Z@-gGe$;o^Equ7BUYtro5Kkne`U{%=9*ZQu&wR8I~Zdd1=&d) zq`)!I8BpVbO1dhi0OWM?`5@BSW9L^CLA5Peh6x>dYNlt*ZeTNg7tAwP^GKe+;Pb`C z9^OF5jhmZ$%Xmcro$O-nM0e~&V$?5z1WqTxN?3YTcyT;rD(eO{C4yD`ZIlwF6T@~;jQOE0c2^O^Cy4>ut3s zl*Vu1B2JSOMBwRQF5!%yQHRjhoRA}_VB-GpG}`t(YXG?5WNRWSM;ntm{sF+yP}{do z3RfW@Oc+{Uv*CmExRNIA48nLFxX;;(VDtzN06)*7=k?T-G~sQX=UW5j3Veck0V zm!4h{h;oro8c9G$*)#FUF_*Anr=EkOGcH=<)h6FYdOkj!Sb4bfR=)S7_)4-BIyX5h zR2sfh1hj`msdQQuvItzPBA?jwjXznk+HsbyGayRIfYhM}L}1{RR&s?(a?k5blpY+g z(*P^syq>l4Xs;$C&3#s2J(~jqdOq4i=X^o6y&_0=*(%;V)B0EZq<C*BA-I%g_ia z4}rtZh0UhfM?ErKpk^d{@&MPha7jhJ9}0EOj$__<0`{+|nS~X>f!k;FC=bIu8ti2SK1x`2Y zHy(IV>astplw00oZ_O|e2+inI4?-LSR(9;)Px84a`hIBgtF3wLpVq}A8#A0YCOSCL z6KrueRo5i^JbNr0CD1JlXmVB6A?5Qz=A$zVWkKZp#$cygbk*?yhxeWjgi_)4R#4P}}bupRSqv-=q_< zW#zPkxIkoUlf&NwQmS^nJwkWea2f&Mx16Sqs)vpINHNR-_v+%Mu?bg;&s6UYhMjMV zsnpfBJCxedw(YC^Pv!%7XPLqtDalagRdY!6Nk}KI2$Ln%8#FBM#Tb z-jVq4u>)bhEWjWBo6RMS=K6>IXhXL5Yl^-7GSTwSTwoIG$~U%glcmOU$;h7(5u^s+jOwJE;9^C|kVMxM`{$ahDx>vJZ;D{c_2%DC zA6(Tw%2=##$n(tl%Qw3X&jP@;f@gBaN$CwY;5r`}Z3K-TCt5NRn1)n7x(3KyI; zXMqj13Lo7HqmRa%PM;XsFn^X50HI^u{*h)078%R>bPSpnT{@+~H8YxlVbK|;2&E8w zYby$+?pmj?g#-Mo$tgo_QNh@cp zCIi;Dz#>&u7|o!G58wsH?x9e~Pd*unss!8a1r>9dSTa&gEgJOT*hrqIW6`;UjnP8q z^o^~MZf+6AnrTuXPHFED6^-=Z#ER5`Iv}5@X{cqa4bDY@MLHH6Yy?n{OwG8Hd{lQ+ zik$c;ZjTy7Iu>{sxIF2Gc_@>>d#qS%ziF28%hstJyZ-5%b|T0cn2Piu_D-xFbE24! ztPU-G0SniY0D@JUsH;EZ{bwowxk!lkyV=z6H8Vp%rm7XJsbgaZ2yF!whd&M{*@jwk zu*3+VV0q#MJ`g65+btDzMa4Q=0dUq9j;cMoC6iuKOb12KuP|WzQ>ef}fBmOaYtCvO z;|kdjun@?a^SZt)@rKTJ0Ty`pORqxXy7HjMM9x<7hZHo8L!P2R%JI)bdM?ngu*;zN z_V~-dW$tJF)9E%a9uVTsL+|Y(`bMc*@+PEJ^M^bK9~>gyz>y?R5YA)_K<^~tp&G@H z@a6uAv(}s|SC;h=TC`Gm4$wBS0Lw361l}?;RN+Fw)^N^H&B96rjONPdOR|Ps&YkHr zz7V|%Kzes66@T;nDk|3lAQl~Z5Ik%<=M%oO5y$r5c+S#xG>$fkk+eLL(BJ!l57g)M z-fN;|l5`L<>nwB8MnR;>nr13(RgFKDkUjnK6|c{>BeH0l3W`6=BVBah1`@B05|V zm!jHck}9~lf<_&HY<R^l9 zAS0!RM$xXxi>hmW7`YuZqxg^EcmJdC@<_Cm)&{ZOJtTlcEhGNg?pDM6Df-RYvyw_;{&iJ& zS_BRtMSOk(FTq+^NiPUO8Gn=x6p6silaYZ{ZH9(dX2j@89vsZ5+7~;hslXMOkkAU& zw0&g5^1+)>VMDdY+F{TpYhKTT|3lH4heP?bar|LISyQ9P8j7Jp#xmBC*P30HWU2Iv zv5(0%vZYcJV~@r(CT46|Qe$sWVo@4<%Qn8TNlX|gGpnl0IELSQH|LU%vnPqjU?NACr);zID)kad|FzPV%@;lTVR3Ym!){NltljLz_|8{hqg zu`X79*vzY{C+`KqFX&nL{u+%k)U*}(6Z|mv(fkY03$2&0ld&OY>;uXR{*|QzU#G^M z9ZbFWNXe*$L|RctrzekO%;GP<5G8no`z)tEv#iPDLl5jtZdVudUv;M}Ky?LY64)@E zN<9Dv5;`eY)40?N1>G)au zc#SD`dJ~ypaBFBcM!6+y_hOlBtrj{iAC|W07sh^95>5zdiFrSWvFPwGk(i5=T;%gN zd|M7DreGl3uqB6PgMRZ}Di+On_(b&7OxXr_sW?qQ^vk2_MDyYbH%|3!JIa1b>X3t! zb`j@g0<~1F{ZdrB?ib}&qKjP6gS-`+89G*gvmU=Rb!YI#a)PaWDmwUr@A2-|t&#(; z!{K=1AY*Ww>7XiCWfclv@{7stY8p1TjLmfuNxtS$Mv^s7Q3dhy27$J;u9wkUgF z>1|}7{8(R_nTg0V4cMo*&k^CKT52$+8-pN@3wW-SfL^G$r&)`> z&|hb|x$s`))Hy@iLU3kW^LgIkE9MpYwsu*-_=4YeSStwj+Qs>!*pnm2b%ZC%y18Lu z1~vS=8L{hg64!K1MG0|dp8rR?KNPr$VjBI=XZ|LaVHb4b)0wx0L^&uwRyRsK{xX$C z9^7EMMTf_f^*@4l*LRBK`HKcXejd)Lj!({IgRw^ekMxBYFY*jN@8j>=ldWU8pGIhV zn3}A=N|oWUV)G0kz0BR^%#gDA?}cEq!!)nA3JG2v`NyGufzwt@?i}K22kiHCd6+m4 zk+xp-_L8W(yTy5i^{1ERp=LGqy_>SVs6GwQWwwTl1NjZg?lR%n-cNap@RDs?4PjWR zt>HmgO3rEtN#9XxfTH3C`HZdaDms*rCSTDq9h?^-#$M$h{(R9eQqz0O%xQ$Ib;@W~xR&AP-^fo5cJB)RlN0TtxSG5EZ!mxR*;( zM=CaJY#|daqJTxH-$O`W{r|-*=U!h3$YQa*<)Cg?A@p^;=da!YKfK5CyViph!cFb8p z4;bm>ZFeX+Eu^X0Nl^|CBh`2__8NDepKXP7#H&^!kG)0xvP8V$OBKmRJ2-=NwTVa` z#Sg~uI|1t!SJ;KPo8a3)}Nmo`^qzQIuSS zx2+Oz8i6N5Zp;hc41&SNv4$Wo*{w{ggRY@VcFWEw)irtAn|sw>i1)Wm)N02JqU7|S z`~?)4URrxMe}BF{Ax`pmlq7LS%d>e5>2}_!Pwq+OO1}2e#PhwNCt7@Q$iB;~yV~ntFt~AkaOFpR^1=n-r()WbnsWr@N0FU0WamDr zJ-}^T>=l>L7aj+-z6xEAjnj`epkJZ6n%S2M=eHZbZfu9BucKXF>?y2rewNNyOX9eL}hLU0~M=!9T4wt>cr=LZ2fO_Y*pr*tGH+ zy|D+8(ReVpB_V9(nN@QLpA|6OLD#*41h`<~@V{)XZyjF+2qp&Uv37`)P50UX?T97I zlqHJ}m?#^XsDPya8@(&`R%Do`;^+#zKWV;|iE$sWFoMUxcW|eREg>$YD@MSVqo6~> zV*a^*K3;MKXBiT(eB|anL=CG5Nbb^A(27K^rA!N>bI4@Q7=*2a9cPSy1X{Wm<8H_4 zP;m|x%d!JG#XA79FVq7a4BhgzdtCCCaX^npOQ2D22$D&!o9-@INOl%7^taRIt}Ezz zYNM)V$Jsgh==P-Zr}?G~;;{q0#+^ zVm9}$I)JU4C7@Vs3d;27W&;e?J+OUMIS59izN@LN3bp zzlZbA+Lxu0WM)%8HltVNlP`S^3~qXGlom^jD8f{?`SZQYU#BFE=gLa8M6wa2x$X=4@er%n$sN1<8GuBl@VA!vR(P>yIXjJz0wC>uB7 zDXsvt$QQNrxs@ydk=UF1ep;V=^5-04B1u8!%ZeOh{pk6uz2-wvpf^#OYIPV?IB_m| z7VQYv#Hizx;zb(dz-1_$lbsn=L%n|84v6)NvrH)xUg|ZSNuI%GUy9N=NldpSWaXZ? z6g_}FbT`rV{U=RYQ*y*4LzVfi<-M&N++oxVeejOUdM+o2zun+*B{=uQ6=D4Dd@lG> zZsSX;%Otzxdh+u{<3Mj3XaZk+FT*UKx^r#BI@7~LwsASdT<+TfJ;9ZAU!|yA^TT&h zDfJBvx^1ypnD&4CS2W@BLz-pJod+O+Z!gcT_j_ZSiWYs?BV7 z;P7~d)f^Nv^F(bFqqfik!&T7Mlty05wELu)Wmn7jY7)(4$v%5h4+~17<{kf zgj~iI&Ao5uUz?9cE&Eweb*>3@=+dkICrEy5ZJhi@F5mB+>|@r%tIUBYlEYc6x@r-< zzzp3Xt8X(cWDBVq3dPj3IEI(H61KP|%*{#`b*4GIbWsRBTRuE5u{WNlhgpIiKlQ`( z7Xkklcx2DNv-wB98cX|Va54GU_@AYT>o8Dg;x=wG+!FRGd1vjhB8eI8qo_;T%wb1o12Mlo9H9^iWx8VN@pb1w;bU>EV!I>a~?}zHzly> zxhH&93z43ht5`~c5uhTrSxgw}7i1=2Uh2$-E&FkKEYOP_Q!Cu$V+=HJ3XYinZe693 zbp!2AEND;XC)9&FOLCTR=nTXCd%W$B-0v)~Nn&c$;Lf;e>?IMHo;52DZ#Ddri8FVtUUHiYteF%7?Sm^Wb(&P5lX<8hH zI^km~E%aP21@c93Yh*_ZBuYLW^7?Rz*D5M{-!CSpo4>9jG=G&7WREQ^

    G1HCyGOPE1~QS z=z7w~97Th05X}djEd(4Nn6AmM;3>C5>um#lJ_@>V8gSxT^m}w16YPT;O@sG~@SHkm z=gDyQ4(P!<@IE**9ZEH+J;cv)$mlH%UIdd7-+6c$AD^CG$7P)>GMW=5Bd z%`F#yiPScS+M~_wY&0@-XfK+NOo0G3a9;!ywy?Ta*L_+Ov4UU95@TAcVaQy4Rk;YJamvubCI^JX*Yj7M6!w*73{Ids# z+HrGeNPF#~+3SZi4~EC>QRG39K{MoDJ<%S2S%E_Zj@o^Z`FpT$0JaW@Z%DsA1c@3H zsy!GPJfuAk8B9yi&g2i7GQEA&=!PMKGs5AoQKrB}mr-`EJxfZvk*+UE7O@ahfFh;?H_@HTR1V?#T> z6J8mjHKDai)o(^*2tCt0H`Fdg21aJDpW6&w6I|bp#w-o*4F-+F$rC6zB(#29LnPc@ zlM!j0GB|UO8?7I+bYH*-1unnRtLGkn-H~e8JvMv#K-Dfim~ru%b!6R6kLt!;Y*|c8 z6d48r(H@!>f|4{upiY%^H=`1*pH>OC!KV?!uiB?WkIZS81~sqO`_c3C_w8K19to}g z0f7WWy1u>q%#`#QK41R<(L*XH7ex)OcOSRMV(mpmR78Y9(5Fzw0am`eSI3iD6=*2&~?sW~B-LD;c|BuTvQrGLoM(RdSY6uNk-<)pSx^b7~ zQr9alUP~Xfy9PBd86gnwa2&HubhicpP=Ao&5 zqZ|(Z$KP}a;w3-oNS>y@vXWnZmM&#`zu4vZ{+H!1E1kNYf%39ZQa5hW`t|Z<*J=TP z)~~OPglg9}ub5=t^$xgC!{rn-#-5uJIJaSh?d+mlssKji4+z*76pn-cL zc-~_8V&o%yiV#-_tm-j@Bo?-R zBBX%-sG8tc$_VL2uz~;SIEawzHz1>J2zgf_JX^oRluT#u7&OS)HdusgoZ=8>O_P_42KQsH*z*Y_2A=AJflPC#py4XR1des!la|xrzGqMJMv+NK@Kj2n9d6Z zht8uA3suJGS9lG1h_u1GN{w&{Hh}n4z?*d@#FQ{BGnmtVQ3#d5AnTKaJv>zf zBZshzEODwJl=3#U)M_RojuN%FLU&dzZHg8b4O>BfT%kKSwaw7!fg*xy!D1rFpmR0V z)iu=)r>`nzeVTA_m;QbiJ(1Co>~Hjfw}e4Seb+R_xsGI~;TtxozlPUt6Fpzx4F`JuFH zxZbff%U@p8+fS2NW1kXS*X|nIa2Scn6MK|UWo097vOX;fXhl)1rKt=A5mS)__tS9? zehxUYfS)(J%umg~n}6kwT<|kr{anl5etrdi-9VipU>s<^&mw|J|`4%4{%$g*O@;mTn(-V z`5vPqd;(}TLC{S59R9eK${M72lHv3BVnv%_bH;6TlkK?Pj_roWAGdelLL=ANOJ?kU z-`OUh?~wJVU`Zy<^c1uS*e>JNK=>Uqe!Q$OVW$nyn%mRB@Y#fS*`E=g5F+S^pT z$X!ORkufTxIfI#>(V9U*86_EQ8J!ty1|}gC3X}vo155yJ+ZUwbL+ecuXoL=boqo0{ z1x8&U@j5^eZfbFb9d4hOR<3V3NP%u6k=$ssAXv6_Z(Y$E#Dyid9e?)Sk5{;} zKpwt4S~=;4S(~3_Vi!#F+$WnGr#v)%#aU$09K?-<`YE`-@rZ-XkVmtVWcDd;hwzm2 znDS@*zQEt9Y{mC64{}?C2c`Relqc|Gj1!$f*0os^;ke*l<9G*=bq2g*w|6q5y{@LM^LaGCD$XO6)DbA+SHx5aTxZD?Z zi0WY@6}=YdjFuL(pbw~H_aFYj><7XW1-EsgSqZs^%;(G_BtedvJ@7|~%7hJ{H#yq& z!;@dK--A~6#K(*)4m&!3vJMu$(vt<%^MLAk{IMC_jKZ7wCECq}AFCfm)JA!{ZG3KH zWVSlfIV(J;a8}R7S*x=)hgD~UmNGjhPE%t>AQ&H=J38`W?u!w&CATGVTkdU~Q zHzI2+_D%2`fl&M=KfcMo-B0{S$PAPL2&o3Eax!p6FE@jRVhWkVf}uFIfun%^Z^CV0 zS39wY|H&G`DxJk_uOi9+UDAhrhRYZC)d>aBVDFrQHoaXZdL91Gk?y))@21tiZfwII zWK=dnw>1F}oTc4=w}1jcOYBTj>|`ok5IYG-nnFmR!iP~Vv`0qP0BDb6>Gbb=T~)cz zJdi-{^}SA-J7(CORa(edC0m=Fp8LwMsc=rKlKpgZrR_`l)0j46o_=yatvt6J+HsgR zD7QAULw+(>MoryP;mWtvvpf!3Nx$XszJ1F=YzmkJ-UI4?%X+;Yw}o26aVWTb&BlAK zxH^9LpUrDle)lAHW1nCicP(Fj+pyxEmAL)wg&UIS1@jy8L;PvxJ!_Yajt|Rl_U=Dn z>9h0yF!TF2w3cb*xmEG};+Z!by=%o^=3&Z15%3~z3h1_ua?nbntdJ{|uky`cXQ*6} zugW*n+vuHt?d7U`eKXc%Jiu*MxEx150GG>|Z`Z{@!EONy6x1apP7&E~wT5vhToNW> zhZ8VMFM%GTh!yHH_Hx8J8w1R?$Wx8Qol*@FE(OGLdfWm}zY#E8Wu+AZ2(%D1Pvkz5 zb*TBaj^XY z=Y&7*+I4WI(?&Jv0jTL8EEK!P2#P#*I%OG9gJF4I0C5&&P7)IID^=r6nk3?ENuzx&E?sAkqU-uNGfs=)O7)JW@!ph|n8*#R7^o zRHgMD^1_G*XF80DNVsCeZiEhI8x9kI3B=-WCFfBah%kex0yGb z=8hy5lDiUryl@+7|G^vrJvkNZ?NPwQ5ISzuR%X{_PY@P~i_|;CRqCC-RT&cR<1?Ip zK4(UuqtIU%EX)>%D%Y^%q)EzL_7--T|K{L9+d=(R?G^na{giHFGWif?CPogx6g)kj zVC>E8#Y;{~PMmf1F6^Sr#6_7&k++wfK^TVuli_rMa{|c;g%}bH<(A}<+(1E_jO}uc zTp}|vWhmhl+b?4%R08xfT`dmi@ahzQQvssV<$xT36j0TwG+DvrVF&1aZXO7~v*H(6 z;61t%Fr%WJsUa(x%+>t4jO z-unVipFHe~H!7}Qe)fCwym@|D9K29;W%4VwfE|F`C=>tgFw&Ffj9R4%q(R$%tUtl+ zl%JEH(>j8p=*C0IReY^npZ$b(kUtoFRenwVP(H4n6ModROnaus$jFL&44Wfv_q^bF z+rxM$BG|KQtQ3U7(?Nc1sCJukl&#rDY{4;(63oIN@FrtIz6Y_>ft8k#*W z2D0W{X>~#DGLF~9=0^8ynBD8aZrVl2L;dNS!B#5ZS{5Tzj3)z^hdYcyKNIyi$9rnr+ugg}OtZVyy~%yd zecH_-x9$$POWdqG5M0uhR-OfQ?GCU6q@De9*T&kd2-& z$K4*w%=o0JZ1j*m_v5!uy!V*74utMrXr!mXcF;({;=@P+p{#MpHHI`wBCS%p)F~a4 zzLU5dsaaYnwZRF0j^PEwv5XyI106#r;FnDVBc9_0RwhCevsAF9a9*4Zh&9zI2~7tS z=r_^SQq6EWH4c={w*Y;iK>!Tfy)+1b1MES}nitLwXQSsoNks(MM$l$2+HUv-m(Mjx zoDIf_#c?sN4;Ba8zt%aP_C}UNuxY%iC>Y5EN4>}h<;8b@BbgUBG} z8hfL=F@Hjj37}T_`n#s!G8<^MRmE;oE187DZWz7Dx7d*MZQa0(fQ%> zsTjZT$5t#1nE%{H^ZU;)+|fMi?zOXL+)-Ol>B$az`$VpL=;;F+KfnqOK6C$ttDZkH zxBBqiHgd<44?ptT$J-u;z8wZOskha)rMSg^m@NdwOfJhC9G)>Wb6EbL_0Jqq-#~3( zVsvI;R&;grH-USDJA#KZUJbsMq4K=u@$vz00pG*Z7+6eJlO6m4{uN$*A^x#Wvhqs% zIC^S%Myz){&&Vx=T_7udQ{IIOM#ZBu;CBJ!_bijSV7g~p0wNHTFVfo>*LrGlDkX5CoJm`p6tV)`Eb2&vhOCq(m+|-c7Z;D6tr|TQ41DJ5`_kQrc#&w6xjtzHSommkOYisv^uATJj zy3G7g=I9~)&3B!p{(|cM6H22Mc{89@)*!@GfHdgn38Qcehb0>x%gy8#a!j$a!8Y4A z-^t37UCmL+Mm4FD8ns>}Y6n?t^biC1AK0aGuuFU7 z(n`>vu!U&Qiax=DI0tT{cs6L>;O54OS6$J6OfehXGH-DC552G4W&SrsGmv=df7wwc z+GO-{Hu8;fqjQ3Hg1<3ytMGtyPLk$lw`P-mOkC~fi3f%=gVo`l!GQ;VB#9c?y_^!X zY^);Kz}6ty*TbeoF|C%}j)EJrakd@?5_AzuQb^L3sQxl#X}T`rvV{oz9C?m&rgx@) zP9`8iI9yI8068e>^f|)V?fXUh*(v7vEB8z~1dQ^fy|?2)!dX0c*_5@bW=&tS?V3hh z08GP%1NRaA!u(xV-}v}{V}~Bu4h57D;w#xGQt_uoAMnLw#1mQIqzvRG*{p*L3T`P| zcBrZ|#>DuDQpHs9Lz$ucR%Rmvc)2*`ulvRLB-^ zy`)09K<$GnxB*fvx{6%I4Hbq-i_sExF}FloA}?0gptbB8ZmqO`R$ilij6P;R;69K( zmOoIxLEo?^xs%d2@=5h4^b@#F=Y+G;Px4u{S4xxiL#;I4@_ED50qZn8aG)48r|{q! z2rT9$BwMZ99ec(UeKYpIq_AA5BROIpFH4YKZIm)d4Z*J%6%ov;3@0msB=VvlaMZ^n zn7883NG`V30CV<#`GVq=61IWk!;>430-J#tY}+5g0qy0(IG7UMU|>Wd=uaeqfrNkL zkQsx&Y`Pdr*3)0BK-4N&d*rZ6*=RA%7^38KzhY=rP|b6DwJNCPxr17jVyN`Y>AfmF zLld6HN)(zqu}7&w7vmpPH^MmVa?w8=W*FRPwqwVuhp>Hr&zsm|K4pG?Xg?cG3?&_O zJO2rJDlr~p<2RJUfG&8^UL$75Ik<|NaeW{j==dxC2}=U!P1WQgo&Z+RkUe zr&yf=1MEnD5gEs2^aek*_UM#t^;zbr(C8~_Z!9xUfjj%f_M!9FZcN-m`s|!kK6u^g z#J5msz=FHX>)9<9-esZ(joy{6p)TT#GgVrZE1of!8Kw<$4bJ!}Lz=)(xQG#Fg`YA+ zAeKQ33qIeOCO`91Oh8Sp6vEL!I#`DS3JbrW!d;jzdv@+&Ow0?18#dMh_<8T1Ira9{ z(`Ky&5;tnP`ByVxo;5$N9iRA`IlS+whxhG#l;T1O;Ghn5FCs4)oxFpOhy^}}FS6CS z)xD*E;Qk^>aM!wt^EvIX?bYxXk#pMFT)u}kUYnuauWWJd%ss3MS4NDy!O>Z{)1zyg zYuu}I@5qxXqC@yvWw=&vuMH2(6>{?mq7`a+n8xJF^8{Yz98%b?6{xwnxe*~R*Xa2x zb%}eaXHk!ZMQc5)iXQabU$j4We?)7=8+{vp{0|g8S=8Q>_l3PiI1=|7nK|(sFaFGn zy=7u}RQ|?%l5hC4;`zaz*02!x2cvr8lAgG@C+?XYF43`GhQk&|v`aOqF%h{Y#T6wj zjsmeI9kfp_fC^dGJ}r7QQbwgQ)`il6#d02F9(!>#w{N&MJPtSdrsFxjvslJH!Un^C zxunpgsiZJCnZ;~vp)x9ngS9T9CeZ|c6p^~Orj`sE27Qxq*lzTB5{-_Pa4xvIvpL6m06sEqREeZF2bIPLyg`P}@t75U8y zf=`cAv0t_sx8lQ<}hA)p|%&^O)ihvE0YuTzm-pht0k;81y1 zWEXhVWr1Bf-HVc|5*&2iIPHdte79$q`Sdj_KKbI4_Y2J*9g`bwDhXvq@r#WOXTJM5 zfs12f#usK5hdgda-GB)XtbhLQyZQ_mnB$FPdopJZuUq|_ciTa)$AA_+2wJ3pC%?rQ z`V~GU{^wRr~?N`|s+Lr3?$qLYdz@jW51cB9A zyySIDs@*o$w$Qf9cAxEkcQ(!z(o{EizjoE5`Mmiq-Hn^wZEoUrqfj`XV4~S<9wgf6 z&{6@?bd6~5XB4#Y?R+PHjA!{Z^CLJEDFNR!?71{HoO@|(*rJ+r45Qm;PE=cr9#YF- zujw6YvMtwN#tzG;OY=4wJT0}x2?Vd#=L$2uNhIQMSOb4_Xdx1R*-UQw#|N!1z1X~b z?mqLkA1)Yo-OTDge=xVYerVo)ut)W8-2V7SnU$-bGC#*PPc?=U+nAAg4FiW?qgo?S z3RvYyz}OU=VR*R0U~ycaJD%=Dx&!-vd>^D%NFx;N7k>~a5Tl5qtSVqpiIWLRK{*%o zQeIV5z=_j_H!B2x6pmBeD4^t{A|;OcDQl3FW>_-TRO?xaeGr zsUVqEfE!Vg1jka9Mt|YYEQ~8!j#i=>8mj?c(&ZYt-U`N+7z#_O6t;$~XBqYgDM2{d zYS`6sghK#F3=XI-x21;yWBw6cO~C$}0xAAP^OotS+{sygg`o%*OvIXKT+V_G%6EL! zO#;S)51Hd|!E60|yiI=thfQd`#ODWwczg9C+2=p8MvQ4Z2?r2^@(d5DL^6p|FO$jz zivsMdMJb^ekw7&gK$*%?VV~~VA7#)ZD%Xj!aE&qffd3%4z;Cdx`j7dK1&#&9K^cQG z2W3qNJjC9A@88Al$Q1ct2o>@b!J+IR|DeF2pqS^+3*-eEZ21NyoijTu&oQIriiFViL%H@1+!fTF{ur*_LYRWg+liTTX(s10d5r z#*VUB3VE}VoqHWsvH3!M_+2={ebj;sYhLVn*o0BWjAptAz2UMSGpzWsfy-;BnF z*`oP>RRD{xe0=Vz5oPAttGt*q&)Wy!nowjf4m1jmpX)iqr z-fI+kNkS70X&$+S+(j6|0twqUnZsNMx$clCaTuu*dJZ=L9AMI9XdGg5*bv*!vTQ&; zf_LIJ)Qt~x){!NI01%e$gRjX8<$d!i%9yBsdFsJ;Zp5VIBpcZ@B$@a6YKo3f40bS- zKn8p8nNg*Nv?@srs4;b{I#2yv<-0V@vtBlzE!2i;*JwMnL)t5vgo%h$UK79>(FCNb zn%04zHG-)rGIYX@#%P3cVz^ z;RS&ag7zA+k`NNG9l=-Qp;o<4w&-V@MnLUo)oS!J)rlqx>MW;(CUoSTj)h$uayOJ- z>J{n;^)2;NfTvXVQY{3(yBwD}$~+MVc3`q1@dUZ`+k*$q)8=kmaF+Sqh3kGaKPK7u z2UCHTnUFli+Ms2qD|N3?w?tkm@5H-*1Zp)7Nv}!b1V^K{F*qS-mSeVec5qgXSVgM% zzEWRp7#YS7k!rP_(wpRU{$=T9?PKz1{(b3v&7u24eqwnV`5^uN9io>Qb}M9OYq;2zS5xF8pdD$dsSk z0aju4VZ;I_>|=MtWhJQeV=Lu&p>3fY zq0`{-(}w`MSwU1q5SM+$bRd~D8#YqUU?!+x6j0M`R84ULWF(P7;4TX$sE{<##-d?y z4^N0M>~vO4WL{i+_BL$(x6t(p`v~U#w9p)Gx?aL1WXVrZ_DJ#+^A@mw1t*$hFabs9;)1C?{P8y!`?yfa)M;b5BNv(vPHlbY zU;hZLh}XC5h0^^RxZ7BNu(MvY&G1ch%yMkz7>N(?)uh@{N9r7>h+uiT4p#9Z+2eN0 z67Oc9k$Ct#54zGw$i&*^64sXge{I~dhQz|4BjnYX)?qB8? zF(dmOoilIO)i{tdre^4ZA{^L0e(H6*Hj_5fe_}@e`h_QP=Owm(&l*b^dzen7GkVaG z6mAqpTDf+vlRL(J$8kAaGq;j!gA*LVaH~w1C`R35CSW4}$Cycalq78`xg$Tg>xh|2;48u@jR<3HeM&l#j`HLG7JV6 z+tmy%TnF9_3)UcP;(UIh7;5n!FFgmWJR?jkjs~nxcqB&|@x+?%Xr@w{+ zR<;i^G~EmN^uT;7fFszLNNz!a1wJ+$Gtc3Oxo%MYpouF-jUE{oSU&Z-01zA-`Thbq z+%)xyT*qIuUp3O69swwu0Vvx8eQcDj@x1OKw`AUxNp>(#ay#7znIqgm_b2{O1ESZB zf9?IXkA!7^4V*ro%Nx$obQP>eo}t!j*w8itoz}3IniG4DtJpKRI)HMgDGA-tY)co#n^c>pd4(lz0M)-t;sMuxuEKkfNDLrlrPRk0C^M&?&Iqh) z3r0^|ykJbshEk38~(8L?d7xHTd~Fb^4(X>pYfgH**C75KlfJ87tEZA z!yBeI_q=oaHLI>)`{J)Mp1<=&^YjRH2`YPSXQUcidJ+nf1OvcHBwBo#~3v$q-E^W2sr2kfx>tffJdY z_F%b*6sT_0kvQ+tRV)?%8#8+ch^8 z|NbQ$#Vi(Z{!S{BD-hzhLfaMK{)bTy5Sb=GA9$?l;k{~{iHmW6JTjOJ5r_B(N7N91 zQ`}>$)ZC-B$MznN@jHbb>H+?M+TP<>j}tv?s7G;+QE=gf9-sB#d(a3(4dh#`i<}T< zgWMpPW3uJ&3yB>8qj7jCq4tx`EmJU*D*n=6-tV}p}QzmZC z#F=nze||JtKtp$X5h_T>j%aH1g>n~vz^w(wm9SOAmRArjF#25)FD`hy;Ijh8UXWAJ zTEL)!P(evSvVbiJ6#l)sdpIc_s!b1aoNa1}0R=nT(iE%yCCF)ojKP_wvY+xd@q!o~ zD8ez9HEiqkS>r_@<}~P8a53olOZ;;MX71{oxw)kF(Q6kzT9{>?$|@M$e|B$w^Hg?C z-z#VLGEcG5d!8IOe*Cz}*ACvAXe5(=+q-(`U7JlpY9E@^vv$=3i3`wYeju}7a_@m< z>@Z6Evbcy1=^;lW+v?{;_60xjcpb#;^g3)VJJM|~jCA6bM7x3~D@lbYwDDygJM1ar zX!V5-vH-{kpbloVtVXOCM~RGoSg02}COe3OPEpWot|)O&Ms40sFY(eDE0P-b27F5n zlQ}6)9&1U>Lb%Wblsu3cAfnDXY&5=9RhpiKK%2}}X3g$P4-0ubl&6Lr5&yQT2No{* zRdmpRE6U$}*F3e2jgDHqYHZ%ide!Kt7vI1*hm1f*xnk9rSyGf~%J%1$qgLw_rEugRIM;)T}8Z zRFY+6HD}GwYRziP;zL=dvq+X+(1tMek?9%=_%g`yPX$9O^t&}pP998A0CYFG*_5M&BNvj+~>7hd>$onU@&fgpB zXWnQ&Xg+M-gg4^ZKbgs7^1`|Ev_G~02VMpJA)y6EjmWV)moJ3G67dD`Gm$M8H;F_P z5yR53kc32`hOg%dKZXGpi3CGR35X;sT^aDYoxQS3duYu89HMojY4eZYCF zA-m;)UU7lZ85dt5&E$8>FUYS+Z_1y@@>r&sA)4TqYWa!cBAz=aea3dN7uX+oZlo|$ zoXIa|H?R+XvD>%@`3HpuMLCCc@-a5X74bzvkyxzNv2~n$aXzUmF+8h)e9)yvbhaqS zx2&)or<`3mR;U&oN4G6Gl8nmTJ} zjCQ$yZm9p8Zd9MCzBqT-;%8}E+qpNZ3IMTnZ_rBiSQE1w|2N$Ud+ujzE__W+BtlFX z)t~2nG+Taz(yLJVW-Hpc)wrurc#S1ng~RwS_yggz#)(3Z_49>%1*#N>;zoQcUMR>> z925HDenKrCE^JlK@#h37pN$Gda-8ia4`N4u%70+RtL1TQqdc9xL0*EF%lEOHg(LC@ z>|f*yvc|9ih>I5}Y>`~X*2uN2(9_P-sVSMMpyiBTqNgp^^ya{bixZtMUq}&a z>0V2bI_jRI4r~-tURxl;h*4$?5*|fAQpi3zhlwoUZ8Pkzv6|Xe!!4sc<1rIm3 z;&tZE7rx;R*!(2eQZd!MMH~@B!HhIcf>_&+ZUDgca1TehI z*RBYl2Wwt_IUQ-2;&k9Ky`D?~w=vtAVaX)Ty&@|gNt)177xwUMWo0^InqrONFauxc z1cu>WFn`~gIJne&h4jZ&MQ^-+f=8J90A1FTP~rr|U;twFaqd~fp(~8wD1q`3mdWRk z$Z|n}Fqf)2-{MtNzs?=S(cT;9*HmP8P4}Qb3FAPQsUX|As&xAlAG^zi6J*##*k5eFrRM)+gw*z zu$wN;ORZ#3DF+Fc^fr2r>fPLXe(%=an|inP7DK&DdXwJn+z<-6O27rBMeg;#u4_n* z;QTv0c>tHPs%V4&;K_3tJYB-DQ~hAKW)y`gt*I2QFr8Om4e!977kDnUFrs^WhjE0{ znP_A)8UE~3YbM<^dG)4$rbiYHH@`47T=>#6MORO(8{YGsUD(+c8#vZj`UZC->)Hn< z&w4sm@chc@M_V+Ju&vMZaB<+oo4m{SdGw(}MG@27AAa%QouruA0&J>$0GK!ju z<`=aVwH5K9qSHlxq$sDLO~rKPSc%He?%vnq-`OPeGKPWw!(qb{z z*jrP+7brcQDc8NS5Yg~oe%~~2@zCXk714qUFW2XW)*A*HwnLkCo6nlJfsPNuwRlB& zp?RdL>coKqfBW0hM%ARIvA;PoviCdgh;T~{z8lZRv+zcLv&DSi_nU4s2L1jP^ZbPb z5K&M6@ROyqXQ-_`XR*5swAje!xx?pF%#g2JG$WA!`DNtse*9-_8?$29)GZ_DzW(B) zyB7_*ZfJRb8+XJT{&e@6jyVob;v@DYv$^-wD@V=NWI&5~=4dh-Buz)PhON-PlcDg6 zBpo@$qc|4`H-b4GN6KA{9lfU#XUukNM zdiR&l#=#5KI6$}5obuELwciTJ8lfHz{x8D@ELIFKK9O~T9|@cS(Um}yN7E(H7N0H} zJ1)+Y3gF!d;6)Q6FcJ%N12QaK{mjzU&ul4w|F$*mb(aw^lu=5v!pv7%71E}3DkS9< ziBkHZ)+#02*s*b`)RM?f%jctx(k}~Nt>8sd_u5y;S+Z#j52MsrIeft4{X&vY7?Q0Z zE{0O;#XAp4bOpI(08`UG23dEt9#qQ3R;Apk*cHLvad9l{IL6DAG6uueGIxT5*Cy_N z6;Cq!%go#2Cn6JKip4n7U#u5@!)zDZnB8JK^Ma_Phy^(Q#3-|f#R)?zE{&5A-3jh; zIJ3o&!oA~U9PF&SYqLX;gPlkO!A}^U(32Dh{YjZHk{H6ZWP$)ZE<+eWh6oP|PYG|5 zkI7f$l<*T#3P_qZaJ7E&vmL!83`4`{!&ZE=2LlbjBhGwg^s?{spA z#hR?Dn$zLvAZ>=%rnzl4%_+M>)+8Th6y9Vl`M4xVd&r8>W@w-)lMF3KE|!UZOvAbI z4!1><+}=1{0AjcqcL#pTa6241da+K5^%}iiXLNe2PT%FS+ik2}KOr1H=EH^$`+_;P z4jeX|+G70tF}m(zJK9bEp+fe1hw)YDBD|kDNu!SRfQpQ64JW~g*u{u%6J4G~Y11Wh zEmFq0W8Xhg%ZrBjwRpWH-FCH~p-x~b1$cFsog%_PE0 zvnJoPanqVL^TOo3`O{Cu=IM_Q-2Kug0Jxyz_;c_&sE+qGGMGxt^OdYD?Pdt!qd3Hs zfa|ndq>2qirAu9^&!%G?-OEB=PpL1X(e%QyHKivbrXs<#y`5G_Jsk(WboL=@H&4ss1~uNI z&)L>~-aPmAU(L^P(LZUA|>(SdC0 zq2kZX5$vzck?c$7&Yd5Ca54dy+yJE#(I4r^>+g*LN_nS@S%&2pf#q0+OC5&@cGIC% zdM3mR)GT9G01Ov@Sj-SWX2i)No&ffLmZ9*}=K~=_qWm23!LxbdI{+viLZpNE4&jT0 zVCFuFIvrH{0{Th6o=7Dp>AW1vyqrCm8n|~@(}^AF|5_nlx{j5uKUpK{FI)W?>yA!1 zh&OQxUjscc29HmCLFO={6VH>u7oJHxK*tD^U$Q=sUq8x!LnT-{P$FjK#G@U_bH?>> z@T%ig*GJq(0=rOOe!54~a97w^4uYHstgm1g3j*Y13H(c#H|^`n|6j~v|`T--QRodr-_O_%or z2@(h%+yex62Djjr;BLX)T`vT8XK;eMySux)ySv-R^SrzJ?N;BedrtSMp6Z$Ds$1RX z{(m+dBVkpB46UmXEPS^c0TCn1TGM+E>Z>e!%dYMhMb6jtN8clg(w9@}H+Pr7^hq_( zmii^cFVPNp6FUhL<7={e2^lnKb3xL!(!pHjTpBRrI=fyaT@`CLqSyXeQ#*l8-zmZt z_4}}tt3r^hLt2pTd1nAVJG&}Pb|_X3?4A#-B5=m+Ja~|QGs241f6IT{a6Mo?u*Wf9 zl>2Qr0Q*}6&Wh#j!j;?+`bxe&OY0At5#4CRJ(Ba(O1nvM*#~{{9UFU_Ugq#Orf)O8 z4lc`)K|^?Ub$oUNl2#u6RU=4kZjTHUMi8sZzI}!f_%rY4FBrw{_t=gJTqAzF zVY{`0QoD;Ib>{U?qe|n)ban(y+}O}2NH|oUh*3lVkjLmYX8xhCWK_9!?O6O!m`wvI zPUl3`?w7K5EUP+UpPk1wdtu9v(FIytJAXu(-RO8hFo-9W9!|EE@$2(E>*F6eNje^f zqvDTK*GHAIck-41Qh7%Y&01?HOh|qM%RDo$34UtcDC92%4h#oxOq0_sLKAA{E(@H( zrs3R(PNtAT!gtSJ+FSe&@qWVcR8RFJw<>kxGiTsY#|nI;Ouj3KK$3WZnPow3fhU4@9&<4x(Ds(`-|D~9xadj_W!}1TFU=PRw1%Ki1^jQObz~}k8Y8o zL{)FstOO?m@E&C?k=LVtP4}|&EPCw&j%^wLh=_hHwuaOb=1Npx_x}EdadM34to3Z! z>!;5^#}YxFwiiySMWpvaGkSgwMkp}LH zHrvX&D{_=K#aJ6rVH~X;ms+QR;6+2{{kO2BUv?`;cKi9m21j!^Z= z7ou@lA{8LX>~0xB1=G)n#w(1xjVXDQEopfJYxuiQZ)#&z{-H1sV2W^KkdT~D~vKRyqqkh&9NHOJ#u__4{P z(owJLw9NUF{gC7ySUO%l3PVj)D=t%S4x_$U#DYy(>rE#+u37 zJ)WwPbvvjGS*ya`5bQ?+)V|=-!~ZH9LL^c=c{K}#)SFj6hkGw-`1#&h25({lv9 z1jN$YnS`oM5@f#A_N1OQ)W?G0b&w%3;#b-f1x8N_)ggQry#@dHae@5Lh#K6!B5V3u zW8p9nJwEX`wa_-1e zxHH9focJxFi~!pJik#nWG>2B3SF1RNwd(X9 zHWBn69e~E9kZm)ZBH|osA6BcnX)M5HU4It`t@G-W)ihak&2O4+3NdG#BI;)*GD2Ux##Vda5$sMSGw#qHH@l;>_) z)WJdV?NZy*@%Z(r>>0=I;h1LkQtLWId@uzS&Y$FaZOYGQ*9I*qdrTUDFV2&yt+X{Q zTA-}*$$n5dr>q|-|1K{j^XB`Af z%hS8vP0sb-Z}2Q84w)#gMrp{2Ez(=GUM|HNz3xEWpq;VF3Z4BpLn>Sns-^gH=@cazX~V$>cCO-{%CM)-dd94%En3!<@j6mIs}Km=e>{-MT1yxY=Xr zy#Hd%jaxejJI)Spx?c8_s1z5d1Z>CV)~w`Oulft7CYX_U#h<)9HW_OWmXKoZ?ea$6 z)mr7_bC0`fg@&>tvMZi*yz`k)7zRx7zu%&bD#+u17mWG*Lg}%ZxGU9wj%oU^dkg&{ zS(>mzuNS>GSm#Qwy+N<8tGM`7pli|+ODk@=1QQZFq>KY>?-9hLJ!(%*57Qg2CO(L+ zM2pvGruvbQ{8Epn$r*gG1s5muqiO{*_oEhwyP{Ler%KeS|fG~G?&F69*B=Wj(K~OXt|V*nPf6y9KAAHJn&zaAdXGf)hZW1 zSsQRs?YRZq9cLS6<2B9VtQjORik{Q1-HJ58wOx%QEz*ZE9?{agNqR1VEeWrMy6EpG6hsGIz@gv`hor?0xm*ym>%qEg9&$89#7Aix_L<}zO z$_^SDY1HH66;pSHIg<hf+wKKnI@xE2%n+o>hsA)jZL$+OjLgzr0(d7D1Jl3MnMrACV4 zDt&8k8fMHhs1aO0X=?{T(RN3BW{IVFESdaViZzrJ9bAVQdhBVuK`j*;rkqcg*hM%} zqEQ7XS3oK?wPw5ZOssZWD^WX>(?FaS;%{8yw?i1_aF`MIfa91dKFP%H#%4K%;IQc9 zXM45UnnEJ3w!%>@<(C`9n8dYyK;fykaA5FSVMZagjE~ zxHZfV(}TwEnHuOG9$lhZoA-7lF7kfF(`XeYpssPJJntF_zR;&8JmjIl5CX+DCuiEv zN9n8g=YWPHgM6iIQRA6}xcV8wsXyzU=~(-{^~=bJd>OF$TX|`&m$3P&?dF>W_v$#tc^_d23|e~c3#ExvYa3s@SPSx;;6+1Z~2?eb(SmQ;WDAo7rZKW({G9`>MK zODxnzyL=s}|F&GDjaq2he{blizngNMFVr?s{!*YIiQ|a9y=jp9Mr0vXrRF0Spi)G2Oa)p}YG0kZ^Nay*6Z(Tow9s!g4gpe6w=|RFXX!BQMoz2)9zXZgtTG8`T6FHW&|VuC@vy3|h2wI5lt= ztecfCEEATW4CPl#*Gu zPqnG6`WIBn4>)sx;(Buj&D=^|wN|IYZ*&@AxIv56?rqE-Sx@^^CNnWEb?TE;9qUpa zSrUb|nT^4PL#E3R$24ixD|Fd~byUCfJ})2z#jbQpGO+EN?#3_71>IPWKiqTj6A z9><4|^2h3>zFmgAP_Yc^3@!v zExr=*qj_Q)^_yyFKS%smj*(DJlGka;OxxQ(_tylCH)8Fpx6lY(YRNA|JLJr4Vn@SE z*$FYVb@OY5WY?w;({vkW(3=u(H*XV0E8v9FyRCQ1*ueA25lV2)VU|8~9(Lw)=JngIwpG z3HR;yGcLmj+XY2O!;JpG0$^Y*Ubz35-9$3M(+Lg!BIp8oP0TZ>~?&hc^T28 zbYXCOrmush2+0eo8(b639=}U+jRmsjbxNRI@odsnA3}{)RkjtOO9Cw_yZ$OxsH_@} zQ=X57L0dq26#Yo7ivx$km^7=6(FyaFA z|3%js__S z_?X^<(3?kDL^9nqJNR&`Pl43g7ocpa@y$W)uI<;+>zv8T8>a<^kDn@Z$&+@T!`qR) z$nIvRG^0gMEqKjv+}Q1*MQREyf@5dx{R1_WU%96a^wl565O8i|+j{K33#&c6y?4+! zWi$OO(;l|@ip#Riewd&o#A|=dVthYd5pd9>AF&nrql=)AR*L?|gKnP(09^t%a)FR* zm-uGj^Zivhan$G)U^PBh&Sq!{eXP!$|2vU~t|*0Ro1O+TK9p}cJ03n`HQt=lGeo~J z@tganw~=oy5}*plWqFpjL7GCR*^~A8&S9U94Q91_ts{L{i=L*FlzZR6zn}W&dL-jn zrC)2u)AE5;p+4jXZh}8{hBRy5_h8AX;9)?}nY61Sv*7bk+%bxhnQG{MePfvjt9)q> z5Dpw`?r&Ld{oJRsJKWx8n1YZwP2DRyIWXDxm#s7pVG>Eu@slk}|BYa-^cQaeNPK=x zh73_j6>ZvCZz}PWna?mw>)yT}oI(3H{73^>s4xhtM>dWhfE)$f4eglVx}fLvEyqyL zY#x$h_@C2T?#Lj8Lmla1t1P-ct7-*3T9j!-8@B`VPnCV%2)5#%G`fyC!hkW!vj~iN zq16jU%TSLdzYDG1^I*Yo@ic3L+Z`k}fW{q=b?{UstCoxAE%xutboE=>U$ z(9pA8<#W6e#YgGNH_U+<6gJF-xXO6V(Q^p<`Vi__w0?itwa07u6J`$Y_Y+Tf+-6yb z15`tAU+vvG`&`>}W{qyld|>`dd0)O2NjX_NPr=;*EU<*Ti*>TcJ~vsA)DP)MADKxzLxaZH8nr|P#%5^8-ON*7Sh}ma1bA`T z)DE{?^nh5Sz2Wm*xMKQ5p~X?^wWRpZ;_U2 z$S9%n$z0Vti|Kh?|8NW9?cQH>N$PP^yYg1%xE{Og3div_J%nYgQl;j)u>Kyd)wgJ7 z+p-Oe*}aYkk@Zl-VRkkAKc)Q}(GM7&%+-qE`G8_Hcxh>{7F#Z9$0q8j~I;5sw&uP zLfx}M>r1_7Av{j- zB!z-Shfh>X)5cyA9=~l6Bh{@~6?0rX=p{&gI}hU(*;V=xrd%USVmP?T>S@0Qr`ET7 zqBg!%6ywe^Tr|Aj?6TWs@r>od{q%^1xd&)DJKAZ0ntD6{P*QX5Sl+-%_!6itD+L#1 zM=jfogwMV9q&g(Fxt#o!n8oUV=j)&926Ux5*GP*C9BM_<3Gjej&}x99NJM~hZ0L9M zz=)%Vit7M3kc;1(dSdhBaKr(JbZ8YJ(o4__mNtTMAD5wXZ?b*|1EKg{inisaK0s!2 z5#=@EK_&empaJRv-Ri1Yb@^kEXPOO0tN-{NaG_{8%6AcGJ}Nq3H|VYqxChr#1|8-| z-@NO}nz2=X6m?6 zjFJ;ZK?x-qA*(&eQEETIS0UZ{`0xhc; zZ0h_;)M2%U?%U~_A_zAq3v>Q}U5RZ4Qqn>a#~X;~?9UD<0AuRr;~1Yt;^y zH*2=`VnL(mI?Z%_Hd1*2ChYH0lq0G8+=%E({3)r)l}BCj(O&)aZ9}NuN4L_LvFlai z^(w7X>Ou!BkA;zwdbJ}5i+KQ5lkmo(lJ+8hme(+J zUvBe;D=h7`T)Y2>?)LM-Ow9PRY&3OS#*9K)$&@RSbB*I$0-rnk`V7urq{Ll7bDlP`r6c@mH14hzeyP0r6uWZ{I8Sq zJXdkpVa{SX8_U;g04m?RSZ^Np_FhCVuJrF1v)UOUet45EGuT)mJFe!*|BvTnohz6P z>zlczfdj}+fgxXc&)<)L7Vr9t8h4s2iJXKd<>0*Ip03r<&0y6s$r;RH@Z5h=63=$yv)qm6&o#cwtCyK$Z}PbCVEW+4SW#(6Qf6 zCFJjbjx8O!nNAz)*K5~KtpL_zc&K&jfL(0dS;!xRrUC7n!l$22AgsGAyQJGzeN z>z_LF`RPAxb;Fui%Z#2%C!oPT29OBq1c>N-EcRirfij-Ihp46*sI;ND2}1}I@u%$F zoPFvOK{7LF1!i2?zE=o#_Nf-#aXv8bBSfwBZ`r}YrbX8H@yP7@#FyV8J4lbilytpb zRLHg&Ik(<%Hx?mV7>5%UT8H|63|!Qq2gNueI*We1;t7soah(61hv?0JzvpE&4wFpH z%tRp~@|>0Bi3rg(gJ?01_NU~vLP)=lE901-9dBMk5!k^m!n?!2hHCEOmCoU-ZwnqR zY;PFD`dBp4f`>uO6xvP0ZH$Ry&d18W7`R2gy^H%|jMmWW7UT5wW7G-{pb|s9hj1Jk zN_Uuo9D!YpOLq=g!?*8kYX0BMTtzW$7RtXm{u#0*jH$NRgmPG$pA8!fXM0zJcr0n=LJc}YR_vjC`vY8&nQ z59s86$z8B)mAqO!hk_}Gjp9l&>FOEYFeo+S8Tgk-Pa+g|m4c8cEEM)$Ff>9)BF5+b z`R|E>)#Y#qNG^=3f{-^g8#z!vXJEJ$4t;vh0Tx_F&vsy6Z&9p^2o=wVQ453NxbMqw z?eac8T;E4?x^R@|E?xrXFOOE2-)WH(-i-Upd06@(?V@xQ{1BbT3xAw7M;(cq z8b?kCbi#g5@?dnn@qV>I{ChEbJnPDt?CR9ixS}Q*F*~!;P?R&Vk{{RTYOQrR?pSxt z3OJn}&$LgR9PXdYaVE1Ui?+=!PEPEPFMDXNoTd?!RH>VpoSB;0WEJORWmp*LN%kR@ z5Y&hNDi);03PN67Du@ygR0wGb@U3ZT!cDR{EVME)J2-JN{z=<{Pg?a^KvNA|)Kr(F zW0YK^ezdr-GPAJd|0oi8VtinH=#Syl1T89HLi?U(*5DZ zd}X_?wReRv0-${W$zP9f)-{hd_j66XRMy2+?^vTgj z*U{g51NLUH3;#`n#me@xz@QyLKJqwzn9TZpP+Wx^n;U zcHAqy8H2JW>S>rcH_jWmo09AdM(>@M-hHt?L0rA^-L>f%O6o4N_LOOcf7Q+~f8C?J zI@(N#9OrYppr^1Yb;Oh(;C2;fPG9Lx-ySYY*PiOBQjIhc@p$Fpj&REW9`&Uk_$C89 zXG$&Q>+%r}p&hag75Fl03zMT8FpJML&#MX>CY7k7X8-&uO{cclabf=u@mRJg7Av{1 z*+wm_ViSFcW?<1v*KMZ=^hw_AvG^v?qL&3jy=oj}Dsu?FZQo?d%*T<(S%sAJxA7QI zRTJ77P^_UEMe6Hx$qFU`{BpkX53{ixS-l)#+(wLh70Z`Nc2$`C=30F_=-Q+;Kt@&Us!s(b&@6;@{r~57rZJ*2{&HVf(2dCW#qdCD77}4YBcF_ zq~}{~n8#JS!fYl^-car`d1ItLisE7q6e+gu8N@LGu}=#~sUlu;p3`8YxWfAl5z7PS zOT$Mha_iXpjj z&MPHQ@UOUi*KI1GQ6FL4UqZY+8ALVTI0=>4P-6y z1=t{R%>xPgqy_l8d7Z3J^br+*E3iH_RHD~aYHVko{*|MMC$f?&-Fjx+(HWL<+&Pjb z8#=*EQ#>`828&TlTTKa?Eh&f?jzB3FRwC5M?$Ft{YZgCmD-87FY*5J#3W1`(5E4Q; z#QMB`%17G=YS8uz{<#UP)hG_M{IySEl?n2b zF6`W1Y>w;PhXhH@%alsIaH77iRC7J?^I3FUqm)48i9qz*uU4^N(ZIJi9*4STxKYZt zjHtK%{m7w9TL``r<}?x46ZHY7bL9SB+O$)zOcHQARZp|nty=Ep7WsesrAcfvte^jtno-tsZ` z^99b%H&I^vA`)V9y*8)d-zGCNZuhboov`icEgwt$Ph%3{GYH;K79Ki^dayA31l(PAE7`1 zf(Y6R>w~Tr%18b$9~eJ$e<0~!eQ(2>fD*z)*5tQm%!Ci5BWm>8*ZnWQ^Rq7+EIINo z5q58D$c;~(1OGTgDWUn$nK*%_M1|P02tpv_8GZ%k|MD{+{w<6GAO9_SzR>Tb7Zw+p zmB^eQn8(uj*a_{60E_0ZnPoyelecut3>@2aqNLV+BdH8m%54Vtd^w!S8k+8T>-{6G4 z22zk@@(XzfLZTS_;~>idB2ph1A-X>yqlG4X(1i^AO!OU#vBMkp$G2`m!dSq5u0K8I zkw(RAM{m+}G$L5r&Qqwl_ra(~b(D|fJ4c)F^5DZrX84tjY$wOI4FQH@$k(blg9ckh zDEn_Gf|n$z0+~N2ufz;y~BXmtsoUSe?!rOjye*3fKm|HtkGp-)u;>hzlGgvcvJhW&vD^^w< zm8MOSs!FobB4V2}$VD1w#QAf2fI;KXE*ETG)#k6DEZ2y@Jf$i9=32m`RN28xn>trD zGitltCc+uQ5@b&;2jI&g$U(_5MyC$VdbN!;Od`7w6nr&-iWZ>~vZP~;;8?)e25Z*ra!>3H)v%Hq^Grt1F0&N4~?M zpb|MTEs$;wYM$pWnFOKt9IHo`gf6h5)CtGR^+|r0?byvBk}Z|$_`8-8^QbV8XxL`n zHuq}Q{zi@$a_+2|tQ6HEC&kBaR+C=l3_DEcHf%uVS&U>9#x3z6e>eVvosoYZy1@t&|o^BO?Gi*~#j!(Cyt0b&aUg|=(S`@rJIw5eY^RQwZ)Vt-YN+)L) zZks0OyQpq~hg2UA-c0ZiyW@kb)OCXDGMYtjB#5h z!Z(-oqPoVxAvtQzmKMWId345=2fa<>#1>cN-RoK2GGHFKD^(sOH`s1ifQeI~!&^Yk zdL1>Y49R}4Bm>QB=1-y1ifRUZRe*Yt>haSKU`v}}*`>tT1ns(%sE}R|>3UgktIKt2 z2q#U8YRG9v_4=0>{&3_{p*kM^6C%q6UnyBkqXz1eGcPZeE-yCH3})%mn{&Yc_qHx`=w z^Gc|*qK&mp82OH}H;$7#-!$naYgQUk9U45o-f4Om(PpN3LdINCa*PCH5vbH=w(-tk z%!@#HSPlg@lMJQF`d!zHQJ+QRV$J}f%#rzpor)WoRH4j;gF-g(vT;uDC~|`_u?Sp6 z)~`mGGa=pZ^Falm##jH@{opj|TiJY})HJ^*8X-UtTL9mfKK!aBfXeil}(mgmQhxe{=wZ9)$dkZO+rsGCKwhRuo?aV`!t~H zU`KWQ&f46Cm^zIpv$?sAo0Nl0%ZB6aJztP#V?L4?)VE`DFVzZc&OpZs9<89kMQ8g5WhVE3SXOMT|FW$92|@%zGtvb@ zaQqAJ0zU`SA%l5DXg_~rVj^N=V>OYSCOY(w?~Pz| zCc1BI|Fr&7|B3o1`oH~u?(FOw|1-)zqy7`~U$Nj{Oms|OUnV+Ia5)p58W=K&jvLU7 z9STq8e`gK825bGoAQ4n%o1g5L+op)dbfNgg`yr|KM=*p=^Z6Rg<21Wm4bG0TGAd| zSj2@55jZBpw|$pm7VkUkJ9^C17e0WAZqw=;E6C>XF~sG;ft&9v?QH9wTuYBHnPw%# zBj(jILc8$7%puG$`La1Ji7Y>vux#l4ahs1&kA?LB4@t*()1p`)rSKJ%&1%6Ge|Twc zhqHL$&8>BaU8!!v3B=R&o{>!&M^80m?q8V?O(xEvh)70}9($J$StA%n5e6*VjAq>C z<0xiGD5NT6a0trfq?tL_ioSC$YA7nVv8hBml-0hvLvh&MOYp#GLkJ>ji)-56O9a~9 z!%-!4?cE@p$3emIhK%9yPKezLd32H=e&L;{D|2@Q)n~lF23|_*UMkR`EcXLm8%5?k zPGP*099i`qPH|9ljn*AXNC|k#Q>_LRIy?7Rm`LXmAE#eCENcKMZ@;lisdYPsz}tvY zSoiRxpiy%7%&P)bdtQ*-UX1Ht+TDhHtT#c>t=L*APiA8#&!ioLbK|$+zj<_s>1mDZ z8K~o&sm!*V?o>@(c|NlTXMu2>kH0}imz1Dm#B>59#B{RbUq<327wVMbuBMusJx6pz zA$3yt%o_zYWFY|^M;us%9%}rJCfgoo+;_2FB!d~D3vQw}3;qX_8NU$!?2=72Zp~`G zX#Cya{W)|hRm4d<;-Km1;ePsTgEc3AaD{nbYZ)g?goeQS{vZ5rCLI&lDv0hs#6<8T zh^`d#U!LFpX3??#OKbzbh5Q$v4UL(Vm6hS22q^S|V_r7+KAi#?6h{8ItP&yn)a5D@&EF$QC5%1X9 zz>HcnEa2jBx)RcVk$(SYn*W*j|3dDugZbVuiYOo^7WV%$UGRA$=D$qEFF>}(XZNp) zmv3%mZl^^}d3i>zqiI~sG5WS>Vq!Rufkfaxr2N~cpM3o&2=b}(i(oVV<{!~EX%#v9 ze!yw>ak$_eM;~**ybf>{S$N4hqSN2iE;B-fEPcIwdq7Hba=g24E>u=rD?EhI@r^_; z5%?lUo)T4J8~^i(M}UpMX97^Qqt__q)=eiDcyjRlal0ROxFncYU<&2YaDgGSZ!B1!bHYWz$D zHbSe}{?wfGR|7h+=$FSl+C+~Frd#b?H{{F!k{#tL@GzJANgjMg84;l1XumLd1>Qq7 zh7%ZjI(V+U+NE{Vt;6L#dJyp)26XvE16w{n{6%E4lR?gSe%*YUTHaxRxoTK-Pisap zlBR2gFB>>N!zf7!?L#-UXI%`4|BE|erBjU47DOOIW!rww+x^>DU6y1qkWSz%lk%ah z%NT1Vpj9x(m_aRaj2Iv&5#o3@vnTu>*sglBkI#Z+K@=wC|AM0v+lxMI=uSrEQzRu) z_l<24URi2yWPD8mG8<(yDO5|(5J&O~{Q+2CIi`N#*uSffYVPAjoZjz1!PqGs)CRXP z1DKUrBvOCxq#p*KQQ6JllKklS!5#R;0(XDetZ}g=(uT^s-2hWRH6u#>9q^->YJzc&6sA+@m{>eq3q17&d3@mUzWrM_zGOYp)|JGh z(u>UvHP+tNtGtDKK5`H7OyP+K{IuWx=&w`yTU))d+VY zK;PM5^_Oje_*z=0cx-0^5AS@m9-{ zZK;OxX_E$T8^>DWoBXRoSgXT12Q9z1WA&HRGFfvj_fhzLqDNE@r1XqF;`+G>XBzjl zrS-?B3r65KIY~nbx5VYJjN!*CIO?jpo!-6pZOb8xk-6u*(^D)o-l}=vYII z-w%SHr4-zarXCQf3_B)1i}LxRJNjEK5ph?}kfaI-a|wC)vokMO`v<>oR9CqiydN1p zA=x_@(GWpvDzapZ z`kh^=@9OO`$CuO9M)UY+4zyu2p?=aDKH82jN2|iJ z5J3Cfz9hAp4B`d7ZB_4=N#OSZERuz(MY4@)`mYO<3pg79*jd*!Zhn<7_+1 z^-39_g`(32y!iLC*diqQ5p+vuW$Ck6FZvDR>Z2tNEDx-9vu@l?NZd;ancu^(d_m~? z$=~E810$0k>hi}UMoZR6Kl~sbS=|%#)DX@9Ky$EvMcY;6Mz~uK68bY^O2qb;;+g|k z{&-VR{*mv~=$dz4rt9s8s_;-^z`LTynBvW9zCqNRm63_CA~bAR_(=>`=7p9f($<~h`~cM+Un@ky zhJH0ni<~W!lRLuYHep#^;JkvM*$*2Ct6k4Jraq?j^`m}4QL2K>4uHe6CF~ERENAYL zf~?OaI1r;e2e`!Ar;w#v`@m5>#>A~*52$?n{u!T*3G8^W{j5ej#t`)ib$T5Cu$W9B zv3}FcqHCZ1(8DMCvpsCj%TvAmbWT%zX_#v`%3Yl|CApw#k5>fTei#aU;D`?#=S=LA z&}>wlld?+pRz5r@8Z*26d0{{6Rl*ao+S3WxsofI68=|f!vFeEN>KdEmTXy4e!*>I@ zLAYV>=VlRs%6_nAaE)L6{do%066fo{ji6))-Jj<7mxM|Nz0l8U&ez{ZffIfAdnWUb zv{6V(4#F2W2e0iGT%O=sFMk{W{>TO+g^iH*&&AabLCs*gfR{F$l8@Nd)UL=aKM9EM zm~?DuUA#5KFft>?MTaFrgU5uP=0V32_Rzr@;`5)=xnc9a{<)gnS@V{bBqkJl!m4%_0$T9GpP8M&wMq?_V%-`js-xY3oamXGu+c(oJiZWydLN@0>@H zh5tvbI^tlu&K{>aLKb7v%BNJmHuhAjsWe&8&d{LPNOUZXC#xnVaYcmBXRLiMeBWN% zcHFKR9uredO&LH+xnVRDb;Y2nZgM;^&9R%xp7pNMc~9}}0Ih4F5`xT5-g9Og$^-Sr zWY(wpXDmum?@jN;{5;VfOW@Y5wCqXo6Kli{ScC&aF^e@>;KjBymx6w2qnuv4&fq_M z?B|kGrTr`2B0xi{_me1K{Oo;qdJ6v&dY$V*!DSxB4b2*PUWAbwAbh?eRF=(=D8&?!tw8Dgp?z&N6R(^(3Srg=r2ObUACTavR+4It_j-*ZpT1(j0I6(BqJlj$ zFoL5=u{6AK4!FoUy)y7f7KNuPHIIxEC!#$ekY7vJ^Bk!`TPFOBtcb}g(KO@LvHU9b zx5i9VI8N5gs3dhIRU1TJXgyKG)&5DmSd-OOiBP!dimU9(AzW<^T3Z)_0CHJk{G3+S z9XQFRrE0wmH7Vg@|G+o*%kl(rE7xH5yq1DDY&EM|;eCG>DQ zN&*XC?C{a!PfajVIGn1^vIP@N4Gu(n#z3+Z8WzJ;P*y5fgdWk#JBD*Cq;wp|j0-)I z)>>gt16W$DfqM?=yxB`zP@~X!;JUC^GX)l*>ZUXD2}@T*{M`2nIjp^Fm}h^5`2X<# zv`*!h!EmzpVzG$sg;@8X!+f3W)JD|`LAV9=`{bY_`cEyvd0_=4@f4@?$6vMXA)g~X z2!HEBtP+xdZIbD50E7==8}pyW=^^&WwS_5ez6s=@_zv!oC|nQ;C_2C@cGZ}c{V+!U zedb#J<>*Ynm_ftsJOUPk_``VL);DRram0H0`~o&?*>{}MdPIKxd141P%m4+a4I(3m z|5zN8#QssotHpXW(R!`K4LNDnZ#+~OHg(2#e3%P431M%{8)&H7SiIUG*dr9$$J?iu z>Uy&A#n6Pcs_)%ILRNGAE`V>nw$~Oqen|qW`6=D)Ld{pH-9sDl$R6AMZK$KQWz+UI zetF5foqf4izn6%`1a4!61MazBcn$(|;ti)U_W(n;8Pj+LIb(F#yBwo_ubn7P-wkW{ zo~JS1`#p0P=v@_gEG^F8?Q-WH4j9v!VDww?kebQJ64P<|2!BQjJu9x%ndhQNz4$Xy zSybQg)X=rTXPGz_e@J&Haw^@@Zg)Q}xBz_gjybj@YWwosf@W&Kl?AFcG< zertaQo7AWRrd8^x-iL?hJYbt7jUdrSx2A$y?+sK3V;V8*rEcc5*?34*9{B}JupmMt z+AhH%+7C?9=lNR-C9zIy`|Gn+(eTjda`&@lvo!`m6Xj_&h89wt<`3#`Ka$P^& z2%Y^DB_}D`L{$tZSRkfDzY7Va$Qx~hXw^8Fi4{9x7d)^>hMnOpg#o?qtj7%SG|q)S%}0d6IA~+L1eJ6vHfF?QaCg|6o=b5H&siGRrG)JrVW`s) zfs^PFN?}VrpMK#%TOa^4^gqzSD^I4rnM%qO24Ef<_u*S41o(;2p>&`&Wkv~E{M2*+ z0ovy4NkS}{PC;@Giuk|QZavv%_g))bw!-K?wXFUYg`rJ!<83_1jo43qX}(Lvv|HnA zBX_#*f#Lo$HNxtW@@H>;=Vn8VA1DiXfTG8~SctsX`u*DRjSn$GMN zo(Ls;oM(WaZ%r;%;t^0O>(p^Y4_7S&ezhqB{D4Z?l+%`Uo$F)-x){uMXQGs}m7A#l zEI&J3+YfUTQpWnpY$2SJf2R-w5Z`tm z`9^zx`ixXKg<@VCD1$X3gJle{wU!UjF=>uW3n?W)9Ms$-p6w@ZA9x+eQ}_X)YGJS= zQ3kme#k3ncmg8c^pYlY;0s)c}2O2rlV)*6*V{1=m+Fpg-AbB#Yhrrr>&`LvB0$|Cu zYZvh5JhpcGuG8lI!k>)5pUmtHu#$NR?d8W1FfJ3iW~Yc_ZDv3_He7u>^J`VyoLNoo zR&P#5NbY`Wi7=|eO{=v+sdI7qq+LZ=V4nz~l*?!b15|-E)LLtwM=wQ>lZUkP-Rmx^ zB=p36Pr0qQal`vaHpGIEtsCVXbQHjyQZ+LQYI9*o`BUdLWc?cW&`beAKl%~Hq9x2n9=bpc-10$ z@Z>21!{j(2Eaz^yB2D~Ul$|fnZ^&!NgJIQ`uEkdy2@`ewodtK=k#MT2!@zf+hpR}( z)N9ut`GzvHjg+P4JoG>Us6**BRHTz|26K~|a)0#|OnF$bhi27st5?!q)>n*fijRK( zJ+Ktm#LzsNzrS6}e$N;|H|7j9@i;75}4xovRz*<5F)+JA)5 zGJc*cpU!FYEWAg%0CMWVucL3-7Kd><>3{GUA@FA7YCdS%yFIl7x^lbQ_8LATDn!mfYVlruZ5p^2|H;>yrKQ zw<0KrAVM%%%VVq~r z^aUMub0TOfY}B{}fP|B=k*blnk5q(9nzgWeYm$$$%c=%>e$0giEdJp1sTt_@EETWDk zi58Rlvyb3j&w$J;Zis68=0Vb@Ma(tgXjCmiV83VQ%t7|^42b@#jM(BxPMgT_WSPb^ zb`*;+nqTK&SL#36O?z&6$%39(j!Pe~QlI#EEsNuExm|DoWx^nPfU^{ZcvPv9N5ZcA z#dRNfZ>Z6OH-Zb5MKTIiz6o1lem1&XF|$xA$hU{6QcdWiip@Me6J=3;9j>0033qdF zmj;_w9VkX?1|Ub^a;+YL2wCk1$$@%7wmdK!XRw;5>8kUNjf}mH5K~% zaINs>U3_e)gwWmkh?cNColsLi`6>gfj90(E`2ubRLiwnSGky{R%|WKb483l5l~&uC z{r=`lU#2SjMhs=+afF2BFkpvPZLfI?*XM5|Y3@!PxKb@2{jV^)EED0PD+$V!U|NcR zIkDUQJFEeZUOEqO)B!^=Q)GjGRhZFJ0+$EU{yO$Wu&U1UXOR;14setI|H!YQY9qQEgmj*%uIVXd=n^L3T7NnD4? z6#pE<9RG5|a=)(5P~{(K80nv4&{Y@*4b?bc{}4Q6RMU_lLz^OlTn;)ER0KRxcuP1S z92Qt4aDpl@!YI2SIP4KuJXBzk2p1udQNc(g6n~7w>naL^)m$~H9+j=GuE<8}MyYgg z-u@QcGOD?yMQx6hW%a>Cd09!oug8Y;AhiLF(x=0WH*7L7hCy|8@wm%uvny^%4b@gi zt0W>FO9X;SGC})^RSA+f)`YT3a}!m;sOh<;y-iG$dq%q&gOjzVXr6lE%%~n=tiKp0P2-{JCUXV6P<#6T)*NO==KQyag|{L95q#E1!0hi778^zt=$1Y9+zed6gc@fBcH^RTMT+_%nmyZ`p!Q#!{5(oX*#W{>cS|CONL_yX@$6ofG!2)hkzn73Fb zdmA<(ybN|%H~vg@N0bKKut~&A#plGm0LNHS4yPYIZs&E3>*=A2tmmL+;Q>2Pmg_kI zXmv><(BkkmpBM@fFv<`js51;ksFsGgKa24NQ z@j-4scD(#1Hcyq`-MDd|7vFu~OHI`dndiBxso3|*Q-GfS&lT4_{^<2oM%)Z|`&s?77F5YnYocANyw!bLaFL=Ej}4vw*q5dIUZg3w)49hqs5# z7Duy-!k$uSyyW^g{$cV!=s@`E_*Y3o%$1ZzDC6Sel2erS__X9A$0B)Qe2om2O8y_} z`A)ITd8X^!`26G#UY*`6bAK*xic1M`?^^Co?pDt&-UnO{z_HPUz&m8ohY@46%YL`R zh8W(0uI0ligJmnL_y67FyLJ-{eN-GuxP3GF-{-f zFfYL7@nE+TtUUb_H}87>y+`J}cDjq>-Sh5y`L*0pYx#{JO|3HM<;^sm^Kj3+op( zTvC5|!Q%!I{COK_=)ORew1-+K_UERf^RmK;>%N z(iBd~BU=oNK4A`9&MI1<)Z}c&8rL4dnI5jU;8E%A?LkyQv_sL$16nJ9w0>ow(P=QH z4hZu4LBj>bA9u#xO|B4X@C^>(K?)SYaOg_So)B_-nuZPuG=in!ZD_0y)rF8ajMoG_ z)kTE@7x1-afq(Sjf^7|AjoJTn{&VEce}hJVN!uh20?Dy8A~pK!`@I1mKY&RznO_e` z-vr9AL4=~7;(ib3f*uqjD9|4v0<<3?!e;T)>%hv;gPx-94UrZDfIisCMQ$tNkYo`V zx+VIr=qD33R;(>DCd^T8tgT5VVu`}GW-gNXEFg`%nd=WBsV7O2WM&(W|f)+jf+fZpHNh)$|C5$B55I!^*_;eOz@;j)!@j0V*_R4d{!-v@o(kdFWG z!`!4}{}`bDVEO1yY@nG}%p4TOSE!Af@n+#sCx4@u6^mk3Y>HiRC}3qb;Cf+*b3QqT zUnnk&J^=?k;uO>nj(SYo@F_?uLG^sGjW)m__+mS4m^o^gxd5PWwyce@jpuDPj*pmm zp#a7C5DMYYj3OM5A`_z-ihBJFqSrGdVj|e*qC?FdhbQDAp7BMTE;@OFu_EvyAObOp zkbmM7MW<7A3K*FwCI@Kl;~LCNgW05yHi+GLk!o?0hAiLAKfyEnPP_;?u}QV50qS887IKq%ONb8%M5yPd^2A4dj-kjbyhKKAtb+Ni(L0iY zc3k+5WSb+94NM5k3@i=k0`ds6*?((J>l^fkc47n2^F)z+u-}BBQdu5%&;#UX2YD>R zo2R6K*@NC54|k9b(t%>_MINpPUMCg0)#VvYP73)~x5`z`LU(@OR(Bb#AKfaJ(E5k1 zLX_5@QeQ9Bf9vu!HWfu;k(a33HOke&`CKWS%&BlDXNJ?bAe_SmLG*Hw{(rNy(;V1{%CsayRj?A)8u;1%+lz zi}bZc2%7^+Q;h?PDpB?|aa03TQIQX|P^??J^Lw^(B1Hy! zR2wv+jZlPXfipjOp??*5dq|ut(<(elYjw*4P+FWUN3{Jwkwts9AoD&rkp45XQD#b+OAYIo+nGlhz2w*2Cvr9*%l<$_ zDj;Qx@bU?;cvvJ`M8w#Z$~qcc{sve&dp2w_r^0w6Ye1ofxIPhf7_re?U5e~V&{5nR zY(TCW81SKLr?D^G(|^<0d{A>AQzPXUJY~NW_vtFJR_x3lL1p?#*f<_sr;aTuVN`=79&$>5Gh~JET^n%O&e15L?nNQZeu2FXBfH2P8@7#9#zJ0g< zb{{k6_USX0?>%pOF8@?cuQ?{2PB+`2(Qo06d*9k{<6C<{B7g1zi72w4gLG?GYv#Dl zcU|RT942ck$AS7W>RN&2jDp8?3(rS90BAsqD?GDiZmPC_L1+K0zP|B9 zZT%0*j(zUG>pg4+}p`6w%gU|eoB6-XJvLUDAuX7sZdIFYp)cAIVYaM>k*MG4Yyp0Hsc|4u7D*YSsZX3Q)X~MxD-T zr}LyS=Gp~2x)(g2qFeQITAX{!*0a0UFQR+)5Z%QknD~1S;sF-ed?NOKL+%)8h250j z&o;0(p;GjU8awL4HlNo=?l*5YKW~1=e1FiayU>1({TBO!_7^ShS@dorHqbZ~i_cSC zMwT@gBbXCSF5bcMf~b?Nscw9q$_E=_F+&5!NN)|x7V%oP8$YayrKLubk_f+u{G4C$ zFY`a=*MWZdY-<^HWdb=5Hqrpe5zV=znYXJFi($s1 zCd;>ZFZ4NhRWnwp zTUQwvSl|RFtJlSyEFdO9FBVq50O_4Yu6_o&0Ln|CYK8o#MgN}`oJ|f`et(TNgN}Fm zVzbvg`tqgf8JY%tVZrz}Hfv<~PIIbWdRI&91th2ucGmVSlpO%%%ueh#gr@3L8ahvxTrxJyufwFqLpG?F;)JO{#YJ!XZ?@XZozqk?S_2~@yxKAgCu-D)( z#Ec5$75W2@`uvgYiZvt_vR*)R(S64k&8MGOPA7TSe_~OcK5^spIe%+roJFnR+;>!R zopsd(Glpds4~!UT48eZhdvxk4BiB#p{pazB(ez8ol#6=5Imtx9=umzt^ObH1;?NRx zh{+^j*~HYN!KP98RMT|Rxuy&8#iljJHKtqf?WPCt!=@+EllUe4is?Ok2!Cn%0sm-n zTTIx}jbGl*SenpuQ-3$^f*mp4_)M0;%=>&d-nHdv4~6EvJx6*D7UG>9?E{>?#RxZZ zpmzrE<7G3s&m!7+Sr_|vYg~3%UAjNG?XtrHdUadwa;9GM=mgNcYKB7`<_O13ceMEz zITrbsJ654pcokX2+~Tm0H?B9{YuxPL3G&nEqt>lIf22!iF@JXB$DU-_h^64@QSBDJ zce1PqPP?=*h(OoRRCz)eCKv)kI1re?Vpb0B#M|-PsLycZG$E0tt{?6o&5JwO{6lZQ z;fU(%aeaG-=JDyb97VmMpQO5~x>~aTvMX{n8j&Zbw6+eNocmrgB~9o4hI)N^k7+x0 z?ztPvLSO@X@PE2<;5$!+ecJ{)$d8hM>F2A@JdxgzQj&pGLP{o#!9cLGs!B2v$rz10tRZXG+H9R*ooQWaHCVgx zRcfj%j(CnI4t@i_n}3Txz#r!Iu+5SsL5zSS$zhtxS3PFM0C#yYCy^!!{LoIbruqUb z8u}@^%zuAs&cmJ!#!3azY;h(s@w8Z0hU4aF87>u4pjIr2vOeKS&Ni(hN}kE)zKW9Fkl6(1x<{!T}ZgQUwGiEOC~-ryH|s=FW}V72}4KRd{J&I zet6QwO>OsFm;3W%<|_(vwqJ6`%;9~GIyJ9vEEM});(;x-}fJ?Gge8y|B#&OIRf-g%$ve(4F%BeHR- zbCT5VxtF`exly{ybGvLD=^W`ADUI=*CQoxr<4zZhDbEl`opX?DuxGqu3^!6RTJ&b4 zPwz9P97$)=Wzf51%o?2z8;cC?IBVkLW`D*Wr>vl$3bYC7&_!b0AaC`yT%v8paXr25 zng;LD$nG9YWsCNrw4?Ht`ogzMWRH|Dl$&kEnt!@n zsl&xutUWXUW#gSq*bap?LR&xQaM3(2BB6mDoMDUYa{zX;ioibalp(A(G;DsClb}^L?V?AMAN0zQ+z-56jy7W;(&>i$xdxZlFk$) z$teV6fsgp<(C82ftqN@jF>i%%DCG-<{JucM=k=DR(_prXKA%_M`5-A1;eRe-(Wu#E zL^zmnl!wYmdAUh0D@}Nv39n4NJ8>&2#Z9W1PWWgP4)NIG3;7QC4*OUi$do55NP;g* z2s?2T;z8B(W}5%F8;l%NvxCQopTK{|=Xn;iSn1a6$i@AZ2la#;p$JK9_7?rk1-;O& z8IoX&P{ec`AD!rP?)YV=^MC*1lBQ=HxW-2M)?5`~<|MPB-vy0NnqZhH!(7_?LC5bj zv*ATr4#Veb7CL?i-!V)Zeu>%_n>KzC`UJ1ez0qeh6ol2$=llA2HJRIcH|3#L;Gt)M zhsw|({JR|}oZq94SHevhuo0W4*UqoJppr3UhE$HJoaSwE)*`Ii~?7IZ-NSG=|hDL=iF1f|CDw;^AO@Ah%F|?Chg38Dy)rR1U1i9+CA0=?28@F#?>0j?B{%%|Xa}hi- zvMKUb!e5H6xi03S7?l4 zpziuF9eB8YfVJ5bvMSWb32QFAS}6q5uB%3=1j$wO;Gu#KPk##@|D2IoK!l*>+ziHqwe-v<115Ae^0z&`=lu2waCgL#eRS^-p(xX!gcv|d>o zzCO7&wLWdNlz-qPg$p2XRnzUs?P1blbO$sp@TQR08$bbffh%ftsUlbK<&IELk^(`u zF%vYINYF@P35Nqa9EyWDyyd0AAXd->xC^;#7aoj_h2X>S6i{kxXr5M@0}91YkoHeu zca4%ZyX+2|!|Jd&SbZX%jF-eyaaQjXM1knT@w7Qsj(-(bv>eABnQ|NvfI*8ENIFfE z&)Vy?Q2#*tgT`8E2==%sS*=+eG{&0LERTQ&0P&thPn1tc19)@muuct4YXWEZ{ejMEwL)|5LcLzSd5Q(GG- zPnMxHmnP{qcqqnF@>B^pb3Yf&N|M9AVL7Gosg@Z<0NdDv{jm;^H6iKb1a zw@e32hfO+DZ6Z;Q%D6I8)(ui!ipOIh_)Vufvwy;O!eN0CgaH*l$I6$hCL$ zz-BC3iyGM10=LvU>_Gtd_BHl5iWZ~R&1Cu}`p3b(r;g#GSA_q`2I9p+5IlVRnBoYY zLaw39er)yt=lA(^r1wEw<|*tG52?+CG+CV6c6{69_S2C!a$^TVJ)qJVU=>~lRI0#{ zU4H;?eng@Ck6j_oPg7leVBJJ6@*UJ4@_!Zj7x`ZQz3<1+QN?5-tRDL;p{sp&>h&}o z^nR5?F3v?<)ml1eKuA|;Y8jQ`vJ@&St3ZJ?Z`QV$Eu}&F0H2D|{way-2u(Z*q$)su zMiZ%&Cn1;<0#5>9yG6o5jF+PIh&W(#(SI5r`5zp-O+?K8BN6MV){BXcmoavNt`L(sIGaR=w zVCXDV6;X7OG>|1Yzr4H*D1*FB$$x~y7%~#0JaqNa<3!N1k+k*a`kai-R6S)6Y7ncC z?}CfZ7175OP7sDg3KTlro)aEt8D>UY{u`tNHC3Ab3Z>v(lK*OZOo~WR>4ZQN7*#y= zLzWW|ZUW5+tEoF70YyuM(?ge~o=d(TS!?i&&CYsm=IS?Yn6T#Cb+4^6NPl*^wRkty zzkTWQU6blC`sB1Lrxx}UzB%aSMBJ6Tt+sCBhORYtti`&uODjc(H~4Hwb_b>`o_9n0 zg}1-`gHnPAX;9qlv`Ge_=z5Tljj;Ek=ms_IFj;FkFi1c&)=|+2khqpYVy7(Cfyk7j z0@$qt3=Fv&FMX2Zc8HxQPbeL4kPRQvZ{Pb*T7H!K1J%dOV_LYa>6mm}XXpM(^*z2Q zw??a@2;(>MpE4Nz9gwJBHRCR$h5f)H+n4OXK~#ifz%-Q=@e`6n$$yks{lrOwXz}+6 z^+U$fH^QVncZ_+0{T%twL^YYRra7W>+nr`X((84cTXMRZoVsx)lXH_jrnv)5g&ia)>7VOse zA5{F0^D)7vM=c)SY#Dtu*M0v3x$al2W?r^L@R%HY^RnDAva)!WSi>$Kf4F}q9@lrj zG@IQv84Kxh(?~g&@W^gil3lVW>-2#0d_e$c ztUj42Nu(0#1gp1L%~q4uXf;@MdL|L!V=7XdUKMBbaTS%ZSrtbee_<8-WGE8WQWcdG zC@5Sm0BJC%(wQqzpM1gf179?M!^H;yn2SN)-OSTM3I>JdNO%6IszM_v`gtgP92Bwx z3b(yEN{gf@*`NglBf@b^P_Q(YnW2zqD4n<-rl0 zG`S@$mkd7T`b{kvPs!lX>o$?Uyp{X=J(o4qhHn~r=J{`7j3s*k^Ta6a*$l1By-dryOLJ54 z%-Kxx*y~hM=DzQT!!ybL-Z1EfJ^4>r3@cZP{-#DOK9fIUO36b!y0X-1shO!?rEW{T zBLBtnwZ|w&e6bp-JO4M;2@RhTHHw@PlR{n`3WU)!f3Q$-ScB=X8V6NVU?|I)!BTd1 zsa3jc&CFQ2?IQLq)ap@yF9BXBLH)miO8J zU}0Yxe+6DS=Gvk6ynA@tMZX%aCOjPP{B769-4Cw1>MF$sVs4CPPu-N87YhAt`^!Jp z#s`HZK^Crm<)IrM8_9VjQdW#u90b1nf&~i~ZRrw>KI+DE@Oor4`BdLTVWN*UId+nV zkrm&mnlwkjvnC^;X>8SKf|a->cR70-GY3`S1L`mj@(7+t#uj$h;#z)! ze@%5Cb^a@2F*(ON$3zz31^mU%izC-MuZ`^BpK-ClTh&;+KZsAYAyE8N(fe+3&^bVj_+s&tHwE=eY{(PT0fjijRyXH}IF zjfh}H@PeSwhY|!Q!c{OK@E93`I>AJyAmMEdAs)Owv+@bc4{--?$IBez=Qtm9f08{lI4!2*<*9T{N$ucRb9`v3xn_~LC~BGS#8GFR zlVrpRsSo2H)_fEHrsin;XpM15d`Qir*rM9a;^wG6RvV2XV$?#%x~xg3GnyhqOG4g8 zQ;T@xv*sVzLxXt8ID&odU1f0Uht9A(e# z{YlED2|9jCDm5dXN+sfv(s(Q$iz&4=A^?%7=oFj^ii!xVerIh=)J1VIby=TiD6MWN zD=RG}mIi@G#s*BxB29EPEsf&n9r4(d+MRe4io=L)S%&K8(XpB2B$cd1E z74tIFDih&Mim3t`n@pW1f4xbr+lB8&s|x8n{Sh##;iyOB2uPrI&G}6EwV1fm=cEFT zWs6C!&iuZu!A2Ue*tgX`s8GvQh1y-F)m>sqG3mbzuh#u~&uTlcx$b}A^XyN;=s$lf zF!N6iH`qa2_XXRX`2$sN zz)=&6NBy`pF4ri1i9^))nZd`CDf$~fN#Q=(YmV{L1Acnq1w1dK?HU`k2WIEG@x8M( z``|DgZOHu=Uzl4v;D;#wjY|tpK7h6GZLY1~UN|3i)XMyR_B8e}RFC$n7ng}Ri<;2{ z#ONfKbf$Zrc($~#e|(vEzO>A<&21j!ud5g%jj5aNo?g4iy`c7L|Ls|Gb)`e`MYLy< zB=;bYmqGeml3uf06njJze{b{zsNhc!Bro*#hWMcEH-TXN^8IH+Mf zefO?Ej)c+wwo>&`(AblP@PiAAOvCm*ObXqZg0=~sB6k+pZ@m$&K1;ps-2VPQqn zP0wWQ*#17Je`yDP4ekwqUNOs}7tvd52VU>{4V&VFe|2~Wxz8-~e!jOIDxYrnzgs0U zC&zUUyDS{Ftvn##ce6L4dQz`8e;POxB%{!n`rYU)^bY>O|90R9^aK7OV2-0?AQ?>5 zpW>hD|3h#`a39)-_XWPfUj%HeL5!`upxF}#w$p}Hi^@3fr5^W?gE&%7heL1%tf6?p z`52iPe<6`nA`*!w0-kp^&{s@lRtjb$V-^ ze|~My_vDMQD9$sUpLdvc{8Gf}7f;j7l=HM4anN@^7hgL5e87J=gxoVL_Y9{wS97mw z&j{Dj@=e@?2fs%B!-iqmBe;c&y z>Oe8O8V)hhylUdmQmVf*fFE8n!c>+ zV1HJ1a6ndda6nddu$WbyaCegn)u1NNXD|7u9elAx*B;^e-;|iSdFYEk~MNdb(DlkW|pihSzmH*$=;IhN{l7D z$OR*baGIoM;SHxss<+l5eJ2sA8@FyFY67fNvZ70;xSoNJ6A=R+&EhiE6%z4o z5sL|qB7q6I^}4+}R`(3drqD<{xj$pNqX#(pTlzQ=*PH3>peB))EZxzUe=Ob6PBW=J z+_%S{GAkkm*mM8ysnS1r!tCVafS(Qw%#u!k5>A5>eu}IjUbk2B7K6QGJH7qtnSgpG zMt67q@D_>d3kGY?o42=xqckVrBnxO@Ds|r&^ZswX{qi@Lg*4Nrp6WCud>no!{!RE` z{Al<{On+`_N!i@&-0Dkgm!&$Y*QHif-<`U#dUI-1_0FKZ00`!2KSfi-1SpuP^eCKL z;d#y;+*lb_%$YFSm@pU{h#u>4Nk9S1+RSP8G~+PQ@Y55fy|5>|f3@Y|!Vmv+M%m}0 zd!q-Uhofv%u1U{6;ch;&ZMqbvk+mG#-JiPR* z5-qNA+NtSb$97=6eLF_Eu{Xc|#>Si9cmrhTX9zK0g6s^T_tmm2Tds@JhfGDqmGx(X zO}c7pg;?Qg_BRK|>sqX;sJh1bCj=%0T_%U7xUF@?Tn#y-fA#%!$nOuKfZUh6QFlV_ zM$%PR%-skjWFaAY2uT@=#XR?LXlWo_@6c-z83*oJH{;p7S~3=Xj#z z#*_Rq2ekCQn+x&3lT}awDHF66bk1}wK2(Mds~*D!Spr-fv9 z{#%v1!2267e@PnC#*N-vLZolyPR?>UaiuDcXSrzW6h*3pa23WpK5irc^)=2%Xy{b2{qEg6s)q~!!rAm3B? z$t&m{&rdixBLNMJ_qG3r#Dt%6a`o|0_b=on+;#HmJ1jxwZVFg?e|=(N!U=0{Gymtb z1jL}v0aJah#h&Q%m8;be!b6c)(YNSZD{O;+e=AcqH8YRsEOyr8vx}a!o}2L<#ycz< zllNxsDtj2;m)uUCGw-zS%)DWKHRD`?9|)65v8)?Zm_Hiq&i}QmB3iyP|5xP7|G14e zmXyTkSh^%a!Dl@GRaY_+rhykBQ=%H9LsKbzV5n1<9jdoQyYYuAmr6-oVkq;8cWART ze?c=EdQ?lbGL-wIbf`(LIw^cr@UUpV&6hpY_lqt)nwPwytkM_aU92%EC@S)cUKN)c zN>x;$OQ<-+2UP5HK~ZMRs;H994hTwVKjZV0h!kqqo^H|{HktevTZ2M#n5K!k?OLr? zNVaC32YNaWv@mU9k2WS+n_V6_+(pM+e{{@6$4&?_wc+9qHBio znfXvn?chms*6!WAcFx2hUoO7$u0?Y;Zp;~$-hcnE&ilh30BZ~RPgyVUMHE%we@9en zc|?rWM1q-MIGEU(|AGF;F{-_mZ7{a5V~tbTX-0j#JO4NO{Do4iBU&A;0gCTa%`lLH zvtvdZeK>k0%d$q16^#itVN5%RILA7tI~O@uIIneH9oyyH9{b4hk?^(6X~8<9LD45< zM@)%_=P7f;E5a*E&d)BZ*c#cDe}2#Usrisqm}ZPR!W=IsPBG*PN&&abd2A6BvsvSo zgc(<4NqH$-VoVt_X`Nedx5X*}OFpo@Y$(H+eBJnOsuUV3>XJiEHqR&ep)~VJNmr!V z^fTlQR7D?SLRRwNQ<0$+fZ*lIUAP`!aXjlxW4hk<-h;<~BJv>C95997e;v`7&mS*U z!mN{X@D9Pj=&d%3jp$3+w2BpHq#Hk~x=_L#Q$c=}7-2A@D^qd!|F-uf;B6J>qI1sC zZpm7$&6ac|*|KHHnrg)D9eyLlvoD{pyt#lMS7;Y$+c;cXlm*UZ#%hMiN_{k6I>!5ctU|nn;S` zcYWM0v?T0IP$*p?~mVi_~z?=++Pbky&QP@wfOcO zmE?>vnvxe-3h4hvQ#q6*Kc6z@i*mGNh|Klsa&xshTTYRW{~Az2m3aLnB|g8cNFgFo zq(Me16)`pi-h%+Q$w&4dY=725J9fLAL7UTQx7iBwfm|tjLB64&AfL)ddY`AL$nGgD zgu(dAC>*S=RZdJ^0j`a01E)^Ue3tGRm?yBgjS_FMqp$Q&TcSNtiNd z3qaH4lm0$PjvD{Fr$Q?~%vM#BZ+1@iMbC-`sTJp?+#-5x{&xO^=xMTPN=EYE(qlyQ zB!6J4U1a)8^NRDt?=wGNnmG}fpv)4yTmzSbb{K9 z6sThUm%;GaU51HRCE&NeJ1d=Lx21ndNa_aUT5$qo2ZSJA}Krqfloy7O|c@ro!V!~nca}(zbe{euSqZ#Op-=VvW$Qd0}R{XUk; z1}nQKU}fh<6;vl4!9JZ;*L$%qWzGVH9Ipe5VMXYY7E%_ug}p{WsTJ=iK2|UaYgMTeUo?2oG2;-u?0CoV zv4e3~D$_zqv)6y*iyZVvSo`54+oVT+>8qkE*M+lI{!@7c%xQ`Yemu1)G5BB_bHuws z;sP)0>wlsj&3GYSg)0_;KmIw9OiJXFE==IIJAL3EpIexxm>1qT@cLbMy^au!DdDSO4&h_6##TlJuFR(Z_# z6W{ATg-Hf8?3b|Yg-|(6_NwM+(Q$-ak?jpx{n>6o{lT%H2T{2IG z#1%KawywDHm0Rk|FDNbWJCHBm%U{xj5$Q&9RFBe&O^{)GjGNVpsVoYOgSMztufoCj!ATo&l zjAfD|AF4%Bs)bwkl7*7EsLN&iQ$4;?uiU{pUGBmnPqDnjZtilp-*(e(cQxbc(pX-x z&=w2RSX;dqsjbMXWfs-7a%HU=+HFx+D}R}z-sd!}_EnOSRduy$KjmLsRU4S2|LvJp zIjYFPGWqej5MV^}ThE@+oZ&4ntTooxn(K67qQgsQX$^lI;&MN^H34dBf z)>vl33a!LT^)13;>E$g24F$%6+=8Zvd=X|v&~rF2HJ}WOXO@38NzZVhr)0|{7cZT& zC`wOznTy1w-@7xh>yA5i?Yg5Wb;%_utfj=uEd=X>CWbK zB<4?JcilBMcITaA{Zmu@pkA35>3@_8g+wBl3!1Sz@46gE8c0p`_f1b>X(rT+NKd~F zE2Vwh+9H`p?zJ<6wq2ULG$#s0G7F`U*&G@%W3=TfWfFx$>2%m^n-wyHLLo!1IyXz6 z(!Z+QoS$SUHIrm$##(H&crx!Tz!+Z9HGl5h{Fxha$x$dI9P<%QG~h)e-hUQdCSe+n z6KP}#N6&)zo+I-w8uL>fWn0$QH=1o$ce72a(3-3*qgCGCaJhu_S$X%D;4Y>dcvL&3n)vx->9ylLJ)qXMc2pJivOburq2( zpP(uTRTmcN4I*(-$jxC==cvMJSQbL(SUN=oRPOWDZQWCc>h@$-XDd!od6vHVrHAo! zJKx_@snKc7>VMQT6YCE3le;)KZNBVKQ^+7UX-b;cpSphF4t&mPF~WQxz61?W zySRYMrPPGiy4Mzd&AqSi6ne`lS?{{u^|b5hLeVCfz@enYj^3W`uFiF9r5%0YIr{W$ z-S1Z8vwwj=X+%k13-kCq`WG-(tB?#PdjEt(r~uEHPC;fb5Pzo`CQLe*Vr`z)YIV>+ zM~ed^rE~OuKi=5UTx{JCiYOpL6jUR?=mQv=k&M1}*3sPeJWROOqwkX`7Ws-lj~DJ| z$#(Wxa!`wWCqxx6lAMLEaqesd_BnTU*`lhceZb}|Dq82U4LCUD>;3v6r|Y$bZ$_v)0oEjq2{~T2rzbR#T;E@r{DEB*syYWP(}Qv3C19L)#0B?;t0? zQ;zI{^jE^Y(2`tFBQKD5`F1%GxhUetC#kTQul6jHyl66J)?&pT;Y=Rgh{yNVO-+