openocd/testing/examples/STR912Test/prj/str912_program.script

3 lines
59 B
Plaintext
Raw Normal View History